aboutsummaryrefslogtreecommitdiff
path: root/mod/notifications/views/default/forms/notificationsettings
diff options
context:
space:
mode:
Diffstat (limited to 'mod/notifications/views/default/forms/notificationsettings')
-rw-r--r--mod/notifications/views/default/forms/notificationsettings/groupsave.php111
-rw-r--r--mod/notifications/views/default/forms/notificationsettings/save.php21
2 files changed, 132 insertions, 0 deletions
diff --git a/mod/notifications/views/default/forms/notificationsettings/groupsave.php b/mod/notifications/views/default/forms/notificationsettings/groupsave.php
new file mode 100644
index 000000000..f3e5f693a
--- /dev/null
+++ b/mod/notifications/views/default/forms/notificationsettings/groupsave.php
@@ -0,0 +1,111 @@
+<?php
+/**
+ * Elgg notifications groups subscription form
+ *
+ * @package ElggNotifications
+ *
+ * @uses $vars['user'] ElggUser
+ */
+
+/* @var ElggUser $user */
+$user = $vars['user'];
+
+global $NOTIFICATION_HANDLERS;
+foreach ($NOTIFICATION_HANDLERS as $method => $foo) {
+ $subsbig[$method] = elgg_get_entities_from_relationship(array(
+ 'relationship' => 'notify' . $method,
+ 'relationship_guid' => $user->guid,
+ 'type' => 'group',
+ 'limit' => false,
+ ));
+ $tmparray = array();
+ if ($subsbig[$method]) {
+ foreach($subsbig[$method] as $tmpent) {
+ $tmparray[] = $tmpent->guid;
+ }
+ }
+ $subsbig[$method] = $tmparray;
+}
+
+?>
+
+<div class="elgg-module elgg-module-info">
+ <div class="elgg-body">
+ <?php
+ echo elgg_view('notifications/subscriptions/jsfuncs',$vars);
+ ?>
+ <div>
+ <?php
+ echo elgg_echo('notifications:subscriptions:groups:description');
+ ?>
+ </div>
+<?php
+
+if (isset($vars['groups']) && !empty($vars['groups'])) {
+
+?>
+ <table id="notificationstable" cellspacing="0" cellpadding="4" width="100%">
+ <tr>
+ <td>&nbsp;</td>
+<?php
+
+ $i = 0;
+ foreach($NOTIFICATION_HANDLERS as $method => $foo) {
+ if ($i > 0) {
+ echo "<td class='spacercolumn'>&nbsp;</td>";
+ }
+?>
+ <td class="<?php echo $method; ?>togglefield"><?php echo elgg_echo('notification:method:'.$method); ?></td>
+<?php
+ $i++;
+ }
+?>
+ <td>&nbsp;</td>
+ </tr>
+<?php
+ foreach($vars['groups'] as $group) {
+
+ $fields = '';
+ $i = 0;
+
+ foreach($NOTIFICATION_HANDLERS as $method => $foo) {
+ if (in_array($group->guid,$subsbig[$method])) {
+ $checked[$method] = 'checked="checked"';
+ } else {
+ $checked[$method] = '';
+ }
+ if ($i > 0) {
+ $fields .= "<td class=\"spacercolumn\">&nbsp;</td>";
+ }
+ $fields .= <<< END
+ <td class="{$method}togglefield">
+ <a border="0" id="{$method}{$group->guid}" class="{$method}toggleOff" onclick="adjust{$method}_alt('{$method}{$group->guid}');">
+ <input type="checkbox" name="{$method}subscriptions[]" id="{$method}checkbox" onclick="adjust{$method}('{$method}{$group->guid}');" value="{$group->guid}" {$checked[$method]} /></a></td>
+END;
+ $i++;
+ }
+
+?>
+ <tr>
+ <td class="namefield">
+ <div>
+ <?php echo $group->name; ?>
+ </div>
+ </td>
+ <?php echo $fields; ?>
+ <td>&nbsp;</td>
+ </tr>
+<?php
+ }
+?>
+ </table>
+<?php
+}
+ echo '<div class="elgg-foot mtm">';
+ echo elgg_view('input/hidden', array('name' => 'guid', 'value' => $user->guid));
+ echo elgg_view('input/submit', array('value' => elgg_echo('save')));
+ echo '</div>';
+
+?>
+ </div>
+</div>
diff --git a/mod/notifications/views/default/forms/notificationsettings/save.php b/mod/notifications/views/default/forms/notificationsettings/save.php
new file mode 100644
index 000000000..9470256ca
--- /dev/null
+++ b/mod/notifications/views/default/forms/notificationsettings/save.php
@@ -0,0 +1,21 @@
+<?php
+/**
+ * Personal notifications form body
+ *
+ * @uses $vars['user'] ElggUser
+ */
+
+/* @var ElggUser $user */
+$user = $vars['user'];
+
+echo elgg_view('notifications/subscriptions/personal', $vars);
+echo elgg_view('notifications/subscriptions/collections', $vars);
+echo elgg_view('notifications/subscriptions/forminternals', $vars);
+
+?>
+<div class="elgg-foot">
+<?php
+echo elgg_view('input/hidden', array('name' => 'guid', 'value' => $user->guid));
+echo elgg_view('input/submit', array('value' => elgg_echo('save')));
+?>
+</div>
s='graph'>0 -> 85 bytes-rw-r--r--_graphics/walled_garden/one_column_bottom.pngbin0 -> 1336 bytes-rw-r--r--_graphics/walled_garden/one_column_middle.pngbin0 -> 226 bytes-rw-r--r--_graphics/walled_garden/one_column_top.pngbin0 -> 1345 bytes-rw-r--r--_graphics/walled_garden/two_column_bottom.pngbin0 -> 1353 bytes-rw-r--r--_graphics/walled_garden/two_column_middle.pngbin0 -> 231 bytes-rw-r--r--_graphics/walled_garden/two_column_top.pngbin0 -> 1366 bytes-rw-r--r--_graphics/walled_garden_background_bottom.gifbin2940 -> 0 bytes-rw-r--r--_graphics/walled_garden_background_extend.gifbin199 -> 0 bytes-rw-r--r--_graphics/walled_garden_background_top.gifbin10223 -> 0 bytes-rw-r--r--_graphics/walled_garden_backgroundfull_bottom.gifbin2811 -> 0 bytes-rw-r--r--_graphics/walled_garden_backgroundfull_top.gifbin6786 -> 0 bytes-rw-r--r--actions/admin/delete_admin_notice.php13
-rw-r--r--actions/admin/menu/save.php34
-rw-r--r--actions/admin/menu_items.php50
-rw-r--r--actions/admin/plugins/activate.php59
-rw-r--r--actions/admin/plugins/activate_all.php33
-rw-r--r--actions/admin/plugins/deactivate.php53
-rw-r--r--actions/admin/plugins/deactivate_all.php33
-rw-r--r--actions/admin/plugins/disable.php36
-rw-r--r--actions/admin/plugins/disableall.php30
-rw-r--r--actions/admin/plugins/enable.php36
-rw-r--r--actions/admin/plugins/enableall.php30
-rw-r--r--actions/admin/plugins/reorder.php53
-rw-r--r--actions/admin/plugins/set_priority.php39
-rw-r--r--actions/admin/plugins/simple_update_states.php53
-rw-r--r--actions/admin/site/flush_cache.php10
-rw-r--r--actions/admin/site/regenerate_secret.php11
-rw-r--r--actions/admin/site/unlock_upgrade.php10
-rw-r--r--actions/admin/site/update_advanced.php40
-rw-r--r--actions/admin/site/update_basic.php8
-rw-r--r--actions/admin/user/ban.php4
-rw-r--r--actions/admin/user/delete.php8
-rw-r--r--actions/admin/user/makeadmin.php2
-rw-r--r--actions/admin/user/removeadmin.php4
-rw-r--r--actions/admin/user/resetpassword.php4
-rw-r--r--actions/admin/user/unban.php2
-rw-r--r--actions/avatar/crop.php72
-rw-r--r--actions/avatar/remove.php36
-rw-r--r--actions/avatar/upload.php62
-rw-r--r--actions/comments/add.php9
-rw-r--r--actions/comments/delete.php22
-rw-r--r--actions/email/save.php43
-rw-r--r--actions/entities/delete.php2
-rw-r--r--actions/friends/add.php11
-rw-r--r--actions/friends/addcollection.php39
-rw-r--r--actions/friends/collections/add.php31
-rw-r--r--actions/friends/collections/delete.php23
-rw-r--r--actions/friends/collections/edit.php23
-rw-r--r--actions/friends/deletecollection.php40
-rw-r--r--actions/friends/editcollection.php13
-rw-r--r--actions/friends/remove.php5
-rw-r--r--actions/import/opendd.php5
-rw-r--r--actions/likes/add.php61
-rw-r--r--actions/likes/delete.php31
-rw-r--r--actions/login.php41
-rw-r--r--actions/logout.php1
-rw-r--r--actions/notifications/settings/usersettings/save.php4
-rw-r--r--actions/plugins/settings/save.php56
-rw-r--r--actions/plugins/usersettings/save.php57
-rw-r--r--actions/profile/edit.php116
-rw-r--r--actions/profile/fields/add.php40
-rw-r--r--actions/profile/fields/delete.php28
-rw-r--r--actions/profile/fields/edit.php20
-rw-r--r--actions/profile/fields/reorder.php12
-rw-r--r--actions/profile/fields/reset.php20
-rw-r--r--actions/register.php15
-rw-r--r--actions/river/delete.php21
-rw-r--r--actions/user/default_access.php35
-rw-r--r--actions/user/language.php31
-rw-r--r--actions/user/name.php36
-rw-r--r--actions/user/password.php37
-rw-r--r--actions/user/passwordreset.php2
-rw-r--r--actions/user/requestnewpassword.php5
-rw-r--r--actions/user/spotlight.php4
-rw-r--r--actions/useradd.php40
-rw-r--r--actions/usersettings/save.php4
-rw-r--r--actions/widgets/add.php27
-rw-r--r--actions/widgets/delete.php9
-rw-r--r--actions/widgets/move.php10
-rw-r--r--actions/widgets/save.php37
-rw-r--r--actions/widgets/upgrade.php65
-rw-r--r--crontab.example27
-rw-r--r--documentation/coding_standards/best_practices.txt60
-rw-r--r--documentation/coding_standards/css_coding_standards.txt67
-rw-r--r--documentation/coding_standards/deprecation.txt36
-rw-r--r--documentation/coding_standards/html_best_practices.txt1
-rw-r--r--documentation/coding_standards/javascript_best_practices.txt1
-rw-r--r--documentation/coding_standards/javascript_coding_standards.txt13
-rw-r--r--documentation/coding_standards/php_best_practices.txt1
-rw-r--r--documentation/coding_standards/php_coding_standards.txt55
-rw-r--r--documentation/examples/actions/basic.php22
-rw-r--r--documentation/examples/actions/manual_tokens.php6
-rw-r--r--documentation/examples/crontab.example28
-rw-r--r--documentation/examples/events/advanced.php9
-rw-r--r--documentation/examples/events/all.php16
-rw-r--r--documentation/examples/events/basic.php14
-rw-r--r--documentation/examples/events/emit.php7
-rw-r--r--documentation/examples/events/trigger.php11
-rw-r--r--documentation/examples/hooks/advanced.php28
-rw-r--r--documentation/examples/hooks/all.php12
-rw-r--r--documentation/examples/hooks/basic.php41
-rw-r--r--documentation/examples/hooks/register/advanced.php23
-rw-r--r--documentation/examples/hooks/register/all.php8
-rw-r--r--documentation/examples/hooks/register/basic.php14
-rw-r--r--documentation/examples/hooks/register/emit.php7
-rw-r--r--documentation/examples/hooks/trigger.php14
-rw-r--r--documentation/examples/hooks/trigger/advanced.php9
-rw-r--r--documentation/examples/hooks/trigger/basic.php9
-rw-r--r--documentation/examples/plugins/README.txt5
-rw-r--r--documentation/examples/plugins/actions/.gitignore (renamed from documentation/examples/events/basic.php.out)0
-rw-r--r--documentation/examples/plugins/languages/en.php24
-rw-r--r--documentation/examples/plugins/manifest.xml18
-rw-r--r--documentation/examples/plugins/start.php22
-rw-r--r--documentation/examples/plugins/views/default/.gitignore (renamed from documentation/examples/hooks/register/basic.php.out)0
-rw-r--r--documentation/info/config.php (renamed from documentation/stubs/config.php)8
-rw-r--r--documentation/info/manifest.xml98
-rw-r--r--engine/classes/APIException.php2
-rw-r--r--engine/classes/CallException.php2
-rw-r--r--engine/classes/ClassNotFoundException.php2
-rw-r--r--engine/classes/CronException.php2
-rw-r--r--engine/classes/ElggAccess.php6
-rw-r--r--engine/classes/ElggAnnotation.php46
-rw-r--r--engine/classes/ElggAttributeLoader.php248
-rw-r--r--engine/classes/ElggAutoP.php336
-rw-r--r--engine/classes/ElggBatch.php433
-rw-r--r--engine/classes/ElggCache.php45
-rw-r--r--engine/classes/ElggCrypto.php208
-rw-r--r--engine/classes/ElggData.php39
-rw-r--r--engine/classes/ElggDiskFilestore.php52
-rw-r--r--engine/classes/ElggEntity.php728
-rw-r--r--engine/classes/ElggExtender.php26
-rw-r--r--engine/classes/ElggFile.php123
-rw-r--r--engine/classes/ElggFileCache.php27
-rw-r--r--engine/classes/ElggFilestore.php2
-rw-r--r--engine/classes/ElggGroup.php112
-rw-r--r--engine/classes/ElggGroupItemVisibility.php93
-rw-r--r--engine/classes/ElggHMACCache.php2
-rw-r--r--engine/classes/ElggLRUCache.php181
-rw-r--r--engine/classes/ElggMemcache.php49
-rw-r--r--engine/classes/ElggMenuBuilder.php291
-rw-r--r--engine/classes/ElggMenuItem.php590
-rw-r--r--engine/classes/ElggMetadata.php85
-rw-r--r--engine/classes/ElggObject.php117
-rw-r--r--engine/classes/ElggPAM.php15
-rw-r--r--engine/classes/ElggPlugin.php953
-rw-r--r--engine/classes/ElggPluginManifest.php656
-rw-r--r--engine/classes/ElggPluginManifestParser.php102
-rw-r--r--engine/classes/ElggPluginManifestParser17.php82
-rw-r--r--engine/classes/ElggPluginManifestParser18.php97
-rw-r--r--engine/classes/ElggPluginPackage.php640
-rw-r--r--engine/classes/ElggPriorityList.php366
-rw-r--r--engine/classes/ElggRelationship.php30
-rw-r--r--engine/classes/ElggRiverItem.php115
-rw-r--r--engine/classes/ElggSession.php16
-rw-r--r--engine/classes/ElggSharedMemoryCache.php2
-rw-r--r--engine/classes/ElggSite.php207
-rw-r--r--engine/classes/ElggStaticVariableCache.php10
-rw-r--r--engine/classes/ElggTranslit.php269
-rw-r--r--engine/classes/ElggUser.php257
-rw-r--r--engine/classes/ElggVolatileMetadataCache.php355
-rw-r--r--engine/classes/ElggWidget.php158
-rw-r--r--engine/classes/ElggXMLElement.php131
-rw-r--r--engine/classes/ErrorResult.php4
-rw-r--r--engine/classes/ExportException.php2
-rw-r--r--engine/classes/Exportable.php2
-rw-r--r--engine/classes/Friendable.php2
-rw-r--r--engine/classes/GenericResult.php2
-rw-r--r--engine/classes/ImportException.php2
-rw-r--r--engine/classes/IncompleteEntityException.php10
-rw-r--r--engine/classes/Locatable.php4
-rw-r--r--engine/classes/Loggable.php2
-rw-r--r--engine/classes/LoginException.php2
-rw-r--r--engine/classes/Notable.php2
-rw-r--r--engine/classes/ODDDocument.php2
-rw-r--r--engine/classes/ODDEntity.php72
-rw-r--r--engine/classes/ODDMetaData.php39
-rw-r--r--engine/classes/ODDRelationship.php33
-rw-r--r--engine/classes/PluginException.php2
-rw-r--r--engine/classes/RegistrationException.php2
-rw-r--r--engine/classes/SuccessResult.php4
-rw-r--r--engine/classes/XMLRPCArrayParameter.php2
-rw-r--r--engine/classes/XMLRPCBase64Parameter.php2
-rw-r--r--engine/classes/XMLRPCBoolParameter.php2
-rw-r--r--engine/classes/XMLRPCCall.php6
-rw-r--r--engine/classes/XMLRPCDateParameter.php2
-rw-r--r--engine/classes/XMLRPCErrorResponse.php2
-rw-r--r--engine/classes/XMLRPCParameter.php2
-rw-r--r--engine/classes/XMLRPCResponse.php2
-rw-r--r--engine/classes/XMLRPCStructParameter.php2
-rw-r--r--engine/classes/XMLRPCSuccessResponse.php2
-rw-r--r--engine/classes/XmlElement.php2
-rw-r--r--engine/handlers/action_handler.php2
-rw-r--r--engine/handlers/cache_handler.php105
-rw-r--r--engine/handlers/cron_handler.php40
-rw-r--r--engine/handlers/export_handler.php118
-rw-r--r--engine/handlers/page_handler.php48
-rw-r--r--engine/handlers/pagehandler.php26
-rw-r--r--engine/handlers/service_handler.php2
-rw-r--r--engine/handlers/xml-rpc_handler.php2
-rw-r--r--engine/lib/access.php750
-rw-r--r--engine/lib/actions.php390
-rw-r--r--engine/lib/admin.php728
-rw-r--r--engine/lib/annotations.php1160
-rw-r--r--engine/lib/api.php1404
-rw-r--r--engine/lib/cache.php425
-rw-r--r--engine/lib/calendar.php14
-rw-r--r--engine/lib/configuration.php410
-rw-r--r--engine/lib/cron.php82
-rw-r--r--engine/lib/database.php397
-rw-r--r--engine/lib/deprecated-1.7.php1164
-rw-r--r--engine/lib/deprecated-1.8.php4820
-rw-r--r--engine/lib/deprecated-1.9.php582
-rw-r--r--engine/lib/elgglib.php1711
-rw-r--r--engine/lib/entities.php1494
-rw-r--r--engine/lib/export.php36
-rw-r--r--engine/lib/extender.php56
-rw-r--r--engine/lib/filestore.php29
-rw-r--r--engine/lib/group.php560
-rw-r--r--engine/lib/input.php327
-rw-r--r--engine/lib/install.php21
-rw-r--r--engine/lib/languages.php200
-rw-r--r--engine/lib/location.php162
-rw-r--r--engine/lib/mb_wrapper.php4
-rw-r--r--engine/lib/memcache.php20
-rw-r--r--engine/lib/metadata.php868
-rw-r--r--engine/lib/metastrings.php709
-rw-r--r--engine/lib/navigation.php809
-rw-r--r--engine/lib/notification.php84
-rw-r--r--engine/lib/objects.php126
-rw-r--r--engine/lib/opendd.php9
-rw-r--r--engine/lib/output.php322
-rw-r--r--engine/lib/pagehandler.php116
-rw-r--r--engine/lib/pageowner.php220
-rw-r--r--engine/lib/pam.php48
-rw-r--r--engine/lib/plugins.php1499
-rw-r--r--engine/lib/private_settings.php228
-rw-r--r--engine/lib/relationships.php419
-rw-r--r--engine/lib/river.php1113
-rw-r--r--engine/lib/sessions.php104
-rw-r--r--engine/lib/sites.php265
-rw-r--r--engine/lib/statistics.php26
-rw-r--r--engine/lib/system_log.php76
-rw-r--r--engine/lib/tags.php176
-rw-r--r--engine/lib/upgrade.php365
-rw-r--r--engine/lib/upgrades/2008100701.php12
-rw-r--r--engine/lib/upgrades/2009022701.php10
-rw-r--r--engine/lib/upgrades/2009041701.php11
-rw-r--r--engine/lib/upgrades/2009070101.php13
-rw-r--r--engine/lib/upgrades/2009102801.php12
-rw-r--r--engine/lib/upgrades/2010033101.php7
-rw-r--r--engine/lib/upgrades/2010040201.php3
-rw-r--r--engine/lib/upgrades/2010050701.php17
-rw-r--r--engine/lib/upgrades/2010052601.php12
-rw-r--r--engine/lib/upgrades/2010060101.php2
-rw-r--r--engine/lib/upgrades/2010061501.php11
-rw-r--r--engine/lib/upgrades/2010071001.php5
-rw-r--r--engine/lib/upgrades/2010071002.php5
-rw-r--r--engine/lib/upgrades/2010100500.php9
-rw-r--r--engine/lib/upgrades/2010121601.php9
-rw-r--r--engine/lib/upgrades/2010121602.php10
-rw-r--r--engine/lib/upgrades/2010121701.php10
-rw-r--r--engine/lib/upgrades/2010123101.php9
-rw-r--r--engine/lib/upgrades/2011010101.php98
-rw-r--r--engine/lib/upgrades/2011021800-1.8_svn-goodbye_walled_garden-083121a656d06894.php34
-rw-r--r--engine/lib/upgrades/2011022000-1.8_svn-custom_profile_fields-390ac967b0bb5665.php59
-rw-r--r--engine/lib/upgrades/2011030700-1.8_svn-blog_status_metadata-4645225d7b440876.php24
-rw-r--r--engine/lib/upgrades/2011031300-1.8_svn-twitter_api-12b832a5a7a3e1bd.php54
-rw-r--r--engine/lib/upgrades/2011031600-1.8_svn-datalist_grows_up-0b8aec5a55cc1e1c.php18
-rw-r--r--engine/lib/upgrades/2011032000-1.8_svn-widgets_arent_plugins-61836261fa280a5c.php10
-rw-r--r--engine/lib/upgrades/2011032200-1.8_svn-admins_like_widgets-7f19d2783c1680d3.php13
-rw-r--r--engine/lib/upgrades/2011052801.php46
-rw-r--r--engine/lib/upgrades/2011061200-1.8b1-sites_need_a_site_guid-6d9dcbf46c0826cc.php31
-rw-r--r--engine/lib/upgrades/2011092500-1.8.0.1-forum_reply_river_view-5758ce8d86ac56ce.php12
-rw-r--r--engine/lib/upgrades/2011123100-1.8.2-fix_friend_river-b17e7ff8345c2269.php12
-rw-r--r--engine/lib/upgrades/2011123101-1.8.2-fix_blog_status-b14c2a0e7b9e7d55.php25
-rw-r--r--engine/lib/upgrades/2012012000-1.8.3-ip_in_syslog-87fe0f068cf62428.php12
-rw-r--r--engine/lib/upgrades/2012012100-1.8.3-system_cache-93100e7d55a24a11.php13
-rw-r--r--engine/lib/upgrades/2012041800-1.8.3-dont_filter_passwords-c0ca4a18b38ae2bc.php11
-rw-r--r--engine/lib/upgrades/2012041801-1.8.3-multiple_user_tokens-852225f7fd89f6c5.php13
-rw-r--r--engine/lib/upgrades/2013030600-1.8.13-update_user_location-8999eb8bf1bdd9a3.php24
-rw-r--r--engine/lib/upgrades/2013051700-1.8.15-add_missing_group_index-52a63a3a3ffaced2.php28
-rw-r--r--engine/lib/upgrades/2013052900-1.8.15-ipv6_in_syslog-f5c2cc0196e9e731.php12
-rw-r--r--engine/lib/upgrades/2013060900-1.8.15-site_secret-404fc165cf9e0ac9.php16
-rw-r--r--engine/lib/upgrades/create_upgrade.php152
-rw-r--r--engine/lib/user_settings.php360
-rw-r--r--engine/lib/users.php1112
-rw-r--r--engine/lib/usersettings.php104
-rw-r--r--engine/lib/version.php136
-rw-r--r--engine/lib/views.php1664
-rw-r--r--engine/lib/web_services.php1454
-rw-r--r--engine/lib/widgets.php630
-rw-r--r--engine/lib/xml-rpc.php6
-rw-r--r--engine/lib/xml.php40
-rw-r--r--engine/schema/mysql.sql5
-rw-r--r--engine/settings.example.php4
-rw-r--r--engine/start.php119
-rw-r--r--engine/tests/api/access_collections.php290
-rw-r--r--engine/tests/api/annotations.php150
-rw-r--r--engine/tests/api/entity_getter_functions.php590
-rw-r--r--engine/tests/api/helpers.php597
-rw-r--r--engine/tests/api/metadata.php230
-rw-r--r--engine/tests/api/metadata_cache.php176
-rw-r--r--engine/tests/api/metastrings.php217
-rw-r--r--engine/tests/api/output.php74
-rw-r--r--engine/tests/api/plugins.php299
-rw-r--r--engine/tests/api/river.php21
-rw-r--r--engine/tests/objects/entities.php232
-rw-r--r--engine/tests/objects/metadata.php106
-rw-r--r--engine/tests/objects/objects.php102
-rw-r--r--engine/tests/objects/sites.php15
-rw-r--r--engine/tests/objects/users.php59
-rw-r--r--engine/tests/regression/trac_bugs.php330
-rw-r--r--engine/tests/services/api.php8
-rw-r--r--engine/tests/suite.php4
-rw-r--r--engine/tests/test_files/output/autop/block-a.exp.norun.html6
-rw-r--r--engine/tests/test_files/output/autop/block-a.in.norun.html9
-rw-r--r--engine/tests/test_files/output/autop/domdoc_exp.html46
-rw-r--r--engine/tests/test_files/output/autop/domdoc_in.html80
-rw-r--r--engine/tests/test_files/output/autop/typical-post.exp.html84
-rw-r--r--engine/tests/test_files/output/autop/typical-post.in.html89
-rw-r--r--engine/tests/test_files/output/autop/wp-welcome.exp.html22
-rw-r--r--engine/tests/test_files/output/autop/wp-welcome.in.html25
-rw-r--r--engine/tests/test_files/output/autop/wpautop-fails.exp.html31
-rw-r--r--engine/tests/test_files/output/autop/wpautop-fails.in.html41
-rw-r--r--engine/tests/test_files/output/autop/wysiwyg-test.exp.html51
-rw-r--r--engine/tests/test_files/output/autop/wysiwyg-test.in.html79
-rw-r--r--engine/tests/test_files/plugin_17/manifest.xml10
-rw-r--r--engine/tests/test_files/plugin_17/start.php0
-rw-r--r--engine/tests/test_files/plugin_18/manifest.xml108
-rw-r--r--engine/tests/test_files/plugin_18/start.php0
-rw-r--r--engine/tests/test_files/xxe/external_entity.txt1
-rw-r--r--engine/tests/test_files/xxe/request.xml8
-rw-r--r--engine/tests/test_skeleton.php3
-rw-r--r--engine/tests/ui/submenu.php97
-rw-r--r--gpl-plugins20
-rw-r--r--htaccess_dist90
-rw-r--r--index.php68
-rw-r--r--install/ElggInstaller.php302
-rw-r--r--install/ElggRewriteTester.php15
-rw-r--r--install/cli/sample_installer.php44
-rw-r--r--install/css/install.css129
-rw-r--r--install/js/install.js42
-rw-r--r--install/languages/en.php17
-rw-r--r--js/classes/ElggPriorityList.js28
-rw-r--r--js/classes/ElggUser.js16
-rw-r--r--js/lib/ajax.js473
-rw-r--r--js/lib/configuration.js9
-rw-r--r--js/lib/elgglib.js883
-rw-r--r--js/lib/events.js52
-rw-r--r--js/lib/hooks.js173
-rw-r--r--js/lib/languages.js186
-rw-r--r--js/lib/pageowner.js18
-rw-r--r--js/lib/prototypes.js22
-rw-r--r--js/lib/security.js183
-rw-r--r--js/lib/session.js237
-rw-r--r--js/lib/ui.autocomplete.js14
-rw-r--r--js/lib/ui.avatar_cropper.js76
-rw-r--r--js/lib/ui.friends_picker.js91
-rw-r--r--js/lib/ui.js422
-rw-r--r--js/lib/ui.river.js14
-rw-r--r--js/lib/ui.userpicker.js117
-rw-r--r--js/lib/ui.widgets.js430
-rw-r--r--js/tests/ElggAjaxOptionsTest.js120
-rw-r--r--js/tests/ElggAjaxTest.js118
-rw-r--r--js/tests/ElggEventsTest.js28
-rw-r--r--js/tests/ElggHooksTest.js28
-rw-r--r--js/tests/ElggLanguagesTest.js90
-rw-r--r--js/tests/ElggLibTest.js224
-rw-r--r--js/tests/ElggPriorityListTest.js6
-rw-r--r--js/tests/ElggSecurityTest.js126
-rw-r--r--js/tests/ElggSessionTest.js70
-rw-r--r--js/tests/README25
-rw-r--r--js/tests/jsTestDriver.conf17
-rw-r--r--languages/en.php543
-rw-r--r--mod/beechat/README23
-rw-r--r--mod/beechat/actions/get_connection.php21
-rw-r--r--mod/beechat/actions/get_details.php21
-rw-r--r--mod/beechat/actions/get_icons.php52
-rw-r--r--mod/beechat/actions/get_state.php21
-rw-r--r--mod/beechat/actions/get_statuses.php41
-rw-r--r--mod/beechat/actions/join_groupchat.php15
-rw-r--r--mod/beechat/actions/leave_groupchat.php12
-rw-r--r--mod/beechat/actions/save_state.php29
-rw-r--r--mod/beechat/classes/BeechatSync.php37
-rw-r--r--mod/beechat/disablechat.php8
-rw-r--r--mod/beechat/enablechat.php8
-rw-r--r--mod/beechat/graphics/icons/bullet_arrow_down.pngbin0 -> 201 bytes-rw-r--r--mod/beechat/graphics/icons/bullet_arrow_up.pngbin0 -> 201 bytes-rw-r--r--mod/beechat/graphics/icons/bullet_black.pngbin0 -> 211 bytes-rw-r--r--mod/beechat/graphics/icons/bullet_blue.pngbin0 -> 289 bytes-rw-r--r--mod/beechat/graphics/icons/bullet_delete.pngbin0 -> 308 bytes-rw-r--r--mod/beechat/graphics/icons/bullet_error.pngbin0 -> 454 bytes-rw-r--r--mod/beechat/graphics/icons/bullet_green.pngbin0 -> 295 bytes-rw-r--r--mod/beechat/graphics/icons/bullet_orange.pngbin0 -> 283 bytes-rw-r--r--mod/beechat/graphics/icons/bullet_pink.pngbin0 -> 286 bytes-rw-r--r--mod/beechat/graphics/icons/bullet_purple.pngbin0 -> 294 bytes-rw-r--r--mod/beechat/graphics/icons/bullet_red.pngbin0 -> 287 bytes-rw-r--r--mod/beechat/graphics/icons/bullet_star.pngbin0 -> 331 bytes-rw-r--r--mod/beechat/graphics/icons/bullet_white.pngbin0 -> 201 bytes-rw-r--r--mod/beechat/graphics/icons/bullet_yellow.pngbin0 -> 287 bytes-rw-r--r--mod/beechat/graphics/icons/chat_icon.pngbin0 -> 456 bytes-rw-r--r--mod/beechat/graphics/icons/cog_edit.pngbin0 -> 865 bytes-rw-r--r--mod/beechat/graphics/icons/comment_edit.pngbin0 -> 644 bytes-rw-r--r--mod/beechat/graphics/icons/emoticon_evilgrin.pngbin0 -> 727 bytes-rw-r--r--mod/beechat/graphics/icons/emoticon_grin.pngbin0 -> 714 bytes-rw-r--r--mod/beechat/graphics/icons/emoticon_happy.pngbin0 -> 731 bytes-rw-r--r--mod/beechat/graphics/icons/emoticon_smile.pngbin0 -> 725 bytes-rw-r--r--mod/beechat/graphics/icons/emoticon_surprised.pngbin0 -> 741 bytes-rw-r--r--mod/beechat/graphics/icons/emoticon_tongue.pngbin0 -> 727 bytes-rw-r--r--mod/beechat/graphics/icons/emoticon_unhappy.pngbin0 -> 723 bytes-rw-r--r--mod/beechat/graphics/icons/emoticon_waii.pngbin0 -> 737 bytes-rw-r--r--mod/beechat/graphics/icons/emoticon_wink.pngbin0 -> 712 bytes-rw-r--r--mod/beechat/graphics/icons/heart.pngbin0 -> 749 bytes-rw-r--r--mod/beechat/graphics/icons/house.pngbin0 -> 806 bytes-rw-r--r--mod/beechat/graphics/icons/muc_icon.pngbin0 -> 592 bytes-rw-r--r--mod/beechat/graphics/icons/notification_pink.pngbin0 -> 3140 bytes-rw-r--r--mod/beechat/graphics/icons/pointer.pngbin0 -> 2833 bytes-rw-r--r--mod/beechat/graphics/icons/resultset_next.pngbin0 -> 395 bytes-rw-r--r--mod/beechat/graphics/icons/resultset_previous.pngbin0 -> 389 bytes-rw-r--r--mod/beechat/graphics/icons/statuses.pngbin0 -> 5857 bytes-rw-r--r--mod/beechat/graphics/icons/vcard.pngbin0 -> 533 bytes-rw-r--r--mod/beechat/languages/en.php45
-rw-r--r--mod/beechat/languages/es.php43
-rw-r--r--mod/beechat/languages/fr.php32
-rw-r--r--mod/beechat/lib/beechat.php250
-rw-r--r--mod/beechat/manifest.xml15
-rw-r--r--mod/beechat/migrate.php88
-rw-r--r--mod/beechat/migrategroups.php18
-rw-r--r--mod/beechat/sounds/newmessage.wavbin0 -> 39440 bytes-rw-r--r--mod/beechat/start.php184
-rw-r--r--mod/beechat/views/default/beechat/beechat.js.php2498
-rw-r--r--mod/beechat/views/default/beechat/beechat.php84
-rw-r--r--mod/beechat/views/default/beechat/beechat.userjs.php54
-rw-r--r--mod/beechat/views/default/beechat/screen.css.php672
-rw-r--r--mod/beechat/views/default/js/b64.js.php74
-rw-r--r--mod/beechat/views/default/js/jquery.cookie.min.js.php10
-rw-r--r--mod/beechat/views/default/js/jquery.localscroll-1.2.7-min.js.php9
-rwxr-xr-xmod/beechat/views/default/js/jquery.scrollTo-min.js.php11
-rwxr-xr-xmod/beechat/views/default/js/jquery.serialScroll-min.js.php10
-rw-r--r--mod/beechat/views/default/js/jquery.tools.min.js.php49
-rw-r--r--mod/beechat/views/default/js/json2.js.php476
-rw-r--r--mod/beechat/views/default/js/md5.js.php261
-rw-r--r--mod/beechat/views/default/js/sha1.js.php207
-rw-r--r--mod/beechat/views/default/js/strophe.min.js.php1
-rw-r--r--mod/beechat/views/default/js/strophe.muc.js300
-rw-r--r--mod/beechat/views/default/js/strophe.muc.js.php300
-rwxr-xr-xmod/beechat/views/default/settings/beechat/edit.php31
-rw-r--r--mod/blog/actions/blog/auto_save_revision.php8
-rw-r--r--mod/blog/actions/blog/delete.php6
-rw-r--r--mod/blog/actions/blog/save.php109
-rw-r--r--mod/blog/activate.php10
-rw-r--r--mod/blog/blog_lib.php276
-rw-r--r--mod/blog/classes/ElggBlog.php48
-rw-r--r--mod/blog/deactivate.php6
-rw-r--r--mod/blog/languages/en.php44
-rw-r--r--mod/blog/lib/blog.php478
-rw-r--r--mod/blog/manifest.xml27
-rw-r--r--mod/blog/start.php355
-rw-r--r--mod/blog/views/default/blog/css.php38
-rw-r--r--mod/blog/views/default/blog/forms/edit.php316
-rw-r--r--mod/blog/views/default/blog/group_module.php46
-rw-r--r--mod/blog/views/default/blog/sidebar.php30
-rw-r--r--mod/blog/views/default/blog/sidebar/archives.php34
-rw-r--r--mod/blog/views/default/blog/sidebar/revisions.php79
-rw-r--r--mod/blog/views/default/blog/sidebar_edit.php61
-rw-r--r--mod/blog/views/default/blog/sidebar_menu.php65
-rw-r--r--mod/blog/views/default/blog/sidebar_revisions.php77
-rw-r--r--mod/blog/views/default/forms/blog/save.php166
-rw-r--r--mod/blog/views/default/input/datetime.php46
-rw-r--r--mod/blog/views/default/js/blog/save_draft.php67
-rw-r--r--mod/blog/views/default/object/blog.php158
-rw-r--r--mod/blog/views/default/river/object/blog/create.php30
-rw-r--r--mod/blog/views/default/widgets/blog/content.php30
-rw-r--r--mod/blog/views/default/widgets/blog/edit.php22
-rw-r--r--mod/bookmarks/actions/add.php43
-rw-r--r--mod/bookmarks/actions/bookmarks/delete.php24
-rw-r--r--mod/bookmarks/actions/bookmarks/save.php90
-rw-r--r--mod/bookmarks/actions/delete.php20
-rwxr-xr-xmod/bookmarks/actions/edit.php35
-rwxr-xr-xmod/bookmarks/actions/reference.php34
-rwxr-xr-xmod/bookmarks/actions/remove.php34
-rw-r--r--mod/bookmarks/bookmarklet.php36
-rw-r--r--mod/bookmarks/graphics/bookmark.gifbin0 -> 576 bytes-rw-r--r--mod/bookmarks/graphics/bookmarklet.gif (renamed from _graphics/elgg_bookmarklet.gif)bin790 -> 790 bytes-rw-r--r--mod/bookmarks/languages/en.php98
-rw-r--r--mod/bookmarks/lib/bookmarks.php46
-rw-r--r--mod/bookmarks/manifest.xml27
-rw-r--r--mod/bookmarks/pages/bookmarks/add.php22
-rw-r--r--mod/bookmarks/pages/bookmarks/all.php33
-rw-r--r--mod/bookmarks/pages/bookmarks/bookmarklet.php36
-rw-r--r--mod/bookmarks/pages/bookmarks/edit.php30
-rw-r--r--mod/bookmarks/pages/bookmarks/friends.php33
-rw-r--r--mod/bookmarks/pages/bookmarks/owner.php50
-rw-r--r--mod/bookmarks/pages/bookmarks/view.php38
-rw-r--r--mod/bookmarks/start.php503
-rw-r--r--mod/bookmarks/views/default/bookmarks/bookmarklet.php39
-rw-r--r--mod/bookmarks/views/default/bookmarks/css.php49
-rw-r--r--mod/bookmarks/views/default/bookmarks/form.php109
-rwxr-xr-xmod/bookmarks/views/default/bookmarks/group_bookmarks.php35
-rw-r--r--mod/bookmarks/views/default/bookmarks/group_module.php47
-rw-r--r--mod/bookmarks/views/default/bookmarks/js.php12
-rw-r--r--mod/bookmarks/views/default/bookmarks/sidebar.php14
-rwxr-xr-xmod/bookmarks/views/default/bookmarks/stats.php7
-rw-r--r--mod/bookmarks/views/default/forms/bookmarks/save.php59
-rw-r--r--mod/bookmarks/views/default/object/bookmarks.php174
-rw-r--r--mod/bookmarks/views/default/river/object/bookmarks/create.php29
-rw-r--r--mod/bookmarks/views/default/widgets/bookmarks/content.php32
-rw-r--r--mod/bookmarks/views/default/widgets/bookmarks/edit.php28
-rw-r--r--mod/bookmarks/views/default/widgets/bookmarks/view.php57
-rw-r--r--mod/bookmarks/views/rss/object/bookmarks.php47
-rw-r--r--mod/captcha/backgrounds/bg1.jpgbin2542 -> 0 bytes-rw-r--r--mod/captcha/backgrounds/bg2.jpgbin2561 -> 0 bytes-rw-r--r--mod/captcha/backgrounds/bg3.jpgbin2494 -> 0 bytes-rw-r--r--mod/captcha/backgrounds/bg4.jpgbin2277 -> 0 bytes-rw-r--r--mod/captcha/backgrounds/bg5.jpgbin2338 -> 0 bytes-rw-r--r--mod/captcha/captcha.php35
-rw-r--r--mod/captcha/fonts/1.ttfbin100392 -> 0 bytes-rw-r--r--mod/captcha/fonts/Liberation_serif_License.txt77
-rw-r--r--mod/captcha/languages/en.php15
-rw-r--r--mod/captcha/manifest.xml11
-rw-r--r--mod/captcha/start.php136
-rw-r--r--mod/captcha/views/default/captcha/css.php8
-rw-r--r--mod/captcha/views/default/input/captcha.php22
-rw-r--r--mod/categories/actions/save.php17
-rw-r--r--mod/categories/activate.php11
-rw-r--r--mod/categories/deactivate.php6
-rw-r--r--mod/categories/languages/en.php7
-rw-r--r--mod/categories/listing.php38
-rw-r--r--mod/categories/manifest.xml27
-rw-r--r--mod/categories/pages/categories/listing.php39
-rw-r--r--mod/categories/readme.txt9
-rw-r--r--mod/categories/start.php59
-rw-r--r--mod/categories/views/default/categories.php46
-rw-r--r--mod/categories/views/default/categories/css.php51
-rw-r--r--mod/categories/views/default/categories/list.php62
-rw-r--r--mod/categories/views/default/categories/view.php24
-rw-r--r--mod/categories/views/default/input/categories.php56
-rw-r--r--mod/categories/views/default/output/categories.php29
-rw-r--r--mod/categories/views/default/plugins/categories/settings.php22
-rw-r--r--mod/categories/views/default/settings/categories/edit.php24
-rw-r--r--mod/colorbox/COPYING661
-rw-r--r--mod/colorbox/languages/ca.php29
-rw-r--r--mod/colorbox/languages/en.php29
-rw-r--r--mod/colorbox/languages/es.php29
-rw-r--r--mod/colorbox/manifest.xml29
-rw-r--r--mod/colorbox/start.php36
-rw-r--r--mod/colorbox/vendors/jquery/colorbox/README.md318
-rw-r--r--mod/colorbox/vendors/jquery/colorbox/colorbox.ai1811
-rw-r--r--mod/colorbox/vendors/jquery/colorbox/colorbox/jquery.colorbox-min.js4
-rw-r--r--mod/colorbox/vendors/jquery/colorbox/colorbox/jquery.colorbox.js926
-rw-r--r--mod/colorbox/vendors/jquery/colorbox/content/ajax.html11
-rw-r--r--mod/colorbox/vendors/jquery/colorbox/content/flash.html8
-rw-r--r--mod/colorbox/vendors/jquery/colorbox/content/homer.jpgbin0 -> 8917 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/content/marylou.jpgbin0 -> 55125 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/content/ohoopee1.jpgbin0 -> 74827 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/content/ohoopee2.jpgbin0 -> 117411 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/content/ohoopee3.jpgbin0 -> 71514 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example1/colorbox.css86
-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example1/images/border.pngbin0 -> 112 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example1/images/controls.pngbin0 -> 2893 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example1/images/ie6/borderBottomCenter.pngbin0 -> 111 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example1/images/ie6/borderBottomLeft.pngbin0 -> 215 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example1/images/ie6/borderBottomRight.pngbin0 -> 217 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example1/images/ie6/borderMiddleLeft.pngbin0 -> 108 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example1/images/ie6/borderMiddleRight.pngbin0 -> 108 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example1/images/ie6/borderTopCenter.pngbin0 -> 111 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example1/images/ie6/borderTopLeft.pngbin0 -> 216 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example1/images/ie6/borderTopRight.pngbin0 -> 214 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example1/images/loading.gifbin0 -> 9427 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example1/images/loading_background.pngbin0 -> 157 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example1/images/overlay.pngbin0 -> 182 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example1/index.html87
-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example2/colorbox.css43
-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example2/images/controls.pngbin0 -> 570 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example2/images/loading.gifbin0 -> 9427 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example2/index.html87
-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example3/colorbox.css38
-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example3/images/controls.pngbin0 -> 1633 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example3/images/loading.gifbin0 -> 9427 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example3/index.html87
-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example4/colorbox.css82
-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example4/images/border1.pngbin0 -> 1057 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example4/images/border2.pngbin0 -> 170 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example4/images/ie6/borderBottomCenter.pngbin0 -> 153 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example4/images/ie6/borderBottomLeft.pngbin0 -> 473 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example4/images/ie6/borderBottomRight.pngbin0 -> 470 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example4/images/ie6/borderMiddleLeft.pngbin0 -> 148 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example4/images/ie6/borderMiddleRight.pngbin0 -> 139 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example4/images/ie6/borderTopCenter.pngbin0 -> 153 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example4/images/ie6/borderTopLeft.pngbin0 -> 359 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example4/images/ie6/borderTopRight.pngbin0 -> 465 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example4/images/loading.gifbin0 -> 9427 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example4/index.html87
-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example5/colorbox.css52
-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example5/images/border.pngbin0 -> 163 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example5/images/controls.pngbin0 -> 2033 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example5/images/loading.gifbin0 -> 9427 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example5/images/loading_background.pngbin0 -> 166 bytes-rw-r--r--mod/colorbox/vendors/jquery/colorbox/example5/index.html87
-rw-r--r--mod/colorbox/views/default/css/lightbox.php73
-rw-r--r--mod/colorbox/views/default/js/embed/embed.php171
-rw-r--r--mod/colorbox/views/default/js/lightbox.php81
-rw-r--r--mod/crontrigger/manifest.xml11
-rw-r--r--mod/crontrigger/start.php80
-rw-r--r--mod/custom_index/index.php68
-rw-r--r--mod/custom_index/languages/en.php14
-rw-r--r--mod/custom_index/manifest.xml15
-rw-r--r--mod/custom_index/start.php30
-rw-r--r--mod/custom_index/views/default/custom_index/css.php23
-rw-r--r--mod/custom_index/views/default/page/layouts/custom_index.php68
-rw-r--r--mod/custom_index_widgets/index.php66
-rw-r--r--mod/custom_index_widgets/languages/de.php78
-rw-r--r--mod/custom_index_widgets/languages/en.php82
-rw-r--r--mod/custom_index_widgets/languages/es.php78
-rw-r--r--mod/custom_index_widgets/languages/fr.php80
-rw-r--r--mod/custom_index_widgets/manifest.xml21
-rw-r--r--mod/custom_index_widgets/start.php159
-rw-r--r--mod/custom_index_widgets/views/default/admin/appearance/custom_index_widgets.php56
-rw-r--r--mod/custom_index_widgets/views/default/custom_index_widgets/css.php347
-rw-r--r--mod/custom_index_widgets/views/default/custom_index_widgets/footerlinks.php3
-rw-r--r--mod/custom_index_widgets/views/default/page/layouts/edit_widgets.php61
-rw-r--r--mod/custom_index_widgets/views/default/page/layouts/index.php30
-rw-r--r--mod/custom_index_widgets/views/default/page/layouts/index_1rsss.php29
-rw-r--r--mod/custom_index_widgets/views/default/page/layouts/index_2rbhh.php30
-rw-r--r--mod/custom_index_widgets/views/default/page/layouts/index_2rbms.php30
-rw-r--r--mod/custom_index_widgets/views/default/page/layouts/index_2rbsm.php28
-rw-r--r--mod/custom_index_widgets/views/default/page/layouts/index_2rhhb.php30
-rw-r--r--mod/custom_index_widgets/views/default/page/layouts/index_2rmsb.php30
-rw-r--r--mod/custom_index_widgets/views/default/page/layouts/index_2rsmb.php30
-rw-r--r--mod/custom_index_widgets/views/default/plugins/custom_index_widgets/settings.php61
-rw-r--r--mod/custom_index_widgets/views/default/widgets/cloud_generic_index/content.php59
-rw-r--r--mod/custom_index_widgets/views/default/widgets/cloud_generic_index/edit.php120
-rw-r--r--mod/custom_index_widgets/views/default/widgets/feed_reader_index/content.php8
-rw-r--r--mod/custom_index_widgets/views/default/widgets/feed_reader_index/edit.php5
-rw-r--r--mod/custom_index_widgets/views/default/widgets/inline_content_index/content.php7
-rw-r--r--mod/custom_index_widgets/views/default/widgets/inline_content_index/edit.php46
-rw-r--r--mod/custom_index_widgets/views/default/widgets/latest_activity_index/content.php20
-rw-r--r--mod/custom_index_widgets/views/default/widgets/latest_activity_index/edit.php64
-rw-r--r--mod/custom_index_widgets/views/default/widgets/latest_album_index/content.php64
-rw-r--r--mod/custom_index_widgets/views/default/widgets/latest_album_index/edit.php64
-rw-r--r--mod/custom_index_widgets/views/default/widgets/latest_blogs_index/content.php43
-rw-r--r--mod/custom_index_widgets/views/default/widgets/latest_blogs_index/edit.php85
-rw-r--r--mod/custom_index_widgets/views/default/widgets/latest_bookmarks_index/content.php43
-rw-r--r--mod/custom_index_widgets/views/default/widgets/latest_bookmarks_index/edit.php85
-rw-r--r--mod/custom_index_widgets/views/default/widgets/latest_events_index/content.php95
-rw-r--r--mod/custom_index_widgets/views/default/widgets/latest_events_index/edit.php96
-rw-r--r--mod/custom_index_widgets/views/default/widgets/latest_files_index/content.php44
-rw-r--r--mod/custom_index_widgets/views/default/widgets/latest_files_index/edit.php86
-rw-r--r--mod/custom_index_widgets/views/default/widgets/latest_generic_index/content.php47
-rw-r--r--mod/custom_index_widgets/views/default/widgets/latest_generic_index/edit.php103
-rw-r--r--mod/custom_index_widgets/views/default/widgets/latest_groups_index/content.php21
-rw-r--r--mod/custom_index_widgets/views/default/widgets/latest_groups_index/edit.php63
-rw-r--r--mod/custom_index_widgets/views/default/widgets/latest_izap_videos_index/content.php50
-rw-r--r--mod/custom_index_widgets/views/default/widgets/latest_izap_videos_index/edit.php86
-rw-r--r--mod/custom_index_widgets/views/default/widgets/latest_members_index/content.php20
-rw-r--r--mod/custom_index_widgets/views/default/widgets/latest_members_index/edit.php79
-rw-r--r--mod/custom_index_widgets/views/default/widgets/latest_news_index/content.php47
-rw-r--r--mod/custom_index_widgets/views/default/widgets/latest_news_index/edit.php85
-rw-r--r--mod/custom_index_widgets/views/default/widgets/latest_pages_index/content.php45
-rw-r--r--mod/custom_index_widgets/views/default/widgets/latest_pages_index/edit.php86
-rw-r--r--mod/custom_index_widgets/views/default/widgets/latest_photos_index/content.php25
-rw-r--r--mod/custom_index_widgets/views/default/widgets/latest_photos_index/edit.php64
-rw-r--r--mod/custom_index_widgets/views/default/widgets/latest_tasks_index/content.php45
-rw-r--r--mod/custom_index_widgets/views/default/widgets/latest_tasks_index/edit.php85
-rw-r--r--mod/custom_index_widgets/views/default/widgets/latest_wire_index/content.php17
-rw-r--r--mod/custom_index_widgets/views/default/widgets/latest_wire_index/edit.php62
-rw-r--r--mod/custom_index_widgets/views/default/widgets/login_index/content.php15
-rw-r--r--mod/custom_index_widgets/views/default/widgets/login_index/edit.php27
-rw-r--r--mod/custom_index_widgets/views/default/widgets/rich_media_index/content.php27
-rw-r--r--mod/custom_index_widgets/views/default/widgets/rich_media_index/edit.php78
-rw-r--r--mod/dashboard/languages/en.php14
-rw-r--r--mod/dashboard/manifest.xml15
-rw-r--r--mod/dashboard/start.php83
-rw-r--r--mod/dashboard/views/default/dashboard/blurb.php17
-rw-r--r--mod/dashboard/views/default/dashboard/css.php10
-rw-r--r--mod/dashboard/views/default/widgets/group_activity/content.php36
-rw-r--r--mod/dashboard/views/default/widgets/group_activity/edit.php45
-rw-r--r--mod/defaultwidgets/actions/update.php58
-rw-r--r--mod/defaultwidgets/languages/en.php28
-rw-r--r--mod/defaultwidgets/manifest.xml11
-rw-r--r--mod/defaultwidgets/start.php207
-rw-r--r--mod/defaultwidgets/views/default/admin/appearance/default_dashboard_widgets.php18
-rw-r--r--mod/defaultwidgets/views/default/admin/appearance/default_profile_widgets.php18
-rw-r--r--mod/defaultwidgets/views/default/defaultwidgets/editor.php268
-rw-r--r--mod/developers/actions/developers/inspect.php16
-rw-r--r--mod/developers/actions/developers/settings.php35
-rw-r--r--mod/developers/classes/ElggInspector.php201
-rw-r--r--mod/developers/classes/ElggLogCache.php44
-rw-r--r--mod/developers/languages/en.php69
-rw-r--r--mod/developers/manifest.xml19
-rw-r--r--mod/developers/start.php209
-rw-r--r--mod/developers/vendors/jsTree/jquery.jstree.js4544
-rw-r--r--mod/developers/vendors/jsTree/themes/apple/bg.jpgbin0 -> 331 bytes-rw-r--r--mod/developers/vendors/jsTree/themes/apple/d.pngbin0 -> 7765 bytes-rw-r--r--mod/developers/vendors/jsTree/themes/apple/dot_for_ie.gifbin0 -> 43 bytes-rw-r--r--mod/developers/vendors/jsTree/themes/apple/style.css61
-rw-r--r--mod/developers/vendors/jsTree/themes/apple/throbber.gifbin0 -> 1849 bytes-rw-r--r--mod/developers/vendors/jsTree/themes/classic/d.gifbin0 -> 3003 bytes-rw-r--r--mod/developers/vendors/jsTree/themes/classic/d.pngbin0 -> 7535 bytes-rw-r--r--mod/developers/vendors/jsTree/themes/classic/dot_for_ie.gifbin0 -> 43 bytes-rw-r--r--mod/developers/vendors/jsTree/themes/classic/style.css77
-rw-r--r--mod/developers/vendors/jsTree/themes/classic/throbber.gifbin0 -> 1849 bytes-rw-r--r--mod/developers/vendors/jsTree/themes/default-rtl/d.gifbin0 -> 2872 bytes-rw-r--r--mod/developers/vendors/jsTree/themes/default-rtl/d.pngbin0 -> 7459 bytes-rw-r--r--mod/developers/vendors/jsTree/themes/default-rtl/dots.gifbin0 -> 132 bytes-rw-r--r--mod/developers/vendors/jsTree/themes/default-rtl/style.css84
-rw-r--r--mod/developers/vendors/jsTree/themes/default-rtl/throbber.gifbin0 -> 1849 bytes-rw-r--r--mod/developers/vendors/jsTree/themes/default/d.gifbin0 -> 2944 bytes-rw-r--r--mod/developers/vendors/jsTree/themes/default/d.pngbin0 -> 7635 bytes-rw-r--r--mod/developers/vendors/jsTree/themes/default/style.css74
-rw-r--r--mod/developers/vendors/jsTree/themes/default/throbber.gifbin0 -> 1849 bytes-rw-r--r--mod/developers/views/default/admin/develop_tools/inspect.php14
-rw-r--r--mod/developers/views/default/admin/develop_tools/preview.php8
-rw-r--r--mod/developers/views/default/admin/develop_tools/unit_tests.php17
-rw-r--r--mod/developers/views/default/admin/developers/settings.php63
-rw-r--r--mod/developers/views/default/developers/css.php27
-rw-r--r--mod/developers/views/default/developers/ipsum.php7
-rw-r--r--mod/developers/views/default/developers/log.php18
-rw-r--r--mod/developers/views/default/developers/tree.php18
-rw-r--r--mod/developers/views/default/forms/developers/inspect.php23
-rw-r--r--mod/developers/views/default/forms/developers/settings.php36
-rw-r--r--mod/developers/views/default/js/developers/developers.php44
-rw-r--r--mod/developers/views/default/page/theme_preview.php43
-rw-r--r--mod/developers/views/default/theme_preview/buttons.php41
-rw-r--r--mod/developers/views/default/theme_preview/components.php25
-rw-r--r--mod/developers/views/default/theme_preview/components/image_block.php6
-rw-r--r--mod/developers/views/default/theme_preview/components/list.php19
-rw-r--r--mod/developers/views/default/theme_preview/components/messages.php5
-rw-r--r--mod/developers/views/default/theme_preview/components/table.php12
-rw-r--r--mod/developers/views/default/theme_preview/components/tagcloud.php17
-rw-r--r--mod/developers/views/default/theme_preview/components/tags.php5
-rw-r--r--mod/developers/views/default/theme_preview/forms.php138
-rw-r--r--mod/developers/views/default/theme_preview/general.php46
-rw-r--r--mod/developers/views/default/theme_preview/grid.php114
-rw-r--r--mod/developers/views/default/theme_preview/icons.php10
-rw-r--r--mod/developers/views/default/theme_preview/icons/avatars.php36
-rw-r--r--mod/developers/views/default/theme_preview/icons/loader.php1
-rw-r--r--mod/developers/views/default/theme_preview/icons/sprites.php61
-rw-r--r--mod/developers/views/default/theme_preview/miscellaneous.php22
-rw-r--r--mod/developers/views/default/theme_preview/miscellaneous/lightbox.php19
-rw-r--r--mod/developers/views/default/theme_preview/miscellaneous/popup.php15
-rw-r--r--mod/developers/views/default/theme_preview/miscellaneous/site_menu.php15
-rw-r--r--mod/developers/views/default/theme_preview/miscellaneous/system_messages.php35
-rw-r--r--mod/developers/views/default/theme_preview/miscellaneous/toggle.php15
-rw-r--r--mod/developers/views/default/theme_preview/miscellaneous/user_hover_menu.php16
-rw-r--r--mod/developers/views/default/theme_preview/modules.php6
-rw-r--r--mod/developers/views/default/theme_preview/modules/modules.php23
-rw-r--r--mod/developers/views/default/theme_preview/modules/widgets.php64
-rw-r--r--mod/developers/views/default/theme_preview/navigation.php23
-rw-r--r--mod/developers/views/default/theme_preview/navigation/breadcrumbs.php10
-rw-r--r--mod/developers/views/default/theme_preview/navigation/default.php11
-rw-r--r--mod/developers/views/default/theme_preview/navigation/entity.php12
-rw-r--r--mod/developers/views/default/theme_preview/navigation/extras.php18
-rw-r--r--mod/developers/views/default/theme_preview/navigation/filter.php13
-rw-r--r--mod/developers/views/default/theme_preview/navigation/footer.php10
-rw-r--r--mod/developers/views/default/theme_preview/navigation/horizontal.php12
-rw-r--r--mod/developers/views/default/theme_preview/navigation/owner_block.php13
-rw-r--r--mod/developers/views/default/theme_preview/navigation/page.php20
-rw-r--r--mod/developers/views/default/theme_preview/navigation/pagination.php8
-rw-r--r--mod/developers/views/default/theme_preview/navigation/site.php18
-rw-r--r--mod/developers/views/default/theme_preview/navigation/tabs.php10
-rw-r--r--mod/developers/views/default/theme_preview/typography.php12
-rw-r--r--mod/developers/views/default/theme_preview/typography/fonts.php7
-rw-r--r--mod/developers/views/default/theme_preview/typography/headings.php6
-rw-r--r--mod/developers/views/default/theme_preview/typography/misc.php16
-rw-r--r--mod/developers/views/default/theme_preview/typography/paragraph.php19
-rw-r--r--mod/diagnostics/actions/download.php31
-rw-r--r--mod/diagnostics/index.php41
-rw-r--r--mod/diagnostics/languages/en.php65
-rw-r--r--mod/diagnostics/manifest.xml24
-rw-r--r--mod/diagnostics/start.php117
-rw-r--r--mod/diagnostics/views/default/admin/administer_utilities/diagnostics.php17
-rw-r--r--mod/diagnostics/views/default/diagnostics/forms/download.php10
-rw-r--r--mod/diagnostics/views/default/diagnostics/runalltests.php11
-rw-r--r--mod/dokuwiki/AUTHORS2
-rwxr-xr-xmod/dokuwiki/COPYING340
-rw-r--r--mod/dokuwiki/README31
-rw-r--r--mod/dokuwiki/index.php17
-rw-r--r--mod/dokuwiki/languages/ca.php18
-rwxr-xr-xmod/dokuwiki/languages/de.php21
-rwxr-xr-xmod/dokuwiki/languages/en.php32
-rw-r--r--mod/dokuwiki/languages/es.php18
-rw-r--r--mod/dokuwiki/languages/fr.php12
-rw-r--r--mod/dokuwiki/languages/gl.php23
-rwxr-xr-xmod/dokuwiki/languages/pl.php21
-rw-r--r--mod/dokuwiki/languages/pt.php23
-rw-r--r--mod/dokuwiki/lib/dokuwiki.php60
-rw-r--r--mod/dokuwiki/manifest.xml21
-rw-r--r--mod/dokuwiki/start.php168
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/COPYING340
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/README9
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/VERSION1
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/bin/dwpage.php378
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/bin/indexer.php172
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/bin/wantedpages.php134
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/conf/acl.auth.php24
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/conf/acl.auth.php.dist21
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/conf/acronyms.conf143
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/conf/dokuwiki.php156
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/conf/entities.conf22
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/conf/interwiki.conf125
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/conf/license.php40
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/conf/local.php43
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/conf/local.php.dist26
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/conf/mediameta.php57
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/conf/mime.conf52
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/conf/msg8
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/conf/mysql.conf.php.example253
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/conf/scheme.conf11
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/conf/smileys.conf27
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/conf/users.auth.php10
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/conf/users.auth.php.dist10
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/conf/wordblock.conf8004
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/conf/words.aspell.dist5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/data/_dummy1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/data/attic/_dummy0
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/data/cache/_dummy0
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/data/index/_dummy0
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/data/locks/_dummy0
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/data/media/wiki/dokuwiki-128.pngbin0 -> 33615 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/data/meta/_dummy0
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/data/pages/playground/playground.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/data/pages/sidebar.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/data/pages/wiki/dokuwiki.txt66
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/data/pages/wiki/syntax.txt488
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/data/tmp/_dummy0
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/doku.php109
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/feed.php353
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/DifferenceEngine.php1066
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/EmailAddressValidator.php146
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/FeedParser.php76
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/HTTPClient.php573
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/IXR_Library.php817
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/JSON.php624
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/JpegMeta.php3116
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/SimplePie.php10849
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/TarLib.class.php918
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/ZipLib.class.php475
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/actions.php639
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/adLDAP.php2347
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/auth.php1099
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/auth/ad.class.php205
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/auth/basic.class.php403
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/auth/elgg.class.php352
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/auth/ldap.class.php357
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/auth/mysql.class.php942
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/auth/pgsql.class.php411
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/auth/plain.class.php324
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/blowfish.php521
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/cache.php292
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/changelog.php474
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/cliopts.php361
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/common.php1549
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/confutils.php320
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/events.php202
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/feedcreator.class.php1572
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/form.php918
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/fulltext.php722
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi.php4644
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/abap.php1409
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/actionscript-french.php957
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/actionscript.php197
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/actionscript3.php473
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/ada.php133
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/apache.php480
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/applescript.php157
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/apt_sources.php144
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/asm.php225
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/asp.php164
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/autoit.php1171
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/avisynth.php194
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/bash.php282
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/basic4gl.php341
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/bf.php114
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/blitzbasic.php185
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/bnf.php110
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/boo.php217
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/c.php188
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/c_mac.php212
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/caddcl.php126
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/cadlisp.php186
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/cfdg.php124
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/cfm.php299
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/cil.php196
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/cobol.php244
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/cpp-qt.php315
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/cpp.php226
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/csharp.php250
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/css.php212
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/d.php272
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/dcs.php185
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/delphi.php289
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/diff.php196
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/div.php126
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/dos.php198
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/dot.php164
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/eiffel.php395
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/email.php209
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/fortran.php160
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/freebasic.php141
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/genero.php463
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/gettext.php97
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/glsl.php205
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/gml.php506
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/gnuplot.php296
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/groovy.php1011
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/haskell.php198
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/hq9plus.php104
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/html4strict.php203
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/idl.php123
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/ini.php128
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/inno.php212
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/intercal.php122
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/io.php138
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/java.php983
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/java5.php1031
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/javascript.php150
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/kixtart.php329
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/klonec.php282
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/klonecpp.php310
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/latex.php218
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/lisp.php144
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/locobasic.php130
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/lolcode.php152
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/lotusformulas.php318
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/lotusscript.php191
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/lscript.php387
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/lsl2.php898
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/lua.php137
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/m68k.php143
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/make.php151
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/matlab.php227
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/mirc.php171
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/modula3.php135
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/mpasm.php164
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/mxml.php145
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/mysql.php475
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/nsis.php351
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/oberon2.php135
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/objc.php358
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/ocaml-brief.php111
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/ocaml.php174
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/oobas.php135
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/oracle11.php614
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/oracle8.php496
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/pascal.php152
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/per.php302
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/perl.php213
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/php-brief.php222
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/php.php1114
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/pic16.php141
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/pixelbender.php176
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/plsql.php256
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/povray.php199
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/powershell.php277
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/progress.php485
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/prolog.php143
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/providex.php299
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/python.php237
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/qbasic.php151
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/rails.php406
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/rebol.php196
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/reg.php233
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/robots.php98
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/ruby.php226
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/sas.php290
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/scala.php122
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/scheme.php170
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/scilab.php295
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/sdlbasic.php165
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/smalltalk.php160
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/smarty.php192
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/sql.php140
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/tcl.php194
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/teraterm.php317
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/text.php84
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/thinbasic.php868
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/tsql.php375
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/typoscript.php300
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/vb.php133
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/vbnet.php201
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/verilog.php173
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/vhdl.php144
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/vim.php185
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/visualfoxpro.php456
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/visualprolog.php129
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/whitespace.php121
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/winbatch.php369
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/xml.php157
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/xorg_conf.php124
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/xpp.php436
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/geshi/z80.php144
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/html.php1608
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/httputils.php199
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/indexer.php705
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/infoutils.php385
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/init.php598
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/io.php593
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/af/lang.php75
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/admin.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/backlinks.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/conflict.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/denied.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/diff.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/draft.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/index.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/lang.php223
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/login.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/mailtext.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/newpage.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/norev.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/preview.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/pwconfirm.txt8
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/read.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/recent.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/register.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/resendpwd.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/revisions.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/stopwords.txt29
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/subscribermail.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/updateprofile.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/admin.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/adminplugins.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/backlinks.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/conflict.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/denied.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/diff.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/draft.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/edit.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/index.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/install.html25
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/lang.php247
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/login.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/mailtext.txt16
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/newpage.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/norev.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/preview.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/pwconfirm.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/read.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/recent.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/register.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/registermail.txt13
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/resendpwd.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/revisions.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/stopwords.txt29
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/subscribermail.txt16
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/updateprofile.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/uploadmail.txt13
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/wordblock.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/admin.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/adminplugins.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/backlinks.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/conflict.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/denied.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/diff.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/draft.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/edit.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/index.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/install.html11
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/lang.php250
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/login.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/mailtext.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/newpage.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/norev.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/preview.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/pwconfirm.txt15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/read.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/recent.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/register.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/registermail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/resendpwd.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/revisions.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/stopwords.txt76
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/subscribermail.txt23
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/updateprofile.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/uploadmail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/wordblock.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/admin.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/adminplugins.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/backlinks.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/conflict.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/denied.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/diff.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/draft.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/edit.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/index.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/install.html8
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/lang.php247
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/login.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/mailtext.txt16
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/newpage.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/norev.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/preview.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/pwconfirm.txt15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/read.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/recent.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/register.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/registermail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/resendpwd.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/revisions.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/stopwords.txt106
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/subscribermail.txt15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/updateprofile.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/uploadmail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/wordblock.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/admin.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/adminplugins.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/backlinks.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/conflict.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/denied.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/diff.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/draft.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/index.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/install.html23
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/lang.php247
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/login.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/mailtext.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/newpage.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/norev.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/preview.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/pwconfirm.txt13
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/read.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/recent.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/register.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/registermail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/resendpwd.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/revisions.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/showrev.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/stopwords.txt944
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/subscribermail.txt23
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/updateprofile.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/uploadmail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/wordblock.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/da/admin.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/da/adminplugins.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/da/backlinks.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/da/conflict.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/da/denied.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/da/diff.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/da/draft.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/da/edit.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/da/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/da/index.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/da/install.html24
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/da/lang.php253
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/da/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/da/login.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/da/mailtext.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/da/newpage.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/da/norev.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/da/preview.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/da/pwconfirm.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/da/read.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/da/recent.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/da/register.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/da/registermail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/da/resendpwd.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/da/revisions.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/da/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/da/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/da/stopwords.txt87
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/da/subscribermail.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/da/updateprofile.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/da/uploadmail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/da/wordblock.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/admin.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/adminplugins.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/backlinks.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/conflict.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/denied.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/diff.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/draft.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/edit.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/index.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/install.html27
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/lang.php249
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/locked.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/login.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/mailtext.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/newpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/norev.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/preview.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/pwconfirm.txt16
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/read.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/recent.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/register.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/registermail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/resendpwd.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/revisions.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/searchpage.txt7
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/stopwords.txt125
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/subscribermail.txt23
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/updateprofile.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/uploadmail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/wordblock.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de/admin.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de/adminplugins.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de/backlinks.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de/conflict.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de/denied.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de/diff.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de/draft.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de/edit.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de/index.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de/install.html27
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de/lang.php257
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de/locked.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de/login.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de/mailtext.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de/newpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de/norev.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de/preview.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de/pwconfirm.txt16
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de/read.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de/recent.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de/register.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de/registermail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de/resendpwd.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de/revisions.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de/searchpage.txt7
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de/stopwords.txt125
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de/subscribermail.txt23
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de/updateprofile.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de/uploadmail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/de/wordblock.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/el/admin.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/el/adminplugins.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/el/backlinks.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/el/conflict.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/el/denied.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/el/diff.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/el/draft.txt7
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/el/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/el/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/el/index.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/el/install.html25
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/el/lang.php243
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/el/locked.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/el/login.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/el/mailtext.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/el/newpage.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/el/norev.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/el/preview.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/el/pwconfirm.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/el/read.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/el/recent.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/el/register.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/el/registermail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/el/resendpwd.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/el/revisions.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/el/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/el/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/el/stopwords.txt29
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/el/subscribermail.txt24
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/el/updateprofile.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/el/uploadmail.txt15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/el/wordblock.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/en/admin.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/en/adminplugins.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/en/backlinks.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/en/conflict.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/en/denied.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/en/diff.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/en/draft.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/en/edit.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/en/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/en/index.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/en/install.html24
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/en/lang.php280
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/en/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/en/login.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/en/mailtext.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/en/newpage.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/en/norev.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/en/preview.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/en/pwconfirm.txt15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/en/read.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/en/recent.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/en/register.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/en/registermail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/en/resendpwd.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/en/revisions.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/en/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/en/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/en/stopwords.txt39
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/en/subscribermail.txt23
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/en/updateprofile.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/en/uploadmail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/en/wordblock.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/admin.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/adminplugins.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/backlinks.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/conflict.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/denied.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/diff.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/draft.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/index.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/install.html9
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/lang.php254
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/login.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/mailtext.txt16
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/newpage.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/norev.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/preview.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/pwconfirm.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/read.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/recent.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/register.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/registermail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/resendpwd.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/revisions.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/stopwords.txt23
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/subscribermail.txt23
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/updateprofile.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/uploadmail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/wordblock.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/es/admin.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/es/adminplugins.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/es/backlinks.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/es/conflict.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/es/denied.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/es/diff.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/es/draft.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/es/edit.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/es/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/es/index.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/es/install.html14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/es/lang.php262
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/es/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/es/login.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/es/mailtext.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/es/newpage.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/es/norev.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/es/preview.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/es/pwconfirm.txt16
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/es/read.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/es/recent.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/es/register.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/es/registermail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/es/resendpwd.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/es/revisions.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/es/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/es/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/es/stopwords.txt171
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/es/subscribermail.txt23
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/es/updateprofile.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/es/uploadmail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/es/wordblock.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/et/admin.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/et/backlinks.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/et/conflict.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/et/denied.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/et/diff.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/et/draft.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/et/edit.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/et/editrev.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/et/index.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/et/lang.php214
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/et/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/et/login.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/et/mailtext.txt16
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/et/newpage.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/et/norev.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/et/preview.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/et/pwconfirm.txt12
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/et/read.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/et/recent.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/et/register.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/et/registermail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/et/resendpwd.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/et/revisions.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/et/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/et/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/et/stopwords.txt15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/et/subscribermail.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/et/updateprofile.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/et/wordblock.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/admin.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/backlinks.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/conflict.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/denied.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/diff.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/draft.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/index.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/install.html9
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/lang.php223
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/login.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/mailtext.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/newpage.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/norev.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/preview.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/pwconfirm.txt12
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/read.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/recent.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/register.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/registermail.txt13
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/resendpwd.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/revisions.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/stopwords.txt26
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/subscribermail.txt13
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/updateprofile.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/uploadmail.txt13
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/wordblock.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/admin.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/adminplugins.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/backlinks.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/conflict.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/denied.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/diff.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/draft.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/editrev.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/index.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/install.html12
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/lang.php253
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/login.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/mailtext.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/newpage.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/norev.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/preview.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/pwconfirm.txt13
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/read.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/recent.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/register.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/registermail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/resendpwd.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/revisions.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/showrev.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/stopwords.txt445
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/subscribermail.txt21
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/updateprofile.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/uploadmail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/wordblock.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/admin.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/adminplugins.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/backlinks.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/conflict.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/denied.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/diff.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/draft.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/index.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/install.html21
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/lang.php248
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/login.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/mailtext.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/newpage.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/norev.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/preview.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/pwconfirm.txt13
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/read.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/recent.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/register.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/registermail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/resendpwd.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/revisions.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/stopwords.txt11
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/subscribermail.txt23
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/updateprofile.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/uploadmail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/wordblock.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/admin.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/backlinks.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/conflict.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/denied.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/diff.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/edit.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/index.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/lang.php173
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/login.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/mailtext.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/newpage.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/norev.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/preview.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/read.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/recent.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/register.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/resendpwd.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/revisions.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/stopwords.txt87
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/subscribermail.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/updateprofile.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/wordblock.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/admin.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/adminplugins.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/backlinks.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/conflict.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/denied.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/diff.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/draft.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/edit.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/index.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/install.html19
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/lang.php258
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/login.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/mailtext.txt18
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/newpage.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/norev.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/preview.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/pwconfirm.txt15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/read.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/recent.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/register.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/registermail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/resendpwd.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/revisions.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/stopwords.txt112
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/subscribermail.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/updateprofile.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/uploadmail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/wordblock.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/admin.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/adminplugins.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/backlinks.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/conflict.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/denied.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/diff.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/draft.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/edit.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/index.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/install.html25
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/lang.php243
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/login.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/mailtext.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/newpage.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/norev.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/preview.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/pwconfirm.txt15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/read.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/recent.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/register.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/registermail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/resendpwd.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/revisions.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/stopwords.txt246
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/subscribermail.txt19
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/updateprofile.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/uploadmail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/wordblock.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/he/admin.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/he/backlinks.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/he/conflict.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/he/denied.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/he/diff.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/he/draft.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/he/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/he/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/he/index.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/he/install.html13
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/he/lang.php224
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/he/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/he/login.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/he/mailtext.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/he/newpage.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/he/norev.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/he/preview.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/he/pwconfirm.txt13
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/he/read.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/he/recent.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/he/register.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/he/registermail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/he/resendpwd.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/he/revisions.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/he/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/he/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/he/stopwords.txt29
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/he/subscribermail.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/he/updateprofile.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/he/uploadmail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/he/wordblock.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hi/lang.php126
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/admin.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/backlinks.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/conflict.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/denied.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/diff.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/index.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/lang.php200
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/login.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/mailtext.txt16
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/newpage.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/norev.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/preview.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/read.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/recent.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/register.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/resendpwd.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/revisions.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/searchpage.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/stopwords.txt29
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/subscribermail.txt16
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/updateprofile.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/wordblock.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/admin.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/adminplugins.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/backlinks.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/conflict.txt7
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/denied.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/diff.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/draft.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/index.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/install.html26
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/lang.php249
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/locked.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/login.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/mailtext.txt16
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/newpage.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/norev.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/preview.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/pwconfirm.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/read.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/recent.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/register.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/registermail.txt13
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/resendpwd.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/revisions.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/stopwords.txt39
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/subscribermail.txt18
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/updateprofile.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/uploadmail.txt13
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/wordblock.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/id-ni/lang.php79
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/id/admin.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/id/backlinks.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/id/conflict.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/id/denied.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/id/diff.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/id/draft.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/id/edit.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/id/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/id/index.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/id/install.html25
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/id/lang.php210
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/id/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/id/login.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/id/mailtext.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/id/newpage.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/id/norev.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/id/preview.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/id/pwconfirm.txt13
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/id/read.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/id/recent.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/id/register.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/id/registermail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/id/resendpwd.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/id/revisions.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/id/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/id/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/id/stopwords.txt37
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/id/subscribermail.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/id/updateprofile.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/id/uploadmail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/id/wordblock.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/is/adminplugins.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/is/diff.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/is/lang.php196
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/is/login.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/is/recent.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/is/resendpwd.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/is/subscribermail.txt23
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/it/admin.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/it/adminplugins.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/it/backlinks.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/it/conflict.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/it/denied.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/it/diff.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/it/draft.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/it/edit.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/it/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/it/index.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/it/install.html24
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/it/lang.php240
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/it/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/it/login.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/it/mailtext.txt16
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/it/newpage.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/it/norev.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/it/preview.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/it/pwconfirm.txt15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/it/read.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/it/recent.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/it/register.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/it/registermail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/it/resendpwd.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/it/revisions.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/it/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/it/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/it/stopwords.txt119
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/it/subscribermail.txt18
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/it/updateprofile.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/it/uploadmail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/it/wordblock.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/admin.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/adminplugins.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/backlinks.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/conflict.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/denied.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/diff.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/draft.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/edit.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/index.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/install.html14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/lang.php249
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/login.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/mailtext.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/newpage.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/norev.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/preview.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/pwconfirm.txt13
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/read.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/recent.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/register.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/registermail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/resendpwd.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/revisions.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/stopwords.txt29
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/subscribermail.txt16
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/updateprofile.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/uploadmail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/wordblock.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/km/admin.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/km/backlinks.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/km/conflict.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/km/denied.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/km/edit.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/km/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/km/index.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/km/lang.php230
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/km/login.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/km/newpage.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/km/norev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/km/pwconfirm.txt13
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/km/recent.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/km/register.txt7
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/km/revisions.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/admin.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/backlinks.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/conflict.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/denied.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/diff.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/draft.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/edit.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/index.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/install.html17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/lang.php229
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/login.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/mailtext.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/newpage.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/norev.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/preview.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/pwconfirm.txt11
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/read.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/recent.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/register.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/registermail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/resendpwd.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/revisions.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/stopwords.txt29
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/subscribermail.txt15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/updateprofile.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/uploadmail.txt15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/wordblock.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/admin.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/backlinks.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/conflict.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/denied.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/diff.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/edit.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/index.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/lang.php163
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/login.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/mailtext.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/newpage.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/norev.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/preview.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/read.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/recent.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/register.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/revisions.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/stopwords.txt29
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/subscribermail.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/wordblock.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/admin.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/backlinks.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/conflict.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/denied.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/diff.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/edit.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/index.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/lang.php207
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/login.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/mailtext.txt18
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/newpage.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/norev.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/preview.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/read.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/recent.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/register.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/resendpwd.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/revisions.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/subscribermail.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/updateprofile.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/wordblock.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/admin.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/adminplugins.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/backlinks.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/conflict.txt8
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/denied.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/diff.txt7
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/draft.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/edit.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/editrev.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/index.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/install.html12
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/lang.php244
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/locked.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/login.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/mailtext.txt18
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/newpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/norev.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/preview.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/pwconfirm.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/read.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/recent.txt8
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/register.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/registermail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/resendpwd.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/revisions.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/searchpage.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/stopwords.txt48
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/subscribermail.txt23
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/updateprofile.txt8
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/uploadmail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/wordblock.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/admin.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/backlinks.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/conflict.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/denied.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/diff.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/edit.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/index.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/lang.php135
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/locked.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/login.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/mailtext.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/newpage.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/norev.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/preview.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/read.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/recent.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/register.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/revisions.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/searchpage.txt7
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/wordblock.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/admin.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/backlinks.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/conflict.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/denied.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/diff.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/draft.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/index.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/install.html10
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/lang.php229
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/login.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/mailtext.txt15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/newpage.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/norev.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/preview.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/pwconfirm.txt11
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/read.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/recent.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/register.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/registermail.txt13
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/resendpwd.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/revisions.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/stopwords.txt39
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/subscribermail.txt21
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/updateprofile.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/uploadmail.txt13
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/wordblock.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/admin.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/backlinks.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/conflict.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/denied.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/diff.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/draft.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/index.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/lang.php220
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/norev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/pwconfirm.txt12
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/read.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/recent.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/resendpwd.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/searchpage.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/subscribermail.txt19
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/updateprofile.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/uploadmail.txt13
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/admin.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/adminplugins.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/backlinks.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/conflict.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/denied.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/diff.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/draft.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/index.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/install.html14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/lang.php253
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/login.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/mailtext.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/newpage.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/norev.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/preview.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/pwconfirm.txt13
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/read.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/recent.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/register.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/registermail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/resendpwd.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/revisions.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/stopwords.txt37
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/subscribermail.txt16
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/updateprofile.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/uploadmail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/wordblock.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/no/admin.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/no/adminplugins.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/no/backlinks.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/no/conflict.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/no/denied.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/no/diff.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/no/draft.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/no/edit.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/no/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/no/index.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/no/install.html24
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/no/lang.php260
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/no/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/no/login.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/no/mailtext.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/no/newpage.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/no/norev.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/no/preview.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/no/pwconfirm.txt15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/no/read.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/no/recent.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/no/register.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/no/registermail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/no/resendpwd.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/no/revisions.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/no/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/no/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/no/stopwords.txt130
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/no/subscribermail.txt16
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/no/updateprofile.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/no/uploadmail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/no/wordblock.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/admin.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/adminplugins.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/backlinks.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/conflict.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/denied.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/diff.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/draft.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/edit.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/index.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/install.html23
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/lang.php248
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/login.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/mailtext.txt18
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/newpage.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/norev.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/preview.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/pwconfirm.txt13
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/read.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/recent.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/register.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/registermail.txt15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/resendpwd.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/revisions.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/stopwords.txt89
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/subscribermail.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/updateprofile.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/uploadmail.txt16
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/wordblock.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/admin.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/adminplugins.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/backlinks.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/conflict.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/denied.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/diff.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/draft.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/edit.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/editrev.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/index.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/install.html7
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/lang.php247
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/login.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/mailtext.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/newpage.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/norev.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/preview.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/pwconfirm.txt13
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/read.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/recent.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/register.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/registermail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/resendpwd.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/revisions.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/stopwords.txt55
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/subscribermail.txt24
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/updateprofile.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/uploadmail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/wordblock.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/admin.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/adminplugins.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/backlinks.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/conflict.txt9
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/denied.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/diff.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/draft.txt7
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/edit.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/editrev.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/index.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/install.html8
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/lang.php237
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/locked.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/login.txt7
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/mailtext.txt20
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/newpage.txt7
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/norev.txt7
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/preview.txt7
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/pwconfirm.txt13
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/read.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/recent.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/register.txt7
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/registermail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/resendpwd.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/revisions.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/searchpage.txt7
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/showrev.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/stopwords.txt141
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/subscribermail.txt15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/updateprofile.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/uploadmail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/wordblock.txt7
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/admin.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/adminplugins.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/backlinks.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/conflict.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/denied.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/diff.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/draft.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/edit.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/index.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/install.html10
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/lang.php251
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/login.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/mailtext.txt16
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/newpage.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/norev.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/preview.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/pwconfirm.txt13
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/read.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/recent.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/register.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/registermail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/resendpwd.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/revisions.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/stopwords.txt29
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/subscribermail.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/updateprofile.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/uploadmail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/wordblock.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/admin.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/adminplugins.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/backlinks.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/conflict.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/denied.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/diff.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/draft.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/edit.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/index.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/install.html7
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/lang.php258
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/login.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/mailtext.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/newpage.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/norev.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/preview.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/pwconfirm.txt13
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/read.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/recent.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/register.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/registermail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/resendpwd.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/revisions.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/stopwords.txt93
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/subscribermail.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/updateprofile.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/uploadmail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/wordblock.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/admin.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/backlinks.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/conflict.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/denied.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/diff.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/draft.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/editrev.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/index.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/install.html23
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/lang.php227
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/login.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/mailtext.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/newpage.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/norev.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/preview.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/pwconfirm.txt15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/read.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/recent.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/register.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/registermail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/resendpwd.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/revisions.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/showrev.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/stopwords.txt28
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/subscribermail.txt18
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/updateprofile.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/uploadmail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/wordblock.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/backlinks.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/conflict.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/denied.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/diff.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/edit.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/index.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/lang.php162
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/login.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/mailtext.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/newpage.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/norev.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/preview.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/pwconfirm.txt13
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/read.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/recent.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/register.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/registermail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/resendpwd.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/revisions.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/wordblock.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/admin.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/backlinks.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/conflict.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/denied.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/diff.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/draft.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/edit.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/index.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/install.html12
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/lang.php224
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/login.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/mailtext.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/newpage.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/norev.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/preview.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/pwconfirm.txt13
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/read.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/recent.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/register.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/registermail.txt15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/resendpwd.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/revisions.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/stopwords.txt12
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/subscribermail.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/updateprofile.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/uploadmail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/wordblock.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/admin.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/adminplugins.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/backlinks.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/conflict.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/denied.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/diff.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/draft.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/edit.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/index.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/install.html25
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/lang.php261
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/login.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/mailtext.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/newpage.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/norev.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/preview.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/pwconfirm.txt16
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/read.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/recent.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/register.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/registermail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/resendpwd.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/revisions.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/stopwords.txt129
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/subscribermail.txt24
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/updateprofile.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/uploadmail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/wordblock.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/th/admin.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/th/adminplugins.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/th/backlinks.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/th/conflict.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/th/denied.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/th/diff.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/th/draft.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/th/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/th/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/th/index.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/th/lang.php249
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/th/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/th/login.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/th/mailtext.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/th/newpage.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/th/norev.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/th/preview.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/th/pwconfirm.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/th/read.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/th/recent.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/th/register.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/th/registermail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/th/resendpwd.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/th/revisions.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/th/searchpage.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/th/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/th/subscribermail.txt22
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/th/updateprofile.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/th/uploadmail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/th/wordblock.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/admin.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/backlinks.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/conflict.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/denied.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/diff.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/draft.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/edit.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/index.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/install.html8
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/lang.php226
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/locked.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/login.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/mailtext.txt16
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/newpage.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/norev.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/preview.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/pwconfirm.txt13
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/read.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/recent.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/register.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/registermail.txt13
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/resendpwd.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/revisions.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/stopwords.txt29
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/subscribermail.txt18
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/updateprofile.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/uploadmail.txt13
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/wordblock.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/admin.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/adminplugins.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/backlinks.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/conflict.txt8
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/denied.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/diff.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/draft.txt6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/index.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/install.html21
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/lang.php244
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/login.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/mailtext.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/newpage.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/norev.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/preview.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/pwconfirm.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/read.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/recent.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/register.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/registermail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/resendpwd.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/revisions.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/stopwords.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/subscribermail.txt22
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/updateprofile.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/uploadmail.txt14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/wordblock.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/admin.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/backlinks.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/conflict.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/denied.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/diff.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/index.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/lang.php106
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/login.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/mailtext.txt16
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/newpage.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/norev.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/preview.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/read.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/recent.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/register.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/revisions.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/wordblock.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/admin.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/adminplugins.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/backlinks.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/conflict.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/denied.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/diff.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/draft.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/index.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/install.html8
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/lang.php252
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/login.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/mailtext.txt16
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/newpage.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/norev.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/preview.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/pwconfirm.txt13
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/read.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/recent.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/register.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/registermail.txt13
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/resendpwd.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/revisions.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/stopwords.txt31
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/subscribermail.txt16
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/updateprofile.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/uploadmail.txt13
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/wordblock.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/admin.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/backlinks.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/conflict.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/denied.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/diff.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/draft.txt7
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/editrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/index.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/install.html8
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/lang.php230
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/locked.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/login.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/mailtext.txt17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/newpage.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/norev.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/preview.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/pwconfirm.txt15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/read.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/recent.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/register.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/registermail.txt16
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/resendpwd.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/revisions.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/searchpage.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/showrev.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/stopwords.txt29
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/subscribermail.txt19
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/updateprofile.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/uploadmail.txt20
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/wordblock.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/mail.php266
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/media.php1102
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/pageutils.php537
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/parser/code.php58
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/parser/handler.php1697
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/parser/lexer.php600
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/parser/metadata.php483
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/parser/parser.php956
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/parser/renderer.php322
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/parser/xhtml.php1142
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/parser/xhtmlsummary.php90
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/parserutils.php672
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/plugin.php248
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/plugincontroller.class.php172
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/pluginutils.php47
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/search.php630
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/template.php1413
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/toolbar.php241
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/inc/utf8.php1657
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/index.php8
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/install.php516
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/_fla/MultipleUpload.as329
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/_fla/README4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/_fla/index.html12
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/_fla/multipleUpload.flabin0 -> 1812480 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/exe/ajax.php370
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/exe/css.php330
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/exe/detail.php58
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/exe/fetch.php173
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/exe/index.html12
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/exe/indexer.php375
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/exe/js.php397
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/exe/mediamanager.php105
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/exe/multipleUpload.swfbin0 -> 64561 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/exe/opensearch.php38
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/exe/xmlrpc.php921
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/admin/README2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/admin/acl.pngbin0 -> 1336 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/admin/config.pngbin0 -> 1761 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/admin/plugin.pngbin0 -> 1415 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/admin/popularity.pngbin0 -> 1420 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/admin/revert.pngbin0 -> 1598 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/admin/usermanager.pngbin0 -> 1850 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/arrow_down.gifbin0 -> 273 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/arrow_up.gifbin0 -> 274 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/at.gifbin0 -> 57 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/blank.gifbin0 -> 42 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/close.pngbin0 -> 1345 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/del.pngbin0 -> 433 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/diff.pngbin0 -> 219 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/edit.gifbin0 -> 142 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/error.pngbin0 -> 706 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/bz2.pngbin0 -> 720 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/c.pngbin0 -> 774 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/conf.pngbin0 -> 717 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/cpp.pngbin0 -> 859 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/cs.pngbin0 -> 808 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/css.pngbin0 -> 843 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/deb.pngbin0 -> 716 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/doc.pngbin0 -> 659 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/file.pngbin0 -> 720 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/gif.pngbin0 -> 1001 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/gz.pngbin0 -> 716 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/htm.pngbin0 -> 748 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/html.pngbin0 -> 748 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/index.php50
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/java.pngbin0 -> 739 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/jpeg.pngbin0 -> 1001 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/jpg.pngbin0 -> 1001 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/js.pngbin0 -> 809 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/lua.pngbin0 -> 465 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/odc.pngbin0 -> 749 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/odf.pngbin0 -> 807 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/odg.pngbin0 -> 788 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/odi.pngbin0 -> 788 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/odp.pngbin0 -> 744 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/ods.pngbin0 -> 749 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/odt.pngbin0 -> 577 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/pdf.pngbin0 -> 663 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/php.pngbin0 -> 755 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/pl.pngbin0 -> 698 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/png.pngbin0 -> 1001 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/ppt.pngbin0 -> 762 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/ps.pngbin0 -> 534 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/py.pngbin0 -> 714 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/rar.pngbin0 -> 631 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/rb.pngbin0 -> 828 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/rpm.pngbin0 -> 638 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/rtf.pngbin0 -> 474 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/swf.pngbin0 -> 843 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/sxc.pngbin0 -> 749 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/sxd.pngbin0 -> 788 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/sxi.pngbin0 -> 744 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/sxw.pngbin0 -> 577 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/tar.pngbin0 -> 747 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/tgz.pngbin0 -> 716 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/txt.pngbin0 -> 542 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/xls.pngbin0 -> 731 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/xml.pngbin0 -> 475 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/zip.pngbin0 -> 874 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/history.pngbin0 -> 202 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/index.html12
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/info.pngbin0 -> 783 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki.pngbin0 -> 1089 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/amazon.de.gifbin0 -> 882 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/amazon.gifbin0 -> 882 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/amazon.uk.gifbin0 -> 882 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/coral.gifbin0 -> 85 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/doku.gifbin0 -> 257 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/dokubug.gifbin0 -> 166 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/google.gifbin0 -> 980 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/meatball.gifbin0 -> 1100 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/phpfn.gifbin0 -> 330 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/sb.gifbin0 -> 886 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/wiki.gifbin0 -> 909 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/wp.gifbin0 -> 680 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/wpde.gifbin0 -> 680 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/wpes.gifbin0 -> 680 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/wpfr.gifbin0 -> 680 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/wpjp.gifbin0 -> 680 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/wpmeta.gifbin0 -> 680 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/wppl.gifbin0 -> 680 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/larger.gifbin0 -> 87 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/license/badge/cc-by-nc-nd.pngbin0 -> 5281 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/license/badge/cc-by-nc-sa.pngbin0 -> 5460 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/license/badge/cc-by-nc.pngbin0 -> 5145 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/license/badge/cc-by-nd.pngbin0 -> 4880 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/license/badge/cc-by-sa.pngbin0 -> 5083 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/license/badge/cc-by.pngbin0 -> 4739 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/license/badge/cc.pngbin0 -> 958 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/license/badge/gnufdl.pngbin0 -> 1748 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/license/badge/publicdomain.pngbin0 -> 4962 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/license/button/cc-by-nc-nd.pngbin0 -> 678 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/license/button/cc-by-nc-sa.pngbin0 -> 686 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/license/button/cc-by-nc.pngbin0 -> 663 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/license/button/cc-by-nd.pngbin0 -> 658 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/license/button/cc-by-sa.pngbin0 -> 661 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/license/button/cc-by.pngbin0 -> 629 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/license/button/cc.pngbin0 -> 728 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/license/button/gnufdl.pngbin0 -> 839 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/license/button/publicdomain.pngbin0 -> 621 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/list-minus.gifbin0 -> 64 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/list-plus.gifbin0 -> 67 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/loading.gifbin0 -> 1876 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/magnifier.pngbin0 -> 615 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/minus.gifbin0 -> 858 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/multiupload.pngbin0 -> 698 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/notify.pngbin0 -> 789 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/ns.pngbin0 -> 853 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/page.pngbin0 -> 635 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/pencil.pngbin0 -> 450 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/plus.gifbin0 -> 860 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/smaller.gifbin0 -> 86 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/delete.gifbin0 -> 1421 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/fixme.gifbin0 -> 1435 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_arrow.gifbin0 -> 170 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_biggrin.gifbin0 -> 172 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_confused.gifbin0 -> 171 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_cool.gifbin0 -> 172 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_cry.gifbin0 -> 498 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_doubt.gifbin0 -> 990 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_doubt2.gifbin0 -> 992 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_eek.gifbin0 -> 170 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_evil.gifbin0 -> 236 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_exclaim.gifbin0 -> 236 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_frown.gifbin0 -> 171 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_fun.gifbin0 -> 590 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_idea.gifbin0 -> 176 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_kaddi.gifbin0 -> 991 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_lol.gifbin0 -> 336 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_mrgreen.gifbin0 -> 349 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_neutral.gifbin0 -> 171 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_question.gifbin0 -> 248 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_razz.gifbin0 -> 176 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_redface.gifbin0 -> 650 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_rolleyes.gifbin0 -> 485 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_sad.gifbin0 -> 171 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_silenced.gifbin0 -> 231 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_smile.gifbin0 -> 174 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_smile2.gifbin0 -> 174 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_surprised.gifbin0 -> 174 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_twisted.gifbin0 -> 238 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_wink.gifbin0 -> 170 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/success.pngbin0 -> 816 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/throbber.gifbin0 -> 875 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/bold.pngbin0 -> 433 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/chars.pngbin0 -> 619 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/h.pngbin0 -> 360 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/h1.pngbin0 -> 420 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/h2.pngbin0 -> 442 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/h3.pngbin0 -> 452 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/h4.pngbin0 -> 432 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/h5.pngbin0 -> 440 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/hequal.pngbin0 -> 426 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/hminus.pngbin0 -> 538 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/hplus.pngbin0 -> 520 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/hr.pngbin0 -> 329 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/image.pngbin0 -> 625 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/italic.pngbin0 -> 322 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/link.pngbin0 -> 579 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/linkextern.pngbin0 -> 962 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/mono.pngbin0 -> 385 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/ol.pngbin0 -> 403 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/sig.pngbin0 -> 569 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/smiley.pngbin0 -> 755 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/strike.pngbin0 -> 415 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/ul.pngbin0 -> 383 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/underline.pngbin0 -> 375 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/trash.pngbin0 -> 476 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/up.pngbin0 -> 376 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/images/wrap.gifbin0 -> 86 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/index.html12
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/admin.php809
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/ajax.php62
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/af/lang.php10
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ar/lang.php24
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/bg/help.txt11
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/bg/lang.php36
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ca-valencia/help.txt15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ca-valencia/lang.php37
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ca/help.txt11
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ca/lang.php38
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/cs/help.txt11
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/cs/lang.php37
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/da/help.txt11
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/da/lang.php42
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/de-informal/help.txt11
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/de-informal/lang.php35
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/de/help.txt11
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/de/lang.php47
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/el/help.txt10
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/el/lang.php43
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/en/help.txt12
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/en/lang.php46
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/eo/help.txt11
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/eo/lang.php40
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/es/help.txt11
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/es/lang.php48
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/et/lang.php23
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/eu/help.txt11
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/eu/lang.php34
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/fa/help.txt11
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/fa/lang.php38
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/fi/help.txt11
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/fi/lang.php36
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/fr/help.txt9
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/fr/lang.php48
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/gl/help.txt11
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/gl/lang.php36
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/he/help.txt11
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/he/lang.php35
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/hi/lang.php6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/hr/lang.php7
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/hu/help.txt12
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/hu/lang.php36
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/id-ni/lang.php7
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/id/lang.php21
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/is/lang.php15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/it/help.txt11
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/it/lang.php42
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ja/help.txt11
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ja/lang.php39
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ko/help.txt11
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ko/lang.php41
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/lt/lang.php22
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/lv/help.txt11
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/lv/lang.php35
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/mr/help.txt12
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/mr/lang.php37
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ne/lang.php27
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/nl/help.txt11
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/nl/lang.php45
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/no/help.txt11
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/no/lang.php46
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/pl/help.txt11
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/pl/lang.php38
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/pt-br/help.txt11
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/pt-br/lang.php47
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/pt/help.txt9
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/pt/lang.php39
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ro/help.txt11
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ro/lang.php39
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ru/help.txt11
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ru/lang.php42
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/sk/help.txt11
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/sk/lang.php38
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/sl/lang.php21
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/sr/help.txt11
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/sr/lang.php37
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/sv/help.txt8
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/sv/lang.php46
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/th/help.txt11
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/th/lang.php27
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/tr/help.txt11
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/tr/lang.php40
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/uk/help.txt11
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/uk/lang.php39
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/vi/lang.php21
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/zh-tw/help.txt11
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/zh-tw/lang.php40
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/zh/help.txt11
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/zh/lang.php39
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/pix/group.pngbin0 -> 753 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/pix/ns.pngbin0 -> 853 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/pix/page.pngbin0 -> 635 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/pix/user.pngbin0 -> 706 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/script.js163
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/style.css96
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/action.php26
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/admin.php49
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/box/print.css274
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/box/style.css272
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/box/syntax.php287
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/index.html12
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/COPYING340
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/CREDITS58
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/VERSION.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/action.php169
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/admin.php453
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/ajax.php196
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/changelog207
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/conf/default.php19
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/conf/metadata.php18
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/edit-full.js152
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/edit.js1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/close.gifbin0 -> 64 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/base.gifbin0 -> 578 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/empty.gifbin0 -> 62 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/folder.gifbin0 -> 352 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/folderh.gifbin0 -> 572 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/folderhopen.gifbin0 -> 577 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/folderopen.gifbin0 -> 354 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/info.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/join.gifbin0 -> 69 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/joinbottom.gifbin0 -> 66 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/line.gifbin0 -> 66 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/minus.gifbin0 -> 86 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/minusbottom.gifbin0 -> 85 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/nolines_minus.gifbin0 -> 861 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/nolines_plus.gifbin0 -> 870 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/page.gifbin0 -> 565 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/plus.gifbin0 -> 89 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/plusbottom.gifbin0 -> 88 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/empty.gifbin0 -> 275 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/indexmenu_toolbar.pngbin0 -> 726 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/larrow.gifbin0 -> 1829 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/msort.gifbin0 -> 420 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/rarrow.gifbin0 -> 81 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/toc_bullet.gifbin0 -> 74 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/inc/pclzip.lib.php5748
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/inc/repo.class.php51
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/indexmenu-full.js640
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/indexmenu.js18
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/jsmenu/admmenu.js71
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/jsmenu/menu.js56
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/jsmenu/usrmenu.js69
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/lang/de/lang.php27
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/lang/en/lang.php28
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/lang/es/lang.php28
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/lang/it/lang.php29
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/nojsindex-full.js101
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/nojsindex.js1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/script.js152
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/style.css190
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/syntax/indexmenu.php720
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/syntax/tag.php70
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/info/syntax.php259
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/images/important.pngbin0 -> 2250 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/images/note.pngbin0 -> 2520 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/images/tb_important.pngbin0 -> 1366 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/images/tb_note.pngbin0 -> 1461 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/images/tb_tip.pngbin0 -> 1441 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/images/tb_warning.pngbin0 -> 1870 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/images/tip.pngbin0 -> 2909 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/images/warning.pngbin0 -> 3249 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/info.txt8
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/script.js36
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/style.css41
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/syntax.php191
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/ChangeLog.txt255
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/README.txt16
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/ZipLib.class.php391
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/action.php41
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/conf/default.php7
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/conf/metadata.php8
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/info.txt8
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/lang/de/lang.php9
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/lang/en/lang.php12
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/lang/es/lang.php19
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/lang/fr/lang.php12
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/lang/it/lang.php7
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/lang/ja/lang.php12
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/lang/ru/lang.php12
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/lang/zh/lang.php12
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/odt.pngbin0 -> 3320 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/renderer.php1232
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/styles.xml158
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/syntax.php96
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/admin.php202
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/af/lang.php5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ar/lang.php6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/bg/intro.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/bg/lang.php15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ca-valencia/intro.txt4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ca-valencia/lang.php15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ca/intro.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ca/lang.php18
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/cs/intro.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/cs/lang.php22
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/da/intro.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/da/lang.php19
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/de-informal/lang.php14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/de/intro.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/de/lang.php23
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/el/intro.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/el/lang.php20
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/en/intro.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/en/lang.php23
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/eo/intro.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/eo/lang.php22
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/es/intro.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/es/lang.php27
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/eu/intro.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/eu/lang.php17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/fa/intro.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/fa/lang.php19
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/fi/intro.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/fi/lang.php17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/fr/intro.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/fr/lang.php22
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/gl/intro.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/gl/lang.php17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/he/intro.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/he/lang.php16
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/hi/lang.php6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/hr/lang.php7
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/hu/intro.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/hu/lang.php17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/id-ni/lang.php7
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/id/lang.php7
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/is/lang.php10
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/it/intro.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/it/lang.php20
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ja/intro.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ja/lang.php17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ko/intro.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ko/lang.php18
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/lt/lang.php7
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/lv/intro.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/lv/lang.php15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/mr/intro.txt5
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/mr/lang.php18
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ne/lang.php16
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/nl/intro.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/nl/lang.php21
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/no/intro.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/no/lang.php26
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/pl/intro.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/pl/lang.php17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/pt-br/intro.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/pt-br/lang.php25
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/pt/intro.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/pt/lang.php18
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ro/intro.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ro/lang.php20
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ru/intro.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ru/lang.php22
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/sk/intro.txt0
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/sk/lang.php17
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/sl/lang.php7
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/sr/intro.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/sr/lang.php16
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/sv/intro.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/sv/lang.php28
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/th/intro.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/th/lang.php19
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/tr/intro.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/tr/lang.php19
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/uk/intro.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/uk/lang.php18
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/zh-tw/intro.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/zh-tw/lang.php19
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/zh/intro.txt3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/zh/lang.php20
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/README20
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/conf/default.php4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/conf/metadata.php4
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/lang/en/lang.php3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/lang/ru/lang.php3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/lang/sp/lang.php3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/plugin.info.txt8
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/renderer.php338
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/screen.gifbin0 -> 1574 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/syntax.php72
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/audio_support/license.txt29
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/audio_support/null.mp3bin0 -> 2508 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/audio_support/soundmanager2.js658
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/audio_support/soundmanager2.swfbin0 -> 2198 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/audio_link.pngbin0 -> 1183 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/audio_on.pngbin0 -> 1227 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/audio_over.pngbin0 -> 1245 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/auto_link.pngbin0 -> 1076 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/auto_on.pngbin0 -> 1106 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/auto_over.pngbin0 -> 1117 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/backgrnd.pngbin0 -> 10257 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/bluebg.gifbin0 -> 2295 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/bluebottom.gifbin0 -> 3428 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/bluefooter.gifbin0 -> 591 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/delay_link.pngbin0 -> 1943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/exit_link.pngbin0 -> 867 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/exit_over.pngbin0 -> 877 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/fade_link.pngbin0 -> 1079 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/fade_on.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/fade_over.pngbin0 -> 1093 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/footer.jpgbin0 -> 4451 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/framing.css23
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/header.pngbin0 -> 27487 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/last_link.pngbin0 -> 975 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/last_over.pngbin0 -> 1001 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/list_link.pngbin0 -> 908 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/loop_link.pngbin0 -> 1622 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/loop_on.pngbin0 -> 1665 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/loop_over.pngbin0 -> 1711 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/next_link.pngbin0 -> 713 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/next_over.pngbin0 -> 734 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/notes_link.pngbin0 -> 755 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/notes_over.pngbin0 -> 789 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/opera.css7
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/outline.css15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/pause_link.pngbin0 -> 732 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/pause_on.pngbin0 -> 726 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/pause_over.pngbin0 -> 757 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/pretty.css173
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/prev_link.pngbin0 -> 757 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/prev_over.pngbin0 -> 748 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/print.css1
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/s5-core.css9
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/slides.css3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/slides.js2812
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/toggle_link.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/toggle_over.pngbin0 -> 1071 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/volume_link.pngbin0 -> 943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/wrap.css410
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/zero_link.pngbin0 -> 968 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/zero_over.pngbin0 -> 1004 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/audio_link.pngbin0 -> 1183 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/audio_on.pngbin0 -> 1227 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/audio_over.pngbin0 -> 1245 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/auto_link.pngbin0 -> 1076 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/auto_on.pngbin0 -> 1106 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/auto_over.pngbin0 -> 1117 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/backgrnd.pngbin0 -> 10257 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/blank.gifbin0 -> 49 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/bodybg.gifbin0 -> 10119 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/delay_link.pngbin0 -> 1943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/exit_link.pngbin0 -> 867 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/exit_over.pngbin0 -> 877 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/fade_link.pngbin0 -> 1079 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/fade_on.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/fade_over.pngbin0 -> 1093 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/footer.jpgbin0 -> 4451 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/framing.css23
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/header.pngbin0 -> 27487 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/iepngfix.htc42
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/last_link.pngbin0 -> 975 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/last_over.pngbin0 -> 1001 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/list_link.pngbin0 -> 908 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/loop_link.pngbin0 -> 1622 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/loop_on.pngbin0 -> 1665 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/loop_over.pngbin0 -> 1711 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/next_link.pngbin0 -> 713 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/next_over.pngbin0 -> 734 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/notes_link.pngbin0 -> 755 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/notes_over.pngbin0 -> 789 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/opera.css7
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/outline.css15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/pause_link.pngbin0 -> 732 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/pause_on.pngbin0 -> 726 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/pause_over.pngbin0 -> 757 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/pretty.css156
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/prev_link.pngbin0 -> 757 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/prev_over.pngbin0 -> 748 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/print.css1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/s5-core.css9
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/slides.css3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/slides.js2812
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/toggle_link.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/toggle_over.pngbin0 -> 1071 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/volume_link.pngbin0 -> 943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/wrap.css410
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/zero_link.pngbin0 -> 968 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/zero_over.pngbin0 -> 1004 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/audio_link.pngbin0 -> 1183 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/audio_on.pngbin0 -> 1227 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/audio_over.pngbin0 -> 1245 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/auto_link.pngbin0 -> 1076 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/auto_on.pngbin0 -> 1106 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/auto_over.pngbin0 -> 1117 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/backgrnd.pngbin0 -> 10257 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/blank.gifbin0 -> 49 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/delay_link.pngbin0 -> 1943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/exit_link.pngbin0 -> 867 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/exit_over.pngbin0 -> 877 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/fade_link.pngbin0 -> 1079 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/fade_on.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/fade_over.pngbin0 -> 1093 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/footer.jpgbin0 -> 4451 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/framing.css23
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/header.pngbin0 -> 27487 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/iepngfix.htc42
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/last_link.pngbin0 -> 975 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/last_over.pngbin0 -> 1001 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/list_link.pngbin0 -> 908 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/logo.pngbin0 -> 16746 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/loop_link.pngbin0 -> 1622 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/loop_on.pngbin0 -> 1665 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/loop_over.pngbin0 -> 1711 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/next_link.pngbin0 -> 713 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/next_over.pngbin0 -> 734 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/notes_link.pngbin0 -> 755 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/notes_over.pngbin0 -> 789 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/opera.css7
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/outline.css15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/pause_link.pngbin0 -> 732 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/pause_on.pngbin0 -> 726 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/pause_over.pngbin0 -> 757 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/pretty.css163
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/prev_link.pngbin0 -> 757 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/prev_over.pngbin0 -> 748 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/print.css1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/s5-core.css9
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/slides.css3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/slides.js2812
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/toggle_link.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/toggle_over.pngbin0 -> 1071 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/volume_link.pngbin0 -> 943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/wrap.css410
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/zero_link.pngbin0 -> 968 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/zero_over.pngbin0 -> 1004 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/MIT-LICENSE20
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/builder.js136
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/controls.js965
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/dragdrop.js974
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/effects.js1123
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/presentacular.js153
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/prototype.js4874
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/scriptaculous.js68
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/slider.js275
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/sound.js59
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/unittest.js568
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/LEEME.txt31
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/audio_link.pngbin0 -> 1183 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/audio_on.pngbin0 -> 1227 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/audio_over.pngbin0 -> 1245 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/auto_link.pngbin0 -> 1076 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/auto_on.pngbin0 -> 1106 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/auto_over.pngbin0 -> 1117 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/backgrnd.pngbin0 -> 10257 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/blank.gifbin0 -> 49 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/delay_link.pngbin0 -> 1943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/exit_link.pngbin0 -> 867 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/exit_over.pngbin0 -> 877 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/fade_link.pngbin0 -> 1079 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/fade_on.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/fade_over.pngbin0 -> 1093 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/footer.jpgbin0 -> 4451 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/framing.css23
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/header.pngbin0 -> 27487 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/iepngfix.htc42
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/index.html100
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/last_link.pngbin0 -> 975 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/last_over.pngbin0 -> 1001 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/list_link.pngbin0 -> 908 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/loop_link.pngbin0 -> 1622 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/loop_on.pngbin0 -> 1665 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/loop_over.pngbin0 -> 1711 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/next_link.pngbin0 -> 713 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/next_over.pngbin0 -> 734 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/notes_link.pngbin0 -> 755 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/notes_over.pngbin0 -> 789 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/opera.css7
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/outline.css15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pause_link.pngbin0 -> 732 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pause_on.pngbin0 -> 726 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pause_over.pngbin0 -> 757 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/back-handout-print.pngbin0 -> 5999 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/back-slide-print.pngbin0 -> 6487 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/back_console.pngbin0 -> 14135 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/background-foot.pngbin0 -> 451 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/background-head.pngbin0 -> 450 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/background-head.xcfbin0 -> 6114 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/background-main.pngbin0 -> 92480 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/favicon.icobin0 -> 1406 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/logo.pngbin0 -> 9760 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/table-background.pngbin0 -> 460 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/table-header.pngbin0 -> 344 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pretty.css545
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/prev_link.pngbin0 -> 757 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/prev_over.pngbin0 -> 748 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/print.css1
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/s5-core.css9
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/slides.css3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/slides.js2812
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/toggle_link.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/toggle_over.pngbin0 -> 1071 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/volume_link.pngbin0 -> 943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/wrap.css410
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/zero_link.pngbin0 -> 968 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/zero_over.pngbin0 -> 1004 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/across.pngbin0 -> 178955 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/audio_link.pngbin0 -> 1183 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/audio_on.pngbin0 -> 1227 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/audio_over.pngbin0 -> 1245 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/auto_link.pngbin0 -> 1076 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/auto_on.pngbin0 -> 1106 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/auto_over.pngbin0 -> 1117 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/blank.gifbin0 -> 49 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/bottom.pngbin0 -> 41109 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/delay_link.pngbin0 -> 1943 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/druplicon.pngbin0 -> 9215 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/exit_link.pngbin0 -> 867 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/exit_over.pngbin0 -> 877 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/fade_link.pngbin0 -> 1079 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/fade_on.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/fade_over.pngbin0 -> 1093 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/framing.css65
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/iepngfix.htc42
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/last_link.pngbin0 -> 975 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/last_over.pngbin0 -> 1001 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/list_link.pngbin0 -> 908 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/loop_link.pngbin0 -> 1622 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/loop_on.pngbin0 -> 1665 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/loop_over.pngbin0 -> 1711 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/next_link.pngbin0 -> 713 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/next_over.pngbin0 -> 734 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/notes.css122
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/notes_link.pngbin0 -> 755 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/notes_over.pngbin0 -> 789 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/opera.css7
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/outline.css15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/pause_link.pngbin0 -> 732 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/pause_on.pngbin0 -> 726 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/pause_over.pngbin0 -> 757 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/pretty.css354
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/prev_link.pngbin0 -> 757 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/prev_over.pngbin0 -> 748 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/print.css1
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/s5-core.css9
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/slides.css3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/slides.js2812
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/toggle_link.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/toggle_over.pngbin0 -> 1071 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/top.pngbin0 -> 74839 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/volume_link.pngbin0 -> 943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/wrap.css410
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/zero_link.pngbin0 -> 968 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/zero_over.pngbin0 -> 1004 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/audio_link.pngbin0 -> 1183 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/audio_on.pngbin0 -> 1227 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/audio_over.pngbin0 -> 1245 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/auto_link.pngbin0 -> 1076 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/auto_on.pngbin0 -> 1106 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/auto_over.pngbin0 -> 1117 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/backgrnd.pngbin0 -> 10257 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/blume.jpgbin0 -> 6779 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/blumerechts.jpgbin0 -> 2215 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/delay_link.pngbin0 -> 1943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/exit_link.pngbin0 -> 867 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/exit_over.pngbin0 -> 877 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/fade_link.pngbin0 -> 1079 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/fade_on.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/fade_over.pngbin0 -> 1093 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/footer.jpgbin0 -> 4451 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/framing.css23
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/header.pngbin0 -> 27487 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/last_link.pngbin0 -> 975 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/last_over.pngbin0 -> 1001 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/list_link.pngbin0 -> 908 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/loop_link.pngbin0 -> 1622 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/loop_on.pngbin0 -> 1665 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/loop_over.pngbin0 -> 1711 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/next_link.pngbin0 -> 713 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/next_over.pngbin0 -> 734 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/notes_link.pngbin0 -> 755 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/notes_over.pngbin0 -> 789 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/opera.css7
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/outline.css15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/pause_link.pngbin0 -> 732 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/pause_on.pngbin0 -> 726 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/pause_over.pngbin0 -> 757 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/pretty.css168
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/prev_link.pngbin0 -> 757 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/prev_over.pngbin0 -> 748 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/print.css1
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/s5-core.css9
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/slides.css3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/slides.js2812
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/toggle_link.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/toggle_over.pngbin0 -> 1071 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/volume_link.pngbin0 -> 943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/wrap.css410
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/zero_link.pngbin0 -> 968 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/zero_over.pngbin0 -> 1004 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/audio_link.pngbin0 -> 1183 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/audio_on.pngbin0 -> 1227 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/audio_over.pngbin0 -> 1245 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/auto_link.pngbin0 -> 1076 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/auto_on.pngbin0 -> 1106 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/auto_over.pngbin0 -> 1117 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/bg-slide.jpgbin0 -> 48642 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/delay_link.pngbin0 -> 1943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/exit_link.pngbin0 -> 867 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/exit_over.pngbin0 -> 877 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/fade_link.pngbin0 -> 1079 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/fade_on.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/fade_over.pngbin0 -> 1093 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/framing.css23
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/iepngfix.htc42
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/last_link.pngbin0 -> 975 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/last_over.pngbin0 -> 1001 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/list_link.pngbin0 -> 908 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/loop_link.pngbin0 -> 1622 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/loop_on.pngbin0 -> 1665 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/loop_over.pngbin0 -> 1711 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/next_link.pngbin0 -> 713 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/next_over.pngbin0 -> 734 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/notes_link.pngbin0 -> 755 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/notes_over.pngbin0 -> 789 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/opera.css7
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/outline.css15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/pause_link.pngbin0 -> 732 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/pause_on.pngbin0 -> 726 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/pause_over.pngbin0 -> 757 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/pretty.css130
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/prev_link.pngbin0 -> 757 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/prev_over.pngbin0 -> 748 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/print.css1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/s5-core.css9
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/slides.css3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/slides.js2812
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/toggle_link.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/toggle_over.pngbin0 -> 1071 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/volume_link.pngbin0 -> 943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/wrap.css410
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/zero_link.pngbin0 -> 968 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/zero_over.pngbin0 -> 1004 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/LEEME.txt32
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/audio_link.pngbin0 -> 1183 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/audio_on.pngbin0 -> 1227 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/audio_over.pngbin0 -> 1245 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/auto_link.pngbin0 -> 1076 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/auto_on.pngbin0 -> 1106 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/auto_over.pngbin0 -> 1117 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/backgrnd.pngbin0 -> 10257 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/blank.gifbin0 -> 49 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/delay_link.pngbin0 -> 1943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/exit_link.pngbin0 -> 867 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/exit_over.pngbin0 -> 877 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/fade_link.pngbin0 -> 1079 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/fade_on.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/fade_over.pngbin0 -> 1093 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/footer.jpgbin0 -> 4451 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/framing.css23
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/header.pngbin0 -> 27487 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/iepngfix.htc42
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/index.html100
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/last_link.pngbin0 -> 975 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/last_over.pngbin0 -> 1001 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/list_link.pngbin0 -> 908 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/loop_link.pngbin0 -> 1622 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/loop_on.pngbin0 -> 1665 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/loop_over.pngbin0 -> 1711 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/next_link.pngbin0 -> 713 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/next_over.pngbin0 -> 734 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/notes_link.pngbin0 -> 755 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/notes_over.pngbin0 -> 789 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/opera.css7
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/outline.css15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pause_link.pngbin0 -> 732 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pause_on.pngbin0 -> 726 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pause_over.pngbin0 -> 757 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/back-handout-print.pngbin0 -> 5999 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/back-slide-print.pngbin0 -> 6487 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/back_console.pngbin0 -> 14135 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/background-foot.pngbin0 -> 501 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/background-head.pngbin0 -> 2999 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/background-main.pngbin0 -> 1824 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/background-main2.pngbin0 -> 3735 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/favicon.icobin0 -> 1406 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/logo.pngbin0 -> 16331 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/table-background.pngbin0 -> 460 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/table-header.pngbin0 -> 247 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pretty.css583
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/prev_link.pngbin0 -> 757 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/prev_over.pngbin0 -> 748 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/print.css1
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/s5-core.css9
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/slides.css3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/slides.js2812
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/toggle_link.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/toggle_over.pngbin0 -> 1071 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/volume_link.pngbin0 -> 943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/wrap.css410
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/zero_link.pngbin0 -> 968 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/zero_over.pngbin0 -> 1004 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/graphic_support/blank.gifbin0 -> 49 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/graphic_support/finish.gifbin0 -> 1364 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/graphic_support/fixed.js1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/graphic_support/help.jpgbin0 -> 30942 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/graphic_support/iepngfix.htc42
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/graphic_support/numeric.pngbin0 -> 7144 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/graphic_support/progress.gifbin0 -> 4237 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/00_head.txt8
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/audio_link.pngbin0 -> 1183 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/audio_on.pngbin0 -> 1227 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/audio_over.pngbin0 -> 1245 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/auto_link.pngbin0 -> 1076 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/auto_on.pngbin0 -> 1106 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/auto_over.pngbin0 -> 1117 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/backgrnd.pngbin0 -> 10257 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/bg-shade.pngbin0 -> 594 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/bg-slide.jpgbin0 -> 31239 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/delay_link.pngbin0 -> 1943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/exit_link.pngbin0 -> 867 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/exit_over.pngbin0 -> 877 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/fade_link.pngbin0 -> 1079 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/fade_on.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/fade_over.pngbin0 -> 1093 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/footer.jpgbin0 -> 4451 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/framing.css23
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/header.pngbin0 -> 27487 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/last_link.pngbin0 -> 975 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/last_over.pngbin0 -> 1001 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/list_link.pngbin0 -> 908 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/loop_link.pngbin0 -> 1622 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/loop_on.pngbin0 -> 1665 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/loop_over.pngbin0 -> 1711 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/next_link.pngbin0 -> 713 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/next_over.pngbin0 -> 734 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/notes_link.pngbin0 -> 755 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/notes_over.pngbin0 -> 789 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/opera.css7
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/outline.css15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/pause_link.pngbin0 -> 732 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/pause_on.pngbin0 -> 726 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/pause_over.pngbin0 -> 757 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/pretty.css139
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/prev_link.pngbin0 -> 757 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/prev_over.pngbin0 -> 748 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/print.css1
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/s5-core.css9
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/slides.css3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/slides.js2812
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/toggle_link.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/toggle_over.pngbin0 -> 1071 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/volume_link.pngbin0 -> 943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/wrap.css410
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/zero_link.pngbin0 -> 968 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/zero_over.pngbin0 -> 1004 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/LEEME.txt31
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/audio_link.pngbin0 -> 1183 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/audio_on.pngbin0 -> 1227 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/audio_over.pngbin0 -> 1245 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/auto_link.pngbin0 -> 1076 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/auto_on.pngbin0 -> 1106 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/auto_over.pngbin0 -> 1117 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/backgrnd.pngbin0 -> 10257 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/blank.gifbin0 -> 49 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/delay_link.pngbin0 -> 1943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/exit_link.pngbin0 -> 867 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/exit_over.pngbin0 -> 877 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/fade_link.pngbin0 -> 1079 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/fade_on.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/fade_over.pngbin0 -> 1093 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/footer.jpgbin0 -> 4451 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/framing.css23
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/header.pngbin0 -> 27487 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/iepngfix.htc42
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/index.html100
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/last_link.pngbin0 -> 975 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/last_over.pngbin0 -> 1001 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/list_link.pngbin0 -> 908 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/loop_link.pngbin0 -> 1622 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/loop_on.pngbin0 -> 1665 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/loop_over.pngbin0 -> 1711 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/next_link.pngbin0 -> 713 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/next_over.pngbin0 -> 734 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/notes_link.pngbin0 -> 755 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/notes_over.pngbin0 -> 789 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/opera.css7
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/outline.css15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pause_link.pngbin0 -> 732 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pause_on.pngbin0 -> 726 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pause_over.pngbin0 -> 757 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/back-handout-print.pngbin0 -> 5958 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/back-slide-print.pngbin0 -> 5648 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/back_console.pngbin0 -> 11708 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/background-foot.pngbin0 -> 397 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/background-head.pngbin0 -> 401 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/background-head.xcf.7zbin0 -> 2889 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/background-main.pngbin0 -> 27159 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/background-main2.pngbin0 -> 27171 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/favicon.icobin0 -> 1406 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/logo.pngbin0 -> 11222 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/table-background.pngbin0 -> 460 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/table-header.pngbin0 -> 654 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pretty.css584
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/prev_link.pngbin0 -> 757 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/prev_over.pngbin0 -> 748 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/print.css1
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/s5-core.css9
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/slides.css3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/slides.js2812
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/toggle_link.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/toggle_over.pngbin0 -> 1071 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/volume_link.pngbin0 -> 943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/wrap.css410
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/zero_link.pngbin0 -> 968 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/zero_over.pngbin0 -> 1004 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/audio_link.pngbin0 -> 1183 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/audio_on.pngbin0 -> 1227 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/audio_over.pngbin0 -> 1245 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/auto_link.pngbin0 -> 1076 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/auto_on.pngbin0 -> 1106 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/auto_over.pngbin0 -> 1117 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/backgrnd.pngbin0 -> 10257 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/delay_link.pngbin0 -> 1943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/exit_link.pngbin0 -> 867 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/exit_over.pngbin0 -> 877 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/fade_link.pngbin0 -> 1079 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/fade_on.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/fade_over.pngbin0 -> 1093 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/footer.jpgbin0 -> 4451 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/framing.css23
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/header.pngbin0 -> 27487 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/last_link.pngbin0 -> 975 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/last_over.pngbin0 -> 1001 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/list_link.pngbin0 -> 908 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/loop_link.pngbin0 -> 1622 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/loop_on.pngbin0 -> 1665 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/loop_over.pngbin0 -> 1711 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/next_link.pngbin0 -> 713 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/next_over.pngbin0 -> 734 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/notes_link.pngbin0 -> 755 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/notes_over.pngbin0 -> 789 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/opera.css7
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/outline.css15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/pause_link.pngbin0 -> 732 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/pause_on.pngbin0 -> 726 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/pause_over.pngbin0 -> 757 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/pixelbg.gifbin0 -> 1716 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/pixelheader.jpgbin0 -> 3571 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/pixelslide0bg.gifbin0 -> 3180 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/pretty.css166
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/prev_link.pngbin0 -> 757 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/prev_over.pngbin0 -> 748 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/print.css1
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/s5-core.css9
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/slides.css3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/slides.js2812
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/toggle_link.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/toggle_over.pngbin0 -> 1071 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/volume_link.pngbin0 -> 943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/wrap.css410
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/zero_link.pngbin0 -> 968 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/zero_over.pngbin0 -> 1004 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/LEEME.txt31
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/audio_link.pngbin0 -> 1183 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/audio_on.pngbin0 -> 1227 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/audio_over.pngbin0 -> 1245 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/auto_link.pngbin0 -> 1076 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/auto_on.pngbin0 -> 1106 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/auto_over.pngbin0 -> 1117 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/backgrnd.pngbin0 -> 10257 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/blank.gifbin0 -> 49 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/delay_link.pngbin0 -> 1943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/exit_link.pngbin0 -> 867 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/exit_over.pngbin0 -> 877 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/fade_link.pngbin0 -> 1079 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/fade_on.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/fade_over.pngbin0 -> 1093 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/footer.jpgbin0 -> 4451 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/framing.css23
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/header.pngbin0 -> 27487 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/iepngfix.htc42
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/index.html100
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/last_link.pngbin0 -> 975 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/last_over.pngbin0 -> 1001 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/list_link.pngbin0 -> 908 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/loop_link.pngbin0 -> 1622 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/loop_on.pngbin0 -> 1665 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/loop_over.pngbin0 -> 1711 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/next_link.pngbin0 -> 713 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/next_over.pngbin0 -> 734 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/notes_link.pngbin0 -> 755 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/notes_over.pngbin0 -> 789 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/opera.css7
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/outline.css15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pause_link.pngbin0 -> 732 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pause_on.pngbin0 -> 726 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pause_over.pngbin0 -> 757 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/back-handout-print.pngbin0 -> 5999 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/back-index.pngbin0 -> 15049 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/back-slide-print.pngbin0 -> 6487 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/back_console.pngbin0 -> 14135 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/background-foot.pngbin0 -> 389 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/background-head.pngbin0 -> 373 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/background-main.pngbin0 -> 9066 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/favicon.icobin0 -> 318 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/logo.pngbin0 -> 1312 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/table-background.pngbin0 -> 460 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/table-header.pngbin0 -> 384 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pretty.css585
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/prev_link.pngbin0 -> 757 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/prev_over.pngbin0 -> 748 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/print.css1
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/s5-core.css9
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/slides.css3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/slides.js2812
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/toggle_link.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/toggle_over.pngbin0 -> 1071 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/volume_link.pngbin0 -> 943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/wrap.css410
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/zero_link.pngbin0 -> 968 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/zero_over.pngbin0 -> 1004 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/s5-notes.html157
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/audio_link.pngbin0 -> 1183 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/audio_on.pngbin0 -> 1227 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/audio_over.pngbin0 -> 1245 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/auto_link.pngbin0 -> 1076 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/auto_on.pngbin0 -> 1106 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/auto_over.pngbin0 -> 1117 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/backgrnd.jpgbin0 -> 3648 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/backgrnd.pngbin0 -> 10257 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/blank.gifbin0 -> 49 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/chalks.pngbin0 -> 30926 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/delay_link.pngbin0 -> 1943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/exit_link.pngbin0 -> 867 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/exit_over.pngbin0 -> 877 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/fade_link.pngbin0 -> 1079 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/fade_on.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/fade_over.pngbin0 -> 1093 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/footer.jpgbin0 -> 4451 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/footer.pngbin0 -> 34238 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/framing.css22
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/header.pngbin0 -> 49918 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/iepngfix.htc42
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/index.html100
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/last_link.pngbin0 -> 975 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/last_over.pngbin0 -> 1001 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/list_link.pngbin0 -> 908 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/loop_link.pngbin0 -> 1622 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/loop_on.pngbin0 -> 1665 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/loop_over.pngbin0 -> 1711 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/next_link.pngbin0 -> 713 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/next_over.pngbin0 -> 734 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/notes_link.pngbin0 -> 755 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/notes_over.pngbin0 -> 789 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/opera.css7
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/outline.css14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/pause_link.pngbin0 -> 732 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/pause_on.pngbin0 -> 726 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/pause_over.pngbin0 -> 757 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/pretty.css162
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/prev_link.pngbin0 -> 757 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/prev_over.pngbin0 -> 748 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/print.css3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/s5-core.css8
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/slides.css3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/slides.js2812
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/toggle_link.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/toggle_over.pngbin0 -> 1071 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/triangle.pngbin0 -> 81350 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/volume_link.pngbin0 -> 943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/wrap.css410
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/zero_link.pngbin0 -> 968 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/zero_over.pngbin0 -> 1004 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/audio_link.pngbin0 -> 1183 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/audio_on.pngbin0 -> 1227 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/audio_over.pngbin0 -> 1245 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/auto_link.pngbin0 -> 1076 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/auto_on.pngbin0 -> 1106 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/auto_over.pngbin0 -> 1117 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/backgrnd.pngbin0 -> 12943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/bank.pngbin0 -> 41837 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/blank.gifbin0 -> 49 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/delay_link.pngbin0 -> 1943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/exit_link.pngbin0 -> 867 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/exit_over.pngbin0 -> 877 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/fade_link.pngbin0 -> 1079 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/fade_on.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/fade_over.pngbin0 -> 1093 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/footer.jpgbin0 -> 4451 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/footer.pngbin0 -> 62136 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/framing.css22
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/header.pngbin0 -> 27487 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/iepngfix.htc42
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/index.html100
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/last_link.pngbin0 -> 975 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/last_over.pngbin0 -> 1001 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/list_link.pngbin0 -> 908 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/loop_link.pngbin0 -> 1622 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/loop_on.pngbin0 -> 1665 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/loop_over.pngbin0 -> 1711 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/next_link.pngbin0 -> 713 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/next_over.pngbin0 -> 734 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/notes_link.pngbin0 -> 755 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/notes_over.pngbin0 -> 789 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/opera.css7
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/outline.css14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/pattern.pngbin0 -> 226 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/pause_link.pngbin0 -> 732 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/pause_on.pngbin0 -> 726 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/pause_over.pngbin0 -> 757 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/pretty.css163
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/prev_link.pngbin0 -> 757 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/prev_over.pngbin0 -> 748 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/print.css3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/s5-core.css8
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/slides.css3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/slides.js2812
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/sun.pngbin0 -> 32851 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/toggle_link.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/toggle_over.pngbin0 -> 1071 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/volume_link.pngbin0 -> 943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/wrap.css410
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/zero_link.pngbin0 -> 968 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/zero_over.pngbin0 -> 1004 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/LEEME.txt31
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/audio_link.pngbin0 -> 1183 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/audio_on.pngbin0 -> 1227 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/audio_over.pngbin0 -> 1245 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/auto_link.pngbin0 -> 1076 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/auto_on.pngbin0 -> 1106 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/auto_over.pngbin0 -> 1117 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/backgrnd.pngbin0 -> 10257 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/blank.gifbin0 -> 49 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/delay_link.pngbin0 -> 1943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/exit_link.pngbin0 -> 867 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/exit_over.pngbin0 -> 877 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/fade_link.pngbin0 -> 1079 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/fade_on.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/fade_over.pngbin0 -> 1093 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/footer.jpgbin0 -> 4451 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/framing.css23
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/header.pngbin0 -> 27487 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/iepngfix.htc42
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/index.html100
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/last_link.pngbin0 -> 975 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/last_over.pngbin0 -> 1001 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/list_link.pngbin0 -> 908 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/loop_link.pngbin0 -> 1622 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/loop_on.pngbin0 -> 1665 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/loop_over.pngbin0 -> 1711 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/next_link.pngbin0 -> 713 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/next_over.pngbin0 -> 734 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/notes_link.pngbin0 -> 755 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/notes_over.pngbin0 -> 789 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/opera.css7
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/outline.css15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pause_link.pngbin0 -> 732 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pause_on.pngbin0 -> 726 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pause_over.pngbin0 -> 757 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/back-handout-print.pngbin0 -> 5999 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/back-slide-print.pngbin0 -> 6487 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/back_console.pngbin0 -> 14135 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/background-foot.pngbin0 -> 604 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/background-head.pngbin0 -> 605 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/background-main.pngbin0 -> 51209 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/favicon.icobin0 -> 1406 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/logo.pngbin0 -> 14675 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/table-background.pngbin0 -> 460 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/table-header.pngbin0 -> 309 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pretty.css583
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/prev_link.pngbin0 -> 757 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/prev_over.pngbin0 -> 748 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/print.css1
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/s5-core.css9
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/slides.css3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/slides.js2812
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/toggle_link.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/toggle_over.pngbin0 -> 1071 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/volume_link.pngbin0 -> 943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/wrap.css410
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/zero_link.pngbin0 -> 968 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/zero_over.pngbin0 -> 1004 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/LEEME.txt36
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/audio_link.pngbin0 -> 1183 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/audio_on.pngbin0 -> 1227 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/audio_over.pngbin0 -> 1245 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/auto_link.pngbin0 -> 1076 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/auto_on.pngbin0 -> 1106 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/auto_over.pngbin0 -> 1117 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/backgrnd.pngbin0 -> 10257 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/blank.gifbin0 -> 49 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/delay_link.pngbin0 -> 1943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/exit_link.pngbin0 -> 867 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/exit_over.pngbin0 -> 877 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/fade_link.pngbin0 -> 1079 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/fade_on.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/fade_over.pngbin0 -> 1093 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/footer.jpgbin0 -> 4451 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/framing.css23
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/header.pngbin0 -> 27487 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/iepngfix.htc42
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/index.html100
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/last_link.pngbin0 -> 975 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/last_over.pngbin0 -> 1001 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/list_link.pngbin0 -> 908 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/loop_link.pngbin0 -> 1622 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/loop_on.pngbin0 -> 1665 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/loop_over.pngbin0 -> 1711 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/next_link.pngbin0 -> 713 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/next_over.pngbin0 -> 734 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/notes_link.pngbin0 -> 755 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/notes_over.pngbin0 -> 789 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/opera.css7
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/outline.css15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pause_link.pngbin0 -> 732 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pause_on.pngbin0 -> 726 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pause_over.pngbin0 -> 757 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pics/background-foot.pngbin0 -> 1705 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pics/background-head.pngbin0 -> 1700 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pics/background-main.pngbin0 -> 358052 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pics/favicon.icobin0 -> 1150 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pics/logo.pngbin0 -> 13015 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pics/table-background.pngbin0 -> 460 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pics/table-header.pngbin0 -> 309 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pretty.css583
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/prev_link.pngbin0 -> 757 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/prev_over.pngbin0 -> 748 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/print.css1
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/s5-core.css9
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/slides.css3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/slides.js2812
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/toggle_link.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/toggle_over.pngbin0 -> 1071 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/volume_link.pngbin0 -> 943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/wrap.css410
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/zero_link.pngbin0 -> 968 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/zero_over.pngbin0 -> 1004 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/LEEME.txt31
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/audio_link.pngbin0 -> 1183 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/audio_on.pngbin0 -> 1227 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/audio_over.pngbin0 -> 1245 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/auto_link.pngbin0 -> 1076 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/auto_on.pngbin0 -> 1106 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/auto_over.pngbin0 -> 1117 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/backgrnd.pngbin0 -> 10257 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/blank.gifbin0 -> 49 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/delay_link.pngbin0 -> 1943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/exit_link.pngbin0 -> 867 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/exit_over.pngbin0 -> 877 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/fade_link.pngbin0 -> 1079 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/fade_on.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/fade_over.pngbin0 -> 1093 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/footer.jpgbin0 -> 4451 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/framing.css23
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/header.pngbin0 -> 27487 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/iepngfix.htc42
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/index.php102
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/last_link.pngbin0 -> 975 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/last_over.pngbin0 -> 1001 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/list_link.pngbin0 -> 908 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/loop_link.pngbin0 -> 1622 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/loop_on.pngbin0 -> 1665 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/loop_over.pngbin0 -> 1711 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/next_link.pngbin0 -> 713 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/next_over.pngbin0 -> 734 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/notes_link.pngbin0 -> 755 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/notes_over.pngbin0 -> 789 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/opera.css7
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/outline.css15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/pause_link.pngbin0 -> 732 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/pause_on.pngbin0 -> 726 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/pause_over.pngbin0 -> 757 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/pics/back-handout-print.pngbin0 -> 5999 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/pics/back-slide-print.pngbin0 -> 6487 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/pics/back_console.pngbin0 -> 14135 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/pics/background-foot.pngbin0 -> 451 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/pics/background-head.pngbin0 -> 450 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/pics/background-head.xcfbin0 -> 6114 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/pics/background-main.pngbin0 -> 92480 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/pics/favicon.icobin0 -> 1406 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/pics/logo.jpgbin0 -> 7126 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/pics/table-background.pngbin0 -> 460 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/pics/table-header.pngbin0 -> 344 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/pretty.css525
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/prev_link.pngbin0 -> 757 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/prev_over.pngbin0 -> 748 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/print.css1
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/s5-core.css9
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/slides.css3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/slides.js2846
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/toggle_link.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/toggle_over.pngbin0 -> 1071 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/volume_link.pngbin0 -> 943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/wrap.css410
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/zero_link.pngbin0 -> 968 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/thesis/zero_over.pngbin0 -> 1004 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/LEEME.txt32
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/audio_link.pngbin0 -> 1183 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/audio_on.pngbin0 -> 1227 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/audio_over.pngbin0 -> 1245 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/auto_link.pngbin0 -> 1076 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/auto_on.pngbin0 -> 1106 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/auto_over.pngbin0 -> 1117 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/backgrnd.pngbin0 -> 10257 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/blank.gifbin0 -> 49 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/delay_link.pngbin0 -> 1943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/exit_link.pngbin0 -> 867 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/exit_over.pngbin0 -> 877 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/fade_link.pngbin0 -> 1079 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/fade_on.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/fade_over.pngbin0 -> 1093 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/footer.jpgbin0 -> 4451 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/framing.css23
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/header.pngbin0 -> 27487 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/iepngfix.htc42
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/images/favicon.icobin0 -> 3638 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/index.html100
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/last_link.pngbin0 -> 975 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/last_over.pngbin0 -> 1001 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/list_link.pngbin0 -> 908 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/loop_link.pngbin0 -> 1622 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/loop_on.pngbin0 -> 1665 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/loop_over.pngbin0 -> 1711 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/next_link.pngbin0 -> 713 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/next_over.pngbin0 -> 734 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/notes_link.pngbin0 -> 755 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/notes_over.pngbin0 -> 789 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/opera.css7
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/outline.css15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/pause_link.pngbin0 -> 732 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/pause_on.pngbin0 -> 726 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/pause_over.pngbin0 -> 757 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/pics/background-foot.pngbin0 -> 269 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/pics/background-head.pngbin0 -> 273 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/pics/background-main.pngbin0 -> 14732 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/pics/dot.pngbin0 -> 670 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/pics/dot2.pngbin0 -> 422 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/pics/h1icon.pngbin0 -> 2999 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/pics/link.pngbin0 -> 447 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/pics/logo.pngbin0 -> 15020 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/pics/mail.pngbin0 -> 993 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/pretty.css543
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/prev_link.pngbin0 -> 757 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/prev_over.pngbin0 -> 748 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/print.css1
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/s5-core.css9
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/slides.css3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/slides.js2812
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/toggle_link.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/toggle_over.pngbin0 -> 1071 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/volume_link.pngbin0 -> 943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/wrap.css410
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/zero_link.pngbin0 -> 968 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/ultraviolet/zero_over.pngbin0 -> 1004 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/audio_link.pngbin0 -> 1183 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/audio_on.pngbin0 -> 1227 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/audio_over.pngbin0 -> 1245 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/auto_link.pngbin0 -> 1076 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/auto_on.pngbin0 -> 1106 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/auto_over.pngbin0 -> 1117 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/bkgr.jpgbin0 -> 9069 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/blank.gifbin0 -> 49 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/bodybg.gifbin0 -> 10119 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/buzzsaw.gifbin0 -> 5087 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/buzzsaw.pngbin0 -> 4494 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/delay_link.pngbin0 -> 1943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/exit_link.pngbin0 -> 867 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/exit_over.pngbin0 -> 877 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/fade_link.pngbin0 -> 1079 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/fade_on.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/fade_over.pngbin0 -> 1093 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/framing.css23
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/iepngfix.htc42
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/last_link.pngbin0 -> 975 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/last_over.pngbin0 -> 1001 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/list_link.pngbin0 -> 908 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/loop_link.pngbin0 -> 1622 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/loop_on.pngbin0 -> 1665 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/loop_over.pngbin0 -> 1711 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/next_link.pngbin0 -> 713 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/next_over.pngbin0 -> 734 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/notes_link.pngbin0 -> 755 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/notes_over.pngbin0 -> 789 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/opera.css7
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/outline.css15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/pause_link.pngbin0 -> 732 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/pause_on.pngbin0 -> 726 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/pause_over.pngbin0 -> 757 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/pretty.css1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/prev_link.pngbin0 -> 757 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/prev_over.pngbin0 -> 748 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/print.css1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/s5-core.css9
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/slides.css3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/slides.js2812
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/toggle_link.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/toggle_over.pngbin0 -> 1071 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/volume_link.pngbin0 -> 943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/wrap.css410
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/zero_link.pngbin0 -> 968 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/vmc/zero_over.pngbin0 -> 1004 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/audio_link.pngbin0 -> 1183 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/audio_on.pngbin0 -> 1227 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/audio_over.pngbin0 -> 1245 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/auto_link.pngbin0 -> 1076 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/auto_on.pngbin0 -> 1106 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/auto_over.pngbin0 -> 1117 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/backgrnd.pngbin0 -> 10257 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/bodybg.gifbin0 -> 1373 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/button.gifbin0 -> 920 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/delay_link.pngbin0 -> 1943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/exit_link.pngbin0 -> 867 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/exit_over.pngbin0 -> 877 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/fade_link.pngbin0 -> 1079 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/fade_on.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/fade_over.pngbin0 -> 1093 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/footer.jpgbin0 -> 4451 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/framing.css23
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/head.gifbin0 -> 1373 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/header.pngbin0 -> 27487 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/last_link.pngbin0 -> 975 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/last_over.pngbin0 -> 1001 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/list_link.pngbin0 -> 908 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/loop_link.pngbin0 -> 1622 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/loop_on.pngbin0 -> 1665 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/loop_over.pngbin0 -> 1711 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/next_link.pngbin0 -> 713 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/next_over.pngbin0 -> 734 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/notes_link.pngbin0 -> 755 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/notes_over.pngbin0 -> 789 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/opera.css7
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/outline.css15
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/pause_link.pngbin0 -> 732 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/pause_on.pngbin0 -> 726 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/pause_over.pngbin0 -> 757 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/pretty.css221
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/prev_link.pngbin0 -> 757 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/prev_over.pngbin0 -> 748 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/print.css1
-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/s5-core.css9
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/slide0h1.gifbin0 -> 3143 bytes-rwxr-xr-xmod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/slides.css3
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/slides.js2812
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/toggle.gifbin0 -> 1128 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/toggle_link.pngbin0 -> 1039 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/toggle_over.pngbin0 -> 1071 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/volume_link.pngbin0 -> 943 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/wrap.css410
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/zero_link.pngbin0 -> 968 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/yatil/zero_over.pngbin0 -> 1004 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/syntax.php281
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/tbt/script.js207
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/tbt/style.css7
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/tbt/syntax.php79
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/admin.php627
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/images/search.pngbin0 -> 733 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/af/lang.php14
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ar/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ar/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ar/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ar/lang.php27
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/bg/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/bg/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/bg/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/bg/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/bg/lang.php48
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/bg/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ca-valencia/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ca-valencia/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ca-valencia/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ca-valencia/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ca-valencia/lang.php49
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ca-valencia/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ca/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ca/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ca/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ca/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ca/lang.php50
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ca/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/cs/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/cs/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/cs/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/cs/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/cs/lang.php50
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/cs/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/da/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/da/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/da/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/da/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/da/lang.php52
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/da/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/de-informal/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/de-informal/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/de-informal/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/de-informal/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/de-informal/lang.php48
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/de-informal/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/de/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/de/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/de/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/de/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/de/lang.php56
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/de/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/el/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/el/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/el/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/el/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/el/lang.php53
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/el/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/en/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/en/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/en/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/en/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/en/lang.php58
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/en/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/eo/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/eo/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/eo/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/eo/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/eo/lang.php54
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/eo/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/es/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/es/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/es/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/es/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/es/lang.php60
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/es/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/eu/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/eu/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/eu/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/eu/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/eu/lang.php47
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/eu/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/fa/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/fa/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/fa/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/fa/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/fa/lang.php51
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/fa/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/fi/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/fi/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/fi/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/fi/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/fi/lang.php49
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/fi/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/fr/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/fr/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/fr/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/fr/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/fr/lang.php55
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/fr/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/gl/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/gl/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/gl/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/gl/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/gl/lang.php49
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/gl/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/he/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/he/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/he/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/he/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/he/lang.php48
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/he/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/hi/lang.php6
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/hr/lang.php7
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/hu/add.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/hu/delete.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/hu/edit.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/hu/intro.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/hu/lang.php49
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/hu/list.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/id-ni/lang.php7
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/id/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/id/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/id/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/id/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/id/lang.php47
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/id/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/is/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/is/lang.php18
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/it/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/it/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/it/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/it/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/it/lang.php54
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/it/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ja/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ja/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ja/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ja/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ja/lang.php50
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ja/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ko/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ko/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ko/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ko/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ko/lang.php50
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ko/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/lt/add.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/lt/delete.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/lt/edit.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/lt/intro.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/lt/lang.php48
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/lt/list.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/lv/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/lv/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/lv/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/lv/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/lv/lang.php48
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/lv/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/mr/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/mr/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/mr/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/mr/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/mr/lang.php50
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/mr/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ne/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ne/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ne/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ne/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ne/lang.php49
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ne/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/nl/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/nl/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/nl/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/nl/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/nl/lang.php53
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/nl/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/no/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/no/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/no/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/no/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/no/lang.php56
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/no/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/pl/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/pl/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/pl/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/pl/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/pl/lang.php50
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/pl/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/pt-br/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/pt-br/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/pt-br/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/pt-br/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/pt-br/lang.php57
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/pt-br/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/pt/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/pt/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/pt/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/pt/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/pt/lang.php50
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/pt/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ro/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ro/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ro/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ro/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ro/lang.php52
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ro/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ru/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ru/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ru/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ru/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ru/lang.php56
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/ru/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/sk/add.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/sk/delete.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/sk/edit.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/sk/intro.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/sk/lang.php50
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/sk/list.txt2
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/sl/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/sl/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/sl/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/sl/lang.php20
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/sl/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/sr/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/sr/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/sr/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/sr/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/sr/lang.php48
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/sr/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/sv/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/sv/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/sv/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/sv/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/sv/lang.php58
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/sv/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/th/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/th/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/th/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/th/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/th/lang.php47
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/th/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/tr/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/tr/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/tr/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/tr/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/tr/lang.php51
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/tr/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/uk/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/uk/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/uk/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/uk/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/uk/lang.php52
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/uk/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/zh-tw/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/zh-tw/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/zh-tw/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/zh-tw/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/zh-tw/lang.php52
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/zh-tw/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/zh/add.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/zh/delete.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/zh/edit.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/zh/intro.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/zh/lang.php51
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/lang/zh/list.txt1
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/script.js9
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/plugins/usermanager/style.css20
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/scripts/ajax.js68
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/scripts/cookie.js112
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/scripts/drag.js99
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/scripts/edit.js442
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/scripts/events.js176
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/scripts/helpers.js146
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/scripts/index.html12
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/scripts/index.js116
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/scripts/linkwiz.js282
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/scripts/media.js351
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/scripts/pngbehavior.htc53
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/scripts/script.js561
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/scripts/textselection.js226
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/scripts/toolbar.js252
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/scripts/tw-sack.js136
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/styles/feed.css63
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/styles/index.html12
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/styles/style.css113
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/conf/default.php8
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/conf/metadata.php9
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/design.css796
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/detail.php89
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/footer.html41
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/images/bullet.gifbin0 -> 50 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/images/button-cc.gifbin0 -> 1231 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/images/button-css.pngbin0 -> 299 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/images/button-donate.gifbin0 -> 187 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/images/button-dw.pngbin0 -> 427 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/images/button-php.gifbin0 -> 269 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/images/button-rss.pngbin0 -> 280 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/images/button-xhtml.pngbin0 -> 321 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/images/buttonshadow.pngbin0 -> 257 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/images/closed.gifbin0 -> 54 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/images/favicon.icobin0 -> 7406 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/images/inputshadow.pngbin0 -> 155 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/images/link_icon.gifbin0 -> 942 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/images/mail_icon.gifbin0 -> 918 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/images/open.gifbin0 -> 54 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/images/sidebar-border.gifbin0 -> 34 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/images/tocdot2.gifbin0 -> 810 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/images/windows.gifbin0 -> 223 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/layout.css79
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/main.php69
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/main_index.php135
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/media.css205
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/media.php54
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/mediaedit.php88
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/mediamanager.php44
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/mediaref.php50
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/print.css238
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/rtl.css119
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/sidebar.css189
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/sidebar.php9
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/sidebar_print.css9
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/style.ini67
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default/tplfn_sidebar.php98
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default2/_admin.css43
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default2/_linkwiz.css68
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default2/design.css835
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default2/detail.php89
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default2/footer.html41
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default2/images/UWEB.pngbin0 -> 1138 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default2/images/UWEBshadow.pngbin0 -> 1123 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default2/images/bullet.gifbin0 -> 50 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default2/images/button-cc.gifbin0 -> 1231 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default2/images/button-css.pngbin0 -> 299 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default2/images/button-donate.gifbin0 -> 187 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default2/images/button-dw.pngbin0 -> 427 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default2/images/button-php.gifbin0 -> 207 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default2/images/button-rss.pngbin0 -> 280 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default2/images/button-xhtml.pngbin0 -> 321 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default2/images/buttonshadow.pngbin0 -> 257 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default2/images/closed.gifbin0 -> 54 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default2/images/favicon.icobin0 -> 7406 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default2/images/inputshadow.pngbin0 -> 155 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default2/images/link_icon.gifbin0 -> 942 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default2/images/mail_icon.gifbin0 -> 918 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default2/images/open.gifbin0 -> 54 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default2/images/tocdot2.gifbin0 -> 810 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default2/images/windows.gifbin0 -> 223 bytes-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default2/layout.css79
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default2/main.php27
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default2/main_index.php121
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default2/media.css205
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default2/mediamanager.php44
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default2/print.css238
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default2/rtl.css119
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/default2/style.ini67
-rw-r--r--mod/dokuwiki/vendors/dokuwiki/lib/tpl/index.php68
-rw-r--r--mod/dokuwiki/views/default/dokuwiki/css.php12
-rw-r--r--mod/dokuwiki/views/default/dokuwiki/grouppage.php9
-rw-r--r--mod/dokuwiki/views/default/dokuwiki/index.php13
-rw-r--r--mod/dokuwiki/views/default/dokuwiki/metatags.php5
-rw-r--r--mod/dokuwiki/views/default/dokuwiki/sidebar.php10
-rw-r--r--mod/dokuwiki/views/default/object/dokuwiki.php19
-rw-r--r--mod/dokuwiki/views/default/river/dokuwiki.php17
-rw-r--r--mod/ecml/README.txt226
-rw-r--r--mod/ecml/actions/save_permissions.php37
-rw-r--r--mod/ecml/ecml_functions.php289
-rw-r--r--mod/ecml/graphics/dailymotion.pngbin179131 -> 0 bytes-rw-r--r--mod/ecml/graphics/ecml.pngbin341 -> 0 bytes-rw-r--r--mod/ecml/graphics/googlemaps.pngbin150177 -> 0 bytes-rw-r--r--mod/ecml/graphics/logo_bliptv.gifbin2048 -> 0 bytes-rw-r--r--mod/ecml/graphics/logo_dailymotion.gifbin2270 -> 0 bytes-rw-r--r--mod/ecml/graphics/logo_googlemaps.gifbin2632 -> 0 bytes-rw-r--r--mod/ecml/graphics/logo_livevideo.gifbin2234 -> 0 bytes-rw-r--r--mod/ecml/graphics/logo_redlasso.gifbin2078 -> 0 bytes-rw-r--r--mod/ecml/graphics/logo_slideshare.gifbin3039 -> 0 bytes-rw-r--r--mod/ecml/graphics/logo_vimeo.gifbin1783 -> 0 bytes-rw-r--r--mod/ecml/graphics/logo_youtube.gifbin2174 -> 0 bytes-rw-r--r--mod/ecml/graphics/redlasso_1.pngbin178296 -> 0 bytes-rw-r--r--mod/ecml/graphics/redlasso_2.pngbin162730 -> 0 bytes-rw-r--r--mod/ecml/graphics/slideshare.pngbin149631 -> 0 bytes-rw-r--r--mod/ecml/graphics/url_status.pngbin2001 -> 0 bytes-rw-r--r--mod/ecml/graphics/vimeo.pngbin174545 -> 0 bytes-rw-r--r--mod/ecml/graphics/youtube.pngbin158669 -> 0 bytes-rw-r--r--mod/ecml/languages/en.php92
-rw-r--r--mod/ecml/manifest.xml16
-rw-r--r--mod/ecml/start.php298
-rw-r--r--mod/ecml/views/default/ecml/admin/css.php156
-rw-r--r--mod/ecml/views/default/ecml/help.php28
-rw-r--r--mod/ecml/views/default/ecml/help/blip.tv.php12
-rw-r--r--mod/ecml/views/default/ecml/help/dailymotion.php12
-rw-r--r--mod/ecml/views/default/ecml/help/googlemaps.php12
-rw-r--r--mod/ecml/views/default/ecml/help/livevideo.php12
-rw-r--r--mod/ecml/views/default/ecml/help/redlasso.php13
-rw-r--r--mod/ecml/views/default/ecml/help/slideshare.php12
-rw-r--r--mod/ecml/views/default/ecml/help/vimeo.php12
-rw-r--r--mod/ecml/views/default/ecml/help/youtube.php12
-rw-r--r--mod/ecml/views/default/ecml/input_ext.php10
-rw-r--r--mod/ecml/views/default/ecml/keyword_help.php18
-rw-r--r--mod/ecml/views/default/ecml/keywords/blip.tv.php19
-rw-r--r--mod/ecml/views/default/ecml/keywords/dailymotion.php27
-rw-r--r--mod/ecml/views/default/ecml/keywords/entity.php22
-rw-r--r--mod/ecml/views/default/ecml/keywords/googlemaps.php23
-rw-r--r--mod/ecml/views/default/ecml/keywords/livevideo.php25
-rw-r--r--mod/ecml/views/default/ecml/keywords/redlasso.php23
-rw-r--r--mod/ecml/views/default/ecml/keywords/slideshare.php30
-rw-r--r--mod/ecml/views/default/ecml/keywords/user_list.php43
-rw-r--r--mod/ecml/views/default/ecml/keywords/vimeo.php50
-rw-r--r--mod/ecml/views/default/ecml/keywords/youtube.php39
-rw-r--r--mod/ecml/views/default/embed/web_services/content.php220
-rw-r--r--mod/ecml/views/default/settings/ecml/edit.php133
-rw-r--r--mod/embed/README.txt238
-rw-r--r--mod/embed/images/close_button.gifbin561 -> 0 bytes-rw-r--r--mod/embed/languages/en.php5
-rw-r--r--mod/embed/manifest.xml30
-rw-r--r--mod/embed/start.php182
-rw-r--r--mod/embed/views/default/embed/addcontentjs.php4
-rw-r--r--mod/embed/views/default/embed/css.php226
-rw-r--r--mod/embed/views/default/embed/embed.php157
-rw-r--r--mod/embed/views/default/embed/item.php39
-rw-r--r--mod/embed/views/default/embed/item/gallery.php54
-rw-r--r--mod/embed/views/default/embed/item/list.php51
-rw-r--r--mod/embed/views/default/embed/js.php402
-rw-r--r--mod/embed/views/default/embed/layout.php40
-rw-r--r--mod/embed/views/default/embed/layouts/gallery.php12
-rw-r--r--mod/embed/views/default/embed/layouts/list.php12
-rw-r--r--mod/embed/views/default/embed/link.php14
-rw-r--r--mod/embed/views/default/embed/list.php58
-rw-r--r--mod/embed/views/default/embed/metatags.php5
-rw-r--r--mod/embed/views/default/embed/upload/content.php48
-rw-r--r--mod/embed/views/default/group/default/embed.php5
-rw-r--r--mod/embed/views/default/js/embed/embed.php147
-rw-r--r--mod/embed/views/default/navigation/menu/embed.php18
-rw-r--r--mod/embed/views/default/object/default/embed.php6
-rw-r--r--mod/embed/views/default/object/file/embed.php16
-rw-r--r--mod/embed/views/default/object/file/embedlist.php18
-rw-r--r--mod/embed/views/default/site/default/embed.php5
-rw-r--r--mod/embed/views/default/user/default/embed.php5
-rw-r--r--mod/event_calendar/CHANGES.txt154
-rw-r--r--mod/event_calendar/CONTRIBUTIONS.txt7
-rw-r--r--mod/event_calendar/README.txt89
-rw-r--r--mod/event_calendar/actions/event_calendar/add_personal.php17
-rw-r--r--mod/event_calendar/actions/event_calendar/add_to_group.php10
-rw-r--r--mod/event_calendar/actions/event_calendar/add_to_group_members.php15
-rw-r--r--mod/event_calendar/actions/event_calendar/addtocalendar.php33
-rw-r--r--mod/event_calendar/actions/event_calendar/delete.php21
-rw-r--r--mod/event_calendar/actions/event_calendar/edit.php55
-rw-r--r--mod/event_calendar/actions/event_calendar/join_conference.php20
-rw-r--r--mod/event_calendar/actions/event_calendar/killrequest.php23
-rw-r--r--mod/event_calendar/actions/event_calendar/manage_subscribers.php26
-rw-r--r--mod/event_calendar/actions/event_calendar/modify_full_calendar.php24
-rw-r--r--mod/event_calendar/actions/event_calendar/remove_from_group.php8
-rw-r--r--mod/event_calendar/actions/event_calendar/remove_from_group_members.php15
-rw-r--r--mod/event_calendar/actions/event_calendar/remove_personal.php12
-rw-r--r--mod/event_calendar/actions/event_calendar/request_personal_calendar.php16
-rw-r--r--mod/event_calendar/actions/event_calendar/toggle_personal_calendar.php40
-rw-r--r--mod/event_calendar/activate.php4
-rw-r--r--mod/event_calendar/images/calendar.gifbin0 -> 269 bytes-rw-r--r--mod/event_calendar/images/event_icon.gifbin0 -> 1254 bytes-rw-r--r--mod/event_calendar/images/event_icon_old.gifbin0 -> 1531 bytes-rw-r--r--mod/event_calendar/images/ics.pngbin0 -> 344 bytes-rw-r--r--mod/event_calendar/images/river_icon_event.gifbin0 -> 586 bytes-rw-r--r--mod/event_calendar/languages/ca.php143
-rw-r--r--mod/event_calendar/languages/en.php360
-rw-r--r--mod/event_calendar/languages/es.php215
-rw-r--r--mod/event_calendar/languages/fr.php124
-rw-r--r--mod/event_calendar/languages/ru.php365
-rw-r--r--mod/event_calendar/manifest.xml16
-rw-r--r--mod/event_calendar/models/model.php2518
-rw-r--r--mod/event_calendar/start.php414
-rw-r--r--mod/event_calendar/views/default/css/elements/forms/datepicker_multi.php39
-rw-r--r--mod/event_calendar/views/default/event_calendar/agenda_footer.php5
-rw-r--r--mod/event_calendar/views/default/event_calendar/agenda_header.php12
-rw-r--r--mod/event_calendar/views/default/event_calendar/agenda_item_view.php26
-rw-r--r--mod/event_calendar/views/default/event_calendar/agenda_view.php34
-rw-r--r--mod/event_calendar/views/default/event_calendar/calendar.php70
-rw-r--r--mod/event_calendar/views/default/event_calendar/calendar_toggle.php18
-rw-r--r--mod/event_calendar/views/default/event_calendar/conference_button.php33
-rw-r--r--mod/event_calendar/views/default/event_calendar/container.php28
-rw-r--r--mod/event_calendar/views/default/event_calendar/css.php264
-rw-r--r--mod/event_calendar/views/default/event_calendar/datetime_edit.php46
-rw-r--r--mod/event_calendar/views/default/event_calendar/entities/entity_list.php66
-rw-r--r--mod/event_calendar/views/default/event_calendar/entities/gallery.php44
-rw-r--r--mod/event_calendar/views/default/event_calendar/entities/gallery_item.php63
-rw-r--r--mod/event_calendar/views/default/event_calendar/filter_menu.php78
-rw-r--r--mod/event_calendar/views/default/event_calendar/forms/add_to_group.php74
-rw-r--r--mod/event_calendar/views/default/event_calendar/full_calendar_view.php200
-rw-r--r--mod/event_calendar/views/default/event_calendar/group_module.php37
-rw-r--r--mod/event_calendar/views/default/event_calendar/groupprofile_calendar.php33
-rw-r--r--mod/event_calendar/views/default/event_calendar/groupprofile_calendar_deprecated.php44
-rw-r--r--mod/event_calendar/views/default/event_calendar/input/date_local.php55
-rw-r--r--mod/event_calendar/views/default/event_calendar/metatags.php2
-rw-r--r--mod/event_calendar/views/default/event_calendar/nav.php38
-rw-r--r--mod/event_calendar/views/default/event_calendar/paged_footer.php4
-rw-r--r--mod/event_calendar/views/default/event_calendar/paged_header.php15
-rw-r--r--mod/event_calendar/views/default/event_calendar/paged_item_view.php27
-rw-r--r--mod/event_calendar/views/default/event_calendar/paged_view.php36
-rw-r--r--mod/event_calendar/views/default/event_calendar/personal_manage_section.php20
-rw-r--r--mod/event_calendar/views/default/event_calendar/personal_toggle_js.php20
-rw-r--r--mod/event_calendar/views/default/event_calendar/region_select.php21
-rw-r--r--mod/event_calendar/views/default/event_calendar/reminder_section.php28
-rw-r--r--mod/event_calendar/views/default/event_calendar/repeat_form_element.php32
-rw-r--r--mod/event_calendar/views/default/event_calendar/review_requests.php30
-rw-r--r--mod/event_calendar/views/default/event_calendar/schedule_section.php22
-rw-r--r--mod/event_calendar/views/default/event_calendar/share_section.php26
-rw-r--r--mod/event_calendar/views/default/event_calendar/show_events.php64
-rw-r--r--mod/event_calendar/views/default/event_calendar/strapline.php18
-rw-r--r--mod/event_calendar/views/default/forms/event_calendar/edit.php233
-rw-r--r--mod/event_calendar/views/default/forms/event_calendar/manage_subscribers.php14
-rw-r--r--mod/event_calendar/views/default/fullcalendar/css.php618
-rw-r--r--mod/event_calendar/views/default/fullcalendar/fullcalendar.print.css61
-rw-r--r--mod/event_calendar/views/default/icon/object/event_calendar/small.php3
-rw-r--r--mod/event_calendar/views/default/input/datepicker_inline.php83
-rw-r--r--mod/event_calendar/views/default/input/timepicker.php51
-rw-r--r--mod/event_calendar/views/default/input/timepicker_old.php38
-rw-r--r--mod/event_calendar/views/default/js/event_calendar/event_calendar.php171
-rw-r--r--mod/event_calendar/views/default/js/event_calendar/fullcalendar.min.js114
-rw-r--r--mod/event_calendar/views/default/js/event_calendar/fullcalendar.php5227
-rw-r--r--mod/event_calendar/views/default/js/event_calendar/gcal.js112
-rw-r--r--mod/event_calendar/views/default/object/event_calendar.php115
-rw-r--r--mod/event_calendar/views/default/plugins/event_calendar/settings.php474
-rw-r--r--mod/event_calendar/views/default/river/object/event_calendar/create.php13
-rw-r--r--mod/event_calendar/views/default/river/object/event_calendar/update.php13
-rw-r--r--mod/event_calendar/views/default/widgets/event_calendar/content.php43
-rw-r--r--mod/event_calendar/views/default/widgets/event_calendar/edit.php35
-rw-r--r--mod/event_calendar/views/ical/event_calendar/show_events.php7
-rw-r--r--mod/event_calendar/views/ical/object/event_calendar.php44
-rw-r--r--mod/event_calendar/views/ical/page/default.php17
-rw-r--r--mod/event_calendar/views/ical/page/layouts/default.php2
-rw-r--r--mod/event_calendar/views/rss/event_calendar/show_events.php24
-rw-r--r--mod/event_calendar/views/rss/object/event_calendar.php61
-rw-r--r--mod/externalpages/actions/edit.php35
-rw-r--r--mod/externalpages/languages/en.php27
-rw-r--r--mod/externalpages/manifest.xml15
-rw-r--r--mod/externalpages/start.php102
-rw-r--r--mod/externalpages/views/default/admin/appearance/expages.php10
-rw-r--r--mod/externalpages/views/default/expages/menu.php23
-rw-r--r--mod/externalpages/views/default/expages/wrapper.php16
-rw-r--r--mod/externalpages/views/default/forms/expages/edit.php58
-rw-r--r--mod/file/actions/delete.php65
-rw-r--r--mod/file/actions/download.php37
-rw-r--r--mod/file/actions/file/delete.php33
-rw-r--r--mod/file/actions/file/download.php11
-rw-r--r--mod/file/actions/file/upload.php222
-rw-r--r--mod/file/actions/save.php48
-rw-r--r--mod/file/actions/upload.php207
-rw-r--r--mod/file/classes/FilePluginFile.php31
-rw-r--r--mod/file/download.php47
-rw-r--r--mod/file/edit.php38
-rw-r--r--mod/file/friends.php44
-rw-r--r--mod/file/graphics/icons/application.gifbin2543 -> 2465 bytes-rw-r--r--mod/file/graphics/icons/application_lrg.gifbin7916 -> 7781 bytes-rw-r--r--mod/file/graphics/icons/archive.gifbin2479 -> 2419 bytes-rw-r--r--mod/file/graphics/icons/archive_lrg.gifbin8080 -> 7918 bytes-rw-r--r--mod/file/graphics/icons/excel.gifbin2632 -> 2509 bytes-rw-r--r--mod/file/graphics/icons/excel_lrg.gifbin8098 -> 7571 bytes-rw-r--r--mod/file/graphics/icons/general.gifbin1528 -> 1528 bytes-rw-r--r--mod/file/graphics/icons/general_lrg.gifbin4731 -> 4594 bytes-rw-r--r--mod/file/graphics/icons/music.gifbin2524 -> 2297 bytes-rw-r--r--mod/file/graphics/icons/music_lrg.gifbin7397 -> 6748 bytes-rw-r--r--mod/file/graphics/icons/openoffice.gifbin2502 -> 2313 bytes-rw-r--r--mod/file/graphics/icons/openoffice_lrg.gifbin7265 -> 6617 bytes-rw-r--r--mod/file/graphics/icons/pages.gifbin3098 -> 2766 bytes-rw-r--r--mod/file/graphics/icons/pages_lrg.gifbin11394 -> 10234 bytes-rw-r--r--mod/file/graphics/icons/pdf.gifbin2345 -> 2197 bytes-rw-r--r--mod/file/graphics/icons/pdf_lrg.gifbin6770 -> 6014 bytes-rw-r--r--mod/file/graphics/icons/ppt.gifbin2556 -> 2495 bytes-rw-r--r--mod/file/graphics/icons/ppt_lrg.gifbin7964 -> 7423 bytes-rw-r--r--mod/file/graphics/icons/text.gifbin2896 -> 2881 bytes-rw-r--r--mod/file/graphics/icons/text_lrg.gifbin10928 -> 9855 bytes-rw-r--r--mod/file/graphics/icons/vcard.gifbin2536 -> 2385 bytes-rw-r--r--mod/file/graphics/icons/vcard_lrg.gifbin7504 -> 6798 bytes-rw-r--r--mod/file/graphics/icons/video.gifbin2722 -> 2603 bytes-rw-r--r--mod/file/graphics/icons/video_lrg.gifbin7868 -> 7319 bytes-rw-r--r--mod/file/graphics/icons/word.gifbin2642 -> 2479 bytes-rw-r--r--mod/file/graphics/icons/word_lrg.gifbin7925 -> 7350 bytes-rw-r--r--mod/file/index.php51
-rw-r--r--mod/file/languages/en.php216
-rw-r--r--mod/file/lib/file.php45
-rw-r--r--mod/file/manifest.xml25
-rw-r--r--mod/file/pages/file/download.php38
-rw-r--r--mod/file/pages/file/edit.php40
-rw-r--r--mod/file/pages/file/friends.php36
-rw-r--r--mod/file/pages/file/owner.php57
-rw-r--r--mod/file/pages/file/search.php99
-rw-r--r--mod/file/pages/file/upload.php37
-rw-r--r--mod/file/pages/file/view.php46
-rw-r--r--mod/file/pages/file/world.php33
-rw-r--r--mod/file/search.php99
-rw-r--r--mod/file/start.php637
-rw-r--r--mod/file/thumbnail.php87
-rw-r--r--mod/file/upload.php24
-rw-r--r--mod/file/views/default/embed/file_upload/content.php17
-rw-r--r--mod/file/views/default/file/css.php120
-rw-r--r--mod/file/views/default/file/embed_upload.php48
-rw-r--r--mod/file/views/default/file/group_module.php45
-rw-r--r--mod/file/views/default/file/groupprofile_files.php59
-rw-r--r--mod/file/views/default/file/icon.php62
-rw-r--r--mod/file/views/default/file/icon/application/default.php10
-rw-r--r--mod/file/views/default/file/icon/application/excel.php10
-rw-r--r--mod/file/views/default/file/icon/application/msword.php10
-rw-r--r--mod/file/views/default/file/icon/application/pdf.php10
-rw-r--r--mod/file/views/default/file/icon/application/powerpoint.php10
-rw-r--r--mod/file/views/default/file/icon/application/vnd.ms-excel.php10
-rw-r--r--mod/file/views/default/file/icon/application/vnd.ms-powerpoint.php10
-rw-r--r--mod/file/views/default/file/icon/application/vnd.oasis.opendocument.text.php10
-rw-r--r--mod/file/views/default/file/icon/application/x-gzip.php5
-rw-r--r--mod/file/views/default/file/icon/application/x-rar-compressed.php5
-rw-r--r--mod/file/views/default/file/icon/application/x-stuffit.php5
-rw-r--r--mod/file/views/default/file/icon/application/zip.php5
-rw-r--r--mod/file/views/default/file/icon/archive.php10
-rw-r--r--mod/file/views/default/file/icon/audio.php10
-rw-r--r--mod/file/views/default/file/icon/audio/default.php5
-rw-r--r--mod/file/views/default/file/icon/default.php10
-rw-r--r--mod/file/views/default/file/icon/document.php10
-rw-r--r--mod/file/views/default/file/icon/text/default.php5
-rw-r--r--mod/file/views/default/file/icon/text/directory.php10
-rw-r--r--mod/file/views/default/file/icon/text/v-card.php5
-rw-r--r--mod/file/views/default/file/icon/video.php10
-rw-r--r--mod/file/views/default/file/icon/video/default.php5
-rw-r--r--mod/file/views/default/file/sidebar.php14
-rw-r--r--mod/file/views/default/file/specialcontent/audio/mid.php4
-rw-r--r--mod/file/views/default/file/specialcontent/audio/mp3.php4
-rw-r--r--mod/file/views/default/file/specialcontent/audio/mpeg.php4
-rw-r--r--mod/file/views/default/file/specialcontent/audio/x-wav.php4
-rw-r--r--mod/file/views/default/file/specialcontent/image/default.php23
-rw-r--r--mod/file/views/default/file/typecloud.php83
-rw-r--r--mod/file/views/default/file/upload.php128
-rw-r--r--mod/file/views/default/forms/file/upload.php68
-rw-r--r--mod/file/views/default/icon/object/file.php54
-rw-r--r--mod/file/views/default/object/file.php286
-rw-r--r--mod/file/views/default/river/object/file/create.php28
-rw-r--r--mod/file/views/default/widgets/filerepo/content.php33
-rw-r--r--mod/file/views/default/widgets/filerepo/edit.php35
-rw-r--r--mod/file/views/default/widgets/filerepo/view.php71
-rw-r--r--mod/file/views/rss/file/enclosure.php16
-rw-r--r--mod/file/views/rss/object/file.php26
-rw-r--r--mod/file/world.php40
-rw-r--r--mod/friendrequest/actions/friendrequest/decline.php12
-rw-r--r--mod/friendrequest/actions/friends/add.php53
-rw-r--r--mod/friendrequest/actions/friends/remove.php36
-rw-r--r--mod/friendrequest/languages/ca.php20
-rw-r--r--mod/friendrequest/languages/en.php31
-rw-r--r--mod/friendrequest/languages/es.php22
-rw-r--r--mod/friendrequest/languages/gl.php31
-rw-r--r--mod/friendrequest/languages/pt.php31
-rw-r--r--mod/friendrequest/languages/sr.php31
-rw-r--r--mod/friendrequest/manifest.xml16
-rw-r--r--mod/friendrequest/start.php151
-rw-r--r--mod/friendrequest/views/default/friendrequest/requests.php47
-rw-r--r--mod/friends/languages/en.php15
-rw-r--r--mod/friends/manifest.xml11
-rw-r--r--mod/friends/start.php14
-rw-r--r--mod/friends/views/default/widgets/friends/edit.php57
-rw-r--r--mod/friends/views/default/widgets/friends/view.php36
-rw-r--r--mod/garbagecollector/languages/en.php53
-rw-r--r--mod/garbagecollector/manifest.xml25
-rw-r--r--mod/garbagecollector/start.php102
-rw-r--r--mod/garbagecollector/views/default/plugins/garbagecollector/settings.php28
-rw-r--r--mod/garbagecollector/views/default/settings/garbagecollector/edit.php20
-rw-r--r--mod/groups/actions/addtogroup.php94
-rw-r--r--mod/groups/actions/delete.php19
-rw-r--r--mod/groups/actions/discussion/delete.php29
-rw-r--r--mod/groups/actions/discussion/reply/delete.php26
-rw-r--r--mod/groups/actions/discussion/reply/save.php58
-rw-r--r--mod/groups/actions/discussion/save.php75
-rw-r--r--mod/groups/actions/edit.php161
-rw-r--r--mod/groups/actions/featured.php38
-rw-r--r--mod/groups/actions/forums/addtopic.php76
-rw-r--r--mod/groups/actions/forums/deletetopic.php38
-rw-r--r--mod/groups/actions/forums/edittopic.php66
-rw-r--r--mod/groups/actions/groups/delete.php42
-rw-r--r--mod/groups/actions/groups/edit.php235
-rw-r--r--mod/groups/actions/groups/featured.php27
-rw-r--r--mod/groups/actions/groups/membership/add.php42
-rw-r--r--mod/groups/actions/groups/membership/delete_invite.php24
-rw-r--r--mod/groups/actions/groups/membership/delete_request.php20
-rw-r--r--mod/groups/actions/groups/membership/invite.php56
-rw-r--r--mod/groups/actions/groups/membership/join.php72
-rw-r--r--mod/groups/actions/groups/membership/leave.php36
-rw-r--r--mod/groups/actions/groups/membership/remove.php31
-rw-r--r--mod/groups/actions/groupskillinvitation.php28
-rw-r--r--mod/groups/actions/groupskillrequest.php28
-rw-r--r--mod/groups/actions/invite.php65
-rw-r--r--mod/groups/actions/join.php63
-rw-r--r--mod/groups/actions/joinrequest.php82
-rw-r--r--mod/groups/actions/leave.php41
-rw-r--r--mod/groups/activity.php57
-rw-r--r--mod/groups/addtopic.php21
-rw-r--r--mod/groups/all.php70
-rw-r--r--mod/groups/edit.php29
-rw-r--r--mod/groups/edittopic.php25
-rw-r--r--mod/groups/forum.php45
-rw-r--r--mod/groups/graphics/icon.php41
-rw-r--r--mod/groups/groupprofile.php56
-rw-r--r--mod/groups/icon.php54
-rw-r--r--mod/groups/index.php27
-rw-r--r--mod/groups/invitations.php31
-rw-r--r--mod/groups/invite.php30
-rw-r--r--mod/groups/languages/en.php124
-rw-r--r--mod/groups/lib/discussion.php235
-rw-r--r--mod/groups/lib/groups.php590
-rw-r--r--mod/groups/manifest.xml26
-rw-r--r--mod/groups/membership.php34
-rw-r--r--mod/groups/membershipreq.php32
-rw-r--r--mod/groups/new.php20
-rw-r--r--mod/groups/start.php1496
-rw-r--r--mod/groups/topicposts.php46
-rw-r--r--mod/groups/upgrades/2011030101.php65
-rw-r--r--mod/groups/views/default/annotation/group_topic_post.php19
-rw-r--r--mod/groups/views/default/discussion/closed.php6
-rw-r--r--mod/groups/views/default/discussion/group_module.php48
-rw-r--r--mod/groups/views/default/discussion/replies.php28
-rw-r--r--mod/groups/views/default/forms/discussion/reply/save.php57
-rw-r--r--mod/groups/views/default/forms/discussion/save.php57
-rw-r--r--mod/groups/views/default/forms/forums/addtopic.php103
-rw-r--r--mod/groups/views/default/forms/forums/edittopic.php96
-rw-r--r--mod/groups/views/default/forms/groups/edit.php285
-rw-r--r--mod/groups/views/default/forms/groups/find.php16
-rw-r--r--mod/groups/views/default/forms/groups/invite.php37
-rw-r--r--mod/groups/views/default/forms/groups/search.php20
-rw-r--r--mod/groups/views/default/forum/maintopic.php55
-rw-r--r--mod/groups/views/default/forum/topics.php25
-rw-r--r--mod/groups/views/default/forum/viewposts.php48
-rw-r--r--mod/groups/views/default/group/default.php34
-rw-r--r--mod/groups/views/default/groups/activity_latest.php35
-rw-r--r--mod/groups/views/default/groups/closedmembership.php16
-rw-r--r--mod/groups/views/default/groups/css.php186
-rw-r--r--mod/groups/views/default/groups/edit.php15
-rw-r--r--mod/groups/views/default/groups/featured.php25
-rw-r--r--mod/groups/views/default/groups/find.php14
-rw-r--r--mod/groups/views/default/groups/forum_latest.php34
-rw-r--r--mod/groups/views/default/groups/group_sort_menu.php54
-rw-r--r--mod/groups/views/default/groups/grouplisting.php45
-rw-r--r--mod/groups/views/default/groups/groupprofile.php133
-rw-r--r--mod/groups/views/default/groups/icon.php44
-rw-r--r--mod/groups/views/default/groups/invitationrequests.php69
-rw-r--r--mod/groups/views/default/groups/js.php13
-rw-r--r--mod/groups/views/default/groups/members.php21
-rw-r--r--mod/groups/views/default/groups/membershiprequests.php79
-rw-r--r--mod/groups/views/default/groups/profile/activity_module.php44
-rw-r--r--mod/groups/views/default/groups/profile/closed_membership.php16
-rw-r--r--mod/groups/views/default/groups/profile/fields.php38
-rw-r--r--mod/groups/views/default/groups/profile/layout.php13
-rw-r--r--mod/groups/views/default/groups/profile/module.php25
-rw-r--r--mod/groups/views/default/groups/profile/summary.php64
-rw-r--r--mod/groups/views/default/groups/profile/widgets.php24
-rw-r--r--mod/groups/views/default/groups/profileitems.php30
-rw-r--r--mod/groups/views/default/groups/side_menu.php8
-rw-r--r--mod/groups/views/default/groups/sidebar/featured.php25
-rw-r--r--mod/groups/views/default/groups/sidebar/find.php14
-rw-r--r--mod/groups/views/default/groups/sidebar/members.php32
-rw-r--r--mod/groups/views/default/groups/sidebar/my_status.php62
-rw-r--r--mod/groups/views/default/groups/sidebar/search.php15
-rw-r--r--mod/groups/views/default/icon/group/default/large.php3
-rw-r--r--mod/groups/views/default/icon/group/default/medium.php3
-rw-r--r--mod/groups/views/default/icon/group/default/small.php3
-rw-r--r--mod/groups/views/default/icon/group/default/tiny.php3
-rw-r--r--mod/groups/views/default/object/groupforumtopic.php154
-rw-r--r--mod/groups/views/default/plugins/groups/settings.php40
-rw-r--r--mod/groups/views/default/river/annotation/group_topic_post/reply.php12
-rw-r--r--mod/groups/views/default/river/forum/create.php29
-rw-r--r--mod/groups/views/default/river/forum/topic/create.php29
-rw-r--r--mod/groups/views/default/river/group/create.php23
-rw-r--r--mod/groups/views/default/river/object/groupforumtopic/annotate.php14
-rw-r--r--mod/groups/views/default/river/object/groupforumtopic/create.php28
-rw-r--r--mod/groups/views/default/river/object/groupforumtopic/update.php13
-rw-r--r--mod/groups/views/default/river/relationship/member/create.php18
-rw-r--r--mod/groups/views/default/settings/groups/edit.php18
-rw-r--r--mod/groups/views/default/widgets/a_users_groups/content.php33
-rw-r--r--mod/groups/views/default/widgets/a_users_groups/edit.php39
-rw-r--r--mod/groups/views/default/widgets/a_users_groups/view.php54
-rw-r--r--mod/groups/views/default/widgets/group_entities_widget/edit.php19
-rw-r--r--mod/groups/views/default/widgets/group_entities_widget/view.php31
-rw-r--r--mod/groups/views/default/widgets/group_members_widget/edit.php19
-rw-r--r--mod/groups/views/default/widgets/group_members_widget/view.php31
-rw-r--r--mod/groups/views/rss/annotation/group_topic_post.php32
-rw-r--r--mod/groups/views/rss/discussion/replies.php12
-rw-r--r--mod/groups/views/rss/forum/topicposts.php3
-rw-r--r--mod/groups/views/rss/forum/topics.php10
-rw-r--r--mod/groups/views/rss/forum/viewposts.php22
-rw-r--r--mod/groups/views/rss/groups/contentwrapper.php5
-rw-r--r--mod/groups/views/rss/groups/profile/layout.php18
-rw-r--r--mod/groups/views/rss/groups/profileitems.php15
-rw-r--r--mod/groups/views/rss/object/groupforumtopic.php49
-rw-r--r--mod/habitorio_theme/graphics/button.pngbin0 -> 1092 bytes-rw-r--r--mod/habitorio_theme/graphics/elgg_sprites.pngbin0 -> 19372 bytes-rw-r--r--mod/habitorio_theme/graphics/login.pngbin0 -> 537 bytes-rw-r--r--mod/habitorio_theme/graphics/logo.pngbin0 -> 3067 bytes-rw-r--r--mod/habitorio_theme/graphics/menu.gifbin0 -> 3008 bytes-rw-r--r--mod/habitorio_theme/graphics/menu.pngbin0 -> 2682 bytes-rw-r--r--mod/habitorio_theme/graphics/people.pngbin0 -> 143134 bytes-rw-r--r--mod/habitorio_theme/graphics/preset1.pngbin0 -> 131 bytes-rw-r--r--mod/habitorio_theme/graphics/preset2.pngbin0 -> 131 bytes-rw-r--r--mod/habitorio_theme/graphics/preset3.pngbin0 -> 131 bytes-rw-r--r--mod/habitorio_theme/graphics/preset4.pngbin0 -> 125 bytes-rw-r--r--mod/habitorio_theme/graphics/preset5.pngbin0 -> 131 bytes-rw-r--r--mod/habitorio_theme/graphics/preset6.pngbin0 -> 131 bytes-rw-r--r--mod/habitorio_theme/graphics/preset7.pngbin0 -> 125 bytes-rw-r--r--mod/habitorio_theme/graphics/purity_01.pngbin0 -> 5204 bytes-rw-r--r--mod/habitorio_theme/graphics/purity_02.pngbin0 -> 550 bytes-rw-r--r--mod/habitorio_theme/graphics/purity_03.pngbin0 -> 2480 bytes-rw-r--r--mod/habitorio_theme/graphics/search.gifbin0 -> 819 bytes-rw-r--r--mod/habitorio_theme/graphics/search.pngbin0 -> 1589 bytes-rw-r--r--mod/habitorio_theme/graphics/sidebar_background.gifbin0 -> 1355 bytes-rw-r--r--mod/habitorio_theme/graphics/spacer.gifbin0 -> 175 bytes-rw-r--r--mod/habitorio_theme/graphics/topbar.gifbin0 -> 2756 bytes-rw-r--r--mod/habitorio_theme/manifest.xml18
-rw-r--r--mod/habitorio_theme/start.php84
-rw-r--r--mod/habitorio_theme/views/default/css/admin.php1443
-rw-r--r--mod/habitorio_theme/views/default/css/elements/OOCSS_LICENSE30
-rw-r--r--mod/habitorio_theme/views/default/css/elements/buttons.php132
-rw-r--r--mod/habitorio_theme/views/default/css/elements/components.php286
-rw-r--r--mod/habitorio_theme/views/default/css/elements/core.php117
-rw-r--r--mod/habitorio_theme/views/default/css/elements/forms.php298
-rw-r--r--mod/habitorio_theme/views/default/css/elements/grid.php54
-rw-r--r--mod/habitorio_theme/views/default/css/elements/helpers.php160
-rw-r--r--mod/habitorio_theme/views/default/css/elements/icons.php348
-rw-r--r--mod/habitorio_theme/views/default/css/elements/layout.php142
-rw-r--r--mod/habitorio_theme/views/default/css/elements/misc.php62
-rw-r--r--mod/habitorio_theme/views/default/css/elements/modules.php194
-rw-r--r--mod/habitorio_theme/views/default/css/elements/navigation.php559
-rw-r--r--mod/habitorio_theme/views/default/css/elements/reset.php79
-rw-r--r--mod/habitorio_theme/views/default/css/elements/typography.php155
-rw-r--r--mod/habitorio_theme/views/default/css/elgg.php68
-rw-r--r--mod/habitorio_theme/views/default/css/ie.php8
-rw-r--r--mod/habitorio_theme/views/default/css/ie6.php12
-rw-r--r--mod/habitorio_theme/views/default/css/ie7.php65
-rw-r--r--mod/habitorio_theme/views/default/css/lightbox.php371
-rw-r--r--mod/habitorio_theme/views/default/css/walled_garden.php81
-rw-r--r--mod/habitorio_theme/views/default/custom_index/css.php17
-rw-r--r--mod/habitorio_theme/views/default/habitorio_theme/css.php178
-rw-r--r--mod/habitorio_theme/views/default/habitorio_theme/leftside.php5
-rw-r--r--mod/habitorio_theme/views/default/habitorio_theme/rightside.php5
-rw-r--r--mod/habitorio_theme/views/default/page/default.php58
-rw-r--r--mod/habitorio_theme/views/default/page/elements/footer.php12
-rw-r--r--mod/habitorio_theme/views/default/page/elements/header.php22
-rw-r--r--mod/habitorio_theme/views/default/page/elements/header_logo.php21
-rw-r--r--mod/habitorio_theme/views/default/page/elements/messages.php27
-rw-r--r--mod/habitorio_theme/views/default/page/elements/topbar.php25
-rw-r--r--mod/habitorio_theme/views/default/page/layouts/custom_index.php48
-rw-r--r--mod/habitorio_theme/views/default/plugins/purity_theme/settings.php24
-rw-r--r--mod/html5/.gitignore3
-rw-r--r--mod/html5/README7
-rw-r--r--mod/html5/manifest.xml15
-rw-r--r--mod/html5/start.php11
-rw-r--r--mod/html5/vendors/Modernizr-1.5.min.js28
-rw-r--r--mod/html5/vendors/jquery/jquery.placeholder-1.0.1.js58
-rw-r--r--mod/html5/views/default/html/img.php11
-rw-r--r--mod/html5/views/default/html5/css.php2
-rw-r--r--mod/html5/views/default/html5/theme_preview/forms/inputs.php101
-rw-r--r--mod/html5/views/default/input/color.php12
-rw-r--r--mod/html5/views/default/input/datetime-local.php14
-rw-r--r--mod/html5/views/default/input/datetime.php15
-rw-r--r--mod/html5/views/default/input/image.php11
-rw-r--r--mod/html5/views/default/input/month.php16
-rw-r--r--mod/html5/views/default/input/number.php11
-rw-r--r--mod/html5/views/default/input/option.php13
-rw-r--r--mod/html5/views/default/input/range.php13
-rw-r--r--mod/html5/views/default/input/search.php13
-rw-r--r--mod/html5/views/default/input/tel.php12
-rw-r--r--mod/html5/views/default/input/time.php13
-rw-r--r--mod/html5/views/default/input/week.php13
-rw-r--r--mod/html5/views/default/output/friendlytime.php14
-rw-r--r--mod/html5/views/default/page/admin.php68
-rw-r--r--mod/html5/views/default/page/default.php78
-rw-r--r--mod/html5/views/default/page/elements/footer.php23
-rw-r--r--mod/html5/views/default/page/elements/head.php78
-rw-r--r--mod/html5/views/default/page/layouts/admin.php42
-rw-r--r--mod/html5/views/default/page/layouts/one_sidebar.php50
-rw-r--r--mod/html5/views/default/page/layouts/two_sidebar.php45
-rw-r--r--mod/html5/views/default/page/walled_garden.php42
-rw-r--r--mod/html5/views/default/search/search_box.php43
-rw-r--r--mod/htmlawed/manifest.xml25
-rw-r--r--mod/htmlawed/start.php151
-rw-r--r--mod/htmlawed/tests/tags.php47
-rwxr-xr-x[-rw-r--r--]mod/htmlawed/vendors/htmLawed/htmLawed.php128
-rwxr-xr-x[-rw-r--r--]mod/htmlawed/vendors/htmLawed/htmLawedTest.php110
-rwxr-xr-x[-rw-r--r--]mod/htmlawed/vendors/htmLawed/htmLawed_README.htm4172
-rwxr-xr-x[-rw-r--r--]mod/htmlawed/vendors/htmLawed/htmLawed_README.txt3381
-rwxr-xr-x[-rw-r--r--]mod/htmlawed/vendors/htmLawed/htmLawed_TESTCASE.txt101
-rw-r--r--mod/identica/graphics/identica.pngbin0 -> 488 bytes-rw-r--r--mod/identica/graphics/thewire_speech_bubble.gif (renamed from mod/twitter/graphics/thewire_speech_bubble.gif)bin560 -> 560 bytes-rw-r--r--mod/identica/languages/ca.php10
-rw-r--r--mod/identica/languages/en.php16
-rw-r--r--mod/identica/languages/es.php12
-rw-r--r--mod/identica/manifest.xml17
-rw-r--r--mod/identica/start.php16
-rw-r--r--mod/identica/views/default/identica/css.php63
-rw-r--r--mod/identica/views/default/identica/js.php40
-rw-r--r--mod/identica/views/default/js/friendly_time.php50
-rw-r--r--mod/identica/views/default/widgets/identica/content.php30
-rw-r--r--mod/identica/views/default/widgets/identica/edit.php16
-rw-r--r--mod/invitefriends/actions/invite.php16
-rw-r--r--mod/invitefriends/index.php19
-rw-r--r--mod/invitefriends/manifest.xml24
-rw-r--r--mod/invitefriends/start.php51
-rw-r--r--mod/invitefriends/views/default/forms/invitefriends/invite.php35
-rw-r--r--mod/invitefriends/views/default/invitefriends/form.php7
-rw-r--r--mod/invitefriends/views/default/invitefriends/formitems.php36
-rw-r--r--mod/languages/README.txt9
-rw-r--r--mod/languages/languages/ca/ca.blog.php54
-rw-r--r--mod/languages/languages/ca/ca.bookmarks.php51
-rw-r--r--mod/languages/languages/ca/ca.categories.php12
-rw-r--r--mod/languages/languages/ca/ca.core.php994
-rw-r--r--mod/languages/languages/ca/ca.custom_index.php11
-rw-r--r--mod/languages/languages/ca/ca.dashboard.php11
-rw-r--r--mod/languages/languages/ca/ca.developers.php47
-rw-r--r--mod/languages/languages/ca/ca.diagnostics.php30
-rw-r--r--mod/languages/languages/ca/ca.embed.php14
-rw-r--r--mod/languages/languages/ca/ca.externalpages.php15
-rw-r--r--mod/languages/languages/ca/ca.file.php74
-rw-r--r--mod/languages/languages/ca/ca.garbagecollector.php17
-rw-r--r--mod/languages/languages/ca/ca.groups.php219
-rw-r--r--mod/languages/languages/ca/ca.invitefriends.php26
-rw-r--r--mod/languages/languages/ca/ca.likes.php34
-rw-r--r--mod/languages/languages/ca/ca.logbrowser20
-rw-r--r--mod/languages/languages/ca/ca.logrotate.php20
-rw-r--r--mod/languages/languages/ca/ca.members.php13
-rw-r--r--mod/languages/languages/ca/ca.messageboard.php43
-rw-r--r--mod/languages/languages/ca/ca.messages.php64
-rw-r--r--mod/languages/languages/ca/ca.notifications.php16
-rw-r--r--mod/languages/languages/ca/ca.pages.php62
-rw-r--r--mod/languages/languages/ca/ca.profile.php8
-rw-r--r--mod/languages/languages/ca/ca.relatedgroups.php11
-rw-r--r--mod/languages/languages/ca/ca.reportedcontent.php34
-rw-r--r--mod/languages/languages/ca/ca.search.php18
-rw-r--r--mod/languages/languages/ca/ca.subgroups.php22
-rw-r--r--mod/languages/languages/ca/ca.tagcloud.php7
-rw-r--r--mod/languages/languages/ca/ca.thewire.php34
-rw-r--r--mod/languages/languages/ca/ca.tinymce.php8
-rw-r--r--mod/languages/languages/ca/ca.twitter.php10
-rw-r--r--mod/languages/languages/ca/ca.uservalidationbyemail.php48
-rw-r--r--mod/languages/languages/da/da.blog.php70
-rw-r--r--mod/languages/languages/da/da.bookmarks.php82
-rw-r--r--mod/languages/languages/da/da.categories.php15
-rw-r--r--mod/languages/languages/da/da.custom_index.php14
-rw-r--r--mod/languages/languages/da/da.developers.php60
-rw-r--r--mod/languages/languages/da/da.diagnostics.php70
-rw-r--r--mod/languages/languages/da/da.embed.php21
-rw-r--r--mod/languages/languages/da/da.event_manager.php302
-rw-r--r--mod/languages/languages/da/da.externalpages.php30
-rw-r--r--mod/languages/languages/da/da.file.php106
-rw-r--r--mod/languages/languages/da/da.garbagecollector.php26
-rw-r--r--mod/languages/languages/da/da.groups.php265
-rw-r--r--mod/languages/languages/da/da.image_captcha.php31
-rw-r--r--mod/languages/languages/da/da.invitefriends.php42
-rw-r--r--mod/languages/languages/da/da.likes.php25
-rw-r--r--mod/languages/languages/da/da.logbrowser.php27
-rw-r--r--mod/languages/languages/da/da.logrotate.php29
-rw-r--r--mod/languages/languages/da/da.market.php148
-rw-r--r--mod/languages/languages/da/da.members.php16
-rw-r--r--mod/languages/languages/da/da.menu_builder.php49
-rw-r--r--mod/languages/languages/da/da.messageboard.php73
-rw-r--r--mod/languages/languages/da/da.messages.php95
-rw-r--r--mod/languages/languages/da/da.notifications.php31
-rw-r--r--mod/languages/languages/da/da.pages.php104
-rw-r--r--mod/languages/languages/da/da.php1343
-rw-r--r--mod/languages/languages/da/da.profile.php13
-rw-r--r--mod/languages/languages/da/da.reportedcontent.php44
-rw-r--r--mod/languages/languages/da/da.river_activity_3C.php142
-rw-r--r--mod/languages/languages/da/da.roles_group_admins.php20
-rw-r--r--mod/languages/languages/da/da.search.php25
-rw-r--r--mod/languages/languages/da/da.tagcloud.php12
-rw-r--r--mod/languages/languages/da/da.tasks.php144
-rw-r--r--mod/languages/languages/da/da.thewire.php60
-rw-r--r--mod/languages/languages/da/da.tidypics.php190
-rw-r--r--mod/languages/languages/da/da.tinymce.php13
-rw-r--r--mod/languages/languages/da/da.twitter.php17
-rw-r--r--mod/languages/languages/da/da.twitter_api.php35
-rw-r--r--mod/languages/languages/da/da.uservalidationbyemail.php63
-rw-r--r--mod/languages/languages/de/de.blog.php50
-rw-r--r--mod/languages/languages/de/de.bookmarks.php46
-rw-r--r--mod/languages/languages/de/de.categories.php12
-rw-r--r--mod/languages/languages/de/de.core.php969
-rw-r--r--mod/languages/languages/de/de.custom_index.php11
-rw-r--r--mod/languages/languages/de/de.dashboard.php11
-rw-r--r--mod/languages/languages/de/de.developers.php43
-rw-r--r--mod/languages/languages/de/de.diagnostics.php39
-rw-r--r--mod/languages/languages/de/de.embed.php14
-rw-r--r--mod/languages/languages/de/de.externalpages.php15
-rw-r--r--mod/languages/languages/de/de.file.php69
-rw-r--r--mod/languages/languages/de/de.garbagecollector.php18
-rw-r--r--mod/languages/languages/de/de.groups.php204
-rw-r--r--mod/languages/languages/de/de.install.php156
-rw-r--r--mod/languages/languages/de/de.invitefriends.php30
-rw-r--r--mod/languages/languages/de/de.likes.php36
-rw-r--r--mod/languages/languages/de/de.logbrowser.php20
-rw-r--r--mod/languages/languages/de/de.logrotate.php22
-rw-r--r--mod/languages/languages/de/de.members.php13
-rw-r--r--mod/languages/languages/de/de.messageboard.php43
-rw-r--r--mod/languages/languages/de/de.messages.php60
-rw-r--r--mod/languages/languages/de/de.notifications.php18
-rw-r--r--mod/languages/languages/de/de.pages.php54
-rw-r--r--mod/languages/languages/de/de.profile.php8
-rw-r--r--mod/languages/languages/de/de.reportedcontent.php44
-rw-r--r--mod/languages/languages/de/de.search.php18
-rw-r--r--mod/languages/languages/de/de.tagcloud.php9
-rw-r--r--mod/languages/languages/de/de.thewire.php36
-rw-r--r--mod/languages/languages/de/de.tinymce.php9
-rw-r--r--mod/languages/languages/de/de.twitter.php12
-rw-r--r--mod/languages/languages/de/de.twitter_api.php60
-rw-r--r--mod/languages/languages/de/de.uservalidationbyemail.php51
-rw-r--r--mod/languages/languages/en/en.core.php39
-rw-r--r--mod/languages/languages/es/es.blog.php57
-rw-r--r--mod/languages/languages/es/es.bookmarks.php55
-rw-r--r--mod/languages/languages/es/es.categories.php12
-rw-r--r--mod/languages/languages/es/es.core.php1001
-rw-r--r--mod/languages/languages/es/es.custom_index.php11
-rw-r--r--mod/languages/languages/es/es.custom_keys.php5
-rw-r--r--mod/languages/languages/es/es.dashboard.php11
-rw-r--r--mod/languages/languages/es/es.developers.php49
-rw-r--r--mod/languages/languages/es/es.diagnostics.php40
-rw-r--r--mod/languages/languages/es/es.embed.php14
-rw-r--r--mod/languages/languages/es/es.externalpages.php15
-rw-r--r--mod/languages/languages/es/es.file.php76
-rw-r--r--mod/languages/languages/es/es.garbagecollector.php18
-rw-r--r--mod/languages/languages/es/es.groups.php213
-rw-r--r--mod/languages/languages/es/es.install.php156
-rw-r--r--mod/languages/languages/es/es.invitefriends.php29
-rw-r--r--mod/languages/languages/es/es.likes.php36
-rw-r--r--mod/languages/languages/es/es.logbrowser.php21
-rw-r--r--mod/languages/languages/es/es.logrotate.php22
-rw-r--r--mod/languages/languages/es/es.members.php13
-rw-r--r--mod/languages/languages/es/es.messageboard.php43
-rw-r--r--mod/languages/languages/es/es.messages.php66
-rw-r--r--mod/languages/languages/es/es.notifications.php18
-rw-r--r--mod/languages/languages/es/es.pages.php62
-rw-r--r--mod/languages/languages/es/es.profile.php8
-rw-r--r--mod/languages/languages/es/es.reportedcontent.php36
-rw-r--r--mod/languages/languages/es/es.search.php18
-rw-r--r--mod/languages/languages/es/es.tagcloud.php9
-rw-r--r--mod/languages/languages/es/es.thewire.php36
-rw-r--r--mod/languages/languages/es/es.tinymce.php9
-rw-r--r--mod/languages/languages/es/es.twitter.php12
-rw-r--r--mod/languages/languages/es/es.twitter_api.php58
-rw-r--r--mod/languages/languages/es/es.uservalidationbyemail.php64
-rw-r--r--mod/languages/languages/eu/eu.blog.php57
-rw-r--r--mod/languages/languages/eu/eu.bookmarks.php53
-rw-r--r--mod/languages/languages/eu/eu.categories.php11
-rw-r--r--mod/languages/languages/eu/eu.core.php996
-rw-r--r--mod/languages/languages/eu/eu.custom_index.php10
-rw-r--r--mod/languages/languages/eu/eu.dashboard.php10
-rw-r--r--mod/languages/languages/eu/eu.developers.php48
-rw-r--r--mod/languages/languages/eu/eu.diagnostics.php39
-rw-r--r--mod/languages/languages/eu/eu.embed.php13
-rw-r--r--mod/languages/languages/eu/eu.externalpages.php14
-rw-r--r--mod/languages/languages/eu/eu.file.php76
-rw-r--r--mod/languages/languages/eu/eu.garbagecollector.php17
-rw-r--r--mod/languages/languages/eu/eu.groups.php213
-rw-r--r--mod/languages/languages/eu/eu.install.php134
-rw-r--r--mod/languages/languages/eu/eu.invitefriends.php29
-rw-r--r--mod/languages/languages/eu/eu.likes.php35
-rw-r--r--mod/languages/languages/eu/eu.logbrowser.php21
-rw-r--r--mod/languages/languages/eu/eu.logrotate.php21
-rw-r--r--mod/languages/languages/eu/eu.members.php12
-rw-r--r--mod/languages/languages/eu/eu.messageboard.php42
-rw-r--r--mod/languages/languages/eu/eu.messages.php65
-rw-r--r--mod/languages/languages/eu/eu.notifications.php17
-rw-r--r--mod/languages/languages/eu/eu.pages.php62
-rw-r--r--mod/languages/languages/eu/eu.profile.php7
-rw-r--r--mod/languages/languages/eu/eu.reportedcontent.php35
-rw-r--r--mod/languages/languages/eu/eu.search.php17
-rw-r--r--mod/languages/languages/eu/eu.tagcloud.php8
-rw-r--r--mod/languages/languages/eu/eu.thewire.php35
-rw-r--r--mod/languages/languages/eu/eu.tinymce.php8
-rw-r--r--mod/languages/languages/eu/eu.twitter.php11
-rw-r--r--mod/languages/languages/eu/eu.twitter_api.php40
-rw-r--r--mod/languages/languages/eu/eu.uservalidationbyemail.php50
-rw-r--r--mod/languages/languages/fr/fr.blog.php69
-rw-r--r--mod/languages/languages/fr/fr.bookmarks.php82
-rw-r--r--mod/languages/languages/fr/fr.categories.php15
-rw-r--r--mod/languages/languages/fr/fr.custom_index.php14
-rw-r--r--mod/languages/languages/fr/fr.dashboard.php14
-rw-r--r--mod/languages/languages/fr/fr.developers.php61
-rw-r--r--mod/languages/languages/fr/fr.diagnostics.php70
-rw-r--r--mod/languages/languages/fr/fr.embed.php21
-rw-r--r--mod/languages/languages/fr/fr.externalpages.php27
-rw-r--r--mod/languages/languages/fr/fr.file.php110
-rw-r--r--mod/languages/languages/fr/fr.garbagecollector.php25
-rw-r--r--mod/languages/languages/fr/fr.groups.php265
-rw-r--r--mod/languages/languages/fr/fr.install.php156
-rw-r--r--mod/languages/languages/fr/fr.invitefriends.php43
-rw-r--r--mod/languages/languages/fr/fr.likes.php44
-rw-r--r--mod/languages/languages/fr/fr.logbrowser.php27
-rw-r--r--mod/languages/languages/fr/fr.logrotate.php28
-rw-r--r--mod/languages/languages/fr/fr.members.php16
-rw-r--r--mod/languages/languages/fr/fr.messageboard.php72
-rw-r--r--mod/languages/languages/fr/fr.messages.php96
-rw-r--r--mod/languages/languages/fr/fr.notifications.php26
-rw-r--r--mod/languages/languages/fr/fr.pages.php104
-rw-r--r--mod/languages/languages/fr/fr.php1310
-rw-r--r--mod/languages/languages/fr/fr.profile.php12
-rw-r--r--mod/languages/languages/fr/fr.reportedcontent.php44
-rw-r--r--mod/languages/languages/fr/fr.search.php21
-rw-r--r--mod/languages/languages/fr/fr.tagcloud.php12
-rw-r--r--mod/languages/languages/fr/fr.thewire.php61
-rw-r--r--mod/languages/languages/fr/fr.tinymce.php14
-rw-r--r--mod/languages/languages/fr/fr.twitter.php7
-rw-r--r--mod/languages/languages/fr/fr.twitter_api.php59
-rw-r--r--mod/languages/languages/fr/fr.uservalidationbyemail.php64
-rw-r--r--mod/languages/languages/gl/gl.blog.php16
-rw-r--r--mod/languages/languages/gl/gl.bookmarks.php40
-rw-r--r--mod/languages/languages/gl/gl.core.php664
-rw-r--r--mod/languages/languages/gl/gl.custom_index.php11
-rw-r--r--mod/languages/languages/gl/gl.embed.php8
-rw-r--r--mod/languages/languages/gl/gl.externalpages.php14
-rw-r--r--mod/languages/languages/gl/gl.file.php61
-rw-r--r--mod/languages/languages/gl/gl.groups.php143
-rw-r--r--mod/languages/languages/gl/gl.messageboard.php36
-rw-r--r--mod/languages/languages/gl/gl.messages.php52
-rw-r--r--mod/languages/languages/gl/gl.pages.php42
-rw-r--r--mod/languages/languages/gl/gl.profile.php8
-rw-r--r--mod/languages/languages/gl/gl.reportedcontent.php7
-rw-r--r--mod/languages/languages/gl/gl.uservalidationbyemail.php8
-rw-r--r--mod/languages/languages/it/it.blog.php12
-rw-r--r--mod/languages/languages/it/it.bookmarks.php31
-rw-r--r--mod/languages/languages/it/it.categories.php10
-rw-r--r--mod/languages/languages/it/it.core.php707
-rw-r--r--mod/languages/languages/it/it.custom_index.php11
-rw-r--r--mod/languages/languages/it/it.file.php53
-rw-r--r--mod/languages/languages/it/it.groups.php141
-rw-r--r--mod/languages/languages/it/it.messageboard.php39
-rw-r--r--mod/languages/languages/it/it.messages.php56
-rw-r--r--mod/languages/languages/it/it.pages.php41
-rw-r--r--mod/languages/languages/it/it.profile.php8
-rw-r--r--mod/languages/languages/it/it.reportedcontent.php7
-rw-r--r--mod/languages/languages/ja/ja.blog.php12
-rw-r--r--mod/languages/languages/ja/ja.bookmarks.php37
-rw-r--r--mod/languages/languages/ja/ja.categories.php11
-rw-r--r--mod/languages/languages/ja/ja.core.php661
-rw-r--r--mod/languages/languages/ja/ja.custom_index.php11
-rw-r--r--mod/languages/languages/ja/ja.embed.php8
-rw-r--r--mod/languages/languages/ja/ja.externalpages.php14
-rw-r--r--mod/languages/languages/ja/ja.file.php61
-rw-r--r--mod/languages/languages/ja/ja.groups.php155
-rw-r--r--mod/languages/languages/ja/ja.messageboard.php41
-rw-r--r--mod/languages/languages/ja/ja.messages.php55
-rw-r--r--mod/languages/languages/ja/ja.pages.php43
-rw-r--r--mod/languages/languages/ja/ja.reportedcontent.php30
-rw-r--r--mod/languages/languages/nl/nl.blog.php50
-rw-r--r--mod/languages/languages/nl/nl.bookmarks.php46
-rw-r--r--mod/languages/languages/nl/nl.categories.php12
-rw-r--r--mod/languages/languages/nl/nl.core.php947
-rw-r--r--mod/languages/languages/nl/nl.custom_index.php11
-rw-r--r--mod/languages/languages/nl/nl.dashboard.php11
-rw-r--r--mod/languages/languages/nl/nl.developers.php43
-rw-r--r--mod/languages/languages/nl/nl.diagnostics.php32
-rw-r--r--mod/languages/languages/nl/nl.embed.php14
-rw-r--r--mod/languages/languages/nl/nl.externalpages.php15
-rw-r--r--mod/languages/languages/nl/nl.file.php69
-rw-r--r--mod/languages/languages/nl/nl.garbagecollector.php18
-rw-r--r--mod/languages/languages/nl/nl.groups.php205
-rw-r--r--mod/languages/languages/nl/nl.invitefriends.php28
-rw-r--r--mod/languages/languages/nl/nl.likes.php31
-rw-r--r--mod/languages/languages/nl/nl.logbrowser.php20
-rw-r--r--mod/languages/languages/nl/nl.logrotate.php18
-rw-r--r--mod/languages/languages/nl/nl.members.php13
-rw-r--r--mod/languages/languages/nl/nl.messageboard.php41
-rw-r--r--mod/languages/languages/nl/nl.messages.php63
-rw-r--r--mod/languages/languages/nl/nl.notifications.php18
-rw-r--r--mod/languages/languages/nl/nl.pages.php54
-rw-r--r--mod/languages/languages/nl/nl.profile.php8
-rw-r--r--mod/languages/languages/nl/nl.reportedcontent.php36
-rw-r--r--mod/languages/languages/nl/nl.search.php18
-rw-r--r--mod/languages/languages/nl/nl.tagcloud.php9
-rw-r--r--mod/languages/languages/nl/nl.thewire.php36
-rw-r--r--mod/languages/languages/nl/nl.tinymce.php9
-rw-r--r--mod/languages/languages/nl/nl.twitter.php12
-rw-r--r--mod/languages/languages/nl/nl.twitter_api.php41
-rw-r--r--mod/languages/languages/nl/nl.uservalidationbyemail.php49
-rw-r--r--mod/languages/languages/pt/pt.blog.php50
-rw-r--r--mod/languages/languages/pt/pt.bookmarks.php46
-rw-r--r--mod/languages/languages/pt/pt.brainstorm.php63
-rw-r--r--mod/languages/languages/pt/pt.categories.php12
-rw-r--r--mod/languages/languages/pt/pt.core.php966
-rw-r--r--mod/languages/languages/pt/pt.custom_index.php11
-rw-r--r--mod/languages/languages/pt/pt.dashboard.php11
-rw-r--r--mod/languages/languages/pt/pt.developers.php43
-rw-r--r--mod/languages/languages/pt/pt.diagnostics.php34
-rw-r--r--mod/languages/languages/pt/pt.embed.php14
-rw-r--r--mod/languages/languages/pt/pt.externalpages.php15
-rw-r--r--mod/languages/languages/pt/pt.file.php69
-rw-r--r--mod/languages/languages/pt/pt.garbagecollector.php17
-rw-r--r--mod/languages/languages/pt/pt.groups.php204
-rw-r--r--mod/languages/languages/pt/pt.install.php132
-rw-r--r--mod/languages/languages/pt/pt.invitefriends.php36
-rw-r--r--mod/languages/languages/pt/pt.likes.php35
-rw-r--r--mod/languages/languages/pt/pt.logbrowser.php20
-rw-r--r--mod/languages/languages/pt/pt.logrotate.php20
-rw-r--r--mod/languages/languages/pt/pt.members.php13
-rw-r--r--mod/languages/languages/pt/pt.messageboard.php43
-rw-r--r--mod/languages/languages/pt/pt.messages.php66
-rw-r--r--mod/languages/languages/pt/pt.moderated_comments.php48
-rw-r--r--mod/languages/languages/pt/pt.notifications.php20
-rw-r--r--mod/languages/languages/pt/pt.pages.php55
-rw-r--r--mod/languages/languages/pt/pt.profile.php8
-rw-r--r--mod/languages/languages/pt/pt.reportedcontent.php36
-rw-r--r--mod/languages/languages/pt/pt.search.php18
-rw-r--r--mod/languages/languages/pt/pt.spam_login_filter.php80
-rw-r--r--mod/languages/languages/pt/pt.speak_freely.php55
-rw-r--r--mod/languages/languages/pt/pt.tagcloud.php9
-rw-r--r--mod/languages/languages/pt/pt.thewire.php37
-rw-r--r--mod/languages/languages/pt/pt.tinymce.php9
-rw-r--r--mod/languages/languages/pt/pt.twitter.php12
-rw-r--r--mod/languages/languages/pt/pt.twitter_api.php43
-rw-r--r--mod/languages/languages/pt/pt.uservalidationbyemail.php51
-rw-r--r--mod/languages/languages/sr/sr.blog.php12
-rw-r--r--mod/languages/languages/sr/sr.core.php389
-rw-r--r--mod/languages/languages/sr/sr.custom_index.php10
-rw-r--r--mod/languages/languages/sr/sr.externalpages.php10
-rw-r--r--mod/languages/languages/sr/sr.file.php7
-rw-r--r--mod/languages/languages/sr/sr.friendrequest.php20
-rw-r--r--mod/languages/languages/sr/sr.groups.php148
-rw-r--r--mod/languages/languages/sr/sr.messageboard.php38
-rw-r--r--mod/languages/languages/sr/sr.messages.php55
-rw-r--r--mod/languages/languages/sr/sr.pages.php7
-rw-r--r--mod/languages/languages/sr/sr.profile.php8
-rw-r--r--mod/languages/languages/sr/sr.reportedcontent.php30
-rw-r--r--mod/languages/languages/th/th.core.php651
-rw-r--r--mod/languages/languages/zh/zh.blog.php69
-rw-r--r--mod/languages/languages/zh/zh.core.php662
-rw-r--r--mod/languages/languages/zh/zh.profile.php8
-rw-r--r--mod/languages/manifest.xml16
-rw-r--r--mod/languages/start.php55
-rw-r--r--mod/languages/views/default/js/languages/ca.php2
-rw-r--r--mod/languages/views/default/js/languages/da.php2
-rw-r--r--mod/languages/views/default/js/languages/de.php2
-rw-r--r--mod/languages/views/default/js/languages/en.php2
-rw-r--r--mod/languages/views/default/js/languages/es.php2
-rw-r--r--mod/languages/views/default/js/languages/eu.php2
-rw-r--r--mod/languages/views/default/js/languages/fr.php2
-rw-r--r--mod/languages/views/default/js/languages/gl.php2
-rw-r--r--mod/languages/views/default/js/languages/it.php2
-rw-r--r--mod/languages/views/default/js/languages/ja.php2
-rw-r--r--mod/languages/views/default/js/languages/nl.php2
-rw-r--r--mod/languages/views/default/js/languages/pt.php2
-rw-r--r--mod/languages/views/default/js/languages/sr.php2
-rw-r--r--mod/languages/views/default/js/languages/th.php2
-rw-r--r--mod/languages/views/default/js/languages/zh.php2
-rw-r--r--mod/lightpics/CONFIG.txt73
-rw-r--r--mod/lightpics/FAQ.txt31
-rw-r--r--mod/lightpics/actions/photos/admin/create_thumbnails.php70
-rw-r--r--mod/lightpics/actions/photos/admin/imtest.php18
-rw-r--r--mod/lightpics/actions/photos/admin/settings.php29
-rw-r--r--mod/lightpics/actions/photos/admin/upgrade.php52
-rw-r--r--mod/lightpics/actions/photos/album/save.php48
-rw-r--r--mod/lightpics/actions/photos/album/set_cover.php23
-rw-r--r--mod/lightpics/actions/photos/album/sort.php21
-rw-r--r--mod/lightpics/actions/photos/batch/edit.php42
-rw-r--r--mod/lightpics/actions/photos/delete.php48
-rw-r--r--mod/lightpics/actions/photos/image/save.php40
-rw-r--r--mod/lightpics/actions/photos/image/upload.php147
-rw-r--r--mod/lightpics/activate.php51
-rw-r--r--mod/lightpics/classes/TidypicsAlbum.php375
-rw-r--r--mod/lightpics/classes/TidypicsImage.php406
-rw-r--r--mod/lightpics/contributions.txt442
-rw-r--r--mod/lightpics/deactivate.php10
-rw-r--r--mod/lightpics/fonts/LiberationSerif-Regular.ttfbin0 -> 172964 bytes-rw-r--r--mod/lightpics/fonts/License.txt13
-rw-r--r--mod/lightpics/graphics/empty_album.pngbin0 -> 1389 bytes-rw-r--r--mod/lightpics/graphics/icons/album.gifbin0 -> 1411 bytes-rw-r--r--mod/lightpics/graphics/icons/general.jpgbin0 -> 7036 bytes-rw-r--r--mod/lightpics/graphics/icons/river_icon_album.gifbin0 -> 398 bytes-rw-r--r--mod/lightpics/graphics/icons/river_icon_image.gifbin0 -> 945 bytes-rw-r--r--mod/lightpics/graphics/icons/river_icon_tag.gifbin0 -> 184 bytes-rw-r--r--mod/lightpics/graphics/image_error_large.pngbin0 -> 2208 bytes-rw-r--r--mod/lightpics/graphics/image_error_small.pngbin0 -> 2351 bytes-rw-r--r--mod/lightpics/graphics/image_error_thumb.pngbin0 -> 759 bytes-rw-r--r--mod/lightpics/graphics/loader.gifbin0 -> 2545 bytes-rw-r--r--mod/lightpics/graphics/spacer.gifbin0 -> 43 bytes-rw-r--r--mod/lightpics/languages/ca.php210
-rw-r--r--mod/lightpics/languages/da.php169
-rw-r--r--mod/lightpics/languages/de.php248
-rw-r--r--mod/lightpics/languages/en.php262
-rw-r--r--mod/lightpics/languages/es.php210
-rw-r--r--mod/lightpics/languages/fr.php140
-rw-r--r--mod/lightpics/languages/he.php135
-rw-r--r--mod/lightpics/languages/it.php113
-rw-r--r--mod/lightpics/languages/pl.php96
-rw-r--r--mod/lightpics/languages/ru.php101
-rw-r--r--mod/lightpics/languages/tr.php86
-rw-r--r--mod/lightpics/lib/exif.php110
-rw-r--r--mod/lightpics/lib/migrate.php301
-rw-r--r--mod/lightpics/lib/resize.php522
-rw-r--r--mod/lightpics/lib/tidypics.php365
-rw-r--r--mod/lightpics/lib/upload.php119
-rw-r--r--mod/lightpics/manifest.xml30
-rw-r--r--mod/lightpics/pages/lists/highestrated.php65
-rw-r--r--mod/lightpics/pages/lists/highestvotecount.php50
-rw-r--r--mod/lightpics/pages/lists/mostcommentedimages.php42
-rw-r--r--mod/lightpics/pages/lists/mostcommentedimagesthismonth.php50
-rw-r--r--mod/lightpics/pages/lists/mostcommentedimagestoday.php50
-rw-r--r--mod/lightpics/pages/lists/mostrecentimages.php56
-rw-r--r--mod/lightpics/pages/lists/mostviewedimages.php79
-rw-r--r--mod/lightpics/pages/lists/mostviewedimageslastmonth.php50
-rw-r--r--mod/lightpics/pages/lists/mostviewedimagesthismonth.php50
-rw-r--r--mod/lightpics/pages/lists/mostviewedimagesthisyear.php50
-rw-r--r--mod/lightpics/pages/lists/mostviewedimagestoday.php50
-rw-r--r--mod/lightpics/pages/lists/recentlycommented.php61
-rw-r--r--mod/lightpics/pages/lists/recentlyviewed.php60
-rw-r--r--mod/lightpics/pages/lists/recentvotes.php52
-rw-r--r--mod/lightpics/pages/photos/album/add.php34
-rw-r--r--mod/lightpics/pages/photos/album/edit.php48
-rw-r--r--mod/lightpics/pages/photos/album/sort.php56
-rw-r--r--mod/lightpics/pages/photos/album/view.php63
-rw-r--r--mod/lightpics/pages/photos/all.php38
-rw-r--r--mod/lightpics/pages/photos/batch/edit.php44
-rw-r--r--mod/lightpics/pages/photos/friends.php34
-rw-r--r--mod/lightpics/pages/photos/image/download.php41
-rw-r--r--mod/lightpics/pages/photos/image/edit.php54
-rw-r--r--mod/lightpics/pages/photos/image/thumbnail.php38
-rw-r--r--mod/lightpics/pages/photos/image/upload.php64
-rw-r--r--mod/lightpics/pages/photos/image/view.php61
-rw-r--r--mod/lightpics/pages/photos/owner.php56
-rw-r--r--mod/lightpics/start.php421
-rw-r--r--mod/lightpics/upgrades/2009082901.php29
-rw-r--r--mod/lightpics/upgrades/2010073101.php27
-rw-r--r--mod/lightpics/upgrades/2010102801.php26
-rw-r--r--mod/lightpics/upgrades/2012020901.php26
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/README.md73
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/cors/postmessage.html75
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/cors/result.html20
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/css/jquery.fileupload-ui.css107
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/css/style.css92
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/img/loading.gifbin0 -> 3897 bytes-rw-r--r--mod/lightpics/vendors/jquery-file-upload/img/progressbar.gifbin0 -> 3323 bytes-rw-r--r--mod/lightpics/vendors/jquery-file-upload/index.html234
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/js/cors/jquery.postmessage-transport.js117
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/js/cors/jquery.xdr-transport.js85
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/js/jquery.fileupload-fp.js219
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/js/jquery.fileupload-jui.js141
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/js/jquery.fileupload-ui.js736
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/js/jquery.fileupload.js972
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/js/jquery.iframe-transport.js171
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/js/locale.js29
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/js/main.js93
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/js/vendor/canvas-to-blob.min.js1
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/js/vendor/jquery.ui.widget.js282
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/js/vendor/load-image.min.js1
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/js/vendor/tmpl.min.js1
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/package.json56
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/server/gae-go/app.yaml12
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/server/gae-go/app/main.go361
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/server/gae-go/resize/resize.go247
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/server/gae-go/static/favicon.icobin0 -> 1150 bytes-rw-r--r--mod/lightpics/vendors/jquery-file-upload/server/gae-go/static/robots.txt2
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/server/gae-python/app.yaml16
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/server/gae-python/main.py149
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/server/gae-python/static/favicon.icobin0 -> 1150 bytes-rw-r--r--mod/lightpics/vendors/jquery-file-upload/server/gae-python/static/robots.txt2
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/server/node/.gitignore2
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/server/node/package.json41
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/server/node/public/files/thumbnail/.gitignore0
-rwxr-xr-xmod/lightpics/vendors/jquery-file-upload/server/node/server.js285
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/server/node/tmp/.gitignore0
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/server/php/files/.htaccess4
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/server/php/index.php46
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/server/php/thumbnails/.htaccess0
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/server/php/upload.class.php436
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/test/index.html146
-rw-r--r--mod/lightpics/vendors/jquery-file-upload/test/test.js1279
-rw-r--r--mod/lightpics/version.php7
-rw-r--r--mod/lightpics/views/default/admin/settings/photos.php71
-rw-r--r--mod/lightpics/views/default/admin/settings/photos/help.php14
-rw-r--r--mod/lightpics/views/default/admin/settings/photos/image_lib.php38
-rw-r--r--mod/lightpics/views/default/admin/settings/photos/server_config.php14
-rw-r--r--mod/lightpics/views/default/admin/settings/photos/server_info.php120
-rw-r--r--mod/lightpics/views/default/admin/settings/photos/settings.php18
-rw-r--r--mod/lightpics/views/default/admin/settings/photos/thumbnail.php54
-rw-r--r--mod/lightpics/views/default/forms/photos/admin/settings.php26
-rw-r--r--mod/lightpics/views/default/forms/photos/admin/settings/activity.php31
-rw-r--r--mod/lightpics/views/default/forms/photos/admin/settings/image_lib.php20
-rw-r--r--mod/lightpics/views/default/forms/photos/admin/settings/main.php39
-rw-r--r--mod/lightpics/views/default/forms/photos/admin/settings/thumbnails.php33
-rw-r--r--mod/lightpics/views/default/forms/photos/album/save.php48
-rw-r--r--mod/lightpics/views/default/forms/photos/album/sort.php28
-rw-r--r--mod/lightpics/views/default/forms/photos/basic_upload.php63
-rw-r--r--mod/lightpics/views/default/forms/photos/batch/edit.php33
-rw-r--r--mod/lightpics/views/default/forms/photos/batch/edit/image.php35
-rw-r--r--mod/lightpics/views/default/forms/photos/image/save.php48
-rw-r--r--mod/lightpics/views/default/icon/object/album.php41
-rw-r--r--mod/lightpics/views/default/icon/object/image.php62
-rw-r--r--mod/lightpics/views/default/js/photos/tidypics.php43
-rw-r--r--mod/lightpics/views/default/js/photos/upload.php63
-rw-r--r--mod/lightpics/views/default/object/album.php22
-rw-r--r--mod/lightpics/views/default/object/album/full.php59
-rw-r--r--mod/lightpics/views/default/object/album/gallery.php36
-rw-r--r--mod/lightpics/views/default/object/album/list.php42
-rw-r--r--mod/lightpics/views/default/object/image.php211
-rw-r--r--mod/lightpics/views/default/object/image/full.php62
-rw-r--r--mod/lightpics/views/default/object/image/navigation.php34
-rw-r--r--mod/lightpics/views/default/object/image/summary.php41
-rw-r--r--mod/lightpics/views/default/photos/css.php470
-rw-r--r--mod/lightpics/views/default/photos/group_module.php45
-rw-r--r--mod/lightpics/views/default/photos/sidebar.php18
-rw-r--r--mod/lightpics/views/default/photos/sidebar/exif.php20
-rw-r--r--mod/lightpics/views/default/photos/sidebar/quota.php27
-rw-r--r--mod/lightpics/views/default/river/object/album/create.php40
-rw-r--r--mod/lightpics/views/default/river/object/image/create.php41
-rw-r--r--mod/lightpics/views/default/river/object/tidypics_batch/create.php66
-rw-r--r--mod/lightpics/views/default/widgets/album_view/content.php17
-rw-r--r--mod/lightpics/views/default/widgets/album_view/edit.php25
-rw-r--r--mod/lightpics/views/default/widgets/latest_photos/content.php18
-rw-r--r--mod/lightpics/views/default/widgets/latest_photos/edit.php25
-rw-r--r--mod/lightpics/views/rss/extensions/photos/xmlns.php9
-rw-r--r--mod/lightpics/views/rss/object/album.php17
-rw-r--r--mod/lightpics/views/rss/object/album/full.php19
-rw-r--r--mod/lightpics/views/rss/object/album/summary.php33
-rw-r--r--mod/lightpics/views/rss/object/image.php42
-rw-r--r--mod/likes/actions/likes/add.php50
-rw-r--r--mod/likes/actions/likes/delete.php31
-rw-r--r--mod/likes/languages/en.php45
-rw-r--r--mod/likes/manifest.xml17
-rw-r--r--mod/likes/start.php171
-rw-r--r--mod/likes/views/default/annotation/likes.php49
-rw-r--r--mod/likes/views/default/likes/button.php39
-rw-r--r--mod/likes/views/default/likes/count.php36
-rw-r--r--mod/likes/views/default/likes/css.php17
-rw-r--r--mod/likes/views/default/likes/js.php26
m---------mod/linkup0
m---------mod/livestream0
-rw-r--r--mod/logbrowser/languages/en.php52
-rw-r--r--mod/logbrowser/manifest.xml25
-rw-r--r--mod/logbrowser/start.php31
-rw-r--r--mod/logbrowser/views/default/admin/administer_utilities/logbrowser.php81
-rw-r--r--mod/logbrowser/views/default/admin/overview/logbrowser.php66
-rw-r--r--mod/logbrowser/views/default/forms/logbrowser/refine.php54
-rw-r--r--mod/logbrowser/views/default/logbrowser/adminlinks.php4
-rw-r--r--mod/logbrowser/views/default/logbrowser/css.php49
-rw-r--r--mod/logbrowser/views/default/logbrowser/form.php57
-rw-r--r--mod/logbrowser/views/default/logbrowser/refine.php40
-rw-r--r--mod/logbrowser/views/default/logbrowser/table.php90
-rw-r--r--mod/logbrowser/views/default/object/logwrapper.php48
-rw-r--r--mod/logrotate/languages/en.php43
-rw-r--r--mod/logrotate/manifest.xml25
-rw-r--r--mod/logrotate/start.php168
-rw-r--r--mod/logrotate/views/default/plugins/logrotate/settings.php48
-rw-r--r--mod/logrotate/views/default/settings/logrotate/edit.php20
-rw-r--r--mod/members/index.php84
-rw-r--r--mod/members/languages/en.php21
-rw-r--r--mod/members/manifest.xml24
-rw-r--r--mod/members/pages/members/index.php36
-rw-r--r--mod/members/pages/members/search.php55
-rw-r--r--mod/members/start.php44
-rw-r--r--mod/members/views/default/members/css.php24
-rw-r--r--mod/members/views/default/members/members_navigation.php23
-rw-r--r--mod/members/views/default/members/nav.php24
-rw-r--r--mod/members/views/default/members/search.php26
-rw-r--r--mod/members/views/default/members/sidebar.php25
-rw-r--r--mod/messageboard/actions/add.php32
-rw-r--r--mod/messageboard/actions/delete.php28
-rw-r--r--mod/messageboard/ajax_endpoint/load.php42
-rw-r--r--mod/messageboard/history.php31
-rw-r--r--mod/messageboard/index.php34
-rw-r--r--mod/messageboard/languages/en.php15
-rw-r--r--mod/messageboard/manifest.xml25
-rw-r--r--mod/messageboard/pages/messageboard/owner.php57
-rw-r--r--mod/messageboard/start.php125
-rw-r--r--mod/messageboard/views/default/forms/messageboard/add.php20
-rw-r--r--mod/messageboard/views/default/messageboard/css.php89
-rw-r--r--mod/messageboard/views/default/messageboard/forms/add.php25
-rw-r--r--mod/messageboard/views/default/messageboard/js.php56
-rw-r--r--mod/messageboard/views/default/messageboard/messageboard.php30
-rw-r--r--mod/messageboard/views/default/messageboard/messageboard_content.php61
-rw-r--r--mod/messageboard/views/default/river/object/messageboard/create.php22
-rw-r--r--mod/messageboard/views/default/widgets/messageboard/content.php35
-rw-r--r--mod/messageboard/views/default/widgets/messageboard/edit.php38
-rw-r--r--mod/messageboard/views/default/widgets/messageboard/view.php80
-rw-r--r--mod/messages/actions/delete.php65
-rw-r--r--mod/messages/actions/messages/delete.php20
-rw-r--r--mod/messages/actions/messages/process.php35
-rw-r--r--mod/messages/actions/messages/send.php46
-rw-r--r--mod/messages/actions/send.php57
-rw-r--r--mod/messages/index.php49
-rw-r--r--mod/messages/languages/en.php26
-rw-r--r--mod/messages/lib/messages.php32
-rw-r--r--mod/messages/manifest.xml23
-rw-r--r--mod/messages/pages/messages/inbox.php48
-rw-r--r--mod/messages/pages/messages/read.php60
-rw-r--r--mod/messages/pages/messages/send.php27
-rw-r--r--mod/messages/pages/messages/sent.php48
-rw-r--r--mod/messages/read.php58
-rw-r--r--mod/messages/readme.txt24
-rw-r--r--mod/messages/send.php42
-rw-r--r--mod/messages/sent.php40
-rw-r--r--mod/messages/start.php549
-rw-r--r--mod/messages/views/default/forms/messages/process.php43
-rw-r--r--mod/messages/views/default/forms/messages/reply.php38
-rw-r--r--mod/messages/views/default/forms/messages/send.php54
-rw-r--r--mod/messages/views/default/messages/css.php114
-rw-r--r--mod/messages/views/default/messages/forms/reply.php44
-rw-r--r--mod/messages/views/default/messages/forms/send.php70
-rw-r--r--mod/messages/views/default/messages/forms/view.php22
-rw-r--r--mod/messages/views/default/messages/js.php7
-rw-r--r--mod/messages/views/default/messages/menu.php12
-rw-r--r--mod/messages/views/default/messages/messages.php117
-rw-r--r--mod/messages/views/default/messages/topbar.php26
-rw-r--r--mod/messages/views/default/messages/view.php121
-rw-r--r--mod/messages/views/default/object/messages.php86
-rw-r--r--mod/notifications/actions/groupsave.php38
-rw-r--r--mod/notifications/actions/save.php11
-rw-r--r--mod/notifications/groups.php44
-rw-r--r--mod/notifications/index.php42
-rw-r--r--mod/notifications/languages/en.php3
-rw-r--r--mod/notifications/manifest.xml24
-rw-r--r--mod/notifications/start.php71
-rw-r--r--mod/notifications/views/default/forms/notificationsettings/groupsave.php111
-rw-r--r--mod/notifications/views/default/forms/notificationsettings/save.php21
-rw-r--r--mod/notifications/views/default/notifications/subscriptions/collections.php48
-rw-r--r--mod/notifications/views/default/notifications/subscriptions/form.php32
-rw-r--r--mod/notifications/views/default/notifications/subscriptions/forminternals.php100
-rw-r--r--mod/notifications/views/default/notifications/subscriptions/groupsform.php104
-rw-r--r--mod/notifications/views/default/notifications/subscriptions/jsfuncs.php2
-rw-r--r--mod/notifications/views/default/notifications/subscriptions/personal.php20
-rw-r--r--mod/oauth_lib/manifest.xml14
-rw-r--r--mod/oauth_lib/start.php20
-rw-r--r--mod/oauth_lib/vendors/oauth/LICENSE21
-rw-r--r--mod/oauth_lib/vendors/oauth/example/server/INSTALL53
-rw-r--r--mod/oauth_lib/vendors/oauth/example/server/core/init.php127
-rw-r--r--mod/oauth_lib/vendors/oauth/example/server/core/templates/inc/footer.tpl2
-rw-r--r--mod/oauth_lib/vendors/oauth/example/server/core/templates/inc/header.tpl2
-rw-r--r--mod/oauth_lib/vendors/oauth/example/server/core/templates/index.tpl13
-rw-r--r--mod/oauth_lib/vendors/oauth/example/server/core/templates/logon.tpl21
-rw-r--r--mod/oauth_lib/vendors/oauth/example/server/core/templates/register.tpl41
-rw-r--r--mod/oauth_lib/vendors/oauth/example/server/www/hello.php65
-rw-r--r--mod/oauth_lib/vendors/oauth/example/server/www/index.php37
-rw-r--r--mod/oauth_lib/vendors/oauth/example/server/www/logon.php55
-rw-r--r--mod/oauth_lib/vendors/oauth/example/server/www/oauth.php77
-rw-r--r--mod/oauth_lib/vendors/oauth/example/server/www/register.php28
-rw-r--r--mod/oauth_lib/vendors/oauth/example/server/www/services.xrds.php71
-rw-r--r--mod/oauth_lib/vendors/oauth/library/OAuthDiscovery.php226
-rw-r--r--mod/oauth_lib/vendors/oauth/library/OAuthException.php50
-rw-r--r--mod/oauth_lib/vendors/oauth/library/OAuthRequest.php801
-rw-r--r--mod/oauth_lib/vendors/oauth/library/OAuthRequestLogger.php274
-rw-r--r--mod/oauth_lib/vendors/oauth/library/OAuthRequestSigner.php209
-rw-r--r--mod/oauth_lib/vendors/oauth/library/OAuthRequestVerifier.php262
-rw-r--r--mod/oauth_lib/vendors/oauth/library/OAuthRequester.php508
-rw-r--r--mod/oauth_lib/vendors/oauth/library/OAuthServer.php232
-rw-r--r--mod/oauth_lib/vendors/oauth/library/OAuthStore.php86
-rw-r--r--mod/oauth_lib/vendors/oauth/library/body/OAuthBodyContentDisposition.php129
-rw-r--r--mod/oauth_lib/vendors/oauth/library/body/OAuthBodyMultipartFormdata.php143
-rw-r--r--mod/oauth_lib/vendors/oauth/library/discovery/xrds_parse.php304
-rw-r--r--mod/oauth_lib/vendors/oauth/library/discovery/xrds_parse.txt101
-rw-r--r--mod/oauth_lib/vendors/oauth/library/signature_method/OAuthSignatureMethod.class.php69
-rw-r--r--mod/oauth_lib/vendors/oauth/library/signature_method/OAuthSignatureMethod_HMAC_SHA1.php115
-rw-r--r--mod/oauth_lib/vendors/oauth/library/signature_method/OAuthSignatureMethod_MD5.php95
-rw-r--r--mod/oauth_lib/vendors/oauth/library/signature_method/OAuthSignatureMethod_PLAINTEXT.php80
-rw-r--r--mod/oauth_lib/vendors/oauth/library/signature_method/OAuthSignatureMethod_RSA_SHA1.php136
-rw-r--r--mod/oauth_lib/vendors/oauth/library/store/OAuthStoreAbstract.class.php149
-rw-r--r--mod/oauth_lib/vendors/oauth/library/store/OAuthStoreAnyMeta.php265
-rw-r--r--mod/oauth_lib/vendors/oauth/library/store/OAuthStoreMySQL.php1879
-rw-r--r--mod/oauth_lib/vendors/oauth/library/store/mysql/install.php32
-rw-r--r--mod/oauth_lib/vendors/oauth/library/store/mysql/mysql.sql219
-rw-r--r--mod/oauth_lib/vendors/oauth/test/discovery/xrds-fireeagle.xrds78
-rw-r--r--mod/oauth_lib/vendors/oauth/test/discovery/xrds-getsatisfaction.xrds73
-rw-r--r--mod/oauth_lib/vendors/oauth/test/discovery/xrds-magnolia.xrds81
-rw-r--r--mod/oauth_lib/vendors/oauth/test/oauth_test.php188
-rw-r--r--mod/openid_api/classes/OpenID_ElggStore.php420
-rw-r--r--mod/openid_api/lib/openid_consumer.php15
-rw-r--r--mod/openid_api/lib/openid_server.php13
-rw-r--r--mod/openid_api/manifest.xml19
-rw-r--r--mod/openid_api/start.php22
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/OpenID.php563
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/OpenID/AX.php1022
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/OpenID/Association.php610
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/OpenID/BigMath.php451
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/OpenID/Consumer.php2234
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/OpenID/CryptUtil.php122
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/OpenID/DatabaseConnection.php130
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/OpenID/DiffieHellman.php113
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/OpenID/Discover.php606
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/OpenID/DumbStore.php99
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/OpenID/Extension.php61
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/OpenID/FileStore.php618
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/OpenID/HMAC.php105
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/OpenID/Interface.php196
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/OpenID/KVForm.php111
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/OpenID/MDB2Store.php413
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/OpenID/MemcachedStore.php207
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/OpenID/Message.php920
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/OpenID/MySQLStore.php77
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/OpenID/Nonce.php108
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/OpenID/PAPE.php300
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/OpenID/Parse.php377
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/OpenID/PostgreSQLStore.php112
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/OpenID/SQLStore.php557
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/OpenID/SQLiteStore.php70
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/OpenID/SReg.php521
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/OpenID/Server.php1765
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/OpenID/ServerRequest.php36
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/OpenID/TrustRoot.php461
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/OpenID/URINorm.php249
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/Yadis/HTTPFetcher.php174
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/Yadis/Manager.php521
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/Yadis/Misc.php58
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/Yadis/ParanoidHTTPFetcher.php245
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/Yadis/ParseHTML.php258
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/Yadis/PlainHTTPFetcher.php248
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/Yadis/XML.php352
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/Yadis/XRDS.php478
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/Yadis/XRI.php234
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/Yadis/XRIRes.php72
-rw-r--r--mod/openid_api/vendors/php-openid/Auth/Yadis/Yadis.php382
-rw-r--r--mod/openid_api/vendors/php-openid/COPYING202
-rw-r--r--mod/openid_api/vendors/php-openid/README136
-rw-r--r--mod/openid_client/actions/openid_client/login.php32
-rw-r--r--mod/openid_client/actions/openid_client/register.php35
-rw-r--r--mod/openid_client/classes/ElggOpenIDConsumer.php238
-rw-r--r--mod/openid_client/graphics/openid_icon.pngbin0 -> 733 bytes-rw-r--r--mod/openid_client/languages/en.php28
-rw-r--r--mod/openid_client/lib/helpers.php114
-rw-r--r--mod/openid_client/manifest.xml19
-rw-r--r--mod/openid_client/return.php69
-rw-r--r--mod/openid_client/start.php78
-rw-r--r--mod/openid_client/upgrade.php12
-rw-r--r--mod/openid_client/upgrades/2012100501.php53
-rw-r--r--mod/openid_client/views/default/core/account/login_box.php24
-rw-r--r--mod/openid_client/views/default/core/account/login_dropdown.php31
-rw-r--r--mod/openid_client/views/default/forms/openid_client/login.php43
-rw-r--r--mod/openid_client/views/default/forms/openid_client/register.php71
-rw-r--r--mod/openid_client/views/default/openid_client/css.php13
-rw-r--r--mod/openid_client/views/default/openid_client/icon.php8
-rw-r--r--mod/openid_client/views/default/openid_client/js.php26
-rw-r--r--mod/openid_client/views/default/openid_client/register.php11
-rw-r--r--mod/openid_server/Crypt/RSA.php524
-rw-r--r--mod/openid_server/Crypt/RSA/ErrorHandler.php234
-rw-r--r--mod/openid_server/Crypt/RSA/Key.php314
-rw-r--r--mod/openid_server/Crypt/RSA/KeyPair.php804
-rw-r--r--mod/openid_server/Crypt/RSA/Math/BCMath.php482
-rw-r--r--mod/openid_server/Crypt/RSA/Math/BigInt.php313
-rw-r--r--mod/openid_server/Crypt/RSA/Math/GMP.php361
-rw-r--r--mod/openid_server/Crypt/RSA/MathLoader.php135
-rwxr-xr-xmod/openid_server/actions/admin.php90
-rwxr-xr-xmod/openid_server/actions/autologin.php52
-rwxr-xr-xmod/openid_server/actions/autologout.php48
-rwxr-xr-xmod/openid_server/actions/trust.php93
-rw-r--r--mod/openid_server/languages/ca.php40
-rwxr-xr-xmod/openid_server/languages/en.php47
-rwxr-xr-xmod/openid_server/languages/es.php40
-rw-r--r--mod/openid_server/languages/gl.php40
-rw-r--r--mod/openid_server/languages/pt.php27
-rwxr-xr-xmod/openid_server/lib/actions.php173
-rwxr-xr-xmod/openid_server/lib/common.php164
-rwxr-xr-xmod/openid_server/lib/session.php140
-rwxr-xr-xmod/openid_server/manifest.xml20
-rwxr-xr-xmod/openid_server/openid_server_include.php340
-rwxr-xr-xmod/openid_server/server.php32
-rwxr-xr-xmod/openid_server/start.php65
-rwxr-xr-xmod/openid_server/views/default/forms/openid_server/trust.php34
-rwxr-xr-xmod/openid_server/views/default/openid_server/forms/admin.php154
-rwxr-xr-xmod/openid_server/views/default/openid_server/forms/autologin.php22
-rwxr-xr-xmod/openid_server/views/default/openid_server/forms/autologout.php24
-rwxr-xr-xmod/openid_server/views/default/openid_server/forms/trust.php35
-rwxr-xr-xmod/openid_server/views/default/openid_server/metatags.php6
-rw-r--r--mod/openid_server/views/xrds/openid_server/profile.php11
-rw-r--r--mod/openid_server/views/xrds/openid_server/service.php15
-rw-r--r--mod/opensearch/README21
-rw-r--r--mod/opensearch/languages/ca.php26
-rw-r--r--mod/opensearch/languages/en.php28
-rw-r--r--mod/opensearch/languages/es.php23
-rw-r--r--mod/opensearch/languages/eu.php11
-rwxr-xr-xmod/opensearch/languages/pl.php11
-rw-r--r--mod/opensearch/manifest.xml16
-rw-r--r--mod/opensearch/start.php33
-rw-r--r--mod/opensearch/views/default/opensearch/includes.php10
-rw-r--r--mod/opensearch/views/default/plugins/opensearch/settings.php97
-rw-r--r--mod/opensearch/views/opensearch_rss/page/default.php42
-rw-r--r--mod/opensearch/views/opensearch_rss/page/layouts/default.php5
-rw-r--r--mod/opensearch/views/opensearch_rss/search/layout.php5
-rw-r--r--mod/opensearch/views/opensearch_rss/search/list.php27
-rw-r--r--mod/opensearch/views/xml/opensearch/description.php75
-rw-r--r--mod/pages/actions/annotations/page/delete.php20
-rw-r--r--mod/pages/actions/pages/delete.php66
-rw-r--r--mod/pages/actions/pages/edit.php160
-rw-r--r--mod/pages/actions/pages/editwelcome.php58
-rw-r--r--mod/pages/edit.php36
-rw-r--r--mod/pages/history.php44
-rw-r--r--mod/pages/images/application.pngbin464 -> 0 bytes-rw-r--r--mod/pages/images/code.pngbin603 -> 0 bytes-rw-r--r--mod/pages/images/css.pngbin618 -> 0 bytes-rw-r--r--mod/pages/images/db.pngbin579 -> 0 bytes-rw-r--r--mod/pages/images/directory.pngbin537 -> 0 bytes-rw-r--r--mod/pages/images/doc.pngbin651 -> 0 bytes-rw-r--r--mod/pages/images/file.pngbin294 -> 0 bytes-rw-r--r--mod/pages/images/film.pngbin653 -> 0 bytes-rw-r--r--mod/pages/images/flash.pngbin582 -> 0 bytes-rw-r--r--mod/pages/images/folder_open.pngbin583 -> 0 bytes-rw-r--r--mod/pages/images/html.pngbin734 -> 0 bytes-rw-r--r--mod/pages/images/java.pngbin633 -> 0 bytes-rw-r--r--mod/pages/images/linux.pngbin668 -> 0 bytes-rw-r--r--mod/pages/images/music.pngbin385 -> 0 bytes-rw-r--r--mod/pages/images/pages.gifbin3098 -> 2766 bytes-rw-r--r--mod/pages/images/pages_lrg.gifbin11394 -> 10234 bytes-rw-r--r--mod/pages/images/pdf.pngbin591 -> 0 bytes-rw-r--r--mod/pages/images/php.pngbin538 -> 0 bytes-rw-r--r--mod/pages/images/picture.pngbin606 -> 0 bytes-rw-r--r--mod/pages/images/ppt.pngbin588 -> 0 bytes-rw-r--r--mod/pages/images/psd.pngbin856 -> 0 bytes-rw-r--r--mod/pages/images/ruby.pngbin626 -> 0 bytes-rw-r--r--mod/pages/images/script.pngbin859 -> 0 bytes-rw-r--r--mod/pages/images/spinner.gifbin2530 -> 0 bytes-rw-r--r--mod/pages/images/txt.pngbin342 -> 0 bytes-rw-r--r--mod/pages/images/xls.pngbin663 -> 0 bytes-rw-r--r--mod/pages/images/zip.pngbin386 -> 0 bytes-rw-r--r--mod/pages/index.php50
-rw-r--r--mod/pages/javascript/jquery.treeview.async.js72
-rw-r--r--mod/pages/languages/en.php67
-rw-r--r--mod/pages/lib/pages.php152
-rw-r--r--mod/pages/manifest.xml25
-rw-r--r--mod/pages/new.php43
-rw-r--r--mod/pages/pages/pages/edit.php54
-rw-r--r--mod/pages/pages/pages/friends.php33
-rw-r--r--mod/pages/pages/pages/history.php47
-rw-r--r--mod/pages/pages/pages/new.php37
-rw-r--r--mod/pages/pages/pages/owner.php53
-rw-r--r--mod/pages/pages/pages/revision.php53
-rw-r--r--mod/pages/pages/pages/view.php55
-rw-r--r--mod/pages/pages/pages/world.php31
-rw-r--r--mod/pages/pagesTree.php21
-rw-r--r--mod/pages/start.php447
-rw-r--r--mod/pages/upgrades/2012061800.php49
-rw-r--r--mod/pages/vendors/jquery-treeview/.gitignore7
-rw-r--r--mod/pages/vendors/jquery-treeview/README.md8
-rw-r--r--mod/pages/vendors/jquery-treeview/changelog.txt36
-rw-r--r--mod/pages/vendors/jquery-treeview/demo/async.html91
-rw-r--r--mod/pages/vendors/jquery-treeview/demo/bg.gifbin0 -> 1175 bytes-rw-r--r--mod/pages/vendors/jquery-treeview/demo/demo.js31
-rw-r--r--mod/pages/vendors/jquery-treeview/demo/edit.html82
-rw-r--r--mod/pages/vendors/jquery-treeview/demo/images.html56
-rw-r--r--mod/pages/vendors/jquery-treeview/demo/index.html338
-rw-r--r--mod/pages/vendors/jquery-treeview/demo/large.html561
-rw-r--r--mod/pages/vendors/jquery-treeview/demo/prerendered.html620
-rw-r--r--mod/pages/vendors/jquery-treeview/demo/screen.css24
-rw-r--r--mod/pages/vendors/jquery-treeview/demo/simple.html82
-rw-r--r--mod/pages/vendors/jquery-treeview/demo/sortable.html222
-rw-r--r--mod/pages/vendors/jquery-treeview/demo/source.php88
-rw-r--r--mod/pages/vendors/jquery-treeview/demo/source.phps88
-rw-r--r--mod/pages/vendors/jquery-treeview/images/ajax-loader.gifbin0 -> 847 bytes-rw-r--r--mod/pages/vendors/jquery-treeview/images/file.gif (renamed from mod/pages/images/file.gif)bin110 -> 110 bytes-rw-r--r--mod/pages/vendors/jquery-treeview/images/folder-closed.gif (renamed from mod/pages/images/folder-closed.gif)bin105 -> 105 bytes-rw-r--r--mod/pages/vendors/jquery-treeview/images/folder.gif (renamed from mod/pages/images/folder.gif)bin106 -> 106 bytes-rw-r--r--mod/pages/vendors/jquery-treeview/images/minus.gif (renamed from mod/pages/images/minus.gif)bin837 -> 837 bytes-rw-r--r--mod/pages/vendors/jquery-treeview/images/plus.gif (renamed from mod/pages/images/plus.gif)bin841 -> 841 bytes-rw-r--r--mod/pages/vendors/jquery-treeview/images/treeview-black-line.gif (renamed from mod/pages/images/treeview-black-line.gif)bin1877 -> 1877 bytes-rw-r--r--mod/pages/vendors/jquery-treeview/images/treeview-black.gif (renamed from mod/pages/images/treeview-black.gif)bin1216 -> 1216 bytes-rw-r--r--mod/pages/vendors/jquery-treeview/images/treeview-default-line.gif (renamed from mod/pages/images/treeview-default-line.gif)bin1993 -> 1993 bytes-rw-r--r--mod/pages/vendors/jquery-treeview/images/treeview-default.gif (renamed from mod/pages/images/treeview-default.gif)bin1222 -> 1222 bytes-rw-r--r--mod/pages/vendors/jquery-treeview/images/treeview-famfamfam-line.gif (renamed from mod/pages/images/treeview-famfamfam-line.gif)bin807 -> 807 bytes-rw-r--r--mod/pages/vendors/jquery-treeview/images/treeview-famfamfam.gif (renamed from mod/pages/images/treeview-famfamfam.gif)bin1280 -> 1280 bytes-rw-r--r--mod/pages/vendors/jquery-treeview/images/treeview-gray-line.gif (renamed from mod/pages/images/treeview-gray-line.gif)bin1877 -> 1877 bytes-rw-r--r--mod/pages/vendors/jquery-treeview/images/treeview-gray.gif (renamed from mod/pages/images/treeview-gray.gif)bin1230 -> 1230 bytes-rw-r--r--mod/pages/vendors/jquery-treeview/images/treeview-red-line.gif (renamed from mod/pages/images/treeview-red-line.gif)bin1877 -> 1877 bytes-rw-r--r--mod/pages/vendors/jquery-treeview/images/treeview-red.gif (renamed from mod/pages/images/treeview-red.gif)bin1230 -> 1230 bytes-rw-r--r--mod/pages/vendors/jquery-treeview/jquery.treeview.async.js110
-rw-r--r--mod/pages/vendors/jquery-treeview/jquery.treeview.css74
-rw-r--r--mod/pages/vendors/jquery-treeview/jquery.treeview.edit.js37
-rw-r--r--mod/pages/vendors/jquery-treeview/jquery.treeview.js (renamed from mod/pages/javascript/jquery.treeview.js)63
-rw-r--r--mod/pages/vendors/jquery-treeview/jquery.treeview.min.js17
-rw-r--r--mod/pages/vendors/jquery-treeview/jquery.treeview.sortable.js378
-rw-r--r--mod/pages/vendors/jquery-treeview/lib/jquery.cookie.js92
-rw-r--r--mod/pages/vendors/jquery-treeview/lib/jquery.js6883
-rw-r--r--mod/pages/vendors/jquery-treeview/todo8
-rw-r--r--mod/pages/view.php63
-rw-r--r--mod/pages/views/default/annotation/icon.php26
-rw-r--r--mod/pages/views/default/annotation/page.php72
-rw-r--r--mod/pages/views/default/forms/pages/edit.php125
-rw-r--r--mod/pages/views/default/forms/pages/editwelcome.php55
-rw-r--r--mod/pages/views/default/icon/object/page/medium.php8
-rw-r--r--mod/pages/views/default/icon/object/page/small.php8
-rw-r--r--mod/pages/views/default/icon/object/page_top/medium.php9
-rw-r--r--mod/pages/views/default/icon/object/page_top/small.php8
-rw-r--r--mod/pages/views/default/input/write_access.php35
-rw-r--r--mod/pages/views/default/object/page.php10
-rw-r--r--mod/pages/views/default/object/page_top.php115
-rw-r--r--mod/pages/views/default/pages/breadcrumbs.php30
-rw-r--r--mod/pages/views/default/pages/css.php134
-rw-r--r--mod/pages/views/default/pages/group_module.php49
-rw-r--r--mod/pages/views/default/pages/groupprofile_pages.php28
-rw-r--r--mod/pages/views/default/pages/icon.php25
-rw-r--r--mod/pages/views/default/pages/input/parent.php37
-rw-r--r--mod/pages/views/default/pages/metatags.php27
-rw-r--r--mod/pages/views/default/pages/pagegallery.php31
-rw-r--r--mod/pages/views/default/pages/pagelisting.php32
-rw-r--r--mod/pages/views/default/pages/pageprofile.php74
-rw-r--r--mod/pages/views/default/pages/sidebar.php14
-rw-r--r--mod/pages/views/default/pages/sidebar/history.php21
-rw-r--r--mod/pages/views/default/pages/sidebar/navigation.php58
-rw-r--r--mod/pages/views/default/pages/sidebar/sidebarthis.php88
-rw-r--r--mod/pages/views/default/pages/sidebar/starter.php19
-rw-r--r--mod/pages/views/default/pages/sidebar/tree.php12
-rw-r--r--mod/pages/views/default/pages/sidebar/wrapper.php10
-rw-r--r--mod/pages/views/default/pages/welcome.php16
-rw-r--r--mod/pages/views/default/river/object/page/annotate.php17
-rw-r--r--mod/pages/views/default/river/object/page/create.php25
-rw-r--r--mod/pages/views/default/river/object/page/update.php16
-rw-r--r--mod/pages/views/default/river/object/page_top/annotate.php16
-rw-r--r--mod/pages/views/default/river/object/page_top/create.php9
-rw-r--r--mod/pages/views/default/river/object/page_top/update.php16
-rw-r--r--mod/pages/views/default/widgets/pages/content.php32
-rw-r--r--mod/pages/views/default/widgets/pages/edit.php26
-rw-r--r--mod/pages/views/default/widgets/pages/view.php27
-rw-r--r--mod/pages/welcome.php39
-rw-r--r--mod/pages/world.php44
-rw-r--r--mod/profile/actions/addcomment.php47
-rw-r--r--mod/profile/actions/cropicon.php70
-rw-r--r--mod/profile/actions/deletecomment.php29
-rw-r--r--mod/profile/actions/deletedefaultprofileitem.php31
-rw-r--r--mod/profile/actions/edit.php111
-rw-r--r--mod/profile/actions/editdefault.php39
-rw-r--r--mod/profile/actions/editfield.php17
-rw-r--r--mod/profile/actions/iconupload.php64
-rw-r--r--mod/profile/actions/reorder.php13
-rw-r--r--mod/profile/actions/resetdefaultprofile.php23
-rw-r--r--mod/profile/edit.php38
-rw-r--r--mod/profile/editicon.php39
-rw-r--r--mod/profile/graphics/drag_handle.pngbin594 -> 0 bytes-rw-r--r--mod/profile/graphics/speech_bubble_tail.gifbin274 -> 0 bytes-rw-r--r--mod/profile/graphics/twitter16px.pngbin724 -> 0 bytes-rw-r--r--mod/profile/icon.php54
-rw-r--r--mod/profile/icondirect.php66
-rw-r--r--mod/profile/index.php49
-rw-r--r--mod/profile/javascript.php15
-rw-r--r--mod/profile/languages/en.php117
-rw-r--r--mod/profile/manifest.xml27
-rw-r--r--mod/profile/profile_lib.php58
-rw-r--r--mod/profile/start.php330
-rw-r--r--mod/profile/views/default/admin/appearance/defaultprofile.php47
-rw-r--r--mod/profile/views/default/icon/user/default/large.php3
-rw-r--r--mod/profile/views/default/icon/user/default/master.php3
-rw-r--r--mod/profile/views/default/icon/user/default/medium.php3
-rw-r--r--mod/profile/views/default/icon/user/default/small.php3
-rw-r--r--mod/profile/views/default/icon/user/default/tiny.php3
-rw-r--r--mod/profile/views/default/icon/user/default/topbar.php3
-rw-r--r--mod/profile/views/default/js/jquery.imgareaselect-0.8.js635
-rw-r--r--mod/profile/views/default/js/jquery.imgareaselect-0.8.min.js1
-rwxr-xr-xmod/profile/views/default/profile/admin_menu.php42
-rw-r--r--mod/profile/views/default/profile/commentwall/commentwall.php18
-rw-r--r--mod/profile/views/default/profile/commentwall/commentwall_content.php32
-rw-r--r--mod/profile/views/default/profile/commentwall/commentwalladd.php18
-rw-r--r--mod/profile/views/default/profile/css.php528
-rw-r--r--mod/profile/views/default/profile/details.php68
-rw-r--r--mod/profile/views/default/profile/edit.php80
-rw-r--r--mod/profile/views/default/profile/editdefaultprofile.php29
-rw-r--r--mod/profile/views/default/profile/editdefaultprofileitems.php65
-rw-r--r--mod/profile/views/default/profile/editicon.php141
-rw-r--r--mod/profile/views/default/profile/hoverover.php16
-rw-r--r--mod/profile/views/default/profile/icon.php111
-rw-r--r--mod/profile/views/default/profile/javascript.php137
-rw-r--r--mod/profile/views/default/profile/js.php9
-rw-r--r--mod/profile/views/default/profile/listing.php44
-rw-r--r--mod/profile/views/default/profile/menu/adminlinks.php28
-rw-r--r--mod/profile/views/default/profile/menu/friendlinks.php23
-rw-r--r--mod/profile/views/default/profile/menu/links.php9
-rw-r--r--mod/profile/views/default/profile/metatags.php13
-rw-r--r--mod/profile/views/default/profile/owner_block.php66
-rw-r--r--mod/profile/views/default/profile/profile_content.php13
-rwxr-xr-xmod/profile/views/default/profile/profile_contents/activity.php32
-rw-r--r--mod/profile/views/default/profile/profile_contents/commentwall.php10
-rwxr-xr-xmod/profile/views/default/profile/profile_contents/details.php49
-rwxr-xr-xmod/profile/views/default/profile/profile_contents/friends.php12
-rw-r--r--mod/profile/views/default/profile/profile_contents/groups.php11
-rwxr-xr-xmod/profile/views/default/profile/profile_contents/twitter.php17
-rwxr-xr-xmod/profile/views/default/profile/profile_navigation.php68
-rwxr-xr-xmod/profile/views/default/profile/profile_ownerblock.php136
-rw-r--r--mod/profile/views/default/profile/sidebar.php13
-rw-r--r--mod/profile/views/default/profile/topbar_extend.php11
-rw-r--r--mod/profile/views/default/profile/wrapper.php12
-rw-r--r--mod/profile/views/default/river/user/default/profileiconupdate.php8
-rw-r--r--mod/profile/views/default/river/user/default/profileupdate.php10
-rw-r--r--mod/profile_widgets/languages/en.php7
-rw-r--r--mod/profile_widgets/languages/es.php7
-rwxr-xr-xmod/profile_widgets/manifest.xml16
-rw-r--r--mod/profile_widgets/start.php13
-rw-r--r--mod/profile_widgets/views/default/widgets/thewirewrite/view.php11
m---------mod/purity_theme7
-rw-r--r--mod/registrationterms/.gitignore3
-rw-r--r--mod/registrationterms/README.md9
-rw-r--r--mod/registrationterms/languages/ca.php6
-rw-r--r--mod/registrationterms/languages/en.php6
-rw-r--r--mod/registrationterms/manifest.xml25
-rw-r--r--mod/registrationterms/screenshots/register-form.pngbin0 -> 8653 bytes-rw-r--r--mod/registrationterms/start.php18
-rw-r--r--mod/registrationterms/views/default/registrationterms/register.php17
-rw-r--r--mod/reportedcontent/actions/add.php45
-rw-r--r--mod/reportedcontent/actions/archive.php29
-rw-r--r--mod/reportedcontent/actions/delete.php33
-rw-r--r--mod/reportedcontent/actions/reportedcontent/add.php39
-rw-r--r--mod/reportedcontent/actions/reportedcontent/archive.php27
-rw-r--r--mod/reportedcontent/actions/reportedcontent/delete.php28
-rw-r--r--mod/reportedcontent/add.php31
-rw-r--r--[-rwxr-xr-x]mod/reportedcontent/graphics/icon_reportthis.gifbin570 -> 570 bytes-rw-r--r--mod/reportedcontent/languages/en.php83
-rw-r--r--mod/reportedcontent/manifest.xml24
-rw-r--r--mod/reportedcontent/start.php100
-rw-r--r--mod/reportedcontent/views/default/admin/administer_utilities/reportedcontent.php13
-rw-r--r--mod/reportedcontent/views/default/admin/overview/reportedcontent.php19
-rw-r--r--mod/reportedcontent/views/default/forms/reportedcontent/add.php57
-rw-r--r--mod/reportedcontent/views/default/object/reported_content.php84
-rw-r--r--mod/reportedcontent/views/default/reportedcontent/admin_css.php46
-rw-r--r--mod/reportedcontent/views/default/reportedcontent/css.php45
-rw-r--r--mod/reportedcontent/views/default/reportedcontent/footer_link.php14
-rw-r--r--mod/reportedcontent/views/default/reportedcontent/form.php67
-rw-r--r--mod/reportedcontent/views/default/reportedcontent/listing.php42
-rw-r--r--mod/reportedcontent/views/default/reportedcontent/user_report.php5
-rw-r--r--mod/reportedcontent/views/default/widgets/reportedcontent/content.php16
-rw-r--r--mod/reportedcontent/views/default/widgets/reportedcontent/edit.php22
-rw-r--r--mod/river_privacy/CHANGES.txt7
-rw-r--r--mod/river_privacy/README.md4
-rw-r--r--mod/river_privacy/languages/ca.php7
-rw-r--r--mod/river_privacy/languages/en.php13
-rw-r--r--mod/river_privacy/manifest.xml17
-rw-r--r--mod/river_privacy/start.php30
-rw-r--r--mod/river_privacy/views/default/plugins/river_privacy/settings.php17
-rw-r--r--mod/river_privacy/views_override/default/page/components/list.php89
-rw-r--r--mod/riverdashboard/actions/add.php49
-rw-r--r--mod/riverdashboard/actions/delete.php31
-rw-r--r--mod/riverdashboard/endpoint/get_comments.php40
-rw-r--r--mod/riverdashboard/endpoint/get_likes.php22
-rw-r--r--mod/riverdashboard/endpoint/ping.php59
-rw-r--r--mod/riverdashboard/graphics/follow_icon.pngbin344 -> 0 bytes-rw-r--r--mod/riverdashboard/graphics/refresh.pngbin327 -> 0 bytes-rw-r--r--mod/riverdashboard/index.php70
-rw-r--r--mod/riverdashboard/languages/en.php51
-rw-r--r--mod/riverdashboard/manifest.xml11
-rw-r--r--mod/riverdashboard/start.php86
-rw-r--r--mod/riverdashboard/views/default/river/dashboard.php19
-rw-r--r--mod/riverdashboard/views/default/river/item/list.php63
-rw-r--r--mod/riverdashboard/views/default/river/item/wrapper.php150
-rw-r--r--mod/riverdashboard/views/default/river/item/wrapper_classic.php20
-rw-r--r--mod/riverdashboard/views/default/river/relationship/friend/create.php36
-rw-r--r--mod/riverdashboard/views/default/riverdashboard/container.php52
-rw-r--r--mod/riverdashboard/views/default/riverdashboard/css.php175
-rw-r--r--mod/riverdashboard/views/default/riverdashboard/ecml/activity.php26
-rw-r--r--mod/riverdashboard/views/default/riverdashboard/js.php96
-rw-r--r--mod/riverdashboard/views/default/riverdashboard/menu.php27
-rw-r--r--mod/riverdashboard/views/default/riverdashboard/nav.php57
-rw-r--r--mod/riverdashboard/views/default/riverdashboard/rivercomment.php18
-rw-r--r--mod/riverdashboard/views/default/riverdashboard/sitemessage.php77
-rw-r--r--mod/riverdashboard/views/default/riverdashboard/welcome.php8
-rw-r--r--mod/riverdashboard/views/json/riverdashboard/container.php1
-rw-r--r--mod/riverdashboard/views/rss/riverdashboard/container.php1
-rw-r--r--mod/search/README.txt454
-rw-r--r--mod/search/index.php263
-rw-r--r--mod/search/manifest.xml24
-rw-r--r--mod/search/pages/search/index.php275
-rw-r--r--mod/search/search_hooks.php260
-rw-r--r--mod/search/start.php72
-rw-r--r--mod/search/views/default/search/comments/entity.php36
-rw-r--r--mod/search/views/default/search/css.php103
-rw-r--r--mod/search/views/default/search/entity.php35
-rw-r--r--mod/search/views/default/search/entity_list.php64
-rw-r--r--mod/search/views/default/search/gallery.php53
-rw-r--r--mod/search/views/default/search/gallery_listing.php14
-rw-r--r--mod/search/views/default/search/header.php6
-rw-r--r--mod/search/views/default/search/layout.php11
-rw-r--r--mod/search/views/default/search/list.php115
-rw-r--r--mod/search/views/default/search/listing.php106
-rw-r--r--mod/search/views/default/search/no_results.php6
-rw-r--r--mod/search/views/default/search/search_box.php37
-rw-r--r--mod/search/views/default/search/startblurb.php3
-rw-r--r--mod/search/views/rss/search/comments/entity.php17
-rw-r--r--mod/search/views/rss/search/entity.php4
-rw-r--r--mod/search/views/rss/search/layout.php5
-rw-r--r--mod/search/views/rss/search/list.php25
-rw-r--r--mod/search/views/rss/search/listing.php26
m---------mod/simple_faq0
-rw-r--r--mod/simplepie/README19
-rw-r--r--mod/simplepie/actions/simplepie/save_group_feed.php14
-rw-r--r--mod/simplepie/languages/en.php14
-rw-r--r--mod/simplepie/languages/es.php14
-rw-r--r--mod/simplepie/manifest.xml36
-rw-r--r--mod/simplepie/start.php33
-rw-r--r--mod/simplepie/vendors/simplepie.inc15299
-rw-r--r--mod/simplepie/views/default/forms/simplepie/save_group_feed.php39
-rw-r--r--mod/simplepie/views/default/simplepie/css.php17
-rw-r--r--mod/simplepie/views/default/simplepie/group_module.php109
-rw-r--r--mod/simplepie/views/default/widgets/feed_reader/content.php81
-rw-r--r--mod/simplepie/views/default/widgets/feed_reader/edit.php62
-rw-r--r--mod/sitepages/README.txt50
-rw-r--r--mod/sitepages/actions/edit_settings.php50
-rw-r--r--mod/sitepages/index.php45
-rw-r--r--mod/sitepages/languages/en.php82
-rw-r--r--mod/sitepages/manifest.xml14
-rw-r--r--mod/sitepages/sitepages_functions.php113
-rw-r--r--mod/sitepages/start.php211
-rw-r--r--mod/sitepages/views/default/admin/site/sitepages.php13
-rw-r--r--mod/sitepages/views/default/canvas/layouts/frontpage.php17
-rw-r--r--mod/sitepages/views/default/sitepages/css.php20
-rw-r--r--mod/sitepages/views/default/sitepages/custom_frontpage.php17
-rw-r--r--mod/sitepages/views/default/sitepages/footer_menu.php13
-rw-r--r--mod/sitepages/views/default/sitepages/forms/edit.php51
-rw-r--r--mod/sitepages/views/default/sitepages/forms/editfrontsimple.php87
-rw-r--r--mod/sitepages/views/default/sitepages/forms/editmeta.php40
-rw-r--r--mod/sitepages/views/default/sitepages/keywords/sitestats.php8
-rw-r--r--mod/sitepages/views/default/sitepages/keywords/userlist.php43
-rw-r--r--mod/sitepages/views/default/sitepages/members.php11
-rw-r--r--mod/sitepages/views/default/sitepages/menu.php24
-rw-r--r--mod/sitepages/views/default/sitepages/metatags.php35
-rw-r--r--mod/sitepages/views/default/sitepages/sidebar.php13
-rw-r--r--mod/sitepages/views/default/sitepages/welcome.php13
-rw-r--r--mod/tagcloud/languages/de.php12
-rw-r--r--mod/tagcloud/languages/en.php20
-rw-r--r--mod/tagcloud/manifest.xml23
-rw-r--r--mod/tagcloud/start.php8
-rw-r--r--mod/tagcloud/tagcloud.php18
-rw-r--r--mod/tagcloud/views/default/tagcloud/css.php6
-rw-r--r--mod/tagcloud/views/default/widgets/tagcloud/content.php16
-rw-r--r--mod/tagcloud/views/default/widgets/tagcloud/edit.php35
-rw-r--r--mod/tagcloud/views/default/widgets/tagcloud/view.php8
-rw-r--r--mod/thewire/actions/add.php47
-rw-r--r--mod/thewire/actions/delete.php63
-rw-r--r--mod/thewire/activate.php10
-rw-r--r--mod/thewire/add.php25
-rw-r--r--mod/thewire/classes/ElggWire.php40
-rw-r--r--mod/thewire/deactivate.php6
-rw-r--r--mod/thewire/everyone.php26
-rw-r--r--mod/thewire/index.php38
-rw-r--r--mod/thewire/languages/en.php135
-rw-r--r--mod/thewire/manifest.xml25
-rw-r--r--mod/thewire/pages/thewire/everyone.php31
-rw-r--r--mod/thewire/pages/thewire/friends.php31
-rw-r--r--mod/thewire/pages/thewire/owner.php39
-rw-r--r--mod/thewire/pages/thewire/previous.php20
-rw-r--r--mod/thewire/pages/thewire/reply.php28
-rw-r--r--mod/thewire/pages/thewire/tag.php35
-rw-r--r--mod/thewire/pages/thewire/thread.php27
-rw-r--r--mod/thewire/pages/thewire/view.php31
-rw-r--r--mod/thewire/readme.txt13
-rw-r--r--mod/thewire/start.php650
-rw-r--r--mod/thewire/tests/regex.php303
-rw-r--r--mod/thewire/upgrades/2012122701-fix_entity_class.php8
-rw-r--r--mod/thewire/views/default/forms/thewire/add.php41
-rw-r--r--mod/thewire/views/default/js/thewire.php86
-rw-r--r--mod/thewire/views/default/object/thewire.php116
-rw-r--r--mod/thewire/views/default/river/object/thewire/create.php42
-rw-r--r--mod/thewire/views/default/thewire/css.php107
-rw-r--r--mod/thewire/views/default/thewire/forms/add.php30
-rw-r--r--mod/thewire/views/default/thewire/notfound.php17
-rw-r--r--mod/thewire/views/default/thewire/previous.php11
-rw-r--r--mod/thewire/views/default/thewire/profile_status.php49
-rw-r--r--mod/thewire/views/default/thewire/reply.php14
-rw-r--r--mod/thewire/views/default/thewire/scripts/counter.js6
-rw-r--r--mod/thewire/views/default/thewire/sidebar.php9
-rw-r--r--mod/thewire/views/default/thewire/view.php23
-rw-r--r--mod/thewire/views/default/widgets/thewire/content.php30
-rw-r--r--mod/thewire/views/default/widgets/thewire/edit.php30
-rw-r--r--mod/thewire/views/default/widgets/thewire/view.php15
-rw-r--r--mod/thewire/views/rss/object/thewire.php60
-rw-r--r--mod/tinymce/README.txt10
-rw-r--r--mod/tinymce/activate.php14
-rw-r--r--mod/tinymce/css/elgg_tinymce.css5
-rw-r--r--mod/tinymce/graphics/ed-bg.gifbin190 -> 0 bytes-rw-r--r--mod/tinymce/graphics/example.gifbin87 -> 0 bytes-rw-r--r--mod/tinymce/graphics/more.gifbin108 -> 0 bytes-rw-r--r--mod/tinymce/languages/en.php11
-rw-r--r--mod/tinymce/manifest.xml25
-rw-r--r--mod/tinymce/start.php42
-rw-r--r--mod/tinymce/tinymce_content.css1
-rw-r--r--mod/tinymce/vendor/tinymce/changelog.txt1530
-rw-r--r--mod/tinymce/vendor/tinymce/examples/accessibility.html101
-rw-r--r--mod/tinymce/vendor/tinymce/examples/css/content.css105
-rw-r--r--mod/tinymce/vendor/tinymce/examples/css/word.css53
-rw-r--r--mod/tinymce/vendor/tinymce/examples/custom_formats.html111
-rw-r--r--mod/tinymce/vendor/tinymce/examples/full.html101
-rw-r--r--mod/tinymce/vendor/tinymce/examples/index.html10
-rw-r--r--mod/tinymce/vendor/tinymce/examples/lists/image_list.js9
-rw-r--r--mod/tinymce/vendor/tinymce/examples/lists/link_list.js10
-rw-r--r--mod/tinymce/vendor/tinymce/examples/lists/media_list.js14
-rw-r--r--mod/tinymce/vendor/tinymce/examples/lists/template_list.js9
-rw-r--r--mod/tinymce/vendor/tinymce/examples/media/logo.jpgbin0 -> 2729 bytes-rw-r--r--mod/tinymce/vendor/tinymce/examples/media/logo_over.jpgbin0 -> 6473 bytes-rw-r--r--mod/tinymce/vendor/tinymce/examples/media/sample.avibin0 -> 82944 bytes-rw-r--r--mod/tinymce/vendor/tinymce/examples/media/sample.dcrbin0 -> 6774 bytes-rw-r--r--mod/tinymce/vendor/tinymce/examples/media/sample.flvbin0 -> 88722 bytes-rw-r--r--mod/tinymce/vendor/tinymce/examples/media/sample.movbin0 -> 55622 bytes-rw-r--r--mod/tinymce/vendor/tinymce/examples/media/sample.ram1
-rw-r--r--mod/tinymce/vendor/tinymce/examples/media/sample.rmbin0 -> 17846 bytes-rw-r--r--mod/tinymce/vendor/tinymce/examples/media/sample.swfbin0 -> 6118 bytes-rw-r--r--mod/tinymce/vendor/tinymce/examples/menu.html18
-rw-r--r--mod/tinymce/vendor/tinymce/examples/simple.html47
-rw-r--r--mod/tinymce/vendor/tinymce/examples/skins.html216
-rw-r--r--mod/tinymce/vendor/tinymce/examples/templates/layout1.htm15
-rw-r--r--mod/tinymce/vendor/tinymce/examples/templates/snippet1.htm1
-rw-r--r--mod/tinymce/vendor/tinymce/examples/word.html72
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/ar.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/az.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/be.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/bg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/bn.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/br.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/bs.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/ca.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/ch.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/cn.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/cs.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/cy.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/da.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/de.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/dv.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/el.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/en.js171
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/eo.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/es.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/et.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/eu.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/fa.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/fi.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/fr.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/gl.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/gu.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/he.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/hi.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/hr.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/hu.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/hy.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/ia.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/id.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/is.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/it.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/ja.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/ka.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/kl.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/km.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/ko.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/kz.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/lb.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/lt.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/lv.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/mk.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/ml.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/mn.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/ms.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/my.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/nb.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/nl.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/nn.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/no.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/pl.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/ps.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/pt.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/ro.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/ru.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/sc.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/se.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/si.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/sk.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/sl.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/sq.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/sr.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/sv.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/sy.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/ta.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/te.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/th.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/tn.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/tr.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/tt.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/tw.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/uk.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/ur.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/vi.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/zh-cn.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/zh-tw.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/zh.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/langs/zu.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/ar_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/az_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/be_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/bg_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/bn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/br_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/bs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/ca_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/ch_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/cs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/cy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/da_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/de_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/dv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/el_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/en_dlg.js6
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/eo_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/es_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/et_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/eu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/fa_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/fi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/fr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/gl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/gu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/he_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/hi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/hr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/hu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/hy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/ia_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/id_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/is_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/it_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/ja_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/ka_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/kl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/km_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/ko_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/kz_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/lb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/lt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/lv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/mk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/ml_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/mn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/ms_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/my_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/nb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/nl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/nn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/no_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/pl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/ps_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/pt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/ro_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/ru_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/sc_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/se_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/si_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/sk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/sl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/sq_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/sr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/sv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/sy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/ta_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/te_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/th_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/tn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/tr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/tt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/uk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/ur_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/vi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/zh-cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/zh-tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/zh_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/langs/zu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advhr/rule.htm59
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/editor_plugin.js2
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/editor_plugin_src.js2
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/image.htm65
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/js/image.js47
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/ar_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/az_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/be_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/bg_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/bn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/br_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/bs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/ca_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/ch_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/cs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/cy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/da_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/de_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/dv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/el_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/en_dlg.js44
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/eo_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/es_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/et_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/eu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/fa_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/fi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/fr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/gl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/gu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/he_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/hi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/hr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/hu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/hy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/ia_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/id_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/is_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/it_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/ja_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/ka_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/kl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/km_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/ko_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/kz_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/lb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/lt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/lv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/mk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/ml_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/mn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/ms_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/my_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/nb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/nl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/nn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/no_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/pl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/ps_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/pt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/ro_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/ru_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/sc_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/se_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/si_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/sk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/sl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/sq_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/sr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/sv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/sy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/ta_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/te_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/th_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/tn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/tr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/tt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/uk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/ur_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/vi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/zh-cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/zh-tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/zh_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advimage/langs/zu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/js/advlink.js57
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/ar_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/az_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/be_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/bg_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/bn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/br_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/bs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/ca_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/ch_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/cs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/cy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/da_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/de_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/dv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/el_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/en_dlg.js53
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/eo_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/es_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/et_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/eu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/fa_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/fi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/fr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/gl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/gu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/he_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/hi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/hr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/hu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/hy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/ia_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/id_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/is_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/it_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/ja_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/ka_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/kl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/km_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/ko_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/kz_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/lb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/lt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/lv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/mk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/ml_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/mn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/ms_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/my_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/nb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/nl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/nn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/no_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/pl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/ps_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/pt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/ro_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/ru_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/sc_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/se_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/si_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/sk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/sl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/sq_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/sr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/sv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/sy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/ta_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/te_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/th_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/tn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/tr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/tt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/uk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/ur_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/vi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/zh-cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/zh-tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/zh_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/langs/zu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlink/link.htm65
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlist/editor_plugin.js2
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/advlist/editor_plugin_src.js40
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/autolink/editor_plugin.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/autolink/editor_plugin_src.js184
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/autoresize/editor_plugin.js2
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/autoresize/editor_plugin_src.js72
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/autosave/editor_plugin.js2
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/autosave/editor_plugin_src.js29
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/autosave/langs/en.js4
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/bbcode/editor_plugin.js2
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/bbcode/editor_plugin_src.js2
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/contextmenu/editor_plugin.js2
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/contextmenu/editor_plugin_src.js65
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/directionality/editor_plugin.js2
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/directionality/editor_plugin_src.js41
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/emotions.htm62
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/img/smiley-foot-in-mouth.gifbin344 -> 342 bytes-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/img/smiley-laughing.gifbin344 -> 343 bytes-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/img/smiley-sealed.gifbin325 -> 323 bytes-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/img/smiley-smile.gifbin345 -> 344 bytes-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/img/smiley-surprised.gifbin342 -> 338 bytes-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/img/smiley-wink.gifbin351 -> 350 bytes-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/js/emotions.js21
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/ar_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/az_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/be_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/bg_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/bn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/br_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/bs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/ca_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/ch_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/cs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/cy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/da_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/de_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/dv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/el_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/en_dlg.js21
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/eo_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/es_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/et_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/eu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/fa_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/fi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/fr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/gl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/gu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/he_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/hi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/hr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/hu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/hy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/ia_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/id_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/is_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/it_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/ja_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/ka_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/kl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/km_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/ko_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/kz_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/lb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/lt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/lv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/mk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/ml_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/mn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/ms_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/my_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/nb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/nl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/nn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/no_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/pl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/ps_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/pt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/ro_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/ru_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/sc_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/se_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/si_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/sk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/sl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/sq_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/sr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/sv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/sy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/ta_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/te_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/th_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/tn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/tr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/tt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/uk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/ur_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/vi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/zh-cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/zh-tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/zh_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/emotions/langs/zu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/example_dependency/editor_plugin.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/example_dependency/editor_plugin_src.js50
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/css/fullpage.css45
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/editor_plugin.js2
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/editor_plugin_src.js380
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/fullpage.htm348
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/js/fullpage.js637
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/ar_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/az_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/be_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/bg_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/bn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/br_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/bs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/ca_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/ch_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/cs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/cy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/da_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/de_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/dv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/el_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/en_dlg.js86
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/eo_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/es_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/et_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/eu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/fa_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/fi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/fr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/gl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/gu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/he_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/hi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/hr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/hu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/hy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/ia_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/id_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/is_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/it_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/ja_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/ka_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/kl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/km_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/ko_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/kz_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/lb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/lt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/lv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/mk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/ml_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/mn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/ms_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/my_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/nb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/nl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/nn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/no_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/pl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/ps_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/pt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/ro_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/ru_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/sc_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/se_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/si_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/sk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/sl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/sq_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/sr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/sv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/sy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/ta_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/te_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/th_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/tn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/tr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/tt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/uk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/ur_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/vi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/zh-cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/zh-tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/zh_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullpage/langs/zu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullscreen/editor_plugin.js2
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullscreen/editor_plugin_src.js22
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/fullscreen/fullscreen.htm3
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/inlinepopups/editor_plugin.js2
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/inlinepopups/editor_plugin_src.js96
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/inlinepopups/skins/clearlooks2/img/alert.gifbin818 -> 810 bytes-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/inlinepopups/skins/clearlooks2/img/button.gifbin280 -> 272 bytes-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/inlinepopups/skins/clearlooks2/img/confirm.gifbin915 -> 907 bytes-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/inlinepopups/skins/clearlooks2/img/corners.gifbin911 -> 909 bytes-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/inlinepopups/skins/clearlooks2/img/vertical.gifbin92 -> 84 bytes-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/inlinepopups/skins/clearlooks2/window.css2
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/layer/editor_plugin.js2
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/layer/editor_plugin_src.js60
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/legacyoutput/editor_plugin.js2
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/legacyoutput/editor_plugin_src.js61
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/lists/editor_plugin.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/lists/editor_plugin_src.js955
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/css/content.css6
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/css/media.css9
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/editor_plugin.js2
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/editor_plugin_src.js1076
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/img/flash.gifbin241 -> 0 bytes-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/img/flv_player.swfbin11668 -> 0 bytes-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/img/quicktime.gifbin303 -> 0 bytes-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/img/shockwave.gifbin387 -> 0 bytes-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/js/media.js1049
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/ar_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/az_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/be_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/bg_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/bn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/br_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/bs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/ca_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/ch_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/cs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/cy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/da_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/de_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/dv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/el_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/en_dlg.js104
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/eo_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/es_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/et_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/eu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/fa_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/fi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/fr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/gl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/gu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/he_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/hi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/hr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/hu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/hy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/ia_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/id_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/is_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/it_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/ja_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/ka_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/kl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/km_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/ko_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/kz_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/lb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/lt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/lv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/mk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/ml_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/mn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/ms_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/my_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/nb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/nl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/nn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/no_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/pl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/ps_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/pt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/ro_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/ru_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/sc_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/se_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/si_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/sk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/sl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/sq_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/sr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/sv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/sy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/ta_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/te_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/th_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/tn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/tr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/tt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/uk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/ur_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/vi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/zh-cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/zh-tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/zh_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/langs/zu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/media.htm677
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/moxieplayer.swfbin0 -> 19980 bytes-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/nonbreaking/editor_plugin.js2
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/nonbreaking/editor_plugin_src.js7
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/noneditable/editor_plugin.js2
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/noneditable/editor_plugin_src.js551
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/pagebreak/css/content.css1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/pagebreak/editor_plugin.js2
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/pagebreak/editor_plugin_src.js5
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/pagebreak/img/trans.gifbin43 -> 0 bytes-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/editor_plugin.js2
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/editor_plugin_src.js403
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/ar_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/az_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/be_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/bg_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/bn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/br_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/bs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/ca_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/ch_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/cs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/cy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/da_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/de_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/dv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/el_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/en_dlg.js6
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/eo_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/es_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/et_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/eu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/fa_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/fi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/fr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/gl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/gu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/he_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/hi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/hr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/hu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/hy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/ia_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/id_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/is_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/it_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/ja_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/ka_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/kl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/km_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/ko_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/kz_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/lb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/lt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/lv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/mk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/ml_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/mn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/ms_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/my_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/nb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/nl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/nn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/no_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/pl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/ps_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/pt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/ro_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/ru_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/sc_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/se_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/si_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/sk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/sl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/sq_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/sr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/sv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/sy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/ta_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/te_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/th_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/tn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/tr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/tt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/uk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/ur_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/vi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/zh-cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/zh-tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/zh_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/paste/langs/zu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/editor_plugin.js2
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/editor_plugin_src.js4
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/js/searchreplace.js24
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/ar_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/az_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/be_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/bg_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/bn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/br_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/bs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/ca_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/ch_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/cs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/cy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/da_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/de_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/dv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/el_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/en_dlg.js17
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/eo_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/es_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/et_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/eu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/fa_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/fi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/fr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/gl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/gu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/he_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/hi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/hr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/hu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/hy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/ia_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/id_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/is_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/it_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/ja_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/ka_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/kl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/km_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/ko_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/kz_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/lb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/lt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/lv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/mk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/ml_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/mn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/ms_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/my_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/nb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/nl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/nn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/no_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/pl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/ps_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/pt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/ro_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/ru_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/sc_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/se_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/si_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/sk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/sl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/sq_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/sr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/sv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/sy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/ta_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/te_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/th_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/tn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/tr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/tt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/uk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/ur_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/vi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/zh-cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/zh-tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/zh_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/langs/zu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/searchreplace/searchreplace.htm35
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/spellchecker/editor_plugin.js2
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/spellchecker/editor_plugin_src.js179
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/css/props.css1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/editor_plugin.js2
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/editor_plugin_src.js22
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/js/props.js90
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/ar_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/az_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/be_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/bg_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/bn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/br_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/bs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/ca_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/ch_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/cs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/cy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/da_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/de_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/dv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/el_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/en_dlg.js64
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/eo_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/es_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/et_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/eu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/fa_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/fi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/fr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/gl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/gu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/he_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/hi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/hr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/hu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/hy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/ia_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/id_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/is_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/it_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/ja_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/ka_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/kl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/km_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/ko_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/kz_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/lb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/lt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/lv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/mk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/ml_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/mn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/ms_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/my_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/nb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/nl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/nn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/no_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/pl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/ps_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/pt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/ro_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/ru_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/sc_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/se_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/si_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/sk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/sl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/sq_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/sr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/sv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/sy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/ta_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/te_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/th_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/tn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/tr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/tt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/uk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/ur_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/vi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/zh-cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/zh-tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/zh_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/langs/zu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/props.htm918
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/style/readme.txt19
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/tabfocus/editor_plugin.js2
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/tabfocus/editor_plugin_src.js234
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/cell.htm30
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/editor_plugin.js2
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/editor_plugin_src.js2581
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/js/cell.js55
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/js/row.js53
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/js/table.js104
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/ar_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/az_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/be_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/bg_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/bn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/br_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/bs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/ca_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/ch_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/cs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/cy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/da_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/de_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/dv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/el_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/en_dlg.js75
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/eo_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/es_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/et_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/eu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/fa_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/fi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/fr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/gl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/gu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/he_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/hi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/hr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/hu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/hy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/ia_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/id_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/is_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/it_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/ja_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/ka_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/kl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/km_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/ko_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/kz_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/lb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/lt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/lv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/mk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/ml_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/mn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/ms_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/my_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/nb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/nl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/nn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/no_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/pl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/ps_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/pt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/ro_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/ru_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/sc_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/se_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/si_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/sk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/sl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/sq_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/sr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/sv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/sy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/ta_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/te_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/th_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/tn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/tr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/tt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/uk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/ur_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/vi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/zh-cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/zh-tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/zh_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/langs/zu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/merge_cells.htm22
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/row.htm49
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/table/table.htm107
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/js/template.js2
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/ar_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/az_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/be_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/bg_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/bn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/br_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/bs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/ca_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/ch_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/cs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/cy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/da_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/de_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/dv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/el_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/en_dlg.js16
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/eo_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/es_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/et_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/eu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/fa_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/fi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/fr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/gl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/gu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/he_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/hi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/hr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/hu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/hy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/ia_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/id_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/is_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/it_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/ja_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/ka_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/kl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/km_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/ko_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/kz_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/lb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/lt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/lv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/mk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/ml_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/mn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/ms_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/my_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/nb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/nl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/nn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/no_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/pl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/ps_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/pt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/ro_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/ru_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/sc_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/se_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/si_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/sk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/sl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/sq_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/sr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/sv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/sy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/ta_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/te_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/th_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/tn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/tr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/tt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/uk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/ur_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/vi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/zh-cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/zh-tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/zh_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/template/langs/zu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/visualblocks/css/visualblocks.css21
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/visualblocks/editor_plugin.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/visualblocks/editor_plugin_src.js63
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/visualchars/editor_plugin.js2
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/visualchars/editor_plugin_src.js33
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/wordcount/editor_plugin.js2
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/wordcount/editor_plugin_src.js74
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/abbr.htm11
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/acronym.htm11
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/attributes.htm11
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/cite.htm9
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/del.htm17
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/editor_plugin.js2
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/editor_plugin_src.js24
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/ins.htm21
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/js/attributes.js17
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/js/del.js14
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/js/element_common.js8
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/js/ins.js17
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/ar_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/az_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/be_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/bg_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/bn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/br_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/bs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/ca_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/ch_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/cs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/cy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/da_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/de_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/dv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/el_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/en_dlg.js33
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/eo_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/es_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/et_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/eu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/fa_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/fi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/fr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/gl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/gu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/he_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/hi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/hr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/hu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/hy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/ia_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/id_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/is_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/it_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/ja_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/ka_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/kl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/km_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/ko_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/kz_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/lb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/lt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/lv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/mk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/ml_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/mn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/ms_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/my_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/nb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/nl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/nn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/no_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/pl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/ps_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/pt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/ro_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/ru_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/sc_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/se_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/si_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/sk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/sl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/sq_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/sr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/sv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/sy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/ta_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/te_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/th_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/tn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/tr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/tt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/uk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/ur_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/vi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/zh-cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/zh-tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/zh_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/xhtmlxtras/langs/zu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/about.htm8
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/anchor.htm10
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/charmap.htm85
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/color_picker.htm25
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/editor_template.js2
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/editor_template_src.js504
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/image.htm102
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/img/colorpicker.jpgbin3189 -> 2584 bytes-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/img/flash.gifbin0 -> 239 bytes-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/img/icons.gifbin11794 -> 11982 bytes-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/img/iframe.gifbin0 -> 600 bytes-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/img/pagebreak.gif (renamed from mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/pagebreak/img/pagebreak.gif)bin325 -> 325 bytes-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/img/quicktime.gifbin0 -> 301 bytes-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/img/realmedia.gif (renamed from mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/img/realmedia.gif)bin439 -> 439 bytes-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/img/shockwave.gifbin0 -> 384 bytes-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/img/trans.gif (renamed from mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/img/trans.gif)bin43 -> 43 bytes-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/img/video.gifbin0 -> 597 bytes-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/img/windowsmedia.gif (renamed from mod/tinymce/vendor/tinymce/jscripts/tiny_mce/plugins/media/img/windowsmedia.gif)bin415 -> 415 bytes-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/js/about.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/js/anchor.js31
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/js/charmap.js40
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/js/color_picker.js598
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/js/image.js24
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/js/link.js23
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/js/source_editor.js48
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/ar.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/ar_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/az.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/az_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/be.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/be_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/bg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/bg_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/bn.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/bn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/br.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/br_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/bs.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/bs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/ca.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/ca_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/ch.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/ch_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/cn.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/cs.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/cs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/cy.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/cy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/da.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/da_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/de.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/de_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/dv.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/dv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/el.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/el_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/en.js63
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/en_dlg.js52
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/eo.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/eo_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/es.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/es_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/et.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/et_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/eu.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/eu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/fa.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/fa_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/fi.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/fi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/fr.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/fr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/gl.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/gl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/gu.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/gu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/he.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/he_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/hi.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/hi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/hr.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/hr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/hu.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/hu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/hy.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/hy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/ia.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/ia_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/id.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/id_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/is.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/is_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/it.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/it_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/ja.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/ja_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/ka.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/ka_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/kl.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/kl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/km.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/km_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/ko.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/ko_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/kz.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/kz_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/lb.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/lb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/lt.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/lt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/lv.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/lv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/mk.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/mk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/ml.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/ml_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/mn.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/mn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/ms.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/ms_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/my.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/my_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/nb.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/nb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/nl.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/nl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/nn.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/nn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/no.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/no_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/pl.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/pl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/ps.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/ps_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/pt.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/pt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/ro.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/ro_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/ru.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/ru_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/sc.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/sc_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/se.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/se_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/si.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/si_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/sk.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/sk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/sl.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/sl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/sq.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/sq_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/sr.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/sr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/sv.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/sv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/sy.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/sy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/ta.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/ta_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/te.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/te_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/th.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/th_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/tn.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/tn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/tr.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/tr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/tt.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/tt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/tw.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/uk.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/uk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/ur.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/ur_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/vi.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/vi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/zh-cn.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/zh-cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/zh-tw.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/zh-tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/zh.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/zh_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/zu.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/langs/zu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/link.htm55
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/shortcuts.htm47
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/skins/default/content.css19
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/skins/default/dialog.css5
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/skins/default/img/buttons.pngbin3274 -> 3133 bytes-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/skins/default/img/items.gifbin70 -> 64 bytes-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/skins/default/img/tabs.gifbin1326 -> 1322 bytes-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/skins/default/ui.css16
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/skins/highcontrast/content.css24
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/skins/highcontrast/dialog.css106
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/skins/highcontrast/ui.css106
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/skins/o2k7/content.css15
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/skins/o2k7/dialog.css6
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/skins/o2k7/img/button_bg.pngbin5859 -> 2766 bytes-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/skins/o2k7/img/button_bg_black.pngbin3736 -> 651 bytes-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/skins/o2k7/img/button_bg_silver.pngbin5358 -> 2084 bytes-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/skins/o2k7/ui.css21
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/skins/o2k7/ui_black.css2
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/skins/o2k7/ui_silver.css2
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/advanced/source_editor.htm8
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/editor_template.js2
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/editor_template_src.js3
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/img/icons.gifbin1440 -> 806 bytes-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/ar.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/az.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/be.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/bg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/bn.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/br.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/bs.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/ca.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/ch.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/cn.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/cs.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/cy.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/da.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/de.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/dv.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/el.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/en.js12
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/eo.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/es.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/et.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/eu.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/fa.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/fi.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/fr.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/gl.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/gu.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/he.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/hi.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/hr.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/hu.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/hy.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/ia.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/id.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/is.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/it.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/ja.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/ka.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/kl.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/km.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/ko.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/kz.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/lb.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/lt.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/lv.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/mk.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/ml.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/mn.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/ms.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/my.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/nb.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/nl.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/nn.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/no.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/pl.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/ps.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/pt.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/ro.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/ru.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/sc.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/se.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/si.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/sk.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/sl.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/sq.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/sr.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/sv.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/sy.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/ta.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/te.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/th.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/tn.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/tr.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/tt.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/tw.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/uk.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/ur.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/vi.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/zh-cn.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/zh-tw.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/zh.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/themes/simple/langs/zu.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/tiny_mce.js2
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/tiny_mce_popup.js2
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/tiny_mce_src.js15683
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/utils/editable_selects.js2
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/utils/form_utils.js18
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/utils/mctabs.js105
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tiny_mce/utils/validate.js38
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/ar.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/az.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/be.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/bg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/bn.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/br.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/bs.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/ca.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/ch.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/cn.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/cs.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/cy.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/da.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/de.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/dv.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/el.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/en.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/eo.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/es.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/et.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/eu.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/fa.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/fi.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/fr.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/gl.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/gu.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/he.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/hi.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/hr.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/hu.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/hy.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/ia.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/id.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/is.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/it.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/ja.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/ka.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/kl.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/km.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/ko.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/kz.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/lb.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/lt.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/lv.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/mk.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/ml.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/mn.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/ms.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/my.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/nb.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/nl.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/nn.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/no.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/pl.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/ps.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/pt.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/ro.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/ru.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/sc.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/se.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/si.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/sk.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/sl.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/sq.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/sr.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/sv.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/sy.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/ta.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/te.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/th.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/tn.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/tr.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/tt.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/tw.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/uk.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/ur.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/vi.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/zh-cn.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/zh-tw.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/zh.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/langs/zu.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/ar_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/az_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/be_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/bg_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/bn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/br_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/bs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/ca_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/ch_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/cs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/cy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/da_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/de_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/dv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/el_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/en_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/eo_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/es_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/et_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/eu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/fa_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/fi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/fr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/gl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/gu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/he_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/hi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/hr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/hu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/hy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/ia_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/id_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/is_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/it_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/ja_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/ka_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/kl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/km_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/ko_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/kz_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/lb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/lt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/lv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/mk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/ml_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/mn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/ms_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/my_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/nb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/nl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/nn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/no_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/pl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/ps_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/pt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/ro_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/ru_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/sc_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/se_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/si_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/sk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/sl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/sq_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/sr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/sv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/sy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/ta_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/te_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/th_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/tn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/tr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/tt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/uk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/ur_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/vi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/zh-cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/zh-tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/zh_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advhr/langs/zu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/ar_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/az_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/be_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/bg_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/bn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/br_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/bs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/ca_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/ch_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/cs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/cy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/da_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/de_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/dv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/el_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/en_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/eo_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/es_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/et_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/eu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/fa_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/fi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/fr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/gl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/gu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/he_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/hi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/hr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/hu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/hy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/ia_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/id_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/is_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/it_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/ja_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/ka_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/kl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/km_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/ko_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/kz_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/lb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/lt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/lv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/mk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/ml_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/mn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/ms_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/my_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/nb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/nl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/nn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/no_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/pl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/ps_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/pt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/ro_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/ru_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/sc_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/se_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/si_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/sk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/sl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/sq_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/sr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/sv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/sy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/ta_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/te_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/th_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/tn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/tr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/tt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/uk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/ur_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/vi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/zh-cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/zh-tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/zh_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advimage/langs/zu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/ar_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/az_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/be_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/bg_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/bn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/br_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/bs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/ca_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/ch_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/cs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/cy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/da_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/de_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/dv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/el_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/en_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/eo_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/es_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/et_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/eu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/fa_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/fi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/fr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/gl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/gu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/he_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/hi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/hr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/hu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/hy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/ia_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/id_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/is_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/it_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/ja_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/ka_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/kl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/km_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/ko_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/kz_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/lb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/lt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/lv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/mk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/ml_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/mn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/ms_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/my_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/nb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/nl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/nn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/no_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/pl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/ps_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/pt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/ro_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/ru_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/sc_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/se_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/si_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/sk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/sl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/sq_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/sr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/sv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/sy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/ta_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/te_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/th_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/tn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/tr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/tt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/uk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/ur_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/vi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/zh-cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/zh-tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/zh_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/advlink/langs/zu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/ar_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/az_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/be_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/bg_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/bn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/br_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/bs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/ca_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/ch_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/cs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/cy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/da_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/de_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/dv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/el_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/en_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/eo_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/es_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/et_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/eu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/fa_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/fi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/fr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/gl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/gu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/he_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/hi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/hr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/hu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/hy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/ia_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/id_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/is_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/it_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/ja_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/ka_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/kl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/km_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/ko_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/kz_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/lb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/lt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/lv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/mk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/ml_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/mn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/ms_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/my_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/nb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/nl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/nn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/no_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/pl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/ps_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/pt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/ro_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/ru_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/sc_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/se_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/si_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/sk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/sl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/sq_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/sr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/sv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/sy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/ta_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/te_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/th_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/tn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/tr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/tt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/uk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/ur_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/vi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/zh-cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/zh-tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/zh_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/emotions/langs/zu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/ar_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/az_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/be_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/bg_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/bn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/br_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/bs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/ca_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/ch_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/cs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/cy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/da_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/de_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/dv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/el_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/en_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/eo_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/es_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/et_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/eu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/fa_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/fi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/fr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/gl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/gu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/he_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/hi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/hr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/hu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/hy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/ia_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/id_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/is_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/it_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/ja_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/ka_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/kl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/km_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/ko_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/kz_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/lb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/lt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/lv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/mk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/ml_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/mn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/ms_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/my_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/nb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/nl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/nn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/no_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/pl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/ps_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/pt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/ro_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/ru_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/sc_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/se_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/si_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/sk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/sl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/sq_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/sr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/sv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/sy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/ta_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/te_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/th_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/tn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/tr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/tt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/uk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/ur_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/vi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/zh-cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/zh-tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/zh_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/fullpage/langs/zu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/ar_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/az_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/be_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/bg_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/bn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/br_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/bs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/ca_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/ch_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/cs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/cy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/da_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/de_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/dv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/el_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/en_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/eo_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/es_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/et_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/eu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/fa_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/fi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/fr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/gl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/gu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/he_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/hi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/hr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/hu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/hy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/ia_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/id_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/is_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/it_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/ja_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/ka_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/kl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/km_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/ko_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/kz_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/lb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/lt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/lv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/mk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/ml_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/mn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/ms_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/my_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/nb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/nl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/nn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/no_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/pl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/ps_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/pt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/ro_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/ru_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/sc_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/se_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/si_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/sk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/sl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/sq_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/sr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/sv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/sy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/ta_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/te_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/th_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/tn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/tr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/tt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/uk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/ur_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/vi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/zh-cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/zh-tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/zh_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/media/langs/zu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/ar_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/az_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/be_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/bg_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/bn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/br_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/bs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/ca_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/ch_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/cs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/cy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/da_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/de_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/dv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/el_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/en_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/eo_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/es_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/et_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/eu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/fa_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/fi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/fr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/gl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/gu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/he_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/hi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/hr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/hu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/hy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/ia_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/id_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/is_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/it_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/ja_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/ka_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/kl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/km_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/ko_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/kz_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/lb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/lt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/lv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/mk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/ml_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/mn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/ms_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/my_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/nb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/nl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/nn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/no_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/pl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/ps_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/pt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/ro_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/ru_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/sc_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/se_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/si_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/sk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/sl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/sq_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/sr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/sv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/sy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/ta_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/te_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/th_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/tn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/tr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/tt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/uk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/ur_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/vi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/zh-cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/zh-tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/zh_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/paste/langs/zu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/ar_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/az_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/be_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/bg_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/bn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/br_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/bs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/ca_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/ch_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/cs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/cy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/da_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/de_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/dv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/el_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/en_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/eo_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/es_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/et_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/eu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/fa_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/fi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/fr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/gl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/gu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/he_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/hi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/hr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/hu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/hy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/ia_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/id_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/is_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/it_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/ja_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/ka_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/kl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/km_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/ko_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/kz_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/lb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/lt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/lv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/mk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/ml_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/mn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/ms_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/my_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/nb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/nl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/nn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/no_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/pl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/ps_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/pt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/ro_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/ru_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/sc_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/se_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/si_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/sk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/sl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/sq_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/sr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/sv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/sy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/ta_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/te_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/th_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/tn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/tr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/tt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/uk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/ur_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/vi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/zh-cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/zh-tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/zh_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/searchreplace/langs/zu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/ar_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/az_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/be_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/bg_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/bn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/br_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/bs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/ca_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/ch_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/cs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/cy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/da_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/de_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/dv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/el_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/en_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/eo_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/es_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/et_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/eu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/fa_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/fi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/fr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/gl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/gu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/he_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/hi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/hr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/hu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/hy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/ia_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/id_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/is_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/it_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/ja_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/ka_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/kl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/km_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/ko_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/kz_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/lb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/lt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/lv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/mk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/ml_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/mn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/ms_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/my_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/nb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/nl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/nn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/no_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/pl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/ps_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/pt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/ro_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/ru_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/sc_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/se_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/si_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/sk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/sl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/sq_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/sr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/sv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/sy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/ta_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/te_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/th_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/tn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/tr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/tt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/uk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/ur_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/vi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/zh-cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/zh-tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/zh_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/style/langs/zu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/ar_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/az_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/be_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/bg_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/bn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/br_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/bs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/ca_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/ch_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/cs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/cy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/da_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/de_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/dv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/el_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/en_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/eo_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/es_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/et_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/eu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/fa_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/fi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/fr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/gl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/gu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/he_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/hi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/hr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/hu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/hy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/ia_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/id_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/is_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/it_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/ja_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/ka_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/kl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/km_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/ko_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/kz_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/lb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/lt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/lv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/mk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/ml_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/mn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/ms_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/my_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/nb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/nl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/nn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/no_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/pl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/ps_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/pt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/ro_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/ru_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/sc_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/se_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/si_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/sk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/sl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/sq_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/sr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/sv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/sy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/ta_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/te_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/th_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/tn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/tr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/tt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/uk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/ur_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/vi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/zh-cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/zh-tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/zh_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/table/langs/zu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/ar_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/az_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/be_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/bg_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/bn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/br_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/bs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/ca_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/ch_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/cs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/cy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/da_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/de_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/dv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/el_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/en_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/eo_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/es_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/et_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/eu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/fa_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/fi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/fr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/gl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/gu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/he_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/hi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/hr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/hu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/hy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/ia_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/id_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/is_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/it_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/ja_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/ka_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/kl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/km_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/ko_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/kz_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/lb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/lt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/lv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/mk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/ml_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/mn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/ms_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/my_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/nb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/nl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/nn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/no_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/pl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/ps_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/pt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/ro_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/ru_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/sc_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/se_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/si_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/sk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/sl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/sq_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/sr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/sv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/sy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/ta_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/te_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/th_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/tn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/tr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/tt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/uk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/ur_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/vi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/zh-cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/zh-tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/zh_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/template/langs/zu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/ar_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/az_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/be_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/bg_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/bn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/br_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/bs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/ca_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/ch_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/cs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/cy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/da_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/de_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/dv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/el_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/en_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/eo_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/es_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/et_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/eu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/fa_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/fi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/fr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/gl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/gu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/he_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/hi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/hr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/hu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/hy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/ia_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/id_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/is_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/it_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/ja_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/ka_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/kl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/km_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/ko_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/kz_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/lb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/lt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/lv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/mk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/ml_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/mn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/ms_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/my_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/nb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/nl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/nn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/no_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/pl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/ps_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/pt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/ro_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/ru_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/sc_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/se_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/si_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/sk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/sl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/sq_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/sr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/sv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/sy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/ta_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/te_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/th_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/tn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/tr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/tt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/uk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/ur_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/vi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/zh-cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/zh-tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/zh_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/plugins/xhtmlxtras/langs/zu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/ar.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/ar_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/az.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/az_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/be.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/be_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/bg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/bg_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/bn.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/bn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/br.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/br_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/bs.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/bs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/ca.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/ca_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/ch.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/ch_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/cn.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/cs.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/cs_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/cy.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/cy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/da.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/da_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/de.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/de_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/dv.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/dv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/el.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/el_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/en.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/en_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/eo.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/eo_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/es.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/es_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/et.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/et_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/eu.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/eu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/fa.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/fa_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/fi.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/fi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/fr.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/fr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/gl.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/gl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/gu.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/gu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/he.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/he_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/hi.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/hi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/hr.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/hr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/hu.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/hu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/hy.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/hy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/ia.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/ia_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/id.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/id_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/is.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/is_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/it.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/it_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/ja.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/ja_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/ka.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/ka_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/kl.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/kl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/km.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/km_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/ko.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/ko_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/kz.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/kz_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/lb.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/lb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/lt.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/lt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/lv.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/lv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/mk.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/mk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/ml.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/ml_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/mn.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/mn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/ms.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/ms_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/my.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/my_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/nb.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/nb_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/nl.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/nl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/nn.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/nn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/no.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/no_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/pl.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/pl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/ps.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/ps_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/pt.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/pt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/ro.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/ro_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/ru.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/ru_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/sc.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/sc_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/se.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/se_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/si.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/si_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/sk.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/sk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/sl.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/sl_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/sq.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/sq_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/sr.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/sr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/sv.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/sv_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/sy.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/sy_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/ta.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/ta_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/te.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/te_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/th.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/th_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/tn.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/tn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/tr.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/tr_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/tt.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/tt_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/tw.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/uk.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/uk_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/ur.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/ur_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/vi.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/vi_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/zh-cn.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/zh-cn_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/zh-tw.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/zh-tw_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/zh.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/zh_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/zu.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/advanced/langs/zu_dlg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/ar.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/az.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/be.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/bg.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/bn.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/br.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/bs.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/ca.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/ch.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/cn.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/cs.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/cy.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/da.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/de.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/dv.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/el.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/en.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/eo.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/es.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/et.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/eu.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/fa.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/fi.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/fr.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/gl.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/gu.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/he.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/hi.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/hr.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/hu.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/hy.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/ia.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/id.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/is.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/it.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/ja.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/ka.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/kl.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/km.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/ko.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/kz.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/lb.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/lt.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/lv.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/mk.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/ml.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/mn.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/ms.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/my.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/nb.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/nl.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/nn.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/no.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/pl.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/ps.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/pt.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/ro.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/ru.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/sc.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/se.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/si.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/sk.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/sl.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/sq.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/sr.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/sv.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/sy.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/ta.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/te.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/th.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/tn.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/tr.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/tt.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/tw.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/uk.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/ur.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/vi.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/zh-cn.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/zh-tw.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/zh.js1
-rw-r--r--mod/tinymce/vendor/tinymce/jscripts/tinymce_language_pack/themes/simple/langs/zu.js1
-rw-r--r--mod/tinymce/views/default/input/longtext.php97
-rw-r--r--mod/tinymce/views/default/js/tinymce.php102
-rw-r--r--mod/tinymce/views/default/tinymce/css.php69
-rw-r--r--mod/tinymce/views/default/tinymce/embed_custom_insert_js.php12
-rw-r--r--mod/tinymce/views/default/tinymce/init.php7
m---------mod/translation_editor0
-rw-r--r--mod/twitter/graphics/twitter16px.pngbin724 -> 0 bytes-rw-r--r--mod/twitter/languages/en.php30
-rw-r--r--mod/twitter/manifest.xml11
-rw-r--r--mod/twitter/start.php22
-rw-r--r--mod/twitter/views/default/twitter/css.php54
-rw-r--r--mod/twitter/views/default/widgets/twitter/edit.php16
-rw-r--r--mod/twitter/views/default/widgets/twitter/view.php31
-rw-r--r--mod/twitter_api/actions/twitter_api/interstitial_settings.php53
-rw-r--r--mod/twitter_api/graphics/sign-in-with-twitter-d.pngbin0 -> 3306 bytes-rw-r--r--mod/twitter_api/graphics/sign-in-with-twitter-l.pngbin0 -> 3062 bytes-rw-r--r--mod/twitter_api/graphics/sign_in_with_twitter.gifbin0 -> 3028 bytes-rw-r--r--mod/twitter_api/languages/en.php62
-rw-r--r--mod/twitter_api/lib/twitter_api.php383
-rw-r--r--mod/twitter_api/manifest.xml29
-rw-r--r--mod/twitter_api/pages/twitter_api/interstitial.php19
-rw-r--r--mod/twitter_api/start.php174
-rw-r--r--mod/twitter_api/vendors/twitteroauth/LICENSE22
-rw-r--r--mod/twitter_api/vendors/twitteroauth/OAuth.php872
-rw-r--r--mod/twitter_api/vendors/twitteroauth/README114
-rw-r--r--mod/twitter_api/vendors/twitteroauth/twitterOAuth.php241
-rw-r--r--mod/twitter_api/views/default/forms/twitter_api/interstitial_settings.php67
-rw-r--r--mod/twitter_api/views/default/plugins/twitter_api/settings.php65
-rw-r--r--mod/twitter_api/views/default/plugins/twitter_api/usersettings.php37
-rw-r--r--mod/twitter_api/views/default/twitter_api/css.php13
-rw-r--r--mod/twitter_api/views/default/twitter_api/js.php16
-rw-r--r--mod/twitter_api/views/default/twitter_api/login.php17
-rw-r--r--mod/twitter_api/views/default/twitter_api/metatags.php19
m---------mod/upgrade-tools0
-rw-r--r--mod/uservalidationbyemail/actions/resend_validation.php2
-rw-r--r--mod/uservalidationbyemail/languages/en.php7
-rw-r--r--mod/uservalidationbyemail/lib/functions.php54
-rw-r--r--mod/uservalidationbyemail/manifest.xml25
-rw-r--r--mod/uservalidationbyemail/start.php71
-rw-r--r--mod/uservalidationbyemail/views/default/admin/users/unvalidated.php65
-rw-r--r--mod/uservalidationbyemail/views/default/forms/uservalidationbyemail/bulk_action.php112
-rw-r--r--mod/uservalidationbyemail/views/default/uservalidationbyemail/css.php12
-rw-r--r--mod/uservalidationbyemail/views/default/uservalidationbyemail/js.php28
-rw-r--r--mod/uservalidationbyemail/views/default/uservalidationbyemail/unvalidated_user.php41
-rw-r--r--mod/videolist/.gitignore15
-rw-r--r--mod/videolist/CHANGES.txt14
-rw-r--r--mod/videolist/actions/videolist/delete.php39
-rw-r--r--mod/videolist/actions/videolist/edit.php107
-rw-r--r--mod/videolist/graphics/_videolist_icon_medium.pngbin0 -> 4391 bytes-rw-r--r--mod/videolist/graphics/videolist_icon_medium.pngbin0 -> 3840 bytes-rw-r--r--mod/videolist/graphics/videolist_icon_small.pngbin0 -> 1960 bytes-rw-r--r--mod/videolist/graphics/videolist_icon_tiny.pngbin0 -> 1295 bytes-rw-r--r--mod/videolist/languages/ca.php40
-rw-r--r--mod/videolist/languages/en.php78
-rw-r--r--mod/videolist/languages/es.php40
-rw-r--r--mod/videolist/languages/fr.php28
-rw-r--r--mod/videolist/languages/gl.php29
-rw-r--r--mod/videolist/languages/pt.php50
-rw-r--r--mod/videolist/lib/Videolist/Platform/Bliptv.php38
-rw-r--r--mod/videolist/lib/Videolist/Platform/Gisstv.php50
-rw-r--r--mod/videolist/lib/Videolist/Platform/Metacafe.php38
-rw-r--r--mod/videolist/lib/Videolist/Platform/Vimeo.php40
-rw-r--r--mod/videolist/lib/Videolist/Platform/Youtube.php49
-rw-r--r--mod/videolist/lib/Videolist/PlatformInterface.php23
-rw-r--r--mod/videolist/lib/videolist.php46
-rw-r--r--mod/videolist/manifest.xml18
-rw-r--r--mod/videolist/pages/videolist/add.php39
-rw-r--r--mod/videolist/pages/videolist/all.php34
-rw-r--r--mod/videolist/pages/videolist/edit.php49
-rw-r--r--mod/videolist/pages/videolist/friends.php33
-rw-r--r--mod/videolist/pages/videolist/owner.php54
-rw-r--r--mod/videolist/pages/videolist/watch.php42
-rw-r--r--mod/videolist/start.php328
-rw-r--r--mod/videolist/thumbnail.php64
-rw-r--r--mod/videolist/upgrades/2012022501.php82
-rw-r--r--mod/videolist/views/default/forms/videolist/edit.php55
-rw-r--r--mod/videolist/views/default/icon/object/videolist_item.php47
-rw-r--r--mod/videolist/views/default/object/videolist_item.php108
-rw-r--r--mod/videolist/views/default/page/elements/videolist_block.php36
-rw-r--r--mod/videolist/views/default/river/object/videolist_item/create.php15
-rw-r--r--mod/videolist/views/default/videolist/css.php23
-rw-r--r--mod/videolist/views/default/videolist/group_module.php43
-rw-r--r--mod/videolist/views/default/videolist/sidebar.php28
-rw-r--r--mod/videolist/views/default/videolist/watch.php8
-rw-r--r--mod/videolist/views/default/videolist/watch/bliptv.php6
-rw-r--r--mod/videolist/views/default/videolist/watch/gisstv.php7
-rw-r--r--mod/videolist/views/default/videolist/watch/metacafe.php6
-rw-r--r--mod/videolist/views/default/videolist/watch/vimeo.php5
-rw-r--r--mod/videolist/views/default/videolist/watch/youtube.php5
-rw-r--r--mod/videolist/views/default/widgets/videolist/content.php32
-rw-r--r--mod/videolist/views/default/widgets/videolist/edit.php24
-rw-r--r--mod/videolist/views/rss/object/videolist_item.php47
-rw-r--r--mod/zaudio/manifest.xml26
-rw-r--r--mod/zaudio/readme.txt2
-rw-r--r--mod/zaudio/start.php32
-rw-r--r--mod/zaudio/views/default/file/specialcontent/audio/mp3.php30
-rw-r--r--mod/zaudio/views/default/file/specialcontent/audio/mpeg.php29
-rw-r--r--mod/zaudio/views/default/file/specialcontent/audio/mpg.php29
-rw-r--r--mod/zaudio/views/default/zaudio/audioplayer.php23
-rw-r--r--mod/zaudio/views/default/zaudio/css.php10
-rw-r--r--pages/account/forgotten_password.php25
-rw-r--r--pages/account/login.php28
-rw-r--r--pages/account/register.php51
-rw-r--r--pages/account/reset_password.php40
-rw-r--r--pages/avatar/edit.php32
-rw-r--r--pages/avatar/view.php54
-rw-r--r--pages/dashboard/index.php28
-rw-r--r--pages/dashboard/latest.php27
-rw-r--r--pages/entities/index.php8
-rw-r--r--pages/entities/list.php15
-rw-r--r--pages/friends/add.php23
-rw-r--r--pages/friends/collections.php20
-rw-r--r--pages/friends/collections/add.php22
-rw-r--r--pages/friends/collections/pickercallback.php59
-rw-r--r--pages/friends/collections/view.php21
-rw-r--r--pages/friends/edit.php30
-rw-r--r--pages/friends/index.php24
-rw-r--r--pages/friends/of.php24
-rw-r--r--pages/friends/pickercallback.php54
-rw-r--r--pages/profile/edit.php32
-rw-r--r--pages/river.php61
-rw-r--r--pages/settings/account.php28
-rw-r--r--pages/settings/index.php19
-rw-r--r--pages/settings/plugins.php23
-rw-r--r--pages/settings/statistics.php20
-rw-r--r--pages/settings/tools.php29
-rw-r--r--pages/settings/user.php22
-rw-r--r--services/api/rest_api.php58
-rw-r--r--services/export/handler.php117
-rw-r--r--simplecache/view.php78
-rwxr-xr-xsubtree.sh20
-rw-r--r--upgrade.php57
-rw-r--r--vendors/jquery/fancybox/blank.gifbin0 -> 43 bytes-rw-r--r--vendors/jquery/fancybox/fancy_close.pngbin0 -> 1517 bytes-rw-r--r--vendors/jquery/fancybox/fancy_loading.pngbin0 -> 10195 bytes-rw-r--r--vendors/jquery/fancybox/fancy_nav_left.pngbin0 -> 1446 bytes-rw-r--r--vendors/jquery/fancybox/fancy_nav_right.pngbin0 -> 1454 bytes-rw-r--r--vendors/jquery/fancybox/fancy_shadow_e.pngbin0 -> 107 bytes-rw-r--r--vendors/jquery/fancybox/fancy_shadow_n.pngbin0 -> 106 bytes-rw-r--r--vendors/jquery/fancybox/fancy_shadow_ne.pngbin0 -> 347 bytes-rw-r--r--vendors/jquery/fancybox/fancy_shadow_nw.pngbin0 -> 324 bytes-rw-r--r--vendors/jquery/fancybox/fancy_shadow_s.pngbin0 -> 111 bytes-rw-r--r--vendors/jquery/fancybox/fancy_shadow_se.pngbin0 -> 352 bytes-rw-r--r--vendors/jquery/fancybox/fancy_shadow_sw.pngbin0 -> 340 bytes-rw-r--r--vendors/jquery/fancybox/fancy_shadow_w.pngbin0 -> 103 bytes-rw-r--r--vendors/jquery/fancybox/fancy_title_left.pngbin0 -> 503 bytes-rw-r--r--vendors/jquery/fancybox/fancy_title_main.pngbin0 -> 96 bytes-rw-r--r--vendors/jquery/fancybox/fancy_title_over.pngbin0 -> 70 bytes-rw-r--r--vendors/jquery/fancybox/fancy_title_right.pngbin0 -> 506 bytes-rw-r--r--vendors/jquery/fancybox/fancybox-x.pngbin0 -> 203 bytes-rw-r--r--vendors/jquery/fancybox/fancybox-y.pngbin0 -> 176 bytes-rw-r--r--vendors/jquery/fancybox/fancybox.pngbin0 -> 15287 bytes-rw-r--r--vendors/jquery/fancybox/jquery.easing-1.3.pack.js72
-rw-r--r--vendors/jquery/fancybox/jquery.fancybox-1.3.4.css359
-rw-r--r--vendors/jquery/fancybox/jquery.fancybox-1.3.4.js1156
-rw-r--r--vendors/jquery/fancybox/jquery.fancybox-1.3.4.pack.js46
-rw-r--r--vendors/jquery/fancybox/jquery.mousewheel-3.0.4.pack.js14
-rw-r--r--vendors/jquery/i18n/jquery-ui-i18n.js1646
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-af.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-ar-DZ.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-ar.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-az.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-bg.js24
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-bs.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-ca.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-cs.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-cy-GB.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-da.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-de.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-el.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-en-AU.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-en-GB.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-en-NZ.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-eo.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-es.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-et.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-eu.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-fa.js59
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-fi.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-fo.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-fr-CH.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-fr.js25
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-gl.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-he.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-hi.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-hr.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-hu.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-hy.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-id.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-is.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-it.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-ja.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-ka.js21
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-kk.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-km.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-ko.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-lb.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-lt.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-lv.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-mk.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-ml.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-ms.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-nl-BE.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-nl.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-no.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-pl.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-pt-BR.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-pt.js22
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-rm.js21
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-ro.js26
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-ru.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-sk.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-sl.js24
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-sq.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-sr-SR.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-sr.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-sv.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-ta.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-th.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-tj.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-tr.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-uk.js24
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-vi.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-zh-CN.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-zh-HK.js23
-rw-r--r--vendors/jquery/i18n/jquery.ui.datepicker-zh-TW.js23
-rw-r--r--vendors/jquery/jquery-1.4.2.min.js154
-rw-r--r--vendors/jquery/jquery-1.6.4.min.js4
-rw-r--r--vendors/jquery/jquery-ui-1.7.2.min.js10
-rw-r--r--vendors/jquery/jquery-ui-1.8.16.min.js414
-rw-r--r--vendors/jquery/jquery.form.js1150
-rw-r--r--vendors/jquery/jquery.imgareaselect-0.9.8/GPL-LICENSE.txt278
-rw-r--r--vendors/jquery/jquery.imgareaselect-0.9.8/MIT-LICENSE.txt20
-rw-r--r--vendors/jquery/jquery.imgareaselect-0.9.8/css/border-anim-h.gifbin0 -> 219 bytes-rw-r--r--vendors/jquery/jquery.imgareaselect-0.9.8/css/border-anim-v.gifbin0 -> 219 bytes-rw-r--r--vendors/jquery/jquery.imgareaselect-0.9.8/css/border-h.gifbin0 -> 72 bytes-rw-r--r--vendors/jquery/jquery.imgareaselect-0.9.8/css/border-v.gifbin0 -> 72 bytes-rw-r--r--vendors/jquery/jquery.imgareaselect-0.9.8/css/imgareaselect-animated.css41
-rw-r--r--vendors/jquery/jquery.imgareaselect-0.9.8/css/imgareaselect-default.css41
-rw-r--r--vendors/jquery/jquery.imgareaselect-0.9.8/css/imgareaselect-deprecated.css36
-rw-r--r--vendors/jquery/jquery.imgareaselect-0.9.8/scripts/jquery.imgareaselect.js724
-rw-r--r--vendors/jquery/jquery.imgareaselect-0.9.8/scripts/jquery.imgareaselect.min.js1
-rw-r--r--vendors/jquery/jquery.imgareaselect-0.9.8/scripts/jquery.imgareaselect.pack.js1
-rw-r--r--vendors/jquery/jquery.imgareaselect-0.9.8/scripts/jquery.min.js18
-rw-r--r--vendors/jquery/jquery.jeditable.mini.js (renamed from mod/profile/vendor/jquery.jeditable.mini.js)0
-rw-r--r--vendors/jquery/jquery.ui.autocomplete.html.js40
-rw-r--r--vendors/markdown/License.text36
-rw-r--r--vendors/markdown/PHP Markdown Extra Readme.text786
-rw-r--r--vendors/markdown/UPSTREAM_WARNING5
-rw-r--r--vendors/markdown/markdown.php2933
-rw-r--r--vendors/simpletest/compatibility.php21
-rw-r--r--version.php6
-rw-r--r--views/default/account/forms/forgotten_password.php24
-rw-r--r--views/default/account/forms/login.php35
-rw-r--r--views/default/account/forms/register.php55
-rw-r--r--views/default/account/forms/useradd.php31
-rw-r--r--views/default/account/login_box.php25
-rw-r--r--views/default/account/login_dropdown.php52
-rw-r--r--views/default/account/login_walled_garden.php114
-rw-r--r--views/default/admin/appearance/default_widgets.php82
-rw-r--r--views/default/admin/appearance/menu_items.php79
-rw-r--r--views/default/admin/appearance/profile_fields.php24
-rw-r--r--views/default/admin/appearance/profile_fields/list.php55
-rw-r--r--views/default/admin/components/plugin.php153
-rw-r--r--views/default/admin/components/plugin_settings.php19
-rw-r--r--views/default/admin/dashboard.php12
-rw-r--r--views/default/admin/footer.php9
-rw-r--r--views/default/admin/header.php27
-rw-r--r--views/default/admin/overview.php80
-rw-r--r--views/default/admin/overview/numentities.php7
-rw-r--r--views/default/admin/overview/online.php22
-rw-r--r--views/default/admin/plugin_settings.php26
-rw-r--r--views/default/admin/plugins.php197
-rw-r--r--views/default/admin/plugins/advanced.php104
-rw-r--r--views/default/admin/plugins/simple.php94
-rw-r--r--views/default/admin/settings/advanced.php9
-rw-r--r--views/default/admin/settings/advanced/site_secret.php11
-rw-r--r--views/default/admin/settings/basic.php9
-rw-r--r--views/default/admin/sidebar.php8
-rw-r--r--views/default/admin/site/advanced.php67
-rw-r--r--views/default/admin/site/basic.php29
-rw-r--r--views/default/admin/statistics/overview.php13
-rw-r--r--views/default/admin/statistics/overview/basic.php19
-rw-r--r--views/default/admin/statistics/overview/numentities.php40
-rw-r--r--views/default/admin/statistics/server.php8
-rw-r--r--views/default/admin/statistics/server/php.php50
-rw-r--r--views/default/admin/statistics/server/web_server.php16
-rw-r--r--views/default/admin/users/add.php6
-rw-r--r--views/default/admin/users/admins.php12
-rw-r--r--views/default/admin/users/newest.php21
-rw-r--r--views/default/admin/users/online.php19
-rw-r--r--views/default/ajax/loader.php22
-rw-r--r--views/default/annotation/annotate.php31
-rw-r--r--views/default/annotation/annotatelike.php11
-rw-r--r--views/default/annotation/default.php37
-rw-r--r--views/default/annotation/generic_comment.php108
-rw-r--r--views/default/annotation/latest_comments.php36
-rw-r--r--views/default/annotation/likes.php40
-rw-r--r--views/default/comments/forms/edit.php20
-rw-r--r--views/default/core/account/login_box.php21
-rw-r--r--views/default/core/account/login_dropdown.php27
-rw-r--r--views/default/core/avatar/crop.php16
-rw-r--r--views/default/core/avatar/upload.php51
-rw-r--r--views/default/core/friends/collection.php64
-rw-r--r--views/default/core/friends/collections.php39
-rw-r--r--views/default/core/friends/collectiontabs.php62
-rw-r--r--views/default/core/friends/tablelist.php (renamed from views/default/friends/tablelist.php)8
-rw-r--r--views/default/core/friends/tablelistcountupdate.php (renamed from views/default/friends/tablelistcountupdate.php)2
-rw-r--r--views/default/core/river/filter.php38
-rw-r--r--views/default/core/settings/account.php9
-rw-r--r--views/default/core/settings/account/default_access.php25
-rw-r--r--views/default/core/settings/account/email.php19
-rw-r--r--views/default/core/settings/account/language.php20
-rw-r--r--views/default/core/settings/account/name.php21
-rw-r--r--views/default/core/settings/account/notifications.php44
-rw-r--r--views/default/core/settings/account/password.php33
-rw-r--r--views/default/core/settings/statistics.php6
-rw-r--r--views/default/core/settings/statistics/numentities.php44
-rw-r--r--views/default/core/settings/statistics/online.php42
-rw-r--r--views/default/core/settings/tools.php34
-rw-r--r--views/default/core/walled_garden/login.php31
-rw-r--r--views/default/core/walled_garden/lost_password.php13
-rw-r--r--views/default/core/walled_garden/register.php17
-rw-r--r--views/default/css.php1756
-rw-r--r--views/default/css/admin.php1677
-rw-r--r--views/default/css/elements/OOCSS_LICENSE30
-rw-r--r--views/default/css/elements/buttons.php156
-rw-r--r--views/default/css/elements/components.php286
-rw-r--r--views/default/css/elements/core.php117
-rw-r--r--views/default/css/elements/forms.php375
-rw-r--r--views/default/css/elements/grid.php54
-rw-r--r--views/default/css/elements/helpers.php144
-rw-r--r--views/default/css/elements/icons.php348
-rw-r--r--views/default/css/elements/layout.php121
-rw-r--r--views/default/css/elements/misc.php62
-rw-r--r--views/default/css/elements/modules.php194
-rw-r--r--views/default/css/elements/navigation.php560
-rw-r--r--views/default/css/elements/reset.php79
-rw-r--r--views/default/css/elements/typography.php162
-rw-r--r--views/default/css/elgg.php68
-rw-r--r--views/default/css/ie.php16
-rw-r--r--views/default/css/ie6.php12
-rw-r--r--views/default/css/ie7.php75
-rw-r--r--views/default/css/lightbox.php371
-rw-r--r--views/default/css/walled_garden.php81
-rw-r--r--views/default/css_ie.php78
-rw-r--r--views/default/css_ie6.php16
-rw-r--r--views/default/dashboard/blurb.php22
-rw-r--r--views/default/entities/entity_list.php63
-rw-r--r--views/default/entities/entity_listing.php19
-rw-r--r--views/default/entities/gallery.php42
-rw-r--r--views/default/entities/gallery_listing.php21
-rw-r--r--views/default/errors/404.php8
-rw-r--r--views/default/errors/default.php8
-rw-r--r--views/default/export/entity.php25
-rw-r--r--views/default/export/metadata.php2
-rw-r--r--views/default/export/relationship.php2
-rw-r--r--views/default/forms/account/settings.php10
-rw-r--r--views/default/forms/admin/menu/save.php102
-rw-r--r--views/default/forms/admin/plugins/change_state.php22
-rw-r--r--views/default/forms/admin/plugins/filter.php26
-rw-r--r--views/default/forms/admin/plugins/sort.php26
-rw-r--r--views/default/forms/admin/site/regenerate_secret.php24
-rw-r--r--views/default/forms/admin/site/update_advanced.php101
-rw-r--r--views/default/forms/admin/site/update_basic.php31
-rw-r--r--views/default/forms/avatar/crop.php42
-rw-r--r--views/default/forms/avatar/upload.php16
-rw-r--r--views/default/forms/comments/add.php37
-rw-r--r--views/default/forms/friends/collections/add.php53
-rw-r--r--views/default/forms/login.php49
-rw-r--r--views/default/forms/members/name_search.php9
-rw-r--r--views/default/forms/members/tag_search.php12
-rw-r--r--views/default/forms/plugins/settings/save.php31
-rw-r--r--views/default/forms/plugins/usersettings/save.php14
-rw-r--r--views/default/forms/profile/edit.php81
-rw-r--r--views/default/forms/profile/fields/add.php29
-rw-r--r--views/default/forms/register.php80
-rw-r--r--views/default/forms/user/passwordreset.php20
-rw-r--r--views/default/forms/user/requestnewpassword.php24
-rw-r--r--views/default/forms/useradd.php78
-rw-r--r--views/default/forms/usersettings/save.php14
-rw-r--r--views/default/forms/widgets/save.php41
-rw-r--r--views/default/friends/collection.php54
-rw-r--r--views/default/friends/collections.php40
-rw-r--r--views/default/friends/collectiontabs.php57
-rw-r--r--views/default/friends/forms/collectionfields.php15
-rw-r--r--views/default/friends/forms/edit.php53
-rw-r--r--views/default/friends/list.php16
-rw-r--r--views/default/friends/picker.php328
-rw-r--r--views/default/friends/river/create.php20
-rw-r--r--views/default/graphics/ajax_loader.php32
-rw-r--r--views/default/graphics/icon.php44
-rw-r--r--views/default/group/default.php1
-rw-r--r--views/default/group/elements/summary.php13
-rw-r--r--views/default/group/search/finishblurb.php2
-rw-r--r--views/default/icon/default.php80
-rw-r--r--views/default/icon/user/default.php103
-rw-r--r--views/default/input/access.php65
-rw-r--r--views/default/input/autocomplete.php109
-rw-r--r--views/default/input/button.php14
-rw-r--r--views/default/input/calendar.php4
-rw-r--r--views/default/input/captcha.php2
-rw-r--r--views/default/input/checkbox.php29
-rw-r--r--views/default/input/checkboxes.php111
-rw-r--r--views/default/input/date.php56
-rw-r--r--views/default/input/datepicker.php40
-rw-r--r--views/default/input/dropdown.php71
-rw-r--r--views/default/input/email.php14
-rw-r--r--views/default/input/file.php24
-rw-r--r--views/default/input/form.php24
-rw-r--r--views/default/input/friendspicker.php319
-rw-r--r--views/default/input/hidden.php11
-rw-r--r--views/default/input/location.php27
-rw-r--r--views/default/input/longtext.php44
-rw-r--r--views/default/input/password.php21
-rw-r--r--views/default/input/plaintext.php33
-rw-r--r--views/default/input/pulldown.php55
-rw-r--r--views/default/input/radio.php89
-rw-r--r--views/default/input/reset.php7
-rw-r--r--views/default/input/securitytoken.php4
-rw-r--r--views/default/input/submit.php7
-rw-r--r--views/default/input/tag.php25
-rw-r--r--views/default/input/tags.php25
-rw-r--r--views/default/input/text.php16
-rw-r--r--views/default/input/url.php14
-rw-r--r--views/default/input/userpicker.php178
-rw-r--r--views/default/js/admin.php126
-rw-r--r--views/default/js/elgg.php77
-rw-r--r--views/default/js/friendsPickerv1.php93
-rw-r--r--views/default/js/initialise_elgg.php324
-rw-r--r--views/default/js/initialize_elgg.php52
-rw-r--r--views/default/js/languages.php42
-rw-r--r--views/default/js/languages/en.php2
-rw-r--r--views/default/js/lightbox.php36
-rw-r--r--views/default/js/upload_js.php57
-rw-r--r--views/default/js/walled_garden.php67
-rw-r--r--views/default/layouts/administration.php903
-rw-r--r--views/default/layouts/one_column.php16
-rw-r--r--views/default/layouts/one_column_with_sidebar.php30
-rw-r--r--views/default/layouts/widgets.php51
-rw-r--r--views/default/likes/forms/display.php23
-rw-r--r--views/default/likes/forms/edit.php45
-rw-r--r--views/default/likes/forms/link.php17
-rw-r--r--views/default/messages/errors/error.php15
-rw-r--r--views/default/messages/errors/list.php22
-rw-r--r--views/default/messages/exceptions/exception.php38
-rw-r--r--views/default/messages/list.php21
-rw-r--r--views/default/messages/messages/list.php22
-rw-r--r--views/default/messages/messages/message.php15
-rw-r--r--views/default/navigation/breadcrumbs.php48
-rw-r--r--views/default/navigation/listtype.php28
-rw-r--r--views/default/navigation/menu/default.php31
-rw-r--r--views/default/navigation/menu/elements/item.php43
-rw-r--r--views/default/navigation/menu/elements/section.php30
-rw-r--r--views/default/navigation/menu/page.php36
-rw-r--r--views/default/navigation/menu/site.php30
-rw-r--r--views/default/navigation/menu/user_hover.php60
-rw-r--r--views/default/navigation/pagination.php182
-rw-r--r--views/default/navigation/sidebar_menu.php13
-rw-r--r--views/default/navigation/site_nav.php85
-rw-r--r--views/default/navigation/submenu_group.php40
-rw-r--r--views/default/navigation/submenu_item.php46
-rw-r--r--views/default/navigation/submenu_js.php29
-rw-r--r--views/default/navigation/tabs.php100
-rw-r--r--views/default/navigation/topbar_tools.php3
-rw-r--r--views/default/navigation/viewtype.php6
-rw-r--r--views/default/notifications/settings/usersettings.php51
-rw-r--r--views/default/object/admin_notice.php11
-rw-r--r--views/default/object/default.php87
-rw-r--r--views/default/object/elements/full.php37
-rw-r--r--views/default/object/elements/summary.php59
-rw-r--r--views/default/object/object.php16
-rw-r--r--views/default/object/plugin.php25
-rw-r--r--views/default/object/plugin/elements/dependencies.php49
-rw-r--r--views/default/object/plugin/full.php308
-rw-r--r--views/default/object/plugin/invalid.php42
-rw-r--r--views/default/object/widget.php74
-rw-r--r--views/default/object/widget/elements/controls.php14
-rw-r--r--views/default/object/widget/elements/settings.php25
-rw-r--r--views/default/output/access.php29
-rw-r--r--views/default/output/calendar.php8
-rw-r--r--views/default/output/confirmlink.php46
-rw-r--r--views/default/output/date.php12
-rw-r--r--views/default/output/dropdown.php13
-rw-r--r--views/default/output/email.php4
-rw-r--r--views/default/output/img.php12
-rw-r--r--views/default/output/location.php19
-rw-r--r--views/default/output/longtext.php23
-rw-r--r--views/default/output/pulldown.php3
-rw-r--r--views/default/output/radio.php2
-rw-r--r--views/default/output/rss_view.php7
-rw-r--r--views/default/output/tag.php35
-rw-r--r--views/default/output/tagcloud.php47
-rw-r--r--views/default/output/tags.php72
-rw-r--r--views/default/output/text.php5
-rw-r--r--views/default/output/url.php53
-rw-r--r--views/default/page/admin.php66
-rw-r--r--views/default/page/components/gallery.php77
-rw-r--r--views/default/page/components/image_block.php52
-rw-r--r--views/default/page/components/list.php75
-rw-r--r--views/default/page/components/module.php48
-rw-r--r--views/default/page/components/summary.php4
-rw-r--r--views/default/page/default.php76
-rw-r--r--views/default/page/elements/body.php8
-rw-r--r--views/default/page/elements/comments.php42
-rw-r--r--views/default/page/elements/comments_block.php45
-rw-r--r--views/default/page/elements/foot.php11
-rw-r--r--views/default/page/elements/footer.php22
-rw-r--r--views/default/page/elements/head.php81
-rw-r--r--views/default/page/elements/header.php14
-rw-r--r--views/default/page/elements/header_logo.php15
-rw-r--r--views/default/page/elements/messages.php27
-rw-r--r--views/default/page/elements/owner_block.php31
-rw-r--r--views/default/page/elements/shortcut_icon.php6
-rw-r--r--views/default/page/elements/sidebar.php32
-rw-r--r--views/default/page/elements/sidebar_alt.php12
-rw-r--r--views/default/page/elements/tagcloud_block.php58
-rw-r--r--views/default/page/elements/title.php14
-rw-r--r--views/default/page/elements/topbar.php16
-rw-r--r--views/default/page/elements/wrapper.php22
-rw-r--r--views/default/page/error.php14
-rw-r--r--views/default/page/layouts/admin.php40
-rw-r--r--views/default/page/layouts/content.php53
-rw-r--r--views/default/page/layouts/content/filter.php52
-rw-r--r--views/default/page/layouts/content/footer.php8
-rw-r--r--views/default/page/layouts/content/header.php43
-rw-r--r--views/default/page/layouts/content/sidebar.php8
-rw-r--r--views/default/page/layouts/default.php (renamed from views/default/layouts/default.php)0
-rw-r--r--views/default/page/layouts/error.php12
-rw-r--r--views/default/page/layouts/one_column.php38
-rw-r--r--views/default/page/layouts/one_sidebar.php48
-rw-r--r--views/default/page/layouts/two_column_left_sidebar.php26
-rw-r--r--views/default/page/layouts/two_sidebar.php43
-rw-r--r--views/default/page/layouts/walled_garden.php16
-rw-r--r--views/default/page/layouts/widgets.php62
-rw-r--r--views/default/page/layouts/widgets/add_button.php16
-rw-r--r--views/default/page/layouts/widgets/add_panel.php62
-rw-r--r--views/default/page/upgrade.php18
-rw-r--r--views/default/page/walled_garden.php40
-rw-r--r--views/default/page_elements/content.php9
-rw-r--r--views/default/page_elements/content_header.php94
-rw-r--r--views/default/page_elements/content_header_member.php24
-rw-r--r--views/default/page_elements/footer.php21
-rw-r--r--views/default/page_elements/header.php24
-rw-r--r--views/default/page_elements/header_logo.php10
-rw-r--r--views/default/page_elements/html_begin.php84
-rw-r--r--views/default/page_elements/html_begin_admin.php39
-rw-r--r--views/default/page_elements/html_end.php11
-rw-r--r--views/default/page_elements/owner_block.php77
-rw-r--r--views/default/page_elements/sidebar.php27
-rw-r--r--views/default/page_elements/title.php22
-rw-r--r--views/default/page_elements/topbar.php44
-rw-r--r--views/default/page_elements/topbar_logout.php14
-rw-r--r--views/default/page_shells/admin.php29
-rw-r--r--views/default/page_shells/default.php34
-rw-r--r--views/default/page_shells/walled_garden.php280
-rw-r--r--views/default/profile/icon.php17
-rw-r--r--views/default/river/annotation/generic_comment/create.php11
-rw-r--r--views/default/river/elements/body.php67
-rw-r--r--views/default/river/elements/image.php16
-rw-r--r--views/default/river/elements/layout.php14
-rw-r--r--views/default/river/elements/responses.php63
-rw-r--r--views/default/river/elements/summary.php54
-rw-r--r--views/default/river/item.php30
-rw-r--r--views/default/river/item/list.php52
-rw-r--r--views/default/river/item/wrapper.php61
-rw-r--r--views/default/river/relationship/friend/create.php14
-rw-r--r--views/default/river/user/default/profileiconupdate.php24
-rw-r--r--views/default/river/user/default/profileupdate.php20
-rw-r--r--views/default/scripts/initialize_elgg.php45
-rw-r--r--views/default/settings/system.php58
-rw-r--r--views/default/settings/upgrading.php22
-rw-r--r--views/default/spotlight/default.php33
-rw-r--r--views/default/upload/upload_form_content.php123
-rw-r--r--views/default/user/default.php54
-rw-r--r--views/default/user/elements/summary.php13
-rw-r--r--views/default/user/search/finishblurb.php2
-rw-r--r--views/default/user/settings/default_access.php29
-rw-r--r--views/default/user/settings/email.php25
-rw-r--r--views/default/user/settings/language.php32
-rw-r--r--views/default/user/settings/name.php15
-rw-r--r--views/default/user/settings/password.php26
-rw-r--r--views/default/usersettings/form.php10
-rw-r--r--views/default/usersettings/main.php11
-rw-r--r--views/default/usersettings/main_opt/plugins.php15
-rw-r--r--views/default/usersettings/main_opt/statistics.php13
-rw-r--r--views/default/usersettings/main_opt/user.php13
-rw-r--r--views/default/usersettings/plugins.php25
-rw-r--r--views/default/usersettings/plugins_opt/plugin.php34
-rw-r--r--views/default/usersettings/statistics.php9
-rw-r--r--views/default/usersettings/statistics_opt/numentities.php47
-rw-r--r--views/default/usersettings/statistics_opt/online.php28
-rw-r--r--views/default/usersettings/user.php6
-rw-r--r--views/default/widgets/add_button.php13
-rw-r--r--views/default/widgets/add_panel.php34
-rw-r--r--views/default/widgets/admin_welcome/content.php19
-rw-r--r--views/default/widgets/content_stats/content.php28
-rw-r--r--views/default/widgets/content_stats/edit.php23
-rw-r--r--views/default/widgets/control_panel/content.php38
-rw-r--r--views/default/widgets/controls.php33
-rw-r--r--views/default/widgets/friends/content.php25
-rw-r--r--views/default/widgets/friends/edit.php52
-rw-r--r--views/default/widgets/new_users/content.php11
-rw-r--r--views/default/widgets/new_users/edit.php23
-rw-r--r--views/default/widgets/online_users/content.php15
-rw-r--r--views/default/widgets/online_users/edit.php22
-rw-r--r--views/default/widgets/river_widget/content.php27
-rw-r--r--views/default/widgets/river_widget/edit.php58
-rw-r--r--views/default/widgets/settings.php39
-rw-r--r--views/default/widgets/wrapper.php44
-rw-r--r--views/failsafe/canvas/default.php13
-rw-r--r--views/failsafe/messages/exceptions/admin_exception.php30
-rw-r--r--views/failsafe/messages/exceptions/exception.php28
-rw-r--r--views/failsafe/page/default.php60
-rw-r--r--views/failsafe/page_shells/default.php60
-rw-r--r--views/foaf/canvas/default.php13
-rw-r--r--views/foaf/page/default.php47
-rw-r--r--views/foaf/page_elements/contentwrapper.php8
-rw-r--r--views/foaf/page_shells/default.php48
-rw-r--r--views/foaf/search/entity_list.php13
-rw-r--r--views/foaf/user/default.php5
-rw-r--r--views/ical/canvas/default.php14
-rw-r--r--views/ical/export/entity.php36
-rw-r--r--views/ical/object/default.php2
-rw-r--r--views/ical/page/default.php19
-rw-r--r--views/ical/pageshells/pageshell.php18
-rw-r--r--views/ical/search/entity_list.php13
-rw-r--r--views/installation/canvas/default.php13
-rw-r--r--views/installation/forms/install/template.php30
-rw-r--r--views/installation/input/access.php19
-rw-r--r--views/installation/input/button.php37
-rw-r--r--views/installation/input/checkbox.php24
-rw-r--r--views/installation/input/checkboxes.php48
-rw-r--r--views/installation/input/combo.php19
-rw-r--r--views/installation/input/dropdown.php36
-rw-r--r--views/installation/input/form.php35
-rw-r--r--views/installation/input/hidden.php16
-rw-r--r--views/installation/input/longtext.php22
-rw-r--r--views/installation/input/password.php16
-rw-r--r--views/installation/input/pulldown.php43
-rw-r--r--views/installation/input/reset.php13
-rw-r--r--views/installation/input/securitytoken.php15
-rw-r--r--views/installation/input/submit.php6
-rw-r--r--views/installation/input/text.php22
-rw-r--r--views/installation/install/footer.php10
-rw-r--r--views/installation/install/forms/admin.php19
-rw-r--r--views/installation/install/forms/database.php19
-rw-r--r--views/installation/install/forms/settings.php19
-rw-r--r--views/installation/install/forms/template.php42
-rw-r--r--views/installation/install/js_rewrite_check.php12
-rw-r--r--views/installation/install/nav.php8
-rw-r--r--views/installation/install/pages/admin.php13
-rw-r--r--views/installation/install/pages/complete.php4
-rw-r--r--views/installation/install/pages/database.php16
-rw-r--r--views/installation/install/pages/requirements.php10
-rw-r--r--views/installation/install/pages/settings.php13
-rw-r--r--views/installation/install/pages/welcome.php2
-rw-r--r--views/installation/messages/errors/error.php15
-rw-r--r--views/installation/messages/errors/list.php25
-rw-r--r--views/installation/messages/list.php16
-rw-r--r--views/installation/messages/messages/list.php28
-rw-r--r--views/installation/messages/messages/message.php15
-rw-r--r--views/installation/page/default.php57
-rw-r--r--views/installation/page/elements/footer.php10
-rw-r--r--views/installation/page/elements/header.php (renamed from views/installation/install/header.php)0
-rw-r--r--views/installation/page/elements/messages.php21
-rw-r--r--views/installation/page/elements/sidebar.php (renamed from views/installation/install/sidebar.php)0
-rw-r--r--views/installation/page_shells/default.php52
-rw-r--r--views/js/object/default.php11
-rw-r--r--views/js/pageshells/pageshell.php17
-rw-r--r--views/js/user/default.php11
-rw-r--r--views/json/api/output.php3
-rw-r--r--views/json/canvas/default.php14
-rw-r--r--views/json/entities/entity_list.php10
-rw-r--r--views/json/export/entity.php5
-rw-r--r--views/json/group/default.php4
-rw-r--r--views/json/object/default.php4
-rw-r--r--views/json/page/components/list.php14
-rw-r--r--views/json/page/default.php13
-rw-r--r--views/json/page_elements/contentwrapper.php9
-rw-r--r--views/json/page_shells/default.php18
-rw-r--r--views/json/river/item.php19
-rw-r--r--views/json/river/item/list.php45
-rw-r--r--views/json/search/entity_list.php14
-rw-r--r--views/json/site/default.php4
-rw-r--r--views/json/user/default.php4
-rw-r--r--views/opendd/canvas/default.php13
-rw-r--r--views/opendd/messages/exceptions/exception.php5
-rw-r--r--views/opendd/page/default.php (renamed from views/opendd/pageshells/pageshell.php)0
-rw-r--r--views/php/api/output.php3
-rw-r--r--views/php/canvas/default.php13
-rw-r--r--views/php/group/default.php2
-rw-r--r--views/php/object/default.php2
-rw-r--r--views/php/page/default.php9
-rw-r--r--views/php/page_shells/default.php10
-rw-r--r--views/php/search/entity_list.php14
-rw-r--r--views/php/site/default.php8
-rw-r--r--views/php/user/default.php2
-rw-r--r--views/rss/annotation/default.php34
-rw-r--r--views/rss/annotation/generic_comment.php33
-rw-r--r--views/rss/canvas/default.php13
-rw-r--r--views/rss/entities/entity_list.php10
-rw-r--r--views/rss/group/default.php54
-rw-r--r--views/rss/object/creator.php8
-rw-r--r--views/rss/object/default.php23
-rw-r--r--views/rss/object/georss.php10
-rw-r--r--views/rss/output/url.php6
-rw-r--r--views/rss/page/components/creator.php14
-rw-r--r--views/rss/page/components/gallery.php8
-rw-r--r--views/rss/page/components/georss.php15
-rw-r--r--views/rss/page/components/image_block.php7
-rw-r--r--views/rss/page/components/list.php14
-rw-r--r--views/rss/page/default.php46
-rw-r--r--views/rss/page/elements/comments.php13
-rw-r--r--views/rss/page/layouts/default.php5
-rw-r--r--views/rss/page_elements/contentwrapper.php7
-rw-r--r--views/rss/page_shells/default.php43
-rw-r--r--views/rss/river/item.php35
-rw-r--r--views/rss/river/item/list.php17
-rw-r--r--views/rss/search/entity_list.php12
-rw-r--r--views/rss/user/default.php43
-rw-r--r--views/xml/messages/exceptions/exception.php5
-rw-r--r--views/xml/page/default.php (renamed from views/xml/page_shells/default.php)0
9664 files changed, 530472 insertions, 76311 deletions
diff --git a/.gitignore b/.gitignore
index 16682b00d..2303faa0c 100644
--- a/.gitignore
+++ b/.gitignore
@@ -1,2 +1,57 @@
-.htaccess
-engine/settings.php
+# ignore Elgg configuration
+/engine/settings.php
+/engine/handlers/views_simplecache/*
+/.htaccess
+/mod/*
+
+# don't ignore bundled plugins
+!/mod/blog/
+!/mod/bookmarks/
+!/mod/categories/
+!/mod/custom_index/
+!/mod/dashboard/
+!/mod/developers/
+!/mod/diagnostics/
+!/mod/embed/
+!/mod/externalpages/
+!/mod/file/
+!/mod/garbagecollector/
+!/mod/groups/
+!/mod/htmlawed/
+!/mod/invitefriends/
+!/mod/likes/
+!/mod/linkup/
+!/mod/logbrowser/
+!/mod/logrotate/
+!/mod/members/
+!/mod/messageboard/
+!/mod/messages/
+!/mod/notifications/
+!/mod/oauth_api/
+!/mod/pages/
+!/mod/profile/
+!/mod/reportedcontent/
+!/mod/search/
+!/mod/tagcloud/
+!/mod/thewire/
+!/mod/tinymce/
+!/mod/twitter/
+!/mod/twitter_api/
+!/mod/uservalidationbyemail/
+!/mod/zaudio/
+
+# ignore IDE/hidden/testing/OS cache files
+.*
+*~
+/nbproject
+/nb-configuration.xml
+Session.vim
+*.tmproj
+*.tmproject
+tmtags
+Thumbs.db
+Desktop.ini
+/JsTestDriver-*.jar
+
+# don't ignore travis config
+!/.travis.yml
diff --git a/.travis.yml b/.travis.yml
new file mode 100644
index 000000000..5cc8f375a
--- /dev/null
+++ b/.travis.yml
@@ -0,0 +1,10 @@
+language: php
+phps:
+ - 5.2
+ - 5.3
+
+script: phpunit --help
+
+branches:
+ only:
+ - master \ No newline at end of file
diff --git a/.tx/config b/.tx/config
new file mode 100644
index 000000000..b1600d70c
--- /dev/null
+++ b/.tx/config
@@ -0,0 +1,501 @@
+[main]
+host = https://www.transifex.com
+
+[lorea.engine]
+file_filter = languages/<lang>.php
+source_file = languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.blog]
+file_filter = mod/blog/languages/<lang>.php
+source_file = mod/blog/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.bookmarks]
+file_filter = mod/bookmarks/languages/<lang>.php
+source_file = mod/bookmarks/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.categories]
+file_filter = mod/categories/languages/<lang>.php
+source_file = mod/categories/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.ckeditor]
+file_filter = mod/ckeditor/languages/<lang>.php
+source_file = mod/ckeditor/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.custom_index]
+file_filter = mod/custom_index/languages/<lang>.php
+source_file = mod/custom_index/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.dashboard]
+file_filter = mod/dashboard/languages/<lang>.php
+source_file = mod/dashboard/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.developers]
+file_filter = mod/developers/languages/<lang>.php
+source_file = mod/developers/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.diagnostics]
+file_filter = mod/diagnostics/languages/<lang>.php
+source_file = mod/diagnostics/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.embed]
+file_filter = mod/embed/languages/<lang>.php
+source_file = mod/embed/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.externalpages]
+file_filter = mod/externalpages/languages/<lang>.php
+source_file = mod/externalpages/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.file]
+file_filter = mod/file/languages/<lang>.php
+source_file = mod/file/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.garbagecollector]
+file_filter = mod/garbagecollector/languages/<lang>.php
+source_file = mod/garbagecollector/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.groups]
+file_filter = mod/groups/languages/<lang>.php
+source_file = mod/groups/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.invitefriends]
+file_filter = mod/invitefriends/languages/<lang>.php
+source_file = mod/invitefriends/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.likes]
+file_filter = mod/likes/languages/<lang>.php
+source_file = mod/likes/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.logbrowser]
+file_filter = mod/logbrowser/languages/<lang>.php
+source_file = mod/logbrowser/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.logrotate]
+file_filter = mod/logrotate/languages/<lang>.php
+source_file = mod/logrotate/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.members]
+file_filter = mod/members/languages/<lang>.php
+source_file = mod/members/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.messageboard]
+file_filter = mod/messageboard/languages/<lang>.php
+source_file = mod/messageboard/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.messages]
+file_filter = mod/messages/languages/<lang>.php
+source_file = mod/messages/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.notifications]
+file_filter = mod/notifications/languages/<lang>.php
+source_file = mod/notifications/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.pages]
+file_filter = mod/pages/languages/<lang>.php
+source_file = mod/pages/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.profile]
+file_filter = mod/profile/languages/<lang>.php
+source_file = mod/profile/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.reportedcontent]
+file_filter = mod/reportedcontent/languages/<lang>.php
+source_file = mod/reportedcontent/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.search]
+file_filter = mod/search/languages/<lang>.php
+source_file = mod/search/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.tagcloud]
+file_filter = mod/tagcloud/languages/<lang>.php
+source_file = mod/tagcloud/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.thewire]
+file_filter = mod/thewire/languages/<lang>.php
+source_file = mod/thewire/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.twitter]
+file_filter = mod/twitter/languages/<lang>.php
+source_file = mod/twitter/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.twitter_api]
+file_filter = mod/twitter_api/languages/<lang>.php
+source_file = mod/twitter_api/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.uservalidationbyemail]
+file_filter = mod/uservalidationbyemail/languages/<lang>.php
+source_file = mod/uservalidationbyemail/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.install]
+file_filter = install/languages/<lang>.php
+source_file = install/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.admins]
+file_filter = mod/admins/languages/<lang>.php
+source_file = mod/admins/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.assemblies]
+file_filter = mod/assemblies/languages/<lang>.php
+source_file = mod/assemblies/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.elggman]
+file_filter = mod/elggman/languages/<lang>.php
+source_file = mod/elggman/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.autosubscribegroup]
+file_filter = mod/autosubscribegroup/languages/<lang>.php
+source_file = mod/autosubscribegroup/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.beechat]
+file_filter = mod/beechat/languages/<lang>.php
+source_file = mod/beechat/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.captcha]
+file_filter = mod/captcha/languages/<lang>.php
+source_file = mod/captcha/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.cic_theme]
+file_filter = mod/cic_theme/languages/<lang>.php
+source_file = mod/cic_theme/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.colorbox]
+file_filter = mod/colorbox/languages/<lang>.php
+source_file = mod/colorbox/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.crud]
+file_filter = mod/crud/languages/<lang>.php
+source_file = mod/crud/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.curatedgroups]
+file_filter = mod/curatedgroups/languages/<lang>.php
+source_file = mod/curatedgroups/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.custom_index_widgets]
+file_filter = mod/custom_index_widgets/languages/<lang>.php
+source_file = mod/custom_index_widgets/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.dokuwiki]
+file_filter = mod/dokuwiki/languages/<lang>.php
+source_file = mod/dokuwiki/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.elgg-activitystreams]
+file_filter = mod/elgg-activitystreams/languages/<lang>.php
+source_file = mod/elgg-activitystreams/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.elgg-ostatus]
+file_filter = mod/elgg-ostatus/languages/<lang>.php
+source_file = mod/elgg-ostatus/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.elggpg]
+file_filter = mod/elggpg/languages/<lang>.php
+source_file = mod/elggpg/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.elgg-push]
+file_filter = mod/elgg-push/languages/<lang>.php
+source_file = mod/elgg-push/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.email_revalidate]
+file_filter = mod/email_revalidate/languages/<lang>.php
+source_file = mod/email_revalidate/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.etherpad]
+file_filter = mod/etherpad/languages/<lang>.php
+source_file = mod/etherpad/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.favorites]
+file_filter = mod/favorites/languages/<lang>.php
+source_file = mod/favorites/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.federated-objects]
+file_filter = mod/federated-objects/languages/<lang>.php
+source_file = mod/federated-objects/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.friendrequest]
+file_filter = mod/friendrequest/languages/<lang>.php
+source_file = mod/friendrequest/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.gifts]
+file_filter = mod/gifts/languages/<lang>.php
+source_file = mod/gifts/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.group_alias]
+file_filter = mod/group_alias/languages/<lang>.php
+source_file = mod/group_alias/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.group_operators]
+file_filter = mod/group_operators/languages/<lang>.php
+source_file = mod/group_operators/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.identica]
+file_filter = mod/identica/languages/<lang>.php
+source_file = mod/identica/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.infinite_scroll]
+file_filter = mod/infinite_scroll/languages/<lang>.php
+source_file = mod/infinite_scroll/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.landing]
+file_filter = mod/landing/languages/<lang>.php
+source_file = mod/landing/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.lightpics]
+file_filter = mod/lightpics/languages/<lang>.php
+source_file = mod/lightpics/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.linkup]
+file_filter = mod/linkup/languages/<lang>.php
+source_file = mod/linkup/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.livestream]
+file_filter = mod/livestream/languages/<lang>.php
+source_file = mod/livestream/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.microthemes]
+file_filter = mod/microthemes/languages/<lang>.php
+source_file = mod/microthemes/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.n1_theme]
+file_filter = mod/n1_theme/languages/<lang>.php
+source_file = mod/n1_theme/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.openid_client]
+file_filter = mod/openid_client/languages/<lang>.php
+source_file = mod/openid_client/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.opensearch]
+file_filter = mod/opensearch/languages/<lang>.php
+source_file = mod/opensearch/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.profile_widgets]
+file_filter = mod/profile_widgets/languages/<lang>.php
+source_file = mod/profile_widgets/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.proposals]
+file_filter = mod/proposals/languages/<lang>.php
+source_file = mod/proposals/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.registrationterms]
+file_filter = mod/registrationterms/languages/<lang>.php
+source_file = mod/registrationterms/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.relatedgroups]
+file_filter = mod/relatedgroups/languages/<lang>.php
+source_file = mod/relatedgroups/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.river_privacy]
+file_filter = mod/river_privacy/languages/<lang>.php
+source_file = mod/river_privacy/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.simple_faq]
+file_filter = mod/simple_faq/languages/<lang>.php
+source_file = mod/simple_faq/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.simplepie]
+file_filter = mod/simplepie/languages/<lang>.php
+source_file = mod/simplepie/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.spotlight]
+file_filter = mod/spotlight/languages/<lang>.php
+source_file = mod/spotlight/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.subgroups]
+file_filter = mod/subgroups/languages/<lang>.php
+source_file = mod/subgroups/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.suicide]
+file_filter = mod/suicide/languages/<lang>.php
+source_file = mod/suicide/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.tasks]
+file_filter = mod/tasks/languages/<lang>.php
+source_file = mod/tasks/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.threads]
+file_filter = mod/threads/languages/<lang>.php
+source_file = mod/threads/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.tinymce]
+file_filter = mod/tinymce/languages/<lang>.php
+source_file = mod/tinymce/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.uservalidationbyadmin]
+file_filter = mod/uservalidationbyadmin/languages/<lang>.php
+source_file = mod/uservalidationbyadmin/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.videolist]
+file_filter = mod/videolist/languages/<lang>.php
+source_file = mod/videolist/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.openid_server]
+file_filter = mod/openid_server/languages/<lang>.php
+source_file = mod/openid_server/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
+[lorea.event_calendar]
+file_filter = mod/event_calendar/languages/<lang>.php
+source_file = mod/event_calendar/languages/en.php
+source_lang = en
+type = PHP_ARRAY
+
diff --git a/CHANGES.txt b/CHANGES.txt
index 5709872c7..f6974a3ae 100644
--- a/CHANGES.txt
+++ b/CHANGES.txt
@@ -1,163 +1,679 @@
-Version 1.8.0 (Jackie)
-(??? from http://code.elgg.org/branches/1.8/)
+Version 1.8.18
+(January 11, 2014 from https://github.com/Elgg/Elgg/tree/1.8)
+ Contributing Developers:
+ * Juho Jaakkola
+ * Steve Clay
- User-visible changes:
- * New default theme.
- * Separated admin interface.
+ Bugfixes:
+ * Fixes notify_user() broken in 1.8.17
+
+
+Version 1.8.17
+(January 1, 2014 from https://github.com/Elgg/Elgg/tree/1.8)
+ Contributing Developers:
+ * Brett Profitt
+ * Cash Costello
+ * Ed Lyons
+ * Evan Winslow
+ * Jeroen Dalsem
+ * Jerome Bakker
+ * Juho Jaakkola
+ * Matt Beckett
+ * Pawe艂 Sroka
+ * Sem
+ * Steve Clay
+
+ Security Fixes:
+ * Specially-crafted request could return the contents of sensitive files.
+ * Reflected XSS attack was possible against 1.8 systems.
+ * The cryptographic key used for various purposes may have been generated with weak entropy, particularly on Windows.
+
+ Bugfixes:
+ * URLs with non-ASCII usernames again work
+ * Floated images are now properly cleared in content areas
+ * The activity page title now matches the document title
+ * Search again supports multiple comments on the same entity
+ * Blog archive sidebar now reverse chronological
+ * URLs with matching parens can now be auto-linked
+ * Log browser links for users now work
+ * Disabling over 50 objects should no longer result in an infinite loop
+ * Radio/checkbox inputs no longer have border radius (for IE10)
+ * User picker: the Only Friends checkbox again works
+ * Group bookmarklet no longer shown to non-members
+ * Widget reordering fixed when moving across columns
+ * Refuse to deactivate plugins needed as dependencies
+
+ Enhancements:
+ * Group member listings are ordered by name
+ * The system_log table can now store IPv6 addresses
+ * Web services auth_gettoken() now accepts email address
+ * List functions: no need to specify pagination for unlimited queries
+ * Htmlawed was upgraded to 1.1.16
+
+
+Version 1.8.16
+(June 25, 2013 from https://github.com/Elgg/Elgg/tree/1.8)
+ Contributing Developers:
+ * Brett Profitt
+ * Cash Costello
+ * Jeff Tilson
+ * Jerome Bakker
+ * Pawe艂 Sroka
+ * Steve Clay
+
+ Security Fixes:
+ * Fixed avatar removal bug (thanks to Jerome Bakker for the first report of this)
+
+ Bugfixes:
+ * Fixed infinite loop when deleting/disabling an entity with > 50 annotations
+ * Fixed deleting log tables in log rotate plugin
+ * Added full text index for groups if missing
+ * Added workaround for IE8 and jumping user avatar
+ * Fixed pagination for members pages
+ * Fixed several internal cache issues
+ * Plus many more bug fixes
+
+
+Version 1.8.15
+(April 23, 2013 from https://github.com/Elgg/Elgg/tree/1.8)
+ Contributing Developers:
+ * Cash Costello
+ * Ismayil Khayredinov
+ * Jeff Tilson
+ * Juho Jaakkola
+ * Matt Beckett
+ * Pawe艂 Sroka
+ * Sem
+ * Steve Clay
+ * Tom Voorneveld
+
+ Bugfixes:
+ * Not displaying http:// on profiles when website isn't set
+ * Fixed pagination display issue for small screens
+ * Not hiding subpages of top level pages that have been deleted
+ * Stop corrupting JavaScript views with elgg deprecation messages
+ * Fixed out of memory error due to query cache
+ * Fixed bug preventing users authorizing Twitter account access
+ * Fixed friends access level for editing pages
+ * Fixed uploading files within the embed dialog
+
+ Enhancements:
+ * Added browser caching of language JS files
+ * Adding nofollow on user posted URLs for spam deterrence (thanks to Hellekin)
+ * Auto-registering views for simplecache when their URL is requested
+ * Display helpful message for those who have site URL configuration issues
+ * Can revert to a previous revision with pages plugin
+ * Site owners can turn off posting wire messages to Twitter
+ * Search results are sorted by relevance
+
+ Dropped Plugins:
+ * Twitter widget due to changes in Twitter API and terms of service
+ * OAuth API plugin due to conflicts with the Twitter API plugin
+
+
+Version 1.8.14
+(March 12, 2013 from https://github.com/Elgg/Elgg/tree/1.8)
+ Contributing Developers:
+ * Aday Talavera
+ * Brett Profitt
+ * Cash Costello
+ * Ed Lyons
+ * German Bortoli
+ * Hellekin Wolf
+ * iionly
+ * Jerome Bakker
+ * Luciano Lima
+ * Matt Beckett
+ * Pawe艂 Sroka
+ * Sem
+ * Steve Clay
+
+ Security Fixes:
+ * Fixed a XSS vulnerability when accepting URLs on user profiles
+ * Fixed bug that exposed subject lines of messages in inbox
+ * Added requirement for CSRF token for login
+
+ Bugfixes:
+ * Strip html tags from tag input
+ * Fixed several display issues for IE7
+ * Fixed several issues with blog drafts
+ * Fixed repeated token timeout errors
+ * Fixed JavaScript localization for non-English languages
+
+ Enhancements:
+ * Web services fall back to json if the viewtype is invalid
+
+
+Version 1.8.13
+(January 29, 2013 from https://github.com/Elgg/Elgg/tree/1.8)
+ Contributing Developers:
+ * Cash Costello
+ * Juho Jaakkola
+ * Kevin Jardine
+ * Krzysztof R贸偶alski
+ * Steve Clay
+
+ Security Fixes:
+ * Added validation of Twitter usernames in Twitter widget
+
+ Bugfixes:
+ * CLI usages with walled garden fixed
+ * Upgrading from < 1.8 to 1.8 fixed
+ * Default widgets fixed
+ * Quotes in object titles no longer result in "qout" in URLs
+ * List of my groups is ordered now
+ * Language string river:comment:object:default is defined now
+ * Added language string for comments: generic_comment:on
+
+ Enhancements:
+ * Added confirm dialog for resetting profile fields (adds language string profile:resetdefault:confirm)
- Generic API changes:
- * Added elgg_instanceof().
- * Added remove_subtype() and update_subtype().
- * Added elgg_format_url().
- * ElggDiskFilestore supports non-user owners.
-
- Deprecated APIs:
- * ElggAccess::get_ignore_access() by ElggAccess::getIgnoreAccess().
- * ElggAccess::set_ignore_access() by ElggAccess::setIgnoreAccess().
- * ElggCache::set_variable() by ElggCache::setVariable().
- * ElggCache::get_variable() by ElggCache::getVariable().
- * ElggDiskFilestore::make_directory_root() by ElggDiskFilestore::makeDirectoryRoot().
- * ElggDiskFilestore::make_file_matrix() and ElggDiskFilestore::user_file_matrix() by
- ElggDiskFilestore::makeFileMatrix().
- * ElggDiskFilestore::mb_string_split() removed.
- * ElggEntity::initialise_attriutes() by ElggEntity::initializeAttributes(). Same for
- all sub classes of ElggEntity.
- * ElggFileCache::create_file() by ::createFile().
- * ElggFileCache::sanitise_filename() by ::sanitizeFilename().
- * ElggMemcache::make_memcache_key() by ::_makeMemcacheKey().
- * ElggGroup::initialise_attributes() by ::initializeAttributes().
- * ElggPlugin::initialise_attributes() by ::initializeAttributes().
- * XMLRPCCall::parse() by XMLRPCCALL::_parse().
- * __get_annotations_calculate_x() by get_annotations_calculate_x().
- * __get_entities_from_annotations_calculate_x() by get_entities_from_annotations_calculate_x().
- * __php_api_error_handler() by _php_api_error_handler().
- * __php_api_exception_handler() by _php_api_exception_handler().
- * __elgg_php_error_handler() by _elgg_php_error_handler().
- * __elgg_php_exception_handler() by _elgg_php_exception_handler().
- * __process_element() by _process_element().
- * All __elgg_session_*() by _elgg_session_*().
-
- UI/UX API changes:
- * Added elgg_push_breadcrumb(), elgg_pop_breadcrumb(), and elgg_get_breadcrumbs().
- * Added navigation/breadcrumbs.
- * Added sticky form support with elgg_make_sticky_form(),
- elgg_clear_sticky_form(), elgg_is_sticky_form(), and elgg_get_sticky_value().
-
-
-Version 1.7.2
-(August 18, 2010 from http://code.elgg.org/elgg/branches/1.7)
-
- UI Changes:
- * Group "widgets" have been standardized with new blog and bookmark widgets.
- * New group member listing page.
- * Group forum topics can be edited including title and status.
- * Added a group creation river entry.
+
+Version 1.8.12
+(January 4th, 2013 from https://github.com/Elgg/Elgg/tree/1.8)
+ Contributing Developers:
+ * Brett Profitt
+ * Cash Costello
+ * Jerome Bakker
+ * Matt Beckett
+ * Pawe艂 Sroka
+ * Sem
+ * Steve Clay
+
+ Bugfixes:
+ * Added an AJAX workaround for the rewrite test.
+ * Code cleanup to prevent some notices and warnings.
+ * Removed "original_order" in menu item anchor tags.
+ * Site menu's selected item correctly persists through content pages.
+ * Static caches rewritten and improved to prevent stale data being returned.
+ * Installation: Invalid characters in admin username are handled correctly.
+ * Messages: Fixed inbox link in email notifications.
+ * The Wire: Fixed objects not displaying correctly when upgrading from 1.7.
+
+ Enhancements:
+ * Performance improvements and improved caching in entity loading.
+ * Added upgrade locking to prevent concurrent upgrade attempts.
+ * Replaced xml_to_object() and autop() with GPL / MIT-compatible code.
+ * Error messages (register_error()) only fade after being clicked.
+ * Groups: Added a sidebar entry to display membership status and a link to
+ group notification settings.
+ * Groups: Added pending membership and invitation requests to the sidebar.
+ * Groups: Better redirection for invisible and closed groups.
+ * Search: User profile fields are searched.
+ * Pages: Subpages can be reassigned to new parent pages.
+ * Twitter: Login with twitter supports persistent login and correctly forwards
+ after login.
+
+
+Version 1.8.11
+(December 5th, 2012 from https://github.com/Elgg/Elgg/tree/1.8)
+
+ Bugfix:
+ * Fixed fatal error in group creation form
+
+
+Version 1.8.10
+(December 4th, 2012 from https://github.com/Elgg/Elgg/tree/1.8)
+
+ Contributing Developers:
+ * Krzysztof R贸偶alski
+ * Lars H忙rvig
+ * Pawe艂 Sroka
+ * RiverVanRain
+ * Sem
+ * Steve Clay
+
+ Security Enhancements:
+ * Cached metadata respects access restrictions to fix problems with profile
+ field display.
+ * Group RSS feeds are restricted to valid entities
+
+ Enhancements:
+ * UX: Added a list of Administrators in the admin area
+ * UX: Limiting message board activity stream entries to excerpts
+ * Performance: Prefetching river entries
+ * Performance: Plugin entities are cached
+
+ Bugfixes:
+ * Removed superfluous commas in JS files to fix IE compatibility.
+ * API: Fixed Twitter API.
+ * Performance: Outputting valid ETags and expires headers.
+
+
+Version 1.8.9
+(November 11, 2012 from https://github.com/Elgg/Elgg/tree/1.8)
+
+ Contributing Developers:
+ * Brett Profitt
+ * Cash Costello
+ * Evan Winslow
+ * Jeroen Dalsem
+ * Jerome Bakker
+ * Matt Beckett
+ * Pawe艂 Sroka
+ * Sem
+ * Steve Clay
+
+ Security Enhancements:
+ * Sample CLI installer cannot break site
+ * Removed XSS vulnerabilities in titles and user profiles
+
+ Enhancements:
+ * UX: A group's owner can transfer ownership to another member
+ * UX: Search queries persist in the search box
+ * Several (X)HTML validation improvements
+ * Improved performance via more aggressive entity and metadata caching
+ * BC: 1.7 group profile URLs forward correctly
Bugfixes:
- * Fixed preview and draft saving for blog plugin.
- * Page titles are now editable.
- * Fixed several bugs with the new elgg_get* and elgg_list* functions.
- * Groups do not show up as personal friend collections anymore.
- * Fixed an upgrade issue with utf8 usernames.
- * Encoding of & in group forums is fixed.
+ * UX: Titles containing HTML tokens are never mangled
+ * UX: Empty user profile values saved properly
+ * UX: Blog creator always mentioned in activity stream (not user who published it)
+ * UI: Fixed ordering of registered menu items in some cases
+ * UI: Embed dialog does not break file inputs
+ * UI: Datepicker now respects language
+ * UI: More reliable display of access input in widgets
+ * UI: Group edit form is sticky
+ * UI: Site categories are sticky in forms
+ * API: Language fallback works in Javascript
+ * API: Fallback to default viewtype if invalid one given
+ * API: Notices reported for missing language keys
+ * Memcache now safe to use; never bypasses access control
+ * BC: upgrade shows comments consistently in activity stream
- API changes:
- * Added elgg_list_entities_from_metadata().
- * Added elgg_send_email().
- * Added remove_from_river_by_id().
- * Added remove_from_register() for removing menu items.
- * Added elgg_get_excerpt().
- * Added elgg_get_friendly_title() and elgg_get_friendly_time().
+Version 1.8.8
+(July 11, 2012 from https://github.com/Elgg/Elgg/tree/1.8)
-Version 1.7.1
-(April 21, 2010 from http://code.elgg.org/elgg/branches/1.7)
+ Contributing Developers:
+ * Cash Costello
+ * Miguel Rodriguez
+ * Sem
- UI changes:
- * (Unused) tags field removed from external pages.
- * Languages fixes in groups.
- * Installation checks database settings before writing settings.php.
- * Made the widgets more consistent in their UI.
+ Enhancements:
+ * Added a delete button on river items for admins
Bugfixes:
- * Pagination fixed.
- * Profile icons fixed for PHP-CGI users who were seeing incorrect avatars.
- * Tag search works in groups and members.
- * Tag clouds correctly link to tag search.
- * RSS views added to search.
- * Wrapper function for get_entities() correctly rewrites container_guid to
- owner_guid.
- * output/url correctly appends http:// again.
- * full_url() urlencode()'s ' and " to avoid a security problem in IE.
-
- API changes:
- * Moved admin flag to users_entity table and added ElggUser->isAdmin(),
- ->makeAdmin(), and ->removeAdmin() to replace the metadata.
- * Plugin hook for reported content includes the report object.
- * UTF8 upgrade checks server defaults before running to avoid
- corrupted strings.
- * Tags lib updated to elgg_get_*() interface.
- * Can get entities based upon annotation/metadata owner_guid.
- * Moved friendly time and friendly title into overridable views.
- * Added unregister_notification_handler().
- * Added remove_widget_type().
- * Search supports container_guid.
-
-
-Version 1.7.0
-(March 2, 2010 from http://code.elgg.org/elgg/trunk/)
+ * Fixed the significant bug with htmlawed plugin that caused duplicate tags
- User-visible changes:
- * UTF8 now saved correctly in database. #1151
- * Unit tests added to System diagnostics.
- * Debug values output to screen when enabled in admin settings.
- * Users can now log in from multiple computers or browsers concurrently.
- * Misconfigured plugins no longer break the site. #1454
- * User display names cannot have HTML or be longer than 50 characters.
- * New search system.
+
+Version 1.8.7
+(July 10, 2012 from https://github.com/Elgg/Elgg/tree/1.8)
+
+ Contributing Developers:
+ * Cash Costello
+ * Evan Winslow
+ * Ismayil Khayredinov
+ * Jeroen Dalsem
+ * Jerome Bakker
+ * Matt Beckett
+ * Miguel Rodriguez
+ * Pawe艂 Sroka
+ * Sem
+ * Steve Clay
+
+ Enhancements:
+ * Better support for search engine friendly URLs
+ * Upgraded htmlawed (XSS filtering)
+ * Internationalization support for TinyMCE
+ * Public access not available for walled gardens
+ * Better forwarding and messages when they cannot view content because logged out
Bugfixes:
- * Searching by tag with extended characters now works. #1151, #1231
- * Searching for entities works properly with case-insensitive metadata. #1326
- * Invalid views now default to 'default' view. #1161.
- * Metadata cache now handles a 0 string. #1227
- * ElggPlugin get() now works with 0. #1286
- * Metadata __isset() now works for falsy values (except NULL). #1414
- * clear_plugin_setting() now only clears a single setting.
- * Submenu entries are correctly calculated after a simplecache refresh.
+ * Fatal errors due to type hints downgraded to warnings
+ * Group discussion reply notifications work again
+ * Sending user to inbox when deleting a message
+ * Fixed location profile information when it is an array
+ * Over 30 other bug fixes.
+
+
+Version 1.8.6
+(June 18, 2012 from https://github.com/Elgg/Elgg/tree/1.8)
+
+ Contributing Developers:
+ * Cash Costello
+ * Evan Winslow
+ * Ismayil Khayredinov
+ * Jeff Tilson
+ * Jerome Bakker
+ * Pawe艂 Sroka
+ * Sem
+ * Steve Clay
+
+ Enhancements:
+ * New ajax spinner
+ * Detecting docx, xlsx, and pptx files in file plugin
+ * Showing ajax spinner when uploading file with embed plugin
+
+ Bugfixes:
+ * Fixed some language caching issues.
+ * Users can add sub-pages to another user's page in a group.
+ * Over 30 other bug fixes.
+
+
+Version 1.8.5
+(May 17, 2012 from https://github.com/Elgg/Elgg/tree/1.8)
+
+ Contributing Developers:
+ * Brett Profitt
+ * Evan Winslow
+ * Sem
+ * Steve Clay
+ * Jeroen Dalsem
+ * Jerome Bakker
+
+ Security Enhancements:
+ * Fixed possible XSS vulnerability if using a crafted URL.
+ * Fixed exploit to bypass new user validation if using a crafted form.
+ * Fixed incorrect caching of access lists that could allow plugins
+ to show private entities to non-admin and non-owning users. (Non-exploitable)
+
+ Bugfixes:
+ * Twitter API: New users are forwarded to the correct page after creating
+ an account with Twitter.
+ * Files: PDF files are downloaded as "inline" to display in the browser.
+ * Fixed possible duplication errors when writing metadata with multiple values.
+ * Fixed possible upgrade issue if using a plugin uses the system_log hooks.
+ * Fixed problems when enabling more than 50 metadata or annotations.
+
+ API:
+ * River entries' timestamps use elgg_view_friendly_time() and can be
+ overridden with the friendly time output view.
+
+
+Version 1.8.4
+(April 24, 2012 from https://github.com/Elgg/Elgg/tree/1.8)
+
+ Contributing Developers:
+ * Adayth Talavera
+ * Brett Profitt
+ * Cash Costello
+ * Evan Winslow
+ * Ismayil Khayredinov
+ * Janek Lasocki-Biczysko
+ * Jerome Baker
+ * Sem
+ * Steve Clay
+ * Webgalli
+
+ Security Enhancements:
+ * Fixed an issue in the web services auth.get_token endpoint that
+ would give valid auth tokens to invalid credentials. Thanks to
+ Christian for reporting this!
+ * Fixed an that could show which plugins are loaded on a site.
+
+ Enhancements:
+ * UI: All bundled plugins' list pages display a no content message if there is nothing to list.
+ * UI: Site default access is limited to core access levels.
+ * UI: Showing a system message to the admin if plugins are disabled with the "disabled"
+ magic file.
+ * UI: Added transparent backgrounds for files and pages icons.
+ * External (Site) Pages: If in Wall Garden mode, Site Pages use the Walled Garden
+ theme when logged out.
+ * UI: Database errors only show the query to admin users.
+ * UI: Cannot set the data path to a relative path in installation or site settings.
+ * UI: Cleaned up notifications for bundled plugins.
+ * UI: Hiding crop button if no avatar is uploaded.
+ * UI: Bundled plugins are displayed with a gold border in the plugin admin area.
+ * UI: Can see all the categories a plugin belongs to.
+ * Web Services: Multiple tokens allowed for users.
+ * API: More efficient entity loading.
+ * API: Added IP address to system log.
+ * API: Languages are cached.
+ * API: ElggBatch supports disabling offsets for callbacks that delete entities.
+ * API: Cleaned up the boot process.
+ * API: Fixed situation in which the cache isn't properly cleared if a file can't be unlinked.
+
+ Bugfixes:
+ * UI: Tags display in the case they were saved.
+ * UI: Friendly titles keep -s.
+ * UI: Removed pagination in friends widget.
+ * UI: Profile settings actions correctly displays error messages as errors.
+ * UI: Tag search works for tags with spaces.
+ * UI: Fixed river display for friending that happens during registration.
+ * Groups: Link for managing join requests is restored in the sidebar.
+ * Walled Garden: Cron and web services endpoints are exposed as public sites.
+ * The Wire: UTF usernames are correctly linked with @ syntax.
+ * The Wire: No longer selecting the "Mine" tab for users who aren't you.
+ * Blogs: Notifications restored.
+ * Message Board: Fixed delete.
+ * Groups: Forwarding to correct page if trying to access closed group.
+ * API: entities loaded via elgg_get_entities_from_relationship() have the correct time_created.
+ * API: Deleting entities recursively works when code is logged out.
+ * API: Fixed multiple uses of deprecated functions.
+
+
+Version 1.8.3
+(January 12, 2012 from https://github.com/Elgg/Elgg/tree/1.8)
+
+ Enhancements:
+ * Adds a white list for ajax views
+ * Improved navigation tab options
+ * Added group specific search
+ * Added button for reverting avatar
+ * Improved documentation for core class attributes
+ * Adds a server info page under administer -> statistics
+ * Improving caching of icons and js/css
+ * Deprecation notices not displayed to non-admin users
+
+ Bugfixes:
+ * Fixed upgrade scripts for blog posts and groups forum posts
+ * Can now delete invitations to invisible groups
+ * Fixed several widget bugs
+ * Fixed access level on add to group river item
+ * Fixed recursive entity enabling
+ * Fixed limit on pages in sidebar navigation
+ * Fixed deletion of large numbers of annotations
+
+
+Version 1.8.2
+(December 21, 2011 from https://github.com/Elgg/Elgg/tree/1.8)
+
+ Enhancements:
+ * Added a 404 page
+ * Widgets controls now using the menu system
+ * Admins can edit users' account information
+ * Embed uploader supports uploading into groups
+ * Add a control panel widget for easy access to cache flushing and upgrading
+ * Comments now have a unqiue URL with fragment identifier
+ * JavaScript language files are cacheable
+ * jQuery form script only loaded when required
+
+ Bugfixes:
+ * Fixed default widgets
+ * Fixed activity filtering
+ * Embedding an image now inserts a medium sized image
+ * Search plugin only uses mbstring extension if enabled
+ * Site pages links returned to footer
+ * Fixed URL creation for users with non-ASCII characters in username
+ * The wire username parsing supports periods in usernames
+ * Returned the posting area to the main wire page
+ * Fixed layout issue on pages with a fragment identifier in URL
+ * Added support for call elgg_load_js() in header and footer
+ * Fixed user picker
+ * Fixed uservalidationbyemail plugin ignoring the actions of other plugins
+ * Fixed bug preventing the creation of admin users
+ * Fixed deleting a widget with JavaScript disabled
+ * Fixed many bugs in the unit/integration tests
+
+
+Version 1.8.1
+(November 16, 2011 from https://github.com/Elgg/Elgg/tree/1.8)
+
+ Enhancements:
+ * Completed styling of user validation admin page
+ * Adding rel=nofollow for non-trusted links
+ * Added direct icon loading for profile avatars in profile plugin
+ * Improved the structure of content views to make styling easier
+ * Updated version of jQuery to 1.6.4
+ * Added basic support for icon size customization
+ * Added a toggle for gallery/list view in file plugin
+ * Added support for passing CSS classes to icon views
+ * Added support for non http URLs to Elgg's normalize functions
+ * Added better support for the 404 forward if a page handler does handle a request
+
+ Bugfixes:
+ * Fixed autocomplete and userpicker
+ * Fixed RSS and web service-related view types
+ * Fixed walled garden display issues
+ * Added work around for IE/TinyMCE/embed insert problem
+ * Implemented ElggUser.isAdmin() JavaScript method
+ * Fixed the date views and JavaScript datepicker
+ * Fixed horizontal radio buttons styling
+ * Modules only display header if there is content for it
+
+
+Version 1.8.1b
+(October 11, 2011 from git://github.com/Elgg/Elgg.git)
+
+ Enhancements:
+ * New group activity widget for user dashboard.
+ * Added more sprites.
+ * version.php information cached instead of loaded 100s of times.
+ * Added class elgg-autofocus to add focus on inputs when the page loads.
+ * Admins can edit user avatars again.
+ * Added a filter for non-bundled plugins in plugin admin.
+ * Improvements to admin area theme.
+
+ Bugfixes:
+ * Fixed site dropdown menu for IE.
+ * ElggEntity->deleteMetadata() no longer deletes all metadata ever if
+ called on an unsaved entity.
+ * Fixed Embed plugin.
+ * Fixed activate and deactivate all plugins.
+ * Fixed URL for group membership request in notification email.
+ * Fixed log browser plugin's admin area display.
+ * Fixed RSS icon not showing up on some pages.
+ * Fixed river entries for forum posts that were lost if upgrading from 1.7.
+ * Better displaying of errors when activating, deactivating, or
+ reordering plugins.
+ * Fixed Developer Plugin's inspection tool.
+ * Fixed avatar cropping on IE 7.
+ * Bookmarks plugin accepts URLs with dashes.
+ * "More" menu item on site menu hidden if items are manually specified.
+ * Fixed hover menu floating if unrestrained.
+ * JS init, system fired when DOM and languages are read.
+ * Fixed the date picker input view.
+ * Fixed stack overflow when calling elgg_view() from a pagesetup
+ event.
+ * Menu links no longer have empty titles and confirm attributes.
+ * Fixed crash when attempting to change password to an invalid value.
+ * Fixed "More groups" link for groups widget.
+ * Fixed output/confirmlink to use a default question if not specified.
+ * Added missing language strings. Also added "new", "add", and "create".
+ * Registered security token refresh page as external to avoid token refresh
+ problems on Walled Garden sites.
+ * Displaying more accurate message if uploading an avatar fails.
+ * "Leave group" button doesn't display for group owners.
+ * Request group membership button displays only when logged in.
+ * Fixed the number of displayed items for Bookmarks widget.
+ * Fixed fallback to deprecated views for widgets.
API changes:
- * New plugin hook system:unit_test for adding files to unit tests.
- * $is_admin global deprecated; use elgg_set_ignore_access() instead.
- * Deprecated get_entities(). Use elgg_get_entities().
- * Deprecated get_entities_from_metadata(). Use elgg_get_entities_from_metadata().
- * Deprecated get_entities_from_relationship() and g_e_f_relationships_and_meta(). Use elgg_get_entities_from_relationship().
- * Deprecated get_entities_from_access_id(). Use elgg_get_entities_from_access_id().
- * Deprecated get_entities_from_annotations(). Use elgg_get_entities_from_annotations().
- * Reorganized directory file path to rely on GUID instead of username.
- * annotation_id column added to the river database table.
- * remove_from_river_by_annotation() added.
- * unregister_elgg_event_handler() and unregister_plugin_hook() added. #1465
- * clear_all_plugin_settings() added.
- * get_entity_relationships() supports inverse relationships. #1472.
- * can_write_to_container() can be overridden with the container_permissions_check hook. #1164 (part 2).
- * Deprecated search_for_*().
- * Deprecated search_list*().
- * Added elgg_deprecated_notice().
- * ElggEntity::countEntitiesFromRelationship() supports inverse relationships. #1325
- * delete_relationship() triggers the hook delete:relationship and passes the relationship object. #1213
- * added ElggEntity::removeRelationship(). #1376.
- * get_entity_dates() supports order by. #1406.
- * Added elgg_http_add_url_query_elements().
- * Added elgg_register_tag_metadata_name() and elgg_get_registered_tag_metadata_names();
- * Added ElggEntity::getTags().
- * Added elgg_add_action_tokens_to_url().
-
- Services API:
- * Separated user and api authenticate processing
- * hmac signature encoding now compatible with OAuth
- * New plugin hook api_key:use for keeping stats on key usage
- * New plugin hook rest:init so plugins can configure authentication modules
- * Moved auth.gettoken to POST for increased security
- * Fixed REST POST bug #1114
- * Fixed #881, #1214, #1215, #1216, #1217, #1218, #1219, #1220, #1298, #1364
+ * Menus names must be unique to the entire menu, not just section.
+ * Input views that encode text use the option 'encode_text'.
+ * Added ElggPlugin->getFriendlyName().
+ * elgg_view_icon() accepts a class.
+ * Added hook output:before, page.
+ * Added hook output:before, layout.
+ * elgg_get_entities() and related functions return false if passed
+ valid options with invalid values.
+ * Can disable the user hover menu by passing hover => false to
+ elgg_view_icon(). Previously it was override => true.
+ * Embed plugin uses menu system. See readme for embed plugin.
+ * Manifest attributes are no longer translated via elgg_echo().
+ * Fixed livesearch ajax endpoint.
+ * Fixed site unit test.
+ * Unit tests tidy up after themselves better.
+ * forward() throws an exception if headers are already sent.
+ * Better errors if adding a user through admin area fails.
+ * Localized profile fields.
+ * Added 'is_trusted' parameter output/url to avoid escaping and filtering.
+ Defaults to false.
+ * Added elgg_unregister_action()
+ * Fixed ElggPriorityList::rewind().
+ * Fixed forwarding after login for login-protected pages.
+ * get_site_by_url() respects class inheritance for subclassing ElggSite.
+
+ Internal changes:
+ * Updated deprecated uses of internalname/id.
+ * Using wwwroot instead of www_root because of inconsistencies.
+
+
+Version 1.8.0 (Jackie)
+(September 5th, 2011 from git://github.com/Elgg/Elgg.git)
+
+ Notes:
+ Elgg 1.8 contains the most changes in Elgg since the transition from Elgg
+ 0.9 to Elgg 1.0. The core team tried to make the transition as smooth as
+ possible, but in the interest of following standards and simplifying the
+ development process for core and third party developers, we have made
+ changes that will require updating plugins. We believe these changes
+ will help Elgg development be easier for everyone.
+
+ It is unreasonable and unhelpful to list the full details of all changes in
+ this file. Instead, we will list the high level, overarching changes to
+ systems. If you are interested in the specifics, Elgg 1.8's source code is
+ highly documented and serves as a good guide and the git commit log can
+ provide excruciating details of what has changed between 1.7 and 1.8.
+
+ Please post your feedback, questions, and comments to the community site
+ at http://community.elgg.org. As always, thank you for using Elgg!
+
+ --The Elgg Core Development Team
+
+ A tip about updating plugins:
+ It's not difficult to update 1.7 plugins for 1.8. There is a detailed
+ document outlining this process on the wiki:
+ http://docs.elgg.org/wiki/Updating_plugins_for_Elgg_1.8
+
+ The basic process is:
+ 1. Clean up the plugin to make sure it conforms to coding standards,
+ official structure, and best practices.
+ 2. Update any uses of deprecated functions. Functions deprecated in 1.7 will
+ produce visible notices in 1.8!
+ 3. Use the new manifest format.
+ 4. Use the new menu functions.
+ 5. Use the new JS features.
+ 6. Update the views to use core CSS helper functions and classes instead of
+ writing your own.
+
+ The documentation directory and the wiki has more information.
+
+ User-visible changes:
+ * New default theme.
+ * New installation.
+ * Separate and updated admin interface.
+ * Updated plugin themes.
+
+ Generic API changes:
+ * Improved the markup and CSS.
+ * Restructured and simplified the views layouts.
+ * Added a new menu system.
+ * Added new CSS and JS file registration functions.
+ * Added a JS engine.
+ * Added a breadcrumb system.
+ * Added a sticky forms system.
+
+ New plugins:
+ * Dashboard - The activity stream is now the default index page. A 1.7-style
+ dashboard is provided through the dashboard plugin.
+ * Developers Plugins - Developer tools.
+ * Likes - Allows users to "like" other users' content.
+ * oAuth API - A generic, reusable oAuth library.
+ * Tag Cloud - A widget-based tag cloud generator.
+ * Twitter API - A generic Twitter library that allows signin with Twitter
+ and pushing content to tweets. Replaces twitter_service.
+
+ Deprecated plugins:
+ * captcha - Captchas have long since stopped being useful as a deterrent
+ against spam.
+ * crontrigger - Real cron should be used.
+ * default_widgets - This functionality is now part of core.
+ * friends - This functionality is now part of core.
+ * riverdashboard - Displaying the river (activity stream) is default in
+ core. The original dashboard can be restored by the new Dashboard plugin.
+ * twitter_service - Replaced by Twitter API.
+
+ Elgg 1.8.0.1 was released immediately after 1.8.0 to correct a problem in
+ installation.
diff --git a/CODING.txt b/CODING.txt
index 3399d8e2f..1cfd58dba 100644
--- a/CODING.txt
+++ b/CODING.txt
@@ -1,152 +1 @@
-*** CODING STANDARDS ***
-
-These are the coding standards for Elgg. All core development, bundled
-plugins, and tickets attached to Trac are expected to be in this format.
-
-* Unix line endings.
-
-* Hard tabs, 4 character tab spacing.
-
-* No PHP shortcut tags ( <? or <?= or <% ).
-
-* PHPDoc comments on functions and classes (all methods; declared properties
- when appropriate).
-
-* Mandatory wrapped {}s around any code blocks.
- Bad:
- if (true)
- foreach($arr as $elem)
- echo $elem;
-
- Good:
- if (true) {
- foreach($arr as $elem) {
- echo $elem;
- }
- }
-
-* Name standalone functions using underscore_character().
-
-* Name classes using CamelCase() and methods using lowerCamelCase().
-
-* Name globals and constants in ALL_CAPS (TRUE, NULL, ACCESS_FRIENDS, $CONFIG).
-
-* Use underscores / camel case to separate standard English words in
- functions, classes, and methods. (get_default_site(), ElggUser->isLoggedIn()).
-
-* Space functions like_this($required, $optional = TRUE).
-
-* Space keywords and constructs like this: if (FALSE) { ... }.
-
-* Correctly use spaces, quotes, and {}s in strings:
- Bad (hard to read, misuse of quotes and {}s):
- echo 'Hello, '.$name."! How is your {$time_of_day}?";
-
- Good:
- echo "Hello, $name! How is your $time_of_day?";
-
-* Line lengths should be reasonable. If you are writing lines over 100
- characters on a line, please revise the code.
-
-* Use // or /* */ when commenting.
-
-* No closing PHP tag (?>) at EOF unless after a heredoc. (Avoids problems with
- trailing whitespace. Required after heredoc by PHP.)
-
-
-*** CODING BEST PRACTICES ***
-
-The following best practices make code easier to read, easier to maintain,
-and easier to debug. Consistent use of these guidelines means less guess
-work for developers, which means happier, more productive developers.
-
-* Adhere to "Don't Repeat Yourself" (DRY) principles of code design and
- organization. If you are copy-and-pasting code YOU ARE DOING SOMETHING WRONG.
- If you find a block of code that you want to use multiple times, make a
- function. If you find views that are identical except for a single value,
- pull it out into a generic view that takes an option.
-
-* Whitespace is free. Don't be afraid to use it to separate blocks of code.
- Use a single space to separate function params and string concatenation.
-
-* Use self-documenting variable names. $group_guids is better than $array.
-
-* Functions returning an array should return an empty array instead of FALSE
- on no results.
-
-* Functions not throwing an exception on error should return FALSE upon
- failure.
-
-* Functions returning only boolean should be prefaced with is_ or has_ (eg,
- is_logged_in(), has_access_to_entity()).
-
-* Ternary syntax is acceptable for single-line, non-embedded statements.
-
-* Use comments effectively. Good comments describe the "why." Good code
- describes the "how." Ex:
- Not a very useful comment:
-
- // increment $i only when the entity is marked as active.
- foreach($entities as $entity) {
- if ($entity->active == TRUE) {
- $i++;
- }
- }
-
- Useful comment:
-
- // find the next index for inserting a new active entity.
- foreach($entities as $entity) {
- if ($entity->active == TRUE) {
- $i++;
- }
- }
-
-* Use commit messages effectively. Be concise and meaningful. Ex:
- Not meaningful:
- Small fix to groups.
-
- Meaningful:
- Fixes #1234: Added missing ) that caused a WSOD on group profile page
- when logged out.
-
-* Commit effectively: Err on the side of atomic commits. One revision with
- many changes is scary.
-
-
-*** DEPRECATING APIs ***
-
-Occasionally, functions and classes must be deprecated in favor of newer
-replacements. Since 3rd party plugin authors rely on a consistent API,
-backward compatibility must be maintained, but will not be maintained
-indefinitely as plugin authors are expected to properly update their
-plugins. In order to maintain backward compatibility, deprecated APIs will
-follow these guidelines:
-
-* Incompatible API changes cannot occur between bugfix versions
- (1.6.0 - 1.6.1).
-
-* API changes between minor versions (1.6 - 1.7) must maintain backward
- compatibility for at least 2 minor versions. (1.7 and 1.8. See
- procedures, below.)
-
-* Bugfixes that change the API cannot be included in bugfix versions.
-
-* API changes between major versions (1.0 - 2.0) can occur without regard to
- backward compatibility.
-
-The procedure for deprecating an API is as follows:
-
-* The first minor version (1.7) with a deprecated API must include a wrapper
- function/class (or otherwise appropriate means) to maintain backward
- compatibility, including any bugs in the original function/class.
- This compatibility layer uses elgg_deprecated_notice('...', 1.7) to log
- that the function is deprecated.
-
-* The second minor version (1.8) maintains the backward compatibility
- layer, but elgg_deprecated_notice() will produce a visible warning.
-
-* The third minor version (1.9) removes the compatibility layer. Any use of
- the deprecated API should be corrected before this.
-
-The general timeline for two minor releases is 8 to 12 months.
+See documentation/coding_standards/
diff --git a/CONTRIBUTORS.txt b/CONTRIBUTORS.txt
index cfe83df0f..a8e74d3a4 100644
--- a/CONTRIBUTORS.txt
+++ b/CONTRIBUTORS.txt
@@ -1,29 +1,30 @@
The following have made notable contributions to the Elgg Project.
(List in alphabetical order.)
+Steve Clay - http://www.mrclay.org/, https://twitter.com/mrclay_org
-Cash Costello - http://cashcostello.com/
+Cash Costello - cash@elgg.org, http://cashcostello.com/
-Pete Harris - pete@elgg.com
+Pete Harris - http://www.peteharris.co.uk/
-Milan Magudia - http://hedgehogs.net/
+Kevin Jardine - http://radagast.biz/
Jon Maul - MITRE http://www.mitre.org/
Marcus Povey - http://marcuspovey.co.uk/
-Brett Profitt - brett.profitt@gmail.com, http://twitter.com/brettprofitt
+Brett Profitt - brett@elgg.org, http://twitter.com/brettprofitt
Nathan Rackliffe - MITRE http://mitre.org/
-Tom Readi - MITRE http://mitre.org/
+Tom Read - MITRE http://mitre.org/
Justin Richer - MITRE http://mitre.org/
-Dave Tosh - davidgtosh@gmail.com, http://twitter.com/davetosh
+Dave Tosh - davidgtosh@gmail.com, http://twitter.com/davetosh
Ben Werdmuller - http://benwerd.com/
-Nicholas Whitt - nick.whitt@gmail.com, http://twitter.com/nogoodnick
+Nicholas Whitt - nick.whitt@gmail.com, http://twitter.com/nogoodnick
-Evan Winslow - http://evanwinslow.com/
+Evan Winslow - evan@elgg.org, http://evanwinslow.com/
diff --git a/COPYRIGHT.txt b/COPYRIGHT.txt
index 603f81d03..262515386 100644
--- a/COPYRIGHT.txt
+++ b/COPYRIGHT.txt
@@ -1,13 +1,14 @@
The follow individuals, companies, or entities have contributed significant
code to the Elgg project and share the copyright. (In alphabetical order.)
-Organizations
+Organizations:
The MITRE Corportation (jricher@mitre.org)
-Curverider Ltd (richard@elgg.com)
+Curverider Ltd (info@elgg.com)
-Individuals
+Individuals:
+Steve Clay (steve@mrclay.org)
Cash Costello (cash.costello@gmail.com)
Brett Profitt (brett.profitt@gmail.com)
Dave Tosh (davidgtosh@gmail.com)
-Ben werdmuller (ben@benwerd.com)
-Evan Winslow (evan.b.winslow@gmail.com) \ No newline at end of file
+Ben Werdmuller (ben@benwerd.com)
+Evan Winslow (evan.b.winslow@gmail.com)
diff --git a/ChangeLog b/ChangeLog
new file mode 100644
index 000000000..6fae5746c
--- /dev/null
+++ b/ChangeLog
@@ -0,0 +1,39510 @@
+2012-04-24 Brett Profitt <brett.profitt@gmail.com>
+
+ * CHANGES.txt, version.php: Version bump. Updated changes.
+
+ * mod/groups/views/default/group/default.php: Fixed group entity display in
+ full_view.
+
+ * views/default/river/elements/summary.php: Fixes #4339. Checking for
+ river:$action:$type in addition to river:$action:$type:$subtype.
+
+ * engine/lib/sessions.php, engine/lib/web_services.php: Fixed problem in web
+ services where users with incorrect passwords could gain an access token.
+
+ * actions/admin/site/update_advanced.php, languages/en.php: Fixes #4324. Not
+ allowing relative paths for dataroot in advance settings.
+
+ * engine/lib/relationships.php: Fixes #4409. Selecting distinct across
+ entities.* and entity_relationships.id instead of r.* so entities loaded via
+ elgg_get_entities_from_relationship() have the correct time_created.
+
+2012-04-22 Brett Profitt <brett.profitt@gmail.com>
+
+ * mod/thewire/pages/thewire/owner.php: Fixes #4452. Passing the correct
+ filter context in the wire's owner page.
+
+ * views/default/object/plugin.php: Fixes #4439. Redirecting if trying to
+ view a plugin object outside of admin.
+
+2012-04-18 Brett Profitt <brett.profitt@gmail.com>
+
+ * ...1.8.3-multiple_user_tokens-852225f7fd89f6c5.php, version.php: Fixes
+ #4291. Removing the unique index on user and site guids in the
+ users_apisessions table.
+
+ * actions/login.php, actions/register.php, actions/useradd.php,
+ ....8.3-dont_filter_passwords-c0ca4a18b38ae2bc.php,
+ engine/lib/user_settings.php,
+ .../actions/twitter_api/interstitial_settings.php, version.php: Fixes #1301.
+ Not filtering passwords.
+
+2012-04-16 Steve Clay <steve@mrclay.org>
+
+ * engine/lib/users.php: Fixes #4414: Corrected name of river friend create
+ view
+
+ * mod/thewire/start.php: Fixes #4413: Removes deprecated call to
+ get_loggedin_user
+
+2012-04-15 Evan Winslow <evan@elgg.org>
+
+ * mod/likes/views/default/likes/button.php: Removes superfluous array
+ declaration
+
+2012-03-25 Evan Winslow <evan@elgg.org>
+
+ * mod/pages/start.php: Fixes #4419: Pages icons now work with all sizes
+
+ * views/default/icon/default.php: Refs #1279: Specifying image dimensions in
+ icon/default view.
+
+2012-03-15 Brett Profitt <brett.profitt@gmail.com>
+
+ * engine/classes/ElggSite.php: Fixes #4394. Added cron and services URLs to
+ the default public pages list for walled garden.
+
+2012-03-02 Evan Winslow <evan@elgg.org>
+
+ * views/default/css/admin.php: Adds fixed-width font for pre + code in admin
+ area
+
+2012-02-22 Evan Winslow <evan@elgg.org>
+
+ * .travis.yml: The travis-ci build should only run against master now.
+
+2012-02-13 Evan Winslow <evan@elgg.org>
+
+ * .travis.yml: Adds trivial .travis.yml config so we can get started
+ building.
+
+2012-02-25 Brett Profitt <brett.profitt@gmail.com>
+
+ * engine/lib/output.php, engine/tests/regression/trac_bugs.php: Fixes #3210.
+ Keeping -s in URL friendly titles.
+
+ * engine/classes/ElggPluginManifest.php, views/default/admin/plugins.php,
+ views/default/css/admin.php, views/default/object/plugin/full.php: Fixes
+ #3312, #3632. Added class elgg-plugin-category-<category> to the plugin div.
+ Exposed plugin categories under more. Changed border color and size for
+ bundled categories.
+
+ * mod/search/pages/search/index.php, mod/search/search_hooks.php,
+ mod/search/start.php: Fixes #3550. Merged slightly modified pull request 166
+ from sembrestels.
+
+2012-02-21 Brett Profitt <brett.profitt@gmail.com>
+
+ * mod/groups/languages/en.php, mod/groups/start.php: Refs #4335. Updated
+ discussion notifications.
+
+ * mod/blog/languages/en.php, mod/blog/start.php,
+ mod/bookmarks/languages/en.php, mod/bookmarks/start.php,
+ mod/file/languages/en.php, mod/file/start.php, mod/pages/languages/en.php,
+ mod/pages/start.php: Fixes #4335. Cleaned up the notifications for new
+ content.
+
+2012-02-11 Cash Costello <cash.costello@gmail.com>
+
+ * mod/externalpages/start.php, .../views/default/expages/wrapper.php,
+ views/default/css/walled_garden.php: external pages plugin uses walled garden
+ layout when appropriate
+
+2012-02-10 Ismayil Khayredinov <ismayil.khayredinov@hypejunction.com>
+
+ * views/default/forms/login.php, views/default/forms/register.php: passing
+ to extending views
+
+2012-02-10 Cash Costello <cash.costello@gmail.com>
+
+ * engine/lib/elgglib.php, views/default/js/walled_garden.php,
+ views/default/page/layouts/walled_garden.php: updated the walled garden js to
+ use new js lib
+
+ * engine/classes/ElggSite.php, engine/lib/elgglib.php,
+ views/default/core/walled_garden/body.php,
+ views/default/core/walled_garden/login.php,
+ views/default/core/walled_garden/lost_password.php,
+ views/default/core/walled_garden/register.php,
+ views/default/js/walled_garden.php,
+ views/default/page/layouts/walled_garden.php: new layout and ajax loading for
+ walled garden
+
+2012-02-09 Cash Costello <cash.costello@gmail.com>
+
+ * engine/classes/ElggWidget.php: Fixes #4283 moving widgets from inactive
+ plugins to the bottom of columns
+
+ * engine/lib/views.php: Fixes #2625 removed old hack from
+ elgg_view_annotation()
+
+ * engine/lib/group.php: Fixes #4361 corrects forwarding logic for closed
+ groups
+
+ * mod/groups/lib/groups.php: Fixes #4362 extra context was breaking group
+ sidebar menu logic
+
+ * languages/en.php, pages/friends/index.php, pages/friends/of.php,
+ pages/river.php: Fixes #2942 all list pages should have messages when no
+ content now
+
+2012-02-08 Cash Costello <cash.costello@gmail.com>
+
+ * mod/pages/manifest.xml: Fixes #3678 adds better description of pages
+ plugin
+
+ * actions/friends/add.php, languages/en.php: Fixes #4348 adds check for
+ missing user when friending
+
+ * .../views/default/theme_preview/components.php,
+ .../default/theme_preview/components/table.php,
+ views/default/css/elements/components.php: Fixes #4357, #4358 updates
+ .elgg-table-alt
+
+2012-02-03 Janek Lasocki-Biczysko <j.lasocki-biczysko@intrallect.com>
+
+ * actions/avatar/crop.php, pages/avatar/edit.php: Fixes #4350 (Edit Avatar -
+ Crop button is misleading, should be hidden if avatar hasn't been created
+ yet)
+
+2012-02-07 Cash Costello <cash.costello@gmail.com>
+
+ * engine/lib/plugins.php, languages/en.php: Fixes #3613 added system message
+ for admins when plugins are disabled
+
+ * engine/lib/metadata.php: Fixes #1043 tags retain their case
+
+2012-02-04 cash <cash.costello@gmail.com>
+
+ * mod/messageboard/actions/delete.php,
+ mod/messageboard/views/default/messageboard/js.php: Fixes #4354 fixes
+ messageboard delete
+
+ * mod/thewire/start.php: removed some old code from thewire
+
+ * engine/classes/ElggEntity.php, engine/classes/ElggGroup.php,
+ engine/classes/ElggObject.php, engine/classes/ElggSite.php,
+ engine/classes/ElggUser.php: Fixes #2112 not loading data from entities table
+ twice
+
+ * mod/thewire/start.php, mod/thewire/tests/regex.php: Fixes #1853 matching
+ UTF8 characters in usernames
+
+ * mod/blog/languages/en.php, mod/blog/start.php: Fixes #4310 adds missing
+ blog notification function
+
+2012-01-27 Janek Lasocki-Biczysko <j.lasocki-biczysko@intrallect.com>
+
+ * engine/lib/cache.php: lazy boolean expression evaluation leaves function
+ expressions unevaluated (!)
+
+ * engine/lib/configuration.php: response from insert_data needs to be
+ checked for !== FALSE
+
+2012-01-27 Team Webgalli <webgalli@gmail.com>
+
+ * views/default/widgets/friends/content.php: Removed pagination from friends
+ widget
+
+2012-02-03 Cash Costello <cash.costello@gmail.com>
+
+ * engine/lib/users.php: improved documentation for get_user_by_email()
+
+2012-02-01 cash <cash.costello@gmail.com>
+
+ * engine/lib/languages.php, engine/lib/plugins.php: fixed logic that caused
+ plugin language files to not be loaded
+
+2012-01-30 cash <cash.costello@gmail.com>
+
+ * install/ElggInstaller.php, install/languages/en.php: Refs #4324 installer
+ checks for absolute path
+
+ * install/ElggInstaller.php: Fixes #4342 updated the installer based on boot
+ reorg - no longer need caching code in installer
+
+ * engine/lib/plugins.php: fixed typo in plugin code
+
+ * install/languages/en.php, views/installation/install/nav.php: added
+ language strings to installer for refresh and next
+
+2012-01-28 Cash Costello <cash.costello@gmail.com>
+
+ * engine/lib/cache.php: Refs #4180 was caching extensions which was causing
+ double extensions
+
+ * mod/thewire/actions/add.php: replaced deprecated function call in the wire
+ plugin
+
+ * engine/lib/views.php: fixed typo in docs
+
+ * engine/lib/entities.php: Fixes #4329 removed old recursive delete
+ permissions override
+
+ * engine/lib/entities.php: Fixes #2646 mention ELGG_ENTITIES_NO_VALUE in
+ elgg_get_entities() documentation
+
+2012-01-27 Cash Costello <cash.costello@gmail.com>
+
+ * mod/pages/languages/en.php: Fixes #4309 added language string
+
+ * engine/settings.example.php: Fixes #3651 fixed documentation of disable db
+ cache setting and updated wiki
+
+ * engine/lib/navigation.php: Fixes #3509 added documentation to menu system
+ about confirm links
+
+ * actions/avatar/crop.php, actions/profile/fields/add.php,
+ actions/profile/fields/delete.php, actions/profile/fields/reorder.php: Fixes
+ #1808 using correct message function in profile actions
+
+2012-01-25 Brett Profitt <brett.profitt@gmail.com>
+
+ * engine/lib/entities.php: Refs #4081. Correctly calling
+ setIncrementOffset(false) for deleting entities using ElggBatch.
+
+ * engine/lib/entities.php, engine/tests/api/helpers.php,
+ engine/tests/objects/objects.php: Fixes #4081. Using ElggBatch to delete
+ recursive.
+
+2012-01-25 cash <cash.costello@gmail.com>
+
+ * engine/lib/languages.php: not loading language files a second time when
+ session initialized
+
+ * engine/lib/cache.php, engine/lib/plugins.php: plugins use language cache
+ and cache is cleared properly
+
+ * engine/classes/ElggFileCache.php: implemented the clear method of the file
+ cache
+
+ * engine/lib/cache.php, engine/lib/configuration.php,
+ engine/lib/elgglib.php, engine/lib/languages.php: caching language data
+
+2012-01-25 Brett Profitt <brett.profitt@gmail.com>
+
+ * engine/lib/metastrings.php: Refs #4269. Using ElggBatch to delete
+ annotations / metadata again.
+
+ * engine/classes/ElggBatch.php, engine/tests/api/helpers.php: Fixes #4288.
+ Added setIncrementOffset() to ElggBatch.
+
+2012-01-24 Cash Costello <cash.costello@gmail.com>
+
+ * engine/lib/configuration.php, engine/lib/entities.php,
+ engine/lib/views.php: Fixes #4272 where an array of entity types can be used,
+ use array in config object
+
+2012-01-24 Brett Profitt <brett.profitt@gmail.com>
+
+ * upgrade.php: Fixes #4326. Disabling system log for upgrades.
+
+2012-01-23 Brett Profitt <brett.profitt@gmail.com>
+
+ * engine/lib/configuration.php, install/ElggInstaller.php: Refs #4147.
+ Changed default site name to "My New Community."
+
+ * languages/en.php: Fixed typo in language string.
+
+ * engine/lib/entities.php, engine/tests/objects/objects.php: Fixes #1196.
+ Added elgg_set_ignore_access() calls to disable_entity() when called with
+ recursive = true.
+
+2012-01-22 Brett Profitt <brett.profitt@gmail.com>
+
+ * views/default/object/plugin/full.php,
+ views/default/object/plugin/invalid.php: Fixes #4311. Rewriting all invalid
+ CSS characters to - for plugin id in plugin admin area.
+
+ * engine/schema/mysql.sql: Refs #2222. Added schema update for system log ip
+ address column.
+
+2012-01-22 cash <cash.costello@gmail.com>
+
+ * engine/lib/access.php: Fixes #4295 using on duplicate key to not fail when
+ adding the same user more than once to an access collection
+
+2012-01-22 Brett Profitt <brett.profitt@gmail.com>
+
+ * engine/lib/annotations.php, engine/lib/elgglib.php,
+ engine/lib/metadata.php, engine/tests/api/metastrings.php: Fixes #4321. Limit
+ alone is no longer valid for batch delete operations for metadata or
+ annotations.
+
+ * engine/lib/metadata.php: Refs #4325. Restored the null vs bool return in
+ elgg_delete_metadata().
+
+2012-01-22 Cash Costello <cash.costello@gmail.com>
+
+ * mod/search/views/default/search/list.php,
+ .../forms/uservalidationbyemail/bulk_action.php,
+ views/default/navigation/pagination.php,
+ views/default/page/components/list.php: Fixes #4320 updated documentation for
+ base_url in pagination and added backward compatible code
+
+ * engine/lib/metadata.php: Fixes #4318 added guid to the list of parameters
+ for elgg_delete_metadata()
+
+2012-01-22 Team Webgalli <webgalli@gmail.com>
+
+ * mod/bookmarks/actions/bookmarks/save.php: Removed unnecessary gatekeeper
+ from bookmark actions.
+
+2012-01-21 Ismayil Khayredinov <ismayil.khayredinov@hypejunction.com>
+
+ * engine/classes/ElggUser.php: fix for deprecated use of elgg_get_objects
+
+2012-01-21 Cash Costello <cash.costello@gmail.com>
+
+ * engine/lib/cache.php, engine/lib/elgglib.php, engine/lib/plugins.php:
+ moved cache loading out of plugin code
+
+ * engine/lib/cache.php, engine/lib/plugins.php: better location for system
+ cache in data directory
+
+ * documentation/info/config.php, engine/lib/cache.php,
+ engine/lib/configuration.php,
+ ...2012100-1.8.3-system_cache-93100e7d55a24a11.php,
+ install/ElggInstaller.php, .../views/default/admin/developers/settings.php,
+ version.php, views/default/forms/admin/site/update_advanced.php: Fixes #4180
+ finished conversion to system cache by converting datalist/CONFIG var name
+
+ * actions/admin/site/update_advanced.php, languages/en.php,
+ mod/developers/actions/developers/settings.php,
+ mod/developers/languages/en.php,
+ .../views/default/admin/developers/settings.php,
+ views/default/forms/admin/site/update_advanced.php: updated the display to
+ show system cache language
+
+ * actions/admin/plugins/activate.php,
+ actions/admin/plugins/activate_all.php, actions/admin/plugins/deactivate.php,
+ actions/admin/plugins/deactivate_all.php,
+ actions/admin/plugins/set_priority.php, actions/admin/site/flush_cache.php,
+ actions/admin/site/update_advanced.php, engine/lib/cache.php,
+ engine/lib/deprecated-1.8.php, engine/lib/plugins.php,
+ engine/lib/upgrades/2011010101.php,
+ mod/developers/actions/developers/settings.php, upgrade.php: switched the
+ function names to system cache
+
+ * engine/lib/cache.php, engine/lib/configuration.php,
+ engine/lib/database.php, engine/lib/elgglib.php, engine/lib/languages.php,
+ engine/lib/sessions.php, engine/lib/sites.php, engine/lib/views.php,
+ engine/start.php: cleans up the boot process
+
+ * engine/lib/languages.php, engine/start.php: single for loop for loading
+ libraries
+
+ * engine/lib/configuration.php: updated documentation of configuration lib
+
+ * engine/start.php: improved documentation in bootstrap script
+
+2012-01-20 Brett Profitt <brett.profitt@gmail.com>
+
+ * engine/lib/system_log.php,
+ ...2012000-1.8.3-ip_in_syslog-87fe0f068cf62428.php,
+ mod/logbrowser/languages/en.php,
+ .../admin/administer_utilities/logbrowser.php,
+ .../views/default/forms/logbrowser/refine.php,
+ mod/logbrowser/views/default/logbrowser/table.php, version.php: Fixes #2222.
+ Added IP address to system log table and log browser. Fixed problem in log
+ browser that would only show entries without an owner_guid.
+
+2012-01-20 Cash Costello <cash.costello@gmail.com>
+
+ * engine/classes/ElggUser.php, engine/lib/statistics.php,
+ mod/bookmarks/pages/bookmarks/all.php,
+ mod/bookmarks/pages/bookmarks/owner.php,
+ views/default/core/settings/tools.php: Refs #4293 removed unnecessary
+ get_input('offset') calls since elgg_list_entities() calls that
+
+ * engine/lib/entities.php, engine/lib/users.php,
+ mod/members/pages/members/search.php: Fixes #4303 passing arguments to
+ elgg_view_entity_list in options array
+
+2012-01-16 Sem <sembrestels@riseup.net>
+
+ * views/default/forms/admin/site/update_advanced.php: Fixed #3155. Limited
+ site default access to core access levels.
+
+2012-01-13 Sem <sembrestels@riseup.net>
+
+ * mod/file/graphics/icons/application.gif,
+ mod/file/graphics/icons/application_lrg.gif,
+ mod/file/graphics/icons/archive.gif, mod/file/graphics/icons/archive_lrg.gif,
+ mod/file/graphics/icons/excel.gif, mod/file/graphics/icons/excel_lrg.gif,
+ mod/file/graphics/icons/general.gif, mod/file/graphics/icons/general_lrg.gif,
+ mod/file/graphics/icons/music.gif, mod/file/graphics/icons/music_lrg.gif,
+ mod/file/graphics/icons/openoffice.gif,
+ mod/file/graphics/icons/openoffice_lrg.gif,
+ mod/file/graphics/icons/pages.gif, mod/file/graphics/icons/pages_lrg.gif,
+ mod/file/graphics/icons/pdf.gif, mod/file/graphics/icons/pdf_lrg.gif,
+ mod/file/graphics/icons/ppt.gif, mod/file/graphics/icons/ppt_lrg.gif,
+ mod/file/graphics/icons/text.gif, mod/file/graphics/icons/text_lrg.gif,
+ mod/file/graphics/icons/vcard.gif, mod/file/graphics/icons/vcard_lrg.gif,
+ mod/file/graphics/icons/video.gif, mod/file/graphics/icons/video_lrg.gif,
+ mod/file/graphics/icons/word.gif, mod/file/graphics/icons/word_lrg.gif,
+ mod/pages/images/pages.gif, mod/pages/images/pages_lrg.gif: Fixes #3911. File
+ and page icons with transparent backgrounds since now.
+
+2012-01-18 Jerome Bakker <jeabakker@coldtrick.com>
+
+ * actions/admin/site/update_advanced.php: solves part of #4307
+
+ * actions/admin/site/update_basic.php: solves part of #4307
+
+2012-01-17 adayth <aday.talavera@gmail.com>
+
+ * mod/file/start.php: Fixes #4305 added backward compatibility for file read
+ url
+
+2012-01-16 Brett Profitt <brett.profitt@gmail.com>
+
+ * .../messages/exceptions/admin_exception.php: Refs #4036. Forgot to add a
+ new view.
+
+2012-01-15 Brett Profitt <brett.profitt@gmail.com>
+
+ * engine/lib/elgglib.php, languages/en.php,
+ views/failsafe/messages/exceptions/exception.php: Fixes #4036. Hiding
+ exceptions except for admin users.
+
+2012-01-12 Cash Costello <cash.costello@gmail.com>
+
+ * views/default/object/widget.php: added clearfix to increase the size of
+ the area for the drag handle
+
+2012-01-11 cash <cash.costello@gmail.com>
+
+ * CHANGES.txt, version.php: updated version and changes.txt
+
+ * engine/lib/elgglib.php, engine/lib/views.php, mod/thewire/start.php: Fixes
+ #4292 added a white list for ajax views
+
+2012-01-11 Ismayil Khayredinov <ismayil.khayredinov@hypejunction.com>
+
+ * views/default/css/elements/components.php, views/default/output/tags.php:
+ class namespacing
+
+2012-01-10 Brett Profitt <brett.profitt@gmail.com>
+
+ * engine/lib/annotations.php, engine/lib/metadata.php,
+ engine/lib/metastrings.php, engine/tests/api/annotations.php,
+ engine/tests/api/metadata.php: Fixes #4269. Not using ElggBatch to delete
+ metadata / annotations. Added unit tests for deleting annotations/md. Fixed
+ an annoying inconsistency with "metastring/s" option in private functions.
+
+2012-01-09 Brett Profitt <brett.profitt@gmail.com>
+
+ * engine/lib/annotations.php, engine/lib/metadata.php,
+ engine/lib/metastrings.php: Fixes #4243. Added docs for metadata_calculation
+ option to elgg_get_metadata().
+
+2012-01-09 Jeroen Dalsem <jdalsem@coldtrick.com>
+
+ * engine/classes/ElggWidget.php: Fixes #4285 move only fetches 10 items
+
+2012-01-09 Cash Costello <cash.costello@gmail.com>
+
+ * engine/classes/ElggBatch.php, engine/classes/ElggEntity.php,
+ engine/classes/ElggMemcache.php, engine/classes/ElggWidget.php,
+ engine/classes/XMLRPCCall.php, engine/handlers/export_handler.php,
+ engine/lib/actions.php, engine/lib/configuration.php,
+ engine/lib/filestore.php, engine/lib/metadata.php, engine/lib/navigation.php,
+ engine/lib/output.php, engine/lib/private_settings.php, engine/lib/users.php,
+ engine/lib/views.php: coding standard fixes to engine
+
+2012-01-09 Ismayil Khayredinov <ismayil.khayredinov@hypejunction.com>
+
+ * views/default/css/elements/components.php: tags css
+
+ * views/default/output/tags.php: fixes tags html markup issues
+
+2012-01-08 Cash Costello <cash.costello@gmail.com>
+
+ * engine/classes/ElggExtender.php, engine/classes/ElggMenuBuilder.php,
+ engine/classes/ElggMenuItem.php, engine/classes/ElggMetadata.php,
+ engine/classes/ElggUser.php, engine/classes/SuccessResult.php,
+ engine/lib/annotations.php, engine/lib/cache.php, engine/lib/metadata.php,
+ engine/lib/output.php, engine/lib/pagehandler.php, engine/lib/pageowner.php,
+ engine/lib/plugins.php, engine/lib/users.php: most of the comments in the
+ engine now past the sniffer
+
+ * engine/classes/ElggCache.php, engine/classes/ElggMenuBuilder.php,
+ engine/classes/ElggMenuItem.php, engine/classes/ElggPlugin.php,
+ engine/classes/ElggPluginPackage.php, engine/classes/ElggSite.php,
+ engine/lib/access.php, engine/lib/admin.php, engine/lib/database.php,
+ engine/lib/extender.php, engine/lib/input.php, engine/lib/relationships.php,
+ engine/lib/river.php: coding standards fixes - comments
+
+ * mod/groups/actions/discussion/reply/save.php,
+ mod/groups/actions/discussion/save.php, mod/groups/lib/discussion.php: Fixes
+ #3216 passing type/subtype for group discussions
+
+ * mod/search/pages/search/index.php: Fixes #2199 can now pass entity
+ type/subtype to tag search
+
+ * mod/file/actions/file/upload.php, mod/file/start.php,
+ .../default/file/specialcontent/image/default.php: Fixes #2421 added icontime
+ to files with thumbnails
+
+ * mod/search/pages/search/index.php: Fixes #2404 not using full set of query
+ parameters for custom search types
+
+ * engine/lib/entities.php: Fixes #4234 forcing limit to be nonnegative
+
+ * mod/messages/views/default/messages/js.php: updated the messages plugin to
+ use 1.8's JS hook system
+
+ * mod/groups/views/default/groups/js.php: updated groups js to use new JS
+ hook system
+
+ * js/lib/ui.widgets.js, mod/profile/views/default/profile/js.php: Fixes
+ #4192 making the bottom of widget columns equal rather than height
+
+ * actions/login.php: Fixes #4171 login success message is now i18n friendly
+
+ * engine/handlers/cache_handler.php: Fixes #2002 adds ETag support to simple
+ cache handler
+
+ * engine/classes/ODDDocument.php: Refs #4271 integrates fix to 1.8 branch
+ for ODDDocument
+
+ * engine/lib/elgglib.php: Fixes #4278 deprecation notices ignore debug level
+ but do respect whether the admin is logged in
+
+ * engine/lib/elgglib.php: fixed some formatting
+
+2012-01-07 Cash Costello <cash.costello@gmail.com>
+
+ * mod/groups/icon.php, mod/profile/icondirect.php: added check for existance
+ of IF-NONE-MATCH header in request
+
+2012-01-03 Sem <sembrestels@riseup.net>
+
+ * mod/groups/icon.php: Refs #4142. Also added ETag in group icon.
+
+ * mod/profile/icondirect.php: Refs #4142. Added ETag header support for user
+ avatars.
+
+2012-01-07 Cash Costello <cash.costello@gmail.com>
+
+ * engine/classes/ElggRiverItem.php, mod/thewire/classes/ElggWire.php: Refs
+ #3209 fixed spacing and added options for wire method
+
+ * engine/classes/ODDEntity.php, engine/classes/ODDMetaData.php,
+ engine/classes/ODDRelationship.php: pulled ODD classes out of ODDEntity
+
+2012-01-05 Brett Profitt <brett.profitt@gmail.com>
+
+ * engine/lib/annotations.php: Fixes #4243. Added docs to explain the
+ difference between annotation_calculation and calculation.
+
+2012-01-04 cash <cash.costello@gmail.com>
+
+ * engine/lib/web_services.php: Fixes #4270 removed use of deprecated method
+
+ * mod/pages/lib/pages.php, mod/pages/pages/pages/owner.php: Fixes #4266
+ fixed limit bugs with pages plugin
+
+ * mod/embed/views/default/navigation/menu/embed.php,
+ views/default/navigation/tabs.php: updated options on navigation/tabs
+
+ * engine/lib/entities.php: fixed enabling bug, added flag for recursion,
+ removed unnecessary code in disable function
+
+ * engine/tests/objects/entities.php: added a unit test for recursive
+ enabling/disabling
+
+2012-01-03 Sem <sembrestels@riseup.net>
+
+ * engine/lib/entities.php: Refs #2051. Fixed: ElggEntity's enable does not
+ recurse.
+
+2012-01-04 Brett Profitt <brett.profitt@gmail.com>
+
+ * engine/lib/objects.php: Fixes #3710. Returning false from update, <type>
+ event no longer deletes entity. Returning false from create, <type> still
+ deletes the entity because of the "return false to halt events" approach.
+ This will be reviewed for #3784.
+
+2012-01-02 Cash Costello <cash.costello@gmail.com>
+
+ * engine/lib/admin.php, languages/en.php,
+ views/default/admin/statistics/basic.php,
+ views/default/admin/statistics/numentities.php,
+ views/default/admin/statistics/overview.php,
+ views/default/admin/statistics/overview/basic.php,
+ .../admin/statistics/overview/numentities.php,
+ views/default/admin/statistics/server.php,
+ views/default/admin/statistics/server/php.php,
+ .../default/admin/statistics/server/web_server.php: Fixes #4164 adds a server
+ statistics page to admin area
+
+2012-01-01 cash <cash.costello@gmail.com>
+
+ * mod/diagnostics/languages/en.php, mod/diagnostics/start.php,
+ .../admin/administer_utilities/diagnostics.php,
+ .../admin/develop_utilities/diagnostics.php: moved diagnostics to administer
+ utilities menu area rather than developers
+
+ * mod/groups/languages/en.php, mod/groups/start.php: Fixes #2230 group acl
+ names are not i18n friendly
+
+ * engine/lib/access.php, languages/en.php, views/default/output/access.php:
+ Fixes #3939 if user does not have permissions to see the name of the access
+ collection, it is shown as "Limited"
+
+2012-01-01 Cash Costello <cash.costello@gmail.com>
+
+ * engine/classes/ElggExtender.php, engine/classes/ElggObject.php,
+ engine/classes/ElggRelationship.php, engine/classes/ElggRiverItem.php,
+ mod/blog/classes/ElggBlog.php, mod/thewire/classes/ElggWire.php: Fixes #3209
+ finished adding class properties documentation
+
+ * engine/classes/ElggCache.php: fixed copy and paste error in documentation
+ of ElggCache
+
+ * engine/classes/ElggDiskFilestore.php: Refs #2290 removes check for
+ username
+
+ * documentation/examples/plugins/start.php: Fixes #3225 added what was
+ missing from the basic init function example
+
+ * engine/lib/users.php: Fixes #690 admin editing a user no longer makes them
+ appear active
+
+ * engine/lib/admin.php: Fixes #4168 ignoring access when saving an admin
+ notice
+
+ * views/default/css/admin.php: Fixes #3981 adds a better pre and code style
+ to admin theme
+
+ * engine/lib/plugins.php: Fixes #3992 fixed notice when loading plugins for
+ first time
+
+ * mod/developers/languages/en.php, mod/developers/start.php,
+ .../default/admin/develop_tools/unit_tests.php,
+ mod/diagnostics/languages/en.php,
+ .../admin/develop_utilities/diagnostics.php: Fixes #4137 moves test UI to
+ developers plugin
+
+2011-12-31 cash <cash.costello@gmail.com>
+
+ * engine/lib/users.php: Fixes #4260 get_user_sites() now works
+
+ * engine/lib/notification.php: Fixes #4208 notifications do not use site
+ guid
+
+ * engine/classes/ElggSite.php: Fixes #4204 using only the relationship for
+ getting members of a site
+
+ * engine/classes/ElggWidget.php: Fixes #4255 new widget ordering code
+
+2011-12-31 Evan Winslow <evan@elgg.org>
+
+ * engine/classes/ElggGroup.php, engine/classes/ElggObject.php,
+ engine/classes/ElggSite.php, engine/classes/ElggUser.php: Refs #3209: Adds
+ property docs for the four main entity classes
+
+2011-12-31 cash <cash.costello@gmail.com>
+
+ * engine/classes/ElggWidget.php: Fixes #4259 using container guid for
+ widgets when moving
+
+2011-12-29 Jeroen Dalsem <jdalsem@coldtrick.com>
+
+ * engine/classes/ElggWidget.php: Small typo. Seems to work, but i prefer it
+ nice and tidy :)
+
+2011-12-31 cash <cash.costello@gmail.com>
+
+ * engine/lib/widgets.php: Fixes #4254 not registering the default widgets
+ callback more than once per event
+
+ * mod/groups/actions/groups/edit.php: Fixes #4152 setting access on group
+ creation river item
+
+ * engine/lib/river.php: Fixes #4258 fixes add_to_river escaping
+
+ * .../views/default/groups/group_sort_menu.php: Fixes #4228 group sort menu
+ view accepts selected menu item from page handler
+
+ * views/default/css/admin.php: Fixes #4257 updates admin theme for widget
+ menu changes
+
+ * mod/groups/upgrades/2011030101.php: Fixes #4256 reruns the forum topic
+ upgrade by checking the 5 oldest topics
+
+ * ...3101-1.8.2-fix_blog_status-b14c2a0e7b9e7d55.php, version.php: Fixes
+ #4239 rerun corrected blog status upgrade
+
+2011-12-31 Cash Costello <cash.costello@gmail.com>
+
+ * ...100-1.8.2-fix_friend_river-b17e7ff8345c2269.php, version.php: Fixes
+ #4248 fixed friend river text
+
+ * mod/blog/start.php: Fixes #4253 added backward compatibility for blog read
+ url
+
+2011-12-24 Cash Costello <cash.costello@gmail.com>
+
+ * actions/avatar/revert.php, engine/lib/users.php, languages/en.php,
+ views/default/core/avatar/upload.php: Fixes #3272 added button to revert
+ avatar
+
+ * engine/lib/elgglib.php: Refs #4009 registering the walled garden css and
+ js on every request
+
+2011-12-23 Cash Costello <cash.costello@gmail.com>
+
+ * mod/blog/lib/blog.php, mod/blog/start.php,
+ mod/blog/views/default/blog/sidebar.php,
+ mod/blog/views/default/blog/sidebar/archives.php: Fixes #3751 adds a group
+ blog archive page
+
+ * js/lib/ui.widgets.js, views/default/css/admin.php,
+ views/default/css/elements/modules.php, views/default/object/widget.php:
+ Fixes #4186 restricting the drag handle for widgets
+
+ * mod/groups/languages/en.php, mod/groups/lib/groups.php,
+ mod/groups/views/default/forms/groups/find.php,
+ mod/groups/views/default/forms/groups/search.php,
+ mod/groups/views/default/groups/sidebar/find.php,
+ mod/groups/views/default/groups/sidebar/search.php: Fixes #2074 adds group
+ search
+
+ * .../actions/groups/membership/delete_invite.php: Fixes #1922 can now
+ delete invites to invisible groups
+
+ * mod/externalpages/actions/edit.php,
+ mod/externalpages/views/default/expages/menu.php,
+ .../views/default/forms/expages/edit.php: Fixes #4069 reusing the previous
+ ElggObject for external pages
+
+ * engine/lib/annotations.php: Fixes #683 not deleting annotation when event
+ handlers return false on an update
+
+ * engine/lib/metadata.php: Fixes #682 not deleting metadata when update,
+ metadata event handlers return false
+
+ * engine/lib/users.php: Fixes #3716 setting the language when registering
+ new users
+
+2011-12-23 Ismayil Khayredinov <ismayil.khayredinov@hypejunction.com>
+
+ * views/default/navigation/tabs.php: fixes spacing. add a note on text/title
+
+2011-12-23 cash <cash.costello@gmail.com>
+
+ * mod/groups/upgrades/2011030101.php: added access override to the forum
+ upgrade
+
+ * mod/blog/actions/blog/save.php, mod/blog/start.php,
+ mod/blog/views/default/object/blog.php: Fixes #4179 not setting excerpt if
+ none set
+
+ * ...8_svn-blog_status_metadata-4645225d7b440876.php,
+ ...8b1-sites_need_a_site_guid-6d9dcbf46c0826cc.php, mod/blog/start.php,
+ mod/groups/upgrades/2011030101.php: Refs #4239 fixed upgrade scripts with bad
+ limits
+
+ * engine/classes/ElggBatch.php: Refs #4239 improved the documentation on
+ ElggBatch for the limit option
+
+ * mod/blog/views/default/blog/group_module.php: Fixes #4240 not showing
+ draft blog posts in group module
+
+2011-12-23 Ismayil Khayredinov <ismayil.khayredinov@hypejunction.com>
+
+ * views/default/navigation/tabs.php: fixes for tab rendering
+
+2011-12-19 Steve Clay <steve@mrclay.org>
+
+ * mod/tinymce/views/default/js/tinymce.php: Solves issue 4222: incorrectly
+ nested lists in Firefox
+
+2011-12-21 Cash Costello <cash.costello@gmail.com>
+
+ * CHANGES.txt, version.php: Set version to 1.8.2 and updated the changes
+ list
+
+2011-12-19 cash <cash.costello@gmail.com>
+
+ * engine/lib/widgets.php: Fixes #4184 dashboard default widgets should work
+ and widgets should be created regardless of access
+
+ * mod/oauth_api/manifest.xml: Refs #4221 added a conflict statement to
+ manifest for PECL extension
+
+ * engine/lib/pagehandler.php, views/default/css/elements/layout.php,
+ views/default/page/layouts/error.php: added more spacing to error layout
+
+2011-12-18 cash <cash.costello@gmail.com>
+
+ * js/lib/ui.widgets.js: Refs #4192 adds proper 'px' to minHeight but does
+ not solve the issue
+
+2011-12-15 Ismayil Khayredinov <ismayil.khayredinov@hypejunction.com>
+
+ * engine/lib/navigation.php, js/lib/ui.widgets.js,
+ views/default/css/elements/modules.php,
+ views/default/css/elements/navigation.php,
+ views/default/object/widget/elements/controls.php: Fix #4200: Converting
+ widgets controls into an elgg menu
+
+ * engine/lib/views.php, mod/developers/start.php, mod/embed/start.php: Fixes
+ 4209: jquery.form.js library loading
+
+2011-12-17 cash <cash.costello@gmail.com>
+
+ * engine/lib/user_settings.php, engine/lib/users.php: moved user settings
+ extensions to user_settings library
+
+2011-12-17 Cash Costello <cash.costello@gmail.com>
+
+ * mod/embed/start.php, mod/embed/views/default/embed/layout.php,
+ mod/embed/views/default/js/embed/embed.php,
+ .../views/default/embed/file_upload/content.php: Fixes #4086 handling
+ containers for embed uploading
+
+ * engine/lib/output.php: Fixes #4190 accepting full urls with non-ascii
+ characters
+
+ * mod/embed/views/default/js/embed/embed.php: Refs #3971 inserting medium
+ size thumbnails rather than small
+
+ * mod/embed/manifest.xml: embed can now be activated without the file plugin
+ as other plugins can provide content
+
+ * mod/uservalidationbyemail/start.php: Fixes #4217 user validation by email
+ now respects decisions by other plugins
+
+2011-12-15 Cash Costello <cash.costello@gmail.com>
+
+ * js/lib/ui.userpicker.js, views/default/input/userpicker.php: Fixes #4214
+ added missing dependency for userpicker
+
+2011-12-12 zcho <zcho05@gmail.com>
+
+ * engine/lib/users.php: fixes elgg_get_user_validation_status() always
+ returning null
+
+2011-12-15 Cash Costello <cash.costello@gmail.com>
+
+ * mod/uservalidationbyemail/lib/functions.php: Refs #4196 integrates fix
+ into 1.8 branch
+
+2011-12-12 hypeJunction <ismayil.khayredinov@hypejunction.com>
+
+ * mod/uservalidationbyemail/start.php: Fixed #4198 uservalidationbyemail
+ respects the return value of the register,user hook
+
+2011-12-08 cash <cash.costello@gmail.com>
+
+ * engine/lib/languages.php, js/lib/languages.js: Fixes #3181 caching
+ language js on server side with proper headers
+
+ * views/default/navigation/pagination.php: Fixes #4189 correctly getting the
+ base url in pagination view
+
+ * engine/lib/annotations.php: Fixes #4025 added a url handler for comments
+
+ * actions/admin/site/flush_cache.php, engine/lib/admin.php,
+ languages/en.php, views/default/widgets/control_panel/content.php: Fixes
+ #4185, #2111 adds control panel widget
+
+ * views/default/navigation/menu/default.php,
+ views/default/navigation/menu/elements/item.php,
+ views/default/navigation/menu/elements/section.php: added the ability to pass
+ a class to be applied to all menu item <li> elements
+
+ * engine/classes/ElggSite.php: Fixes #4182 if simplecache is empty, the
+ cache requests go through the engine and need to be white listed for the
+ walled garden
+
+2011-12-07 Cash Costello <cash.costello@gmail.com>
+
+ * mod/profile/start.php: fixed profile editing routing for profile plugin
+
+2011-12-06 Janek Lasocki-Biczysko <j.lasocki-biczysko@intrallect.com>
+
+ * engine/lib/entities.php: #4187 (get_entity optimization)
+
+2011-12-07 Cash Costello <cash.costello@gmail.com>
+
+ * js/lib/ui.widgets.js: simplified the JavaScript for deleteing a widget
+
+2011-12-06 Jeroen Dalsem <jdalsem@coldtrick.com>
+
+ * views/default/object/widget/elements/controls.php: delete action expects
+ widget_guid instead of guid
+
+2011-12-06 cash <cash.costello@gmail.com>
+
+ * engine/lib/output.php: Fixes #4173 removed use of \w since it is locale
+ sensitive
+
+2011-12-05 cash <cash.costello@gmail.com>
+
+ * engine/lib/pagehandler.php, languages/en.php,
+ views/default/errors/404.php, views/default/errors/default.php,
+ views/default/page/error.php, views/default/page/layouts/error.php: first
+ version of a 404 page
+
+2011-12-04 Cash Costello <cash.costello@gmail.com>
+
+ * views/default/page/admin.php, views/default/page/default.php: Fixes #4032
+ rendering page elements before head/foot
+
+ * engine/tests/regression/trac_bugs.php,
+ mod/blog/actions/blog/auto_save_revision.php, mod/blog/actions/blog/save.php:
+ removed some usage of deprecated methods
+
+2011-12-03 cash <cash.costello@gmail.com>
+
+ * mod/search/start.php: Fixes #4046 checking offset compared to string
+ length
+
+ * engine/lib/entities.php: Refs #4176 added elgg_list_registered_entities()
+ fix to 1.8 branch
+
+2011-12-01 Ash Ward <ash@netality.com>
+
+ * mod/messages/languages/en.php, mod/messages/start.php: Fixes #4170:
+ Messages icon on top bar doesn't have a title attribute (tooltip)
+
+2011-12-01 cash <cash.costello@gmail.com>
+
+ * views/default/graphics/ajax_loader.php: added support for passing classes
+ to ajax loader
+
+2011-11-22 Janek Lasocki-Biczysko <j.lasocki-biczysko@intrallect.com>
+
+ * engine/classes/ElggMemcache.php: Fixes #1995 (memcache: incorrect check
+ for get() return value)
+
+2011-11-25 Jeff Tilson <jrtilson@gmail.com>
+
+ * engine/lib/views.php: Need to call
+ elgg_register_simplecache_view('css/lightbox') to register the lightbox CSS
+ with simplecache
+
+2011-11-28 cash <cash.costello@gmail.com>
+
+ * engine/lib/river.php, engine/tests/api/river.php: Fixes #3496 finished up
+ this ticket by updating unit test and using more parantheses to make it clear
+ how the OR/AND gets applied
+
+2011-11-28 Cash Costello <cash.costello@gmail.com>
+
+ * mod/search/pages/search/index.php,
+ mod/search/views/default/search/search_box.php: Fixes #4139 if no mbstring
+ extension we strip characters for display with search
+
+ * mod/likes/start.php: Fixes #4116 not liking users now since people think
+ they are liking the activity entry
+
+ * .../views/default/forms/messages/process.php: Fixes #3986 not showing
+ message buttons if no messages
+
+ * views/default/css/elements/forms.php: Fixes #4052 removed the :focus
+ styling on every input element
+
+2011-11-27 Cash Costello <cash.costello@gmail.com>
+
+ * views/default/js/lightbox.php: Fixes #3773 added documentation hint for
+ using advanced features of fancybox
+
+ * actions/profile/edit.php, views/default/core/settings/account/name.php:
+ Fixes #3953 adds back the ability to change display name from settings page
+
+ * views/default/css/admin.php, views/default/css/elements/components.php,
+ views/default/css/elements/core.php: Fixes #3982 fixing scrolling issue with
+ elgg-body
+
+ * views/default/admin/plugins.php, views/default/js/admin.php,
+ views/default/object/plugin/full.php: Fixes #3954 using lightbox for plugin
+ screenshots
+
+ * engine/lib/entities.php: fixed some documentation related to subtypes
+
+ * views/rss/page/components/gallery.php: rss view type needed a gallery view
+
+2011-11-24 Andr谩s Szepesh谩zi <szepeshazi@gmail.com>
+
+ * actions/useradd.php: Fixed typo that prevented creating an admin user via
+ the Admin panel (user was created, but not elevated to admin).
+
+2011-11-25 Cash Costello <cash.costello@gmail.com>
+
+ * engine/lib/elgglib.php, languages/en.php: added a better message for php
+ libraries that don't exist on disk
+
+ * views/default/page/components/gallery.php: returning from gallery view if
+ no items in the gallery
+
+ * .../views/default/groups/membershiprequests.php: Fixes #4151 removed
+ unnecessary $user definition
+
+2011-11-17 Danny Navarro <j@dannynavarro.net>
+
+ * engine/lib/river.php: Refs #3496 improving type/subtype handling for the
+ river
+
+2011-11-23 cash <cash.costello@gmail.com>
+
+ * engine/lib/river.php, pages/river.php: Refs #4143 adds a unit test for
+ type/subtype where sql generation
+
+ * views/default/css/elements/navigation.php: Fixes #4145 using margin rather
+ than padding for topbar menu items
+
+2011-11-22 cash <cash.costello@gmail.com>
+
+ * mod/logbrowser/start.php, .../admin/administer_utilities/logbrowser.php,
+ mod/logbrowser/views/default/logbrowser/refine.php: Fixes #3775 updates the
+ log browser link and keeps the refine area open if the page is loaded with a
+ user selected
+
+2011-11-20 Cash Costello <cash.costello@gmail.com>
+
+ * mod/groups/start.php: added check in case something goes wrong with the
+ container entity of a discussion
+
+2011-11-20 cash <cash.costello@gmail.com>
+
+ * install/languages/en.php: Fixes #4140 fixed spelling mistake
+
+ * views/default/css/elements/typography.php: fixed error in css for
+ .elgg-output ordered lists
+
+2011-11-19 cash <cash.costello@gmail.com>
+
+ * views/default/object/elements/summary.php: don't display <h3> if no title
+
+2011-11-19 Cash Costello <cash.costello@gmail.com>
+
+ * views/default/navigation/menu/site.php: Fixes #4102 handling no menu items
+ for site menu
+
+ * actions/login.php, actions/user/requestnewpassword.php, languages/en.php,
+ views/default/forms/user/requestnewpassword.php: Fixes #641 users can submit
+ email address to reset password
+
+2011-11-18 cash <cash.costello@gmail.com>
+
+ * engine/lib/user_settings.php, engine/lib/users.php, languages/en.php:
+ Fixes #3792 admin can edit settings again
+
+ * languages/en.php: made status message a little more grammatical
+
+ * js/lib/pageowner.js: Fixes #4093 making sure elgg.page_owner is defined
+
+ * mod/profile/views/default/profile/owner_block.php: don't want hover menu
+ or link on owner block icon in profile
+
+ * views/default/forms/admin/site/update_advanced.php,
+ views/default/forms/admin/site/update_basic.php: line between settings and
+ save button not needed
+
+ * actions/admin/site/update_basic.php: Fixes #3996 displaying message when
+ basic site settings are saved
+
+ * views/default/css/elements/navigation.php: Fixes #3929 used a combination
+ of min-width/max-width and overflow hidden to provide better support for long
+ names
+
+ * engine/classes/ElggEntity.php, engine/classes/ElggGroup.php,
+ engine/classes/ElggObject.php, engine/classes/ElggSite.php,
+ engine/classes/ElggUser.php: Fixes #4111 guid is now an int
+
+ * mod/thewire/start.php: Fixes #4085 accepting . in usernames for the wire
+
+ * engine/tests/api/helpers.php: Fixes #4128 better test of null passed to
+ registering external files
+
+ * engine/tests/api/plugins.php,
+ engine/tests/test_files/plugin_18/manifest.xml: Fixes #4134 improved plugin
+ manifest tests by using assertIdentical more
+
+ * engine/classes/ElggPluginManifest.php, engine/tests/api/plugins.php: Fixes
+ #4133 updated type in plugin manifest test
+
+ * engine/tests/api/metastrings.php: Fixes #4132 fixed metastring delete by
+ id test
+
+ * engine/lib/metastrings.php, engine/tests/api/metastrings.php: Fixes #4131
+ elgg_delete_metastring_based_object_by_id() should return a bool
+
+ * engine/tests/api/helpers.php: Fixes #4129 fixed priority list tests
+
+ * engine/tests/api/entity_getter_functions.php: Fixes #4126 fixed test for
+ checking relationship existence
+
+ * engine/classes/ElggGroup.php, engine/lib/relationships.php: Fixes #4118
+ returning bool from leave group functions
+
+ * engine/lib/access.php, engine/tests/api/access_collections.php: Fixes
+ #4115 returning bool from remove_user_from_access_collection()
+
+ * engine/lib/access.php: Fixes #4113 returning a bool from
+ delete_access_collection()
+
+ * engine/tests/api/entity_getter_functions.php: Fixes #4125 checking count
+ correctly
+
+ * engine/tests/api/entity_getter_functions.php: Fixes #4122, #4123, #4124
+ testing for empty arrays and added some documentation for the sanity of
+ future developers
+
+ * engine/lib/users.php: Fixes #4112 get_user_by_username() returns false if
+ no user
+
+2011-11-18 Cash Costello <cash.costello@gmail.com>
+
+ * engine/tests/api/metastrings.php, engine/tests/objects/sites.php,
+ engine/tests/objects/users.php, engine/tests/test_skeleton.php: Fixes #4109
+ remove inaccurate comment due to copy & paste
+
+ * engine/lib/entities.php, engine/tests/objects/entities.php,
+ engine/tests/objects/sites.php, engine/tests/objects/users.php: Fixes #4108
+ delete() returns bool now and updated unit tests
+
+ * engine/tests/objects/entities.php: Fixes #4104, #4105 fixed casting in
+ testElggEntityMetadata()
+
+ * engine/tests/api/access_collections.php: Fixes #4114 checking type for acl
+ test
+
+2011-11-17 Cash Costello <cash.costello@gmail.com>
+
+ * engine/tests/api/metadata.php: Fixes #4120 metadata tests now check for
+ the correct types
+
+ * engine/tests/objects/entities.php: Fixes #4094, #4095 fixes casting issues
+ in unit tests - thanks to andres.fortier
+
+ * mod/externalpages/start.php: Fixes #4101 added footer links back
+
+ * mod/thewire/pages/thewire/everyone.php: Fixes #4100 adds the wire post
+ form back to all page
+
+2011-11-16 cash <cash.costello@gmail.com>
+
+ * mod/thewire/pages/thewire/friends.php,
+ mod/thewire/pages/thewire/owner.php: removed two deprecated function calls
+ from thewire
+
+2011-11-15 Cash Costello <cash.costello@gmail.com>
+
+ * CHANGES.txt: updated release date
+
+ * documentation/examples/plugins/README.txt,
+ documentation/examples/plugins/start.php: updated the plugin skeleton with
+ some instructions
+
+ * actions/avatar/crop.php, actions/avatar/upload.php: fixed bugs in avatar
+ cropping with remembering old crop coordinates and resizing the master
+
+ * engine/lib/elgglib.php, js/lib/autocomplete.js, js/lib/avatar_cropper.js,
+ js/lib/friends_picker.js, js/lib/ui.autocomplete.js,
+ js/lib/ui.avatar_cropper.js, js/lib/ui.friends_picker.js,
+ js/lib/ui.userpicker.js, js/lib/userpicker.js: atleast renaming the ui js
+ libs
+
+ * engine/classes/ElggRelationship.php: Fixes #4089 corrects documentation of
+ ElggRelationship constructor
+
+ * engine/lib/relationships.php: Fixes #4090 removes double assignment -
+ thanks to andres.fortier
+
+2011-11-14 Cash Costello <cash.costello@gmail.com>
+
+ * mod/messages/pages/messages/send.php,
+ mod/messages/views/default/forms/messages/send.php: Refs #4083 increased
+ number of friends to 50
+
+2011-11-13 cash <cash.costello@gmail.com>
+
+ * engine/classes/ElggMenuItem.php: added the missing set/get priority
+ methods to ElggMenuItem
+
+2011-11-13 Cash Costello <cash.costello@gmail.com>
+
+ * engine/lib/users.php, .../views/default/bookmarks/bookmarklet.php,
+ views/default/core/avatar/upload.php, views/default/forms/avatar/crop.php,
+ views/default/icon/default.php, views/default/icon/user/default.php,
+ views/default/output/img.php: Fixes #4082 adds output/img
+
+ * .../views/default/groups/profile/widgets.php: should be a ul rather than a
+ div
+
+ * views/default/output/confirmlink.php: need to unset the trusted link key
+
+2011-11-12 cash <cash.costello@gmail.com>
+
+ * documentation/examples/hooks/advanced.php,
+ documentation/examples/hooks/all.php, documentation/examples/hooks/basic.php,
+ documentation/examples/hooks/register/advanced.php,
+ documentation/examples/hooks/register/all.php,
+ documentation/examples/hooks/register/basic.php,
+ documentation/examples/hooks/register/emit.php,
+ documentation/examples/hooks/trigger.php,
+ documentation/examples/hooks/trigger/advanced.php,
+ documentation/examples/hooks/trigger/basic.php: cleaned up the plugin hooks
+ documentation
+
+ * documentation/examples/actions/basic.php,
+ documentation/examples/actions/manual_tokens.php,
+ documentation/examples/events/advanced.php,
+ documentation/examples/events/all.php,
+ documentation/examples/events/basic.php,
+ documentation/examples/events/emit.php,
+ documentation/examples/events/trigger.php,
+ documentation/examples/plugins/README.txt,
+ documentation/examples/plugins/languages/en.php,
+ documentation/examples/plugins/manifest.xml,
+ .../examples/plugins/manifest_options/manifest.xml,
+ .../examples/plugins/skeleton/manifest.xml, documentation/info/config.php,
+ documentation/info/manifest.xml, documentation/stubs/config.php: added better
+ plugin skeleton and added documentation to some of the examples
+
+2011-11-12 Cash Costello <cash.costello@gmail.com>
+
+ * views/default/css/admin.php: added bottom margin to info modules for
+ plugin settings
+
+ * engine/lib/users.php, mod/groups/actions/groups/edit.php,
+ mod/groups/actions/groups/membership/leave.php, mod/invitefriends/start.php,
+ pages/entities/index.php, pages/settings/account.php,
+ pages/settings/statistics.php: removed uses of deprecated function for
+ setting page owner guid
+
+ * mod/invitefriends/actions/invite.php: fixed exception being thrown when no
+ site email address has been set
+
+ * views/default/css/admin.php: added a input class for text boxes so that
+ they aren't super long
+
+ * mod/messages/pages/messages/send.php: removed deprecated function from
+ messages plugin
+
+2011-11-10 cash <cash.costello@gmail.com>
+
+ * languages/en.php, mod/pages/pages/pages/edit.php: fixed WSOD when trying
+ to edit a private page
+
+ * engine/lib/database.php: Fixes #4071 hellekin was right - it was a bad
+ idea to format queries
+
+ * js/lib/ajax.js, js/lib/elgglib.js, js/lib/security.js,
+ js/tests/ElggLibTest.js, js/tests/ElggSecurityTest.js: Fixes #4010 not
+ sending naked query strings into add ajax tokens and also fixed a few related
+ bugs in JavaScript
+
+2011-11-08 Cash Costello <cash.costello@gmail.com>
+
+ * mod/likes/languages/en.php: exclamation marks are annoying in
+ notifications
+
+ * CONTRIBUTORS.txt, COPYRIGHT.txt: we rewrote default widgets from scratch
+ so updated copyright/contributors to reflect that
+
+ * .../examples/plugins/manifest_options/manifest.xml,
+ .../examples/plugins/skeleton/manifest.xml, engine/tests/api/plugins.php,
+ engine/tests/test_files/plugin_17/manifest.xml,
+ engine/tests/test_files/plugin_18/manifest.xml, mod/blog/manifest.xml,
+ mod/bookmarks/manifest.xml, mod/categories/manifest.xml,
+ mod/custom_index/manifest.xml, mod/dashboard/manifest.xml,
+ mod/developers/manifest.xml, mod/diagnostics/manifest.xml,
+ mod/embed/manifest.xml, mod/externalpages/manifest.xml,
+ mod/file/manifest.xml, mod/garbagecollector/manifest.xml,
+ mod/groups/manifest.xml, mod/htmlawed/manifest.xml,
+ mod/invitefriends/manifest.xml, mod/likes/manifest.xml,
+ mod/logbrowser/manifest.xml, mod/logrotate/manifest.xml,
+ mod/members/manifest.xml, mod/messageboard/manifest.xml,
+ mod/messages/manifest.xml, mod/notifications/manifest.xml,
+ mod/oauth_api/manifest.xml, mod/pages/manifest.xml, mod/profile/manifest.xml,
+ mod/reportedcontent/manifest.xml, mod/search/manifest.xml,
+ mod/tagcloud/manifest.xml, mod/thewire/manifest.xml,
+ mod/tinymce/manifest.xml, mod/twitter/manifest.xml,
+ mod/twitter_api/manifest.xml, mod/uservalidationbyemail/manifest.xml,
+ mod/zaudio/manifest.xml: it is GPL General Public License not GPL Public
+ License
+
+ * views/rss/page/default.php: Refs #3150 can pass description to RSS page
+ shell
+
+2011-11-07 Cash Costello <cash.costello@gmail.com>
+
+ * engine/classes/ElggUser.php, views/default/js/initialize_elgg.php: Refs
+ #4007 previous solution to admin population in ElggUser was throwing warnings
+
+ * README.txt: fixed date on copyright and name of GPL
+
+ * mod/custom_index/start.php: removing deprecated functions from
+ custom_index plugin
+
+ * CHANGES.txt: Updated change log
+
+ * version.php: incremented version number
+
+ * INSTALL.txt: updated install instructions
+
+ * UPGRADE.txt: fixed wording in upgrade instructions
+
+2011-11-07 Evan Winslow <evan.b.winslow@gmail.com>
+
+ * documentation/coding_standards/best_practices.txt: Fixes #3397: Adds
+ 'positive' variable name standard to best practices file.
+
+2011-11-06 Cash Costello <cash.costello@gmail.com>
+
+ * mod/tinymce/views/default/js/tinymce.php,
+ .../default/tinymce/embed_custom_insert_js.php: Fixes #3853 caching a
+ bookmark for insert carot when embedding content into TinyMCE editor
+
+ * mod/tinymce/vendor/tinymce/changelog.txt,
+ .../tiny_mce/plugins/emotions/emotions.htm,
+ .../tiny_mce/plugins/emotions/js/emotions.js,
+ .../tiny_mce/plugins/emotions/langs/en_dlg.js,
+ .../tiny_mce/plugins/lists/editor_plugin.js,
+ .../tiny_mce/plugins/lists/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/media/js/media.js,
+ .../tiny_mce/plugins/media/langs/en_dlg.js,
+ .../tiny_mce/plugins/media/moxieplayer.swf,
+ .../tiny_mce/plugins/table/editor_plugin.js,
+ .../tiny_mce/plugins/table/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/table/js/table.js,
+ .../jscripts/tiny_mce/plugins/table/table.htm,
+ .../jscripts/tiny_mce/themes/advanced/charmap.htm,
+ .../tiny_mce/themes/advanced/editor_template.js,
+ .../themes/advanced/editor_template_src.js,
+ .../tiny_mce/themes/advanced/js/charmap.js,
+ .../tiny_mce/themes/advanced/js/color_picker.js,
+ .../tiny_mce/themes/advanced/langs/en_dlg.js,
+ .../vendor/tinymce/jscripts/tiny_mce/tiny_mce.js,
+ .../tinymce/jscripts/tiny_mce/tiny_mce_src.js: upgraded TinyMCE to 3.4.7
+
+ * mod/embed/views/default/js/embed/embed.php,
+ mod/likes/views/default/annotation/likes.php,
+ mod/messages/views/default/object/messages.php,
+ .../views/default/thewire/profile_status.php,
+ .../forms/twitter_api/interstitial_settings.php: removed uses of CSS class
+ .right from plugins
+
+ * views/default/annotation/default.php,
+ views/default/annotation/generic_comment.php,
+ views/default/page/elements/footer.php: remove uses of the CSS class right
+ from core
+
+ * engine/lib/entities.php, mod/blog/activate.php, mod/thewire/activate.php:
+ Fixes #4068 not forcing update on adds when the subtype exists Refs #4058
+
+ * engine/lib/cron.php: $CONFIG->input is never initialized by page handling
+ so this code never did anything
+
+ * mod/blog/activate.php, mod/blog/deactivate.php, mod/search/README.txt,
+ mod/thewire/activate.php, mod/thewire/deactivate.php, mod/thewire/start.php:
+ Fixes #4067 adds activate/deactivate scripts
+
+ * views/default/icon/user/default.php: added a href override to the user
+ icon view
+
+2011-11-05 cash <cash.costello@gmail.com>
+
+ * engine/classes/ElggMenuItem.php, engine/lib/elgglib.php,
+ engine/lib/navigation.php, engine/lib/views.php, mod/blog/start.php,
+ mod/file/start.php, mod/groups/lib/discussion.php, mod/groups/lib/groups.php,
+ .../views/default/object/groupforumtopic.php, mod/notifications/groups.php,
+ mod/notifications/index.php, mod/profile/start.php,
+ mod/thewire/pages/thewire/everyone.php, pages/settings/account.php,
+ views/default/forms/login.php, views/default/input/button.php,
+ views/default/output/access.php, views/default/page/components/gallery.php,
+ views/default/page/components/list.php,
+ views/default/page/components/module.php,
+ views/default/page/layouts/one_column.php,
+ views/default/page/layouts/widgets.php: Fixes #4024 fixed a lot of notices -
+ enough for this release - found a few bugs
+
+ * .../views/default/admin/users/unvalidated.php,
+ .../forms/uservalidationbyemail/bulk_action.php,
+ .../views/default/uservalidationbyemail/css.php,
+ .../views/default/uservalidationbyemail/js.php,
+ .../uservalidationbyemail/unvalidated_user.php: Fixes #3867 finished up
+ uservalidationbyemail styling after a great start by Sem
+
+ * views/default/css/admin.php, views/default/css/elements/core.php,
+ views/default/css/elements/helpers.php,
+ views/default/forms/admin/menu/save.php: cleaned up some CSS in admin theme
+
+ * mod/file/languages/en.php, mod/file/start.php,
+ views/default/navigation/listtype.php, views/default/navigation/viewtype.php,
+ views/default/page/elements/sidebar.php: Fixes #1253 added toggle for list
+ types to file plugin. It's not pretty so we may want to style/rethink in
+ future version
+
+ * engine/lib/input.php, engine/lib/views.php,
+ .../views/default/theme_preview/icons/avatars.php,
+ mod/file/views/default/icon/object/file.php,
+ .../views/default/groups/invitationrequests.php,
+ .../views/default/groups/membershiprequests.php,
+ .../notifications/subscriptions/forminternals.php,
+ mod/profile/views/default/profile/owner_block.php,
+ views/default/icon/default.php, views/default/icon/user/default.php,
+ views/default/input/friendspicker.php, views/default/input/userpicker.php,
+ views/default/profile/icon.php, .../river/user/default/profileiconupdate.php:
+ Fixes #3567 standardized classes for icons
+
+ * mod/file/views/default/icon/object/file.php,
+ views/default/icon/default.php, views/default/icon/user/default.php: Fixes
+ #4023 escaping alt and title attributes in icon views
+
+ * mod/groups/start.php: Refs #4059 group icon handler needs to return true
+
+ * views/default/input/date.php, views/default/output/date.php: Fixes #4050
+ using ISO 8601 standard for dates
+
+ * engine/lib/entities.php: Fixes #4018 Refs #3722 merged up to 1.7.14 into
+ master
+
+ * engine/lib/entities.php: fixed spacing on two uses of unset()
+
+ * engine/lib/views.php, js/tests/jsTestDriver.conf,
+ vendors/jquery/jquery-1.6.1.min.js, vendors/jquery/jquery-1.6.2.min.js,
+ vendors/jquery/jquery-1.6.4.min.js, vendors/jquery/jquery-ui-1.8.14.min.js,
+ views/installation/page/default.php: Fixes #4057 updates jQuery version to
+ 1.6.4
+
+ * mod/file/download.php, mod/file/pages/file/download.php,
+ mod/file/pages/file/view.php, mod/file/start.php,
+ mod/file/views/rss/file/enclosure.php: Fixes #4048 using page handler for
+ file downloads
+
+ * engine/lib/database.php: Fixes #4049 casting bool to int so it doesn't
+ disappear in the cache key
+
+ * js/lib/elgglib.js, js/tests/ElggLibTest.js: Fixes #3976 fixed unit tests
+ for normalizing urls and standardized the code from the previous merge
+
+ * js/tests/README, js/tests/jsTestDriver.conf: Changed default port to a
+ common one found in tutorials. Let me know if this is an issue. Also added
+ intructions for running the unit tests.
+
+2011-11-05 Cash Costello <cash.costello@gmail.com>
+
+ * engine/lib/pagehandler.php: Refs #4053 improved documentation of
+ page_handler()
+
+ * actions/avatar/upload.php: Refs #4011 forgot upload action
+
+ * mod/twitter_api/lib/twitter_api.php: Fixes #4061 getting icon sizes from
+ config for twitter plugin
+
+ * engine/lib/users.php, pages/account/login.php: Fixes #4060 combined some
+ page handlers for users lib
+
+2011-11-04 cash <cash.costello@gmail.com>
+
+ * engine/lib/admin.php, engine/lib/cron.php, engine/lib/elgglib.php,
+ engine/lib/entities.php, engine/lib/pagehandler.php, engine/lib/river.php,
+ engine/lib/tags.php, engine/lib/user_settings.php, engine/lib/users.php,
+ mod/blog/start.php, mod/bookmarks/start.php, mod/categories/start.php,
+ mod/dashboard/start.php, mod/developers/start.php,
+ mod/externalpages/start.php, mod/file/start.php, mod/groups/start.php,
+ mod/invitefriends/start.php, mod/members/start.php,
+ mod/messageboard/start.php, mod/messages/start.php,
+ mod/notifications/start.php, mod/pages/start.php, mod/profile/start.php,
+ mod/reportedcontent/start.php, mod/search/start.php, mod/thewire/start.php,
+ mod/twitter_api/start.php, mod/uservalidationbyemail/start.php: Fixes #4059
+ returning true when handling a page
+
+ * engine/lib/admin.php, engine/lib/deprecated-1.8.php,
+ engine/lib/elgglib.php, engine/lib/pagehandler.php, engine/lib/river.php,
+ engine/lib/tags.php, engine/lib/user_settings.php, engine/lib/users.php,
+ mod/blog/start.php, mod/bookmarks/start.php, mod/categories/start.php,
+ mod/dashboard/start.php, mod/developers/start.php, mod/diagnostics/start.php,
+ mod/externalpages/start.php, mod/file/start.php, mod/groups/start.php,
+ mod/invitefriends/start.php, mod/members/start.php,
+ mod/messageboard/start.php, mod/messages/start.php,
+ mod/notifications/start.php, mod/pages/start.php, mod/profile/start.php,
+ mod/reportedcontent/start.php, mod/search/start.php, mod/thewire/start.php,
+ mod/twitter_api/start.php, mod/uservalidationbyemail/start.php: Fixes #4059
+ page handlers all return nothing
+
+ * mod/blog/start.php: fixed typo in comment for blog page handler
+
+2011-11-04 Cash Costello <cash.costello@gmail.com>
+
+ * engine/lib/entities.php: Fixes #4058 updating a subtype if it already
+ exists
+
+ * mod/groups/languages/en.php,
+ .../views/rss/annotation/group_topic_post.php,
+ mod/groups/views/rss/discussion/replies.php,
+ mod/groups/views/rss/forum/topicposts.php,
+ mod/groups/views/rss/forum/topics.php,
+ mod/groups/views/rss/forum/viewposts.php,
+ mod/groups/views/rss/groups/contentwrapper.php,
+ mod/groups/views/rss/groups/profile/layout.php,
+ mod/groups/views/rss/groups/profileitems.php,
+ mod/groups/views/rss/object/groupforumtopic.php: Fixes #4027 updated groups
+ RSS feeds for 1.8
+
+ * .../views/default/custom_index/css.php,
+ .../views/default/page/layouts/custom_index.php: Refs #2937 featured is
+ primary module class and highlight is extension
+
+ * views/default/core/walled_garden/login.php,
+ views/default/core/walled_garden/lost_password.php,
+ views/default/core/walled_garden/register.php,
+ views/default/page/components/module.php: Fixes #4047 header and footer
+ optional for the module view
+
+2011-11-03 Cash Costello <cash.costello@gmail.com>
+
+ * views/default/css/ie7.php: updated CSS for walled garden and IE7
+
+ * views/default/forms/login.php: Fixes #3882 Remember me needed to be
+ floated before the button was added
+
+ * js/tests/jsTestDriver.conf: updated jsTest configuration for new jQuery
+ and hooks library
+
+ * js/tests/ElggLanguagesTest.js, js/tests/ElggSecurityTest.js: Fixes #4051 -
+ fixes security token unit test and language unit tests
+
+ * js/lib/elgglib.js: Fixes #4034 now using approach that exists in IE to
+ find elements in an Array
+
+ * js/lib/hooks.js: Refs #4051 hooks trigger function was returning undefined
+ when it should have been returning true
+
+ * js/classes/ElggPriorityList.js: Refs #4051 insert also needed to check for
+ undefined priorities
+
+ * js/classes/ElggPriorityList.js: Refs #4051 fixed insert for case when
+ priority is set to 0
+
+ * js/tests/ElggPriorityListTest.js: Refs #4051 fixed bad unit test for
+ ElggPriorityList.insert
+
+2011-11-03 Sem <sembrestels@riseup.net>
+
+ * .../forms/uservalidationbyemail/bulk_action.php,
+ .../views/default/uservalidationbyemail/css.php,
+ .../views/default/uservalidationbyemail/js.php,
+ .../uservalidationbyemail/unvalidated_user.php, views/default/css/admin.php:
+ Refs #3867. Unvalidated users is an unordered list now. Added right class and
+ other css helpers to admin css. Standarized css namespaces and dashes in
+ classes. Acomplishing code standards.
+
+2011-11-03 Janek Lasocki-Biczysko <j.lasocki-biczysko@intrallect.com>
+
+ * engine/lib/entities.php: Fixes 4041 (Deleted entities remain in memcache)
+
+2011-11-03 Cash Costello <cash.costello@gmail.com>
+
+ * mod/bookmarks/languages/en.php,
+ mod/bookmarks/views/rss/object/bookmarks.php, mod/file/start.php,
+ mod/file/views/rss/file/enclosure.php, mod/file/views/rss/object/file.php,
+ mod/search/views/rss/search/list.php,
+ mod/search/views/rss/search/listing.php, mod/thewire/languages/en.php,
+ mod/thewire/views/rss/object/thewire.php,
+ views/rss/annotation/generic_comment.php, views/rss/group/default.php,
+ views/rss/object/default.php, views/rss/user/default.php: Refs #4027 updated
+ all rss views except for groups
+
+ * mod/bookmarks/pages/bookmarks/all.php,
+ mod/bookmarks/pages/bookmarks/friends.php, mod/file/languages/en.php,
+ mod/file/pages/file/world.php, mod/groups/languages/en.php,
+ mod/groups/lib/discussion.php, mod/groups/lib/groups.php,
+ .../views/default/discussion/group_module.php: Fixes #4042 made sure empty
+ content pages had a message about no content
+
+2011-11-03 Sem <sembrestels@riseup.net>
+
+ * js/lib/elgglib.js, js/tests/ElggLibTest.js: Fixes #3976.
+ elgg.normalize_url() js function has the fix in #3747.
+
+2011-11-02 Cash Costello <cash.costello@gmail.com>
+
+ * engine/start.php, install/ElggInstaller.php: input lib depends on
+ pageowner lib now
+
+ * .../views/default/theme_preview/forms.php: Refs #4039 updated the theming
+ sandbox for radio button classes
+
+2011-11-02 Sem <sembrestels@riseup.net>
+
+ * .../forms/uservalidationbyemail/bulk_action.php,
+ .../views/default/uservalidationbyemail/css.php,
+ .../uservalidationbyemail/unvalidated_user.php: Refs #3867.
+ elgg_view_image_block used for list users. Bulk operations as a list.
+
+ * mod/uservalidationbyemail/start.php,
+ .../views/default/admin/users/unvalidated.php,
+ .../forms/uservalidationbyemail/bulk_action.php,
+ .../views/default/uservalidationbyemail/css.php,
+ .../views/default/uservalidationbyemail/js.php,
+ .../uservalidationbyemail/unvalidated_user.php: Fixes #3867. Rewrite and
+ style unvalidated users administration area.
+
+2011-11-02 Janek Lasocki-Biczysko <j.lasocki-biczysko@intrallect.com>
+
+ * views/default/css/elements/forms.php: #4039 (Incorrect CSS class set in
+ input/radio)
+
+2011-11-01 cash <cash.costello@gmail.com>
+
+ * .../views/default/admin/developers/settings.php,
+ .../admin/develop_utilities/diagnostics.php,
+ .../views/default/admin/appearance/expages.php,
+ mod/externalpages/views/default/expages/menu.php,
+ views/default/admin/appearance/menu_items.php,
+ views/default/admin/appearance/profile_fields.php,
+ views/default/admin/plugin_settings.php,
+ views/default/admin/settings/advanced.php,
+ views/default/admin/settings/basic.php, views/default/css/admin.php: Fixes
+ #3672 added class for settings forms in admin area
+
+ * engine/lib/access.php, engine/lib/annotations.php,
+ engine/lib/entities.php, engine/lib/location.php, engine/lib/metadata.php,
+ engine/lib/plugins.php, engine/lib/private_settings.php,
+ engine/lib/relationships.php: standardized the elgg_get_entities* function
+ @return documentation
+
+ * mod/blog/lib/blog.php, mod/blog/views/default/object/blog.php,
+ mod/bookmarks/pages/bookmarks/view.php,
+ mod/bookmarks/views/default/object/bookmarks.php,
+ mod/file/views/default/object/file.php,
+ .../views/default/object/groupforumtopic.php,
+ mod/pages/views/default/object/page_top.php,
+ views/default/object/elements/full.php: Fixes #4035 made the entity view
+ pages consistent for blog, bookmarks, file, pages, and forum posts
+
+ * js/lib/ui.js: Fixes #4022 made JS lib a little more consistent
+
+ * .../javascript_coding_standards.txt, js/lib/avatar_cropper.js,
+ js/lib/configuration.js, js/lib/elgglib.js, js/lib/hooks.js, js/lib/ui.js,
+ js/lib/ui.widgets.js, js/lib/userpicker.js,
+ mod/blog/views/default/js/blog/save_draft.php,
+ mod/bookmarks/views/default/bookmarks/js.php,
+ .../views/default/js/developers/developers.php,
+ mod/embed/views/default/js/embed/embed.php,
+ mod/messageboard/views/default/messageboard/js.php,
+ mod/thewire/views/default/js/thewire.php,
+ mod/tinymce/views/default/js/tinymce.php,
+ .../views/default/uservalidationbyemail/js.php: added semi-colons after
+ function expressions
+
+ * engine/classes/ElggUser.php, js/classes/ElggUser.js: Fixes #4007 merged in
+ sembrestels fix for ElggUser.isAdmin but used prototype instead of extending
+ object in constructor
+
+ * views/default/output/text.php: Fixes #4038 corrects comment for view
+ output/text
+
+2011-10-30 cash <cash.costello@gmail.com>
+
+ * engine/lib/elgglib.php,
+ views/default/core/account/login_walled_garden.php,
+ views/default/core/walled_garden/body.php,
+ views/default/core/walled_garden/login.php,
+ views/default/core/walled_garden/lost_password.php,
+ views/default/core/walled_garden/register.php,
+ views/default/css/walled_garden.php, views/default/forms/login.php,
+ views/default/js/walled_garden.php, views/default/page/walled_garden.php:
+ added back support for registration and forgotten passwords to walled garden
+ index
+
+ * _graphics/walled_garden/one_column_bottom.png,
+ _graphics/walled_garden/one_column_middle.png,
+ _graphics/walled_garden/one_column_top.png,
+ _graphics/walled_garden/two_column_bottom.png,
+ _graphics/walled_garden/two_column_middle.png,
+ _graphics/walled_garden/two_column_top.png,
+ _graphics/walled_garden_background_bottom.gif,
+ _graphics/walled_garden_background_extend.gif,
+ _graphics/walled_garden_background_top.gif,
+ _graphics/walled_garden_backgroundfull_bottom.gif,
+ _graphics/walled_garden_backgroundfull_top.gif, engine/lib/elgglib.php,
+ views/default/core/walled_garden/body.php,
+ views/default/css/walled_garden.php, views/default/page/walled_garden.php:
+ basic walled garden implementation for logging in
+
+ * views/default/core/account/login_box.php: added support for specifying the
+ module type to login box
+
+2011-10-30 Brett Profitt <brett.profitt@gmail.com>
+
+ * engine/lib/plugins.php, languages/en.php, views/default/admin/plugins.php:
+ Fixes #2821. Plugins that are active and cannot be started emit an admin
+ notice.
+
+2011-10-30 Cash Costello <cash.costello@gmail.com>
+
+ * engine/lib/input.php, mod/htmlawed/start.php,
+ views/default/output/longtext.php: Fixes #3370 running the anti-spam option
+ of htmlawed when filtering for output
+
+ * engine/lib/output.php: removed non-overridable insertion of inline style
+ to links
+
+ * engine/lib/configuration.php, engine/lib/pageowner.php: setting
+ $CONFIG->context earlier to avoid using the context functions before it is
+ initialized
+
+2011-10-29 Brett Profitt <brett.profitt@gmail.com>
+
+ * actions/widgets/save.php, views/default/widgets/river_widget/content.php,
+ views/default/widgets/river_widget/edit.php: Fixes #4001. Activity widget
+ passes a context so correct output is displayed upon save.
+
+ * js/lib/elgglib.js, js/lib/security.js: Fixes #4010, refs #3927. Fixed
+ elgg.security.addToken() to work with query strings, relative URLs, and full
+ URLs.
+
+ * mod/blog/lib/blog.php, mod/blog/start.php, mod/notifications/index.php,
+ mod/thewire/start.php, mod/tinymce/start.php: Refs #3859. Added
+ elgg_register_simplecache_view() to core plugins' js.
+
+ * engine/lib/cache.php: Fixes #4029, refs #3859.
+ elgg_invalidate_simplecache() resets the lastcached and lastupdate datasets.
+ Added documentation about how to use simple cache views.
+
+2011-10-29 cash <cash.costello@gmail.com>
+
+ * .../examples/plugins/full_manifest/manifest.xml,
+ .../examples/plugins/manifest_options/manifest.xml,
+ .../examples/plugins/simple_manifest/manifest.xml,
+ .../examples/plugins/skeleton/manifest.xml: better organization for the
+ manifests and plugin skeleton
+
+ * .../examples/plugins/full_manifest/manifest.xml,
+ documentation/examples/plugins/manifest.xml,
+ .../examples/plugins/simple_manifest/manifest.xml: creates a simple manifest
+ for people to copy and use
+
+ * .../vendors/oauth/library/OAuthRequestLogger.php,
+ .../vendors/oauth/library/OAuthRequestSigner.php,
+ .../vendors/oauth/library/OAuthRequestVerifier.php,
+ .../vendors/oauth/library/OAuthRequester.php,
+ .../vendors/oauth/library/OAuthServer.php: Refs #4028 reset the oAuth lib to
+ version r64 of oauth-php
+
+ * engine/classes/ElggEntity.php, engine/classes/Locatable.php,
+ languages/en.php, views/default/annotation/generic_comment.php,
+ views/rss/annotation/default.php, views/rss/annotation/generic_comment.php,
+ views/rss/group/default.php, views/rss/object/creator.php,
+ views/rss/object/default.php, views/rss/object/georss.php,
+ views/rss/output/url.php, views/rss/page/components/creator.php,
+ views/rss/page/components/georss.php, views/rss/page/default.php,
+ views/rss/page/elements/comments.php, views/rss/river/item.php,
+ views/rss/search/entity_list.php, views/rss/user/default.php: Fixes #3201
+ updates rss view type for core Elgg
+
+ * views/php/api/output.php, views/php/group/default.php,
+ views/php/object/default.php, views/php/page/default.php,
+ views/php/site/default.php, views/php/user/default.php: Refs #3201 updates
+ the php view type
+
+ * views/json/api/output.php, views/json/entities/entity_list.php,
+ views/json/group/default.php, views/json/object/default.php,
+ views/json/site/default.php, views/json/user/default.php,
+ views/php/search/entity_list.php: Refs #3201 this finishes the updates on the
+ JSON view
+
+ * engine/classes/ElggRiverItem.php, views/json/page/components/list.php,
+ views/json/page/default.php, views/json/river/item.php,
+ views/json/river/item/list.php, views/json/search/entity_list.php: Refs #3201
+ updates json river views and removed old search view
+
+ * engine/lib/views.php, .../views/default/river/object/blog/create.php,
+ .../default/river/object/bookmarks/create.php,
+ .../views/default/river/object/file/create.php,
+ .../river/annotation/group_topic_post/reply.php,
+ mod/groups/views/default/river/group/create.php,
+ .../river/object/groupforumtopic/create.php,
+ .../default/river/relationship/member/create.php,
+ .../default/river/object/messageboard/create.php,
+ .../views/default/river/object/page/create.php,
+ .../views/default/river/object/thewire/create.php,
+ .../river/annotation/generic_comment/create.php,
+ views/default/river/elements/layout.php, views/default/river/item.php,
+ views/default/river/relationship/friend/create.php,
+ .../river/user/default/profileiconupdate.php,
+ views/default/river/user/default/profileupdate.php: adds a river layout view
+ that all river views should use
+
+ * mod/search/search_hooks.php: Refs #3983 merged fix into master
+
+ * pages/avatar/view.php: Refs #3396 fixed the integration of this 1.7 fix
+ into 1.8 and also added better expires header
+
+2011-10-29 Cash Costello <cash.costello@gmail.com>
+
+ * engine/classes/ElggEntity.php, mod/profile/icon.php,
+ mod/profile/icondirect.php, mod/profile/start.php: Fixes #3920 profile plugin
+ adds a fast loading of user avatars
+
+ * mod/messages/pages/messages/read.php, mod/messages/start.php,
+ mod/messages/views/default/object/messages.php: Fixes #4015 decrementing the
+ count before adding to the topbar
+
+2011-10-28 Cash Costello <cash.costello@gmail.com>
+
+ * js/lib/ui.js, views/default/input/date.php: Fixes #4012 when using a
+ timestamp with input/date, return UTC time rather than local time
+
+ * .../views/default/theme_preview/forms.php: using correct date string now
+ in developers form sandbox
+
+2011-10-27 cash <cash.costello@gmail.com>
+
+ * actions/avatar/crop.php, engine/lib/views.php,
+ mod/groups/actions/groups/edit.php: Fixes #4011 icon sizes are configurable
+ now
+
+ * mod/externalpages/start.php, views/default/css/elements/navigation.php,
+ views/default/css/ie7.php, views/default/forms/login.php,
+ views/default/page/walled_garden.php: Fixes #3863 introduced
+ elgg-menu-general so that elgg-menu-footer can be specific to site footer
+
+ * views/default/object/plugin.php, views/default/object/plugin/advanced.php,
+ views/default/object/plugin/full.php, views/default/object/plugin/simple.php:
+ Refs #3917 removed more code from simple plugin interface
+
+ * mod/search/start.php, mod/search/views/default/search/css.php,
+ mod/search/views/default/search/header.php,
+ mod/search/views/default/search/search_box.php: Fixes #3687 added
+ .elgg-search-header for css specific to header search box
+
+ * js/lib/avatar_cropper.js: Fixes #3832 initialize avatar crop area
+
+ * mod/groups/lib/groups.php,
+ .../views/default/groups/membershiprequests.php: Refs #3296 displaying all
+ group membership requests on one page
+
+ * views/rss/page/default.php: Fixes #3150 added a blank description field so
+ RSS is valid - any better ideas?
+
+ * engine/lib/views.php, .../views/default/custom_index/css.php,
+ .../views/default/page/layouts/custom_index.php: Fixes #2937 added
+ .elgg-module-front
+
+2011-10-27 Cash Costello <cash.costello@gmail.com>
+
+ * pages/account/register.php, views/default/css/admin.php,
+ views/default/css/elements/forms.php: Refs #3672 updated account forms for
+ max width
+
+ * engine/lib/users.php: login page should not be displayed to logged in
+ users
+
+2011-10-26 Brett Profitt <brett.profitt@gmail.com>
+
+ * UPGRADE.txt: Made instructions for removing mod/profile clearer.
+
+2011-10-26 Cash Costello <cash.costello@gmail.com>
+
+ * mod/likes/start.php: Fixes #3958 added special catch for liking groups
+
+ * languages/en.php, .../admin/appearance/profile_fields/list.php,
+ views/default/forms/profile/fields/add.php: Fixes #3926 updated spacing for
+ edit profile field forms
+
+2011-10-26 Sem <sembrestels@riseup.net>
+
+ * mod/externalpages/start.php, views/default/css/walled_garden.php,
+ views/default/page/walled_garden.php: Closes #3947. External pages are now
+ viewable by visitors in walled-garden sites. Footer menu is also added into
+ walled-garden logging page.
+
+2011-10-25 cash <cash.costello@gmail.com>
+
+ * views/default/object/elements/summary.php: Fixes #2292 added
+ object/summary/extend view
+
+ * mod/blog/views/default/object/blog.php,
+ mod/bookmarks/views/default/object/bookmarks.php,
+ mod/file/views/default/object/file.php,
+ mod/pages/views/default/object/page_top.php,
+ views/default/object/elements/full.php: added new view for the full display
+ of objects
+
+2011-10-25 Cash Costello <cash.costello@gmail.com>
+
+ * views/ical/export/entity.php, views/ical/object/default.php,
+ views/ical/page/default.php, views/ical/search/entity_list.php: Refs #3201 a
+ working ical view type
+
+2011-10-24 cash <cash.costello@gmail.com>
+
+ * mod/profile/views/default/profile/metatags.php,
+ views/foaf/page/default.php, views/foaf/search/entity_list.php,
+ views/foaf/user/default.php: Refs #3201 updated foaf link to only be added to
+ user's pages
+
+ * views/failsafe/messages/exceptions/exception.php,
+ views/failsafe/page/default.php: Refs #3201 updating failsafe viewtype to
+ Elgg standards for CSS
+
+ * .../admin/develop_utilities/diagnostics.php,
+ .../views/default/forms/diagnostics/download.php: Fixes #3868 removed
+ unnecessary form for downloading the diagnostics report
+
+ * mod/blog/views/default/forms/blog/save.php,
+ mod/blog/views/default/object/blog.php,
+ mod/bookmarks/views/default/object/bookmarks.php,
+ mod/file/views/default/object/file.php,
+ mod/pages/views/default/forms/pages/edit.php,
+ mod/pages/views/default/object/page_top.php: Fixes #3974 blog, bookmarks,
+ file, and pages plugins consistent in integration with categories plugin
+
+2011-10-23 germanazo <germanazo@phpdev>
+
+ * engine/lib/users.php: Cleanned the login failures on passwords resets,
+ this have more sense
+
+2011-10-23 Cash Costello <cash.costello@gmail.com>
+
+ * views/default/page/components/module.php: Fixes #4003 footer in modules is
+ included by default
+
+ * views/default/input/reset.php, views/default/input/submit.php: Refs #3810
+ standardizes the button views
+
+2011-10-23 Brett Profitt <brett.profitt@gmail.com>
+
+ * .../admin/appearance/profile_fields/list.php, views/default/js/admin.php:
+ Fixes #3864. Removed old code from profile fields sorting. Name spaced IDs
+ and classes.
+
+ * engine/lib/elgglib.php, js/lib/avatar_cropper.js, js/lib/ui.js,
+ views/default/forms/avatar/crop.php: Fixes #3914. Pulled avatar code out into
+ its own library.
+
+ * js/lib/ui.js, views/default/css/elements/misc.php,
+ views/default/forms/avatar/crop.php: Fixes #3914. Moved avatar cropping to
+ ui.js.
+
+ * engine/lib/plugins.php: Fixes #3991. Populating provided_by in
+ elgg_get_plugins_provides().
+
+ * UPGRADE.txt: Fixes #3975. Added mod/profile to the list of dirs to remove
+ for an upgrade.
+
+ * mod/blog/manifest.xml, mod/bookmarks/manifest.xml,
+ mod/categories/manifest.xml, mod/custom_index/manifest.xml,
+ mod/dashboard/manifest.xml, mod/developers/manifest.xml,
+ mod/diagnostics/manifest.xml, mod/embed/manifest.xml,
+ mod/externalpages/manifest.xml, mod/file/manifest.xml,
+ mod/garbagecollector/manifest.xml, mod/groups/manifest.xml,
+ mod/htmlawed/manifest.xml, mod/invitefriends/manifest.xml,
+ mod/likes/manifest.xml, mod/logbrowser/manifest.xml,
+ mod/logrotate/manifest.xml, mod/members/manifest.xml,
+ mod/messageboard/manifest.xml, mod/messages/manifest.xml,
+ mod/notifications/manifest.xml, mod/oauth_api/manifest.xml,
+ mod/pages/manifest.xml, mod/profile/manifest.xml,
+ mod/reportedcontent/manifest.xml, mod/search/manifest.xml,
+ mod/tagcloud/manifest.xml, mod/thewire/manifest.xml,
+ mod/tinymce/manifest.xml, mod/twitter/manifest.xml,
+ mod/twitter_api/manifest.xml, mod/uservalidationbyemail/manifest.xml,
+ mod/zaudio/manifest.xml: Fixes #3959. Using elgg_release in core plugins.
+
+2011-10-21 Cash Costello <cash.costello@gmail.com>
+
+ * install/ElggInstaller.php, install/cli/sample_installer.php: Fixes #3995
+ the batch installer now skips the settings file creation step if settings.php
+ already exists
+
+ * install/ElggInstaller.php: Fixes #3994 cleaned up session handling for the
+ installer
+
+ * engine/lib/filestore.php: don't set default filestore if we don't have a
+ dataroot
+
+ * engine/classes/ElggSite.php: updated ElggSite::disable() to conform to
+ ElggEntity::disable()
+
+ * engine/classes/ElggMetadata.php, engine/lib/entities.php: Fixes #3988
+ correctly passing user_guid through canEdit for metadata
+
+ * engine/lib/entities.php: fixed access of non-object property in entities
+ lib
+
+ * engine/lib/access.php: Fixes #3989 fixed access of non-object property in
+ access lib
+
+ * views/installation/input/access.php, views/installation/input/button.php,
+ views/installation/input/checkbox.php, views/installation/input/dropdown.php,
+ views/installation/input/form.php, views/installation/input/password.php,
+ views/installation/input/text.php: cleaned up input views for installation so
+ that no notices are thrown
+
+2011-10-21 Janek Lasocki-Biczysko <j.lasocki-biczysko@intrallect.com>
+
+ * views/default/input/reset.php: #3810 (No class in input/reset view)
+
+2011-10-20 Brett Profitt <brett.profitt@gmail.com>
+
+ * views/default/admin/plugins.php: Fixes #3990 Using
+ ElggPlugin->getFriendlyName() for sorting alphabetically to avoid WSODs with
+ invalid plugins.
+
+ * engine/start.php: Fixes #3680. Explicitly connecting to db in start.php.
+
+ * languages/en.php: Fixes #3968. Added 'on' and 'off' to core language.
+
+ * views/default/forms/widgets/save.php: Fixes #3951. Added label for access
+ dropdown in widget edit view.
+
+2011-10-19 Cash Costello <cash.costello@gmail.com>
+
+ * engine/lib/filestore.php, engine/lib/plugins.php: fixed minor errors in
+ the documentation in plugins and filestore lib
+
+2011-10-18 Cash Costello <cash.costello@gmail.com>
+
+ * engine/lib/users.php: fixed typo in setting the default profile fields
+
+2011-10-17 cash <cash.costello@gmail.com>
+
+ * actions/useradd.php: added another check to useradd action
+
+2011-10-17 Cash Costello <cash.costello@gmail.com>
+
+ * engine/classes/ElggPluginPackage.php: added additional readme names for
+ display on plugin page
+
+2011-10-15 Brett Profitt <brett.profitt@gmail.com>
+
+ * js/lib/elgglib.js, js/lib/hooks.js: Fixes #3540. Added "instant hooks" to
+ JS hooks engine. elgg.register_instant_hook(name, type) will cause any
+ handler registering to that hook to be immediately executed if the hook has
+ been previously triggered. Open for better suggestions about the name.
+
+2011-10-14 Brett Profitt <brett.profitt@gmail.com>
+
+ * js/lib/security.js: Fixes #3927. elgg.security.addToken() works for URLs
+ without query strings.
+
+ * js/lib/elgglib.js: Refs #3927, #3976. Added elgg.parse_url() and
+ elgg.parse_str().
+
+2011-10-14 Janek Lasocki-Biczysko <j.lasocki-biczysko@intrallect.com>
+
+ * engine/classes/ElggMemcache.php: Ticket #3928 updated
+ ElggMemecache->save() to take 3rd optional parameter
+
+2011-10-14 Cash Costello <cash.costello@gmail.com>
+
+ * .../river/user/default/profileiconupdate.php: Fixes #3977 not showing
+ hover menu on avatar update in river
+
+2011-10-13 Brett Profitt <brett.profitt@gmail.com>
+
+ * engine/lib/annotations.php: Fixes #3901. Corrected typo for maxtime
+ sorting.
+
+ * actions/admin/plugins/simple_update_states.php,
+ documentation/examples/plugins/manifest.xml,
+ engine/classes/ElggPluginManifest.php,
+ engine/classes/ElggPluginManifestParser18.php, engine/lib/admin.php,
+ engine/tests/api/plugins.php, engine/tests/test_files/plugin_18/manifest.xml,
+ languages/en.php, mod/blog/manifest.xml, mod/bookmarks/manifest.xml,
+ mod/categories/manifest.xml, mod/custom_index/manifest.xml,
+ mod/dashboard/manifest.xml, mod/developers/manifest.xml,
+ mod/diagnostics/manifest.xml, mod/embed/manifest.xml,
+ mod/externalpages/manifest.xml, mod/file/manifest.xml,
+ mod/garbagecollector/manifest.xml, mod/groups/manifest.xml,
+ mod/htmlawed/manifest.xml, mod/invitefriends/manifest.xml,
+ mod/likes/manifest.xml, mod/logbrowser/manifest.xml,
+ mod/logrotate/manifest.xml, mod/members/manifest.xml,
+ mod/messageboard/manifest.xml, mod/messages/manifest.xml,
+ mod/notifications/manifest.xml, mod/pages/manifest.xml,
+ mod/profile/manifest.xml, mod/reportedcontent/manifest.xml,
+ mod/search/manifest.xml, mod/tagcloud/manifest.xml, mod/thewire/manifest.xml,
+ mod/tinymce/manifest.xml, mod/twitter/manifest.xml,
+ mod/uservalidationbyemail/manifest.xml, mod/zaudio/manifest.xml: Fixes #3917.
+ Removed uses of admin interface in manifests. Removed related code.
+
+2011-10-13 cash <cash.costello@gmail.com>
+
+ * js/lib/userpicker.js, views/default/input/userpicker.php: added
+ documentation for the userpicker
+
+2011-10-13 Brett Profitt <brett.profitt@gmail.com>
+
+ * mod/categories/listing.php, mod/categories/pages/categories/listing.php,
+ mod/categories/start.php: Fixes #3746. Moved the categories page handler
+ script. Updated the layout call.
+
+ * views/default/css/admin.php: Fixes #3880. Removed the too greedy CSS rule
+ for elgg-admin-notice a so only the close icon is float right.
+
+2011-10-13 cash <cash.costello@gmail.com>
+
+ * js/lib/userpicker.js: fixed bug where a removed user could not be added
+ again in userpicker
+
+2011-10-13 Brett Profitt <brett.profitt@gmail.com>
+
+ * languages/en.php: Fixes #3734. Changed "Tested value" to "Expected value"
+ in the plugin deps matrix.
+
+2011-10-13 cash <cash.costello@gmail.com>
+
+ * engine/lib/input.php, js/lib/userpicker.js,
+ views/default/css/elements/forms.php, views/default/input/userpicker.php:
+ displaying users that have been selected and added remove capability
+
+2011-10-13 Brett Profitt <brett.profitt@gmail.com>
+
+ * mod/twitter_api/languages/en.php,
+ .../default/plugins/twitter_api/usersettings.php: Fixes #3177. Don't show the
+ authorize twitter plugin setting if the admin hasn't set up the plugin.
+
+2011-10-13 cash <cash.costello@gmail.com>
+
+ * js/lib/userpicker.js, views/default/input/userpicker.php: got the friends
+ option working for user picker
+
+2011-10-12 Brett Profitt <brett.profitt@gmail.com>
+
+ * engine/lib/output.php, engine/tests/api/helpers.php: Fixes #3747. Using
+ filter_var to check for any valid URI.
+
+2011-10-12 cash <cash.costello@gmail.com>
+
+ * engine/lib/input.php, mod/groups/views/default/group/default.php,
+ views/default/css/elements/forms.php, views/default/object/default.php,
+ views/default/user/default.php: Simple theme for autocomplete
+
+2011-10-11 Brett Profitt <brett.profitt@gmail.com>
+
+ * version.php: Setting version to 1.8.1b1 instead of 1.8.1b.
+
+ * CHANGES.txt: Fixed extra space in changes.
+
+2011-10-10 Brett Profitt <brett.profitt@gmail.com>
+
+ * CHANGES.txt: Ordered the bugfixes in a for relevance.
+
+ * CHANGES.txt: Fixed stray * in changes.
+
+ * CHANGES.txt, version.php: Version bump. Updated changes.
+
+2011-10-10 cash <cash.costello@gmail.com>
+
+ * engine/lib/user_settings.php, languages/en.php: Fixes #3952 handling
+ exceptions when password checks fail when changing password
+
+ * mod/blog/lib/blog.php, mod/bookmarks/pages/bookmarks/friends.php,
+ mod/bookmarks/pages/bookmarks/owner.php, mod/file/pages/file/friends.php,
+ mod/file/pages/file/owner.php, mod/pages/pages/pages/friends.php,
+ mod/pages/pages/pages/owner.php, mod/thewire/pages/thewire/friends.php,
+ mod/thewire/pages/thewire/owner.php: Refs #3916 added checks to all content
+ plugins in master for page owner in mine and friends pages
+
+ * mod/file/actions/file/upload.php, mod/file/languages/en.php: Refs #3919
+ merged file upload failure check to master
+
+2011-10-10 Cash Costello <cash.costello@gmail.com>
+
+ * actions/widgets/save.php: Fixes #3950 falling back to deprecated view when
+ saving a widget
+
+ * .../views/default/widgets/bookmarks/edit.php: Fixes #3949 bookmarks widget
+ fix
+
+2011-10-09 Cash Costello <cash.costello@gmail.com>
+
+ * languages/en.php, mod/messages/languages/en.php,
+ mod/notifications/languages/en.php,
+ .../core/settings/account/notifications.php: Fixes #595 separating email
+ address from email notification in language strings
+
+ * js/lib/ui.js, views/default/core/account/login_box.php,
+ views/default/forms/login.php, views/default/forms/register.php,
+ views/default/forms/user/requestnewpassword.php: Fixes #3673 added autofocus
+ class
+
+ * engine/lib/sites.php: Fixes #3796 get_site_by_url() now respects class
+ inheritance
+
+ * engine/classes/ElggSite.php: Fixes #3729 handling forwarding to page when
+ logging into a walled garden
+
+ * engine/tests/api/access_collections.php,
+ engine/tests/api/entity_getter_functions.php, engine/tests/api/helpers.php,
+ engine/tests/api/metadata.php, engine/tests/objects/entities.php: Fixes #3948
+ cleaning up stuff left behind by unit tests
+
+ * engine/classes/ElggSite.php: Fixes #3878 registering the security token
+ action as an external page
+
+2011-10-08 Cash Costello <cash.costello@gmail.com>
+
+ * engine/tests/objects/entities.php: Fixes #3946 deleting the temporary
+ entities created in entity unit tests
+
+ * engine/lib/entities.php, engine/tests/objects/sites.php: Fixes #3897 fixed
+ source of infinite regression loop in delete_entity()
+
+ * engine/classes/ElggAnnotation.php, engine/lib/access.php,
+ engine/lib/annotations.php, engine/tests/api/access_collections.php,
+ engine/tests/api/helpers.php, engine/tests/api/metadata.php,
+ engine/tests/objects/entities.php, engine/tests/objects/users.php,
+ engine/tests/regression/trac_bugs.php, mod/groups/start.php: Fixes #3942
+ fixed all the warnings and notices in the unit tests
+
+ * engine/classes/ElggPriorityList.php: Fixes #3945 using reset on the array
+
+ * engine/lib/widgets.php: Fixes #3944 fixing the default widgets
+ implementation
+
+ * engine/lib/entities.php: Fixes #3943 returning false in
+ can_write_to_container() if container does not exist
+
+ * engine/lib/elgglib.php: need to check if something exists before checking
+ its type
+
+ * engine/tests/ui/submenu.php: removed unused unit tests for old submenu
+ code
+
+ * engine/classes/ElggPlugin.php, engine/lib/plugins.php,
+ engine/lib/private_settings.php: Fixes #3743 returning bool instead of int
+ when setting a private setting
+
+ * .../views/default/plugins/logrotate/settings.php: fixed bug with variable
+ name in log rotation
+
+ * engine/lib/access.php, engine/lib/actions.php, engine/lib/admin.php,
+ engine/lib/annotations.php, engine/lib/cache.php, engine/lib/calendar.php,
+ engine/lib/configuration.php, engine/lib/cron.php, engine/lib/database.php,
+ engine/lib/elgglib.php, engine/lib/entities.php, engine/lib/export.php,
+ engine/lib/extender.php, engine/lib/filestore.php, engine/lib/group.php,
+ engine/lib/input.php, engine/lib/metadata.php, engine/lib/metastrings.php,
+ engine/lib/navigation.php, engine/lib/notification.php,
+ engine/lib/objects.php, engine/lib/opendd.php, engine/lib/output.php,
+ engine/lib/pagehandler.php, engine/lib/pageowner.php, engine/lib/pam.php,
+ engine/lib/plugins.php, engine/lib/private_settings.php,
+ engine/lib/relationships.php, engine/lib/river.php, engine/lib/sessions.php,
+ engine/lib/sites.php, engine/lib/statistics.php, engine/lib/system_log.php,
+ engine/lib/tags.php, engine/lib/upgrade.php, engine/lib/user_settings.php,
+ engine/lib/users.php, engine/lib/views.php, engine/lib/web_services.php,
+ engine/lib/widgets.php, engine/lib/xml-rpc.php: Fixes #3936 added @access
+ private to internal functions
+
+ * engine/lib/actions.php: Fixes #3941 Refs #3936 added @access private in
+ action lib and added elgg_unregister_action()
+
+ * engine/lib/access.php, engine/lib/views.php: Fixes #3937 updated the
+ documentation for the access lib and a few minor code clean-ups
+
+ * engine/classes/ElggMenuItem.php,
+ mod/blog/views/default/blog/group_module.php,
+ mod/blog/views/default/blog/sidebar/revisions.php,
+ mod/blog/views/default/object/blog.php,
+ mod/blog/views/default/widgets/blog/content.php,
+ .../views/default/bookmarks/group_module.php,
+ mod/bookmarks/views/default/object/bookmarks.php,
+ .../views/default/widgets/bookmarks/content.php,
+ .../views/default/theme_preview/general.php,
+ .../admin/develop_utilities/diagnostics.php,
+ mod/file/views/default/file/group_module.php,
+ mod/file/views/default/icon/object/file.php,
+ mod/file/views/default/object/file.php,
+ .../views/default/widgets/filerepo/content.php,
+ .../views/default/discussion/group_module.php,
+ .../views/default/groups/invitationrequests.php,
+ .../views/default/groups/membershiprequests.php,
+ .../default/groups/profile/activity_module.php,
+ .../views/default/groups/profile/summary.php,
+ .../views/default/groups/sidebar/members.php,
+ .../views/default/object/groupforumtopic.php,
+ .../default/widgets/a_users_groups/content.php,
+ mod/likes/views/default/annotation/likes.php,
+ mod/likes/views/default/likes/button.php,
+ mod/logbrowser/views/default/logbrowser/table.php,
+ .../views/default/widgets/messageboard/content.php,
+ mod/messages/views/default/object/messages.php,
+ mod/pages/views/default/annotation/page.php,
+ mod/pages/views/default/object/page_top.php,
+ mod/pages/views/default/pages/group_module.php,
+ mod/pages/views/default/widgets/pages/content.php,
+ .../views/default/object/reported_content.php,
+ mod/thewire/views/default/object/thewire.php,
+ .../views/default/river/object/thewire/create.php,
+ .../views/default/thewire/profile_status.php,
+ .../views/default/widgets/thewire/content.php,
+ .../forms/twitter_api/interstitial_settings.php,
+ .../forms/uservalidationbyemail/bulk_action.php,
+ views/default/admin/appearance/default_widgets.php,
+ .../admin/appearance/profile_fields/list.php, views/default/admin/header.php,
+ views/default/icon/default.php, views/default/icon/user/default.php,
+ views/default/navigation/breadcrumbs.php,
+ views/default/navigation/menu/user_hover.php,
+ views/default/navigation/pagination.php, views/default/navigation/tabs.php,
+ views/default/object/admin_notice.php, views/default/object/default.php,
+ views/default/object/elements/summary.php,
+ views/default/object/plugin/advanced.php,
+ views/default/object/plugin/simple.php,
+ views/default/object/widget/elements/controls.php,
+ views/default/output/tag.php, views/default/output/url.php,
+ views/default/page/elements/footer.php,
+ views/default/page/elements/tagcloud_block.php,
+ views/default/page/layouts/widgets/add_button.php,
+ views/default/river/elements/body.php,
+ views/default/river/elements/responses.php,
+ views/default/river/elements/summary.php,
+ .../river/user/default/profileiconupdate.php,
+ views/default/river/user/default/profileupdate.php,
+ views/default/widgets/content_stats/content.php: Fixes #3411 output/url now
+ has a is_trusted parameter - defaults to false
+
+2011-10-07 Cash Costello <cash.costello@gmail.com>
+
+ * languages/en.php, .../admin/appearance/profile_fields/list.php,
+ views/default/forms/profile/fields/add.php: Fixes #3644 localized profile
+ field names
+
+2011-10-06 cash <cash.costello@gmail.com>
+
+ * engine/lib/elgglib.php, engine/lib/input.php, js/lib/autocomplete.js,
+ vendors/jquery/jquery.ui.autocomplete.html.js,
+ views/default/input/autocomplete.php, views/default/user/default.php: using
+ html extension to jquery.ui autocomplete
+
+ * engine/lib/input.php: Fixes #3932 Refs #3931 improved the livesearch
+ endpoint
+
+2011-10-05 cash <cash.costello@gmail.com>
+
+ * actions/useradd.php, engine/lib/users.php, languages/en.php: Fixes #3704
+ displaying error messages when adding users through admin interface
+
+2011-10-04 Brett Profitt <brett.profitt@gmail.com>
+
+ * mod/tinymce/vendor/tinymce/changelog.txt,
+ mod/tinymce/vendor/tinymce/examples/full.html,
+ .../vendor/tinymce/jscripts/tiny_mce/langs/en.js,
+ .../tiny_mce/plugins/advhr/langs/en_dlg.js,
+ .../tiny_mce/plugins/advimage/editor_plugin.js,
+ .../tiny_mce/plugins/advimage/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/advimage/js/image.js,
+ .../tiny_mce/plugins/advimage/langs/en_dlg.js,
+ .../tiny_mce/plugins/advlink/langs/en_dlg.js,
+ .../tiny_mce/plugins/advlist/editor_plugin.js,
+ .../tiny_mce/plugins/advlist/editor_plugin_src.js,
+ .../tiny_mce/plugins/autolink/editor_plugin.js,
+ .../tiny_mce/plugins/autolink/editor_plugin_src.js,
+ .../tiny_mce/plugins/autoresize/editor_plugin.js,
+ .../plugins/autoresize/editor_plugin_src.js,
+ .../tiny_mce/plugins/autosave/editor_plugin.js,
+ .../tiny_mce/plugins/autosave/editor_plugin_src.js,
+ .../tiny_mce/plugins/contextmenu/editor_plugin.js,
+ .../plugins/contextmenu/editor_plugin_src.js,
+ .../plugins/emotions/img/smiley-foot-in-mouth.gif,
+ .../plugins/emotions/img/smiley-laughing.gif,
+ .../plugins/emotions/img/smiley-sealed.gif,
+ .../tiny_mce/plugins/emotions/img/smiley-smile.gif,
+ .../plugins/emotions/img/smiley-surprised.gif,
+ .../tiny_mce/plugins/emotions/img/smiley-wink.gif,
+ .../tiny_mce/plugins/emotions/langs/en_dlg.js,
+ .../plugins/example_dependency/editor_plugin.js,
+ .../example_dependency/editor_plugin_src.js,
+ .../tiny_mce/plugins/fullpage/editor_plugin.js,
+ .../tiny_mce/plugins/fullpage/editor_plugin_src.js,
+ .../tiny_mce/plugins/fullpage/langs/en_dlg.js,
+ .../tiny_mce/plugins/fullscreen/editor_plugin.js,
+ .../plugins/fullscreen/editor_plugin_src.js,
+ .../tiny_mce/plugins/fullscreen/fullscreen.htm,
+ .../tiny_mce/plugins/inlinepopups/editor_plugin.js,
+ .../plugins/inlinepopups/editor_plugin_src.js,
+ .../inlinepopups/skins/clearlooks2/img/alert.gif,
+ .../inlinepopups/skins/clearlooks2/img/button.gif,
+ .../inlinepopups/skins/clearlooks2/img/confirm.gif,
+ .../inlinepopups/skins/clearlooks2/img/corners.gif,
+ .../skins/clearlooks2/img/vertical.gif,
+ .../tiny_mce/plugins/layer/editor_plugin.js,
+ .../tiny_mce/plugins/layer/editor_plugin_src.js,
+ .../tiny_mce/plugins/lists/editor_plugin.js,
+ .../tiny_mce/plugins/lists/editor_plugin_src.js,
+ .../tiny_mce/plugins/media/editor_plugin.js,
+ .../tiny_mce/plugins/media/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/media/js/media.js,
+ .../tiny_mce/plugins/media/langs/en_dlg.js,
+ .../jscripts/tiny_mce/plugins/media/media.htm,
+ .../tiny_mce/plugins/nonbreaking/editor_plugin.js,
+ .../plugins/nonbreaking/editor_plugin_src.js,
+ .../tiny_mce/plugins/noneditable/editor_plugin.js,
+ .../plugins/noneditable/editor_plugin_src.js,
+ .../tiny_mce/plugins/paste/editor_plugin.js,
+ .../tiny_mce/plugins/paste/editor_plugin_src.js,
+ .../tiny_mce/plugins/paste/langs/en_dlg.js,
+ .../tiny_mce/plugins/searchreplace/langs/en_dlg.js,
+ .../tiny_mce/plugins/spellchecker/editor_plugin.js,
+ .../plugins/spellchecker/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/style/js/props.js,
+ .../tiny_mce/plugins/style/langs/en_dlg.js,
+ .../jscripts/tiny_mce/plugins/style/props.htm,
+ .../tiny_mce/plugins/tabfocus/editor_plugin.js,
+ .../tiny_mce/plugins/tabfocus/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/table/cell.htm,
+ .../tiny_mce/plugins/table/editor_plugin.js,
+ .../tiny_mce/plugins/table/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/table/js/cell.js,
+ .../jscripts/tiny_mce/plugins/table/js/row.js,
+ .../tiny_mce/plugins/table/langs/en_dlg.js,
+ .../jscripts/tiny_mce/plugins/table/row.htm,
+ .../jscripts/tiny_mce/plugins/table/table.htm,
+ .../tiny_mce/plugins/template/langs/en_dlg.js,
+ .../tiny_mce/plugins/wordcount/editor_plugin.js,
+ .../plugins/wordcount/editor_plugin_src.js,
+ .../tiny_mce/plugins/xhtmlxtras/langs/en_dlg.js,
+ .../tiny_mce/themes/advanced/editor_template.js,
+ .../themes/advanced/editor_template_src.js,
+ .../tiny_mce/themes/advanced/img/colorpicker.jpg,
+ .../tiny_mce/themes/advanced/img/flash.gif,
+ .../tiny_mce/themes/advanced/img/icons.gif,
+ .../tiny_mce/themes/advanced/img/quicktime.gif,
+ .../tiny_mce/themes/advanced/img/shockwave.gif,
+ .../jscripts/tiny_mce/themes/advanced/js/anchor.js,
+ .../jscripts/tiny_mce/themes/advanced/js/image.js,
+ .../jscripts/tiny_mce/themes/advanced/langs/en.js,
+ .../tiny_mce/themes/advanced/langs/en_dlg.js,
+ .../themes/advanced/skins/default/content.css,
+ .../themes/advanced/skins/default/img/buttons.png,
+ .../themes/advanced/skins/default/img/items.gif,
+ .../themes/advanced/skins/default/img/tabs.gif,
+ .../tiny_mce/themes/advanced/skins/default/ui.css,
+ .../themes/advanced/skins/highcontrast/content.css,
+ .../themes/advanced/skins/highcontrast/ui.css,
+ .../themes/advanced/skins/o2k7/content.css,
+ .../themes/advanced/skins/o2k7/img/button_bg.png,
+ .../advanced/skins/o2k7/img/button_bg_black.png,
+ .../advanced/skins/o2k7/img/button_bg_silver.png,
+ .../tiny_mce/themes/advanced/skins/o2k7/ui.css,
+ .../jscripts/tiny_mce/themes/simple/img/icons.gif,
+ .../jscripts/tiny_mce/themes/simple/langs/en.js,
+ .../vendor/tinymce/jscripts/tiny_mce/tiny_mce.js,
+ .../tinymce/jscripts/tiny_mce/tiny_mce_src.js,
+ .../jscripts/tiny_mce/utils/editable_selects.js: Refs #3853. Upgraded TinyMCE
+ to 3.4.6. Embed still inserts the content in the wrong place for IE 8.
+
+ * pages/avatar/view.php: Refs #3396. Added try / catch for avatar icon.
+
+2011-10-04 cash <cash.costello@gmail.com>
+
+ * mod/blog/lib/blog.php: Fixes #3793 blogs respect closed groups for main
+ index page
+
+ * .../views/default/object/reported_content.php,
+ views/default/css/admin.php: Refs #3809 implemented Evan's suggestion for
+ button spacing
+
+ * engine/lib/users.php: Fixes #3700 using different names for add friend and
+ remove friend user hover menu items
+
+ * mod/groups/lib/groups.php: Fixes #3841 not displaying leave group button
+ to group owners
+
+ * mod/groups/lib/groups.php: Fixes #3898 checking whether the viewer is
+ logged in before adding join/requet buttons
+
+ * engine/lib/entities.php: Fixes #3753 removed deprecated functions from
+ delete_entity()
+
+2011-10-03 cash <cash.costello@gmail.com>
+
+ * views/default/css/admin.php: Fixes #3866 added CSS for entity menu in
+ admin theme
+
+ * mod/embed/README.txt, mod/embed/views/default/embed/item.php,
+ mod/embed/views/default/js/embed/embed.php,
+ mod/file/views/default/icon/object/file.php, views/default/icon/default.php:
+ using better insert class
+
+ * mod/embed/README.txt, mod/embed/views/default/embed/css.php,
+ mod/embed/views/default/embed/layout.php,
+ mod/embed/views/default/js/embed/embed.php,
+ mod/embed/views/default/navigation/menu/embed.php, mod/file/start.php,
+ .../views/default/embed/file_upload/content.php: removed the sections from
+ the menu to provide more flexibility in tab ordering
+
+2011-10-02 Brett Profitt <brett.profitt@gmail.com>
+
+ * pages/avatar/view.php: Fixed avatar handling for default icons if the
+ uploaded file is missing.
+
+ * engine/lib/access.php, engine/lib/annotations.php,
+ engine/lib/entities.php, engine/lib/metadata.php,
+ engine/lib/relationships.php: Merged docs update for getters from 1.7.
+
+ * engine/classes/ElggFile.php, mod/file/actions/file/upload.php: Merged file
+ mime type detection from 1.7.
+
+ * engine/lib/database.php: Merged fixes for delayed queries from 1.7.
+
+ * engine/tests/regression/trac_bugs.php: Merged test for
+ can_write_to_container().
+
+2011-09-10 Brett Profitt <brett.profitt@gmail.com>
+
+ * engine/tests/suite.php: Fixes #3675. Added admin gate keeper to unit
+ tests.
+
+2011-10-02 Brett Profitt <brett.profitt@gmail.com>
+
+ * mod/categories/deactivate.php: Removing admin notice for unpopulated
+ categories when disabling categories plugin.
+
+ * actions/admin/plugins/activate.php,
+ actions/admin/plugins/activate_all.php, actions/admin/plugins/deactivate.php,
+ actions/admin/plugins/deactivate_all.php,
+ actions/admin/plugins/set_priority.php, engine/classes/ElggPlugin.php,
+ engine/classes/ElggPluginPackage.php, languages/en.php: Fixes #3915. Added
+ ElggPlugin->getFriendlyName() to check for manifest->getName() first, then
+ for plugin ID. Added better descriptions for plugin activation errors.
+
+2011-10-01 cash <cash.costello@gmail.com>
+
+ * engine/lib/navigation.php, engine/lib/views.php: Fixes #3860 changed
+ context-sensitive to dynamic to describe menus
+
+ * mod/bookmarks/actions/bookmarks/save.php, mod/bookmarks/languages/en.php:
+ Fixes #3686 added work around for PHP bug when validating URLs with dashes
+
+2011-10-01 Cash Costello <cash.costello@gmail.com>
+
+ * views/default/forms/avatar/crop.php: Fixes #3888 correcting initialization
+ of avatar cropping library
+
+ * engine/lib/elgglib.php, views/default/forms/avatar/crop.php: Fixes #3913
+ registering jquery.imgareaselect
+
+ * actions/avatar/upload.php: Fixes #3912 checking that the upload succeeded
+ before resizing
+
+ * languages/en.php: more was defined twice in the core language file
+
+2011-10-01 cash <cash.costello@gmail.com>
+
+ * mod/embed/README.txt, mod/embed/views/default/js/embed/embed.php: Updated
+ the instructions
+
+ * mod/embed/views/default/js/embed/embed.php,
+ mod/file/views/default/embed/file/content.php,
+ .../views/default/embed/file_upload/content.php: fixed the forwarding of an
+ embed upload form
+
+ * mod/embed/start.php, mod/embed/views/default/embed/css.php,
+ mod/embed/views/default/embed/item.php,
+ mod/embed/views/default/js/embed/embed.php: cleaned up the embed item view
+ and removed the inline js
+
+ * mod/embed/views/default/embed/css.php,
+ mod/embed/views/default/embed/embed.php,
+ mod/embed/views/default/embed/item.php,
+ mod/embed/views/default/embed/list.php,
+ mod/embed/views/default/embed/tabs.php,
+ mod/embed/views/default/group/default/embed.php,
+ mod/embed/views/default/js/embed/embed.php,
+ mod/embed/views/default/object/default/embed.php,
+ mod/embed/views/default/object/file/embed.php,
+ mod/embed/views/default/object/file/embedlist.php,
+ mod/embed/views/default/site/default/embed.php,
+ mod/embed/views/default/user/default/embed.php: removed unnecessary code
+
+ * mod/embed/languages/en.php, mod/embed/start.php,
+ mod/embed/views/default/embed/item.php,
+ mod/embed/views/default/embed/layout.php,
+ mod/embed/views/default/embed/list.php,
+ mod/embed/views/default/js/embed/embed.php,
+ mod/embed/views/default/navigation/menu/embed.php, mod/file/start.php: using
+ the menu system for the embed tabs and adding a reusable select list view
+
+ * engine/lib/configuration.php: Fixes #3826 using wwwroot in 1.8.x releases
+
+2011-09-30 cash <cash.costello@gmail.com>
+
+ * views/default/forms/useradd.php: Fixes #3890 removed admin check in
+ useradd form since it is only used by admins
+
+ * engine/lib/navigation.php, mod/groups/start.php,
+ mod/likes/views/default/annotation/likes.php, mod/messageboard/start.php,
+ mod/messages/views/default/object/messages.php,
+ views/default/core/friends/collection.php,
+ views/default/output/confirmlink.php: Fixes #3904 action word should come
+ first for encode_text parameter
+
+2011-09-29 cash <cash.costello@gmail.com>
+
+ * views/default/css/elements/forms.php: Fixes #3894 ui-datepicker should
+ start out hidden
+
+ * views/default/css/elements/forms.php: Fixes #3666, #3748 distinct CSS for
+ inline vs popup date picker and fixed position bug for prev/next links in
+ header
+
+ * engine/lib/access.php, engine/lib/annotations.php,
+ engine/lib/metadata.php, engine/lib/plugins.php,
+ engine/lib/private_settings.php, engine/lib/relationships.php: Fixes #3827
+ updated documentation on @return for elgg_get_entities* functions
+
+2011-09-28 Brett Profitt <brett.profitt@gmail.com>
+
+ * engine/lib/elgglib.php, engine/lib/views.php,
+ engine/tests/api/helpers.php: Fixes #3891. elgg_register_external_file()
+ defaults priority to 500. Elgg's default CSS now at 500 instead of 1.
+
+ * .../views/default/groups/invitationrequests.php,
+ .../views/default/groups/membershiprequests.php,
+ mod/profile/views/default/profile/owner_block.php,
+ views/default/core/avatar/upload.php, views/default/forms/avatar/crop.php,
+ views/default/icon/user/default.php: Fixes #3879. Removed some instances of
+ deprecated views / functions.
+
+ * mod/file/pages/file/search.php: Fixes #3823. More carefully casting to int
+ for file's search.
+
+ * engine/tests/api/plugins.php: Refs #3808. Removed failing tests for
+ manifest translations.
+
+ * engine/lib/entities.php, engine/lib/metastrings.php, engine/lib/river.php,
+ engine/lib/tags.php, engine/tests/api/entity_getter_functions.php: Fixes
+ #3713. elgg_get_entities() and friends return false if passed invalid
+ options.
+
+2011-09-26 cash <cash.costello@gmail.com>
+
+ * engine/lib/output.php, views/default/input/checkboxes.php,
+ views/default/input/date.php, views/default/input/dropdown.php,
+ views/default/input/radio.php, views/default/input/userpicker.php: Fixes
+ #2921 clearing new ignore internal variables
+
+2011-09-25 cash <cash.costello@gmail.com>
+
+ * engine/lib/navigation.php, views/default/navigation/menu/site.php: Fixes
+ #3876 fixed dangling more site menu when items are manually selected - thanks
+ to JEBailey
+
+ * ...0.1-forum_reply_river_view-5758ce8d86ac56ce.php, version.php: Fixes
+ #3877 updates river db table for replying to forum topics
+
+ * languages/en.php, views/default/river/user/default/profileupdate.php: Refs
+ #3842 adding river view back so that those upgrading from earlier Elgg views
+ don't have empty river messages
+
+2011-09-24 Cash Costello <cash.costello@gmail.com>
+
+ * views/default/css/admin.php, views/default/css/elements/icons.php,
+ views/default/css/ie.php, views/default/css/ie7.php: Fixes #3653 shrink
+ wrapping .elgg-avatar so that hover menu arrow is constrained to the avatar
+ image
+
+ * engine/lib/views.php, mod/embed/views/default/embed/css.php,
+ views/default/css/elements/core.php,
+ views/default/css/elements/navigation.php, views/default/css/ie.php,
+ views/default/css/ie6.php, views/default/css/ie7.php,
+ views/default/page/elements/head.php: Fixes #3845 added ie7 css view and
+ tested all current hacks for ie7
+
+2011-09-24 cash <cash.costello@gmail.com>
+
+ * mod/file/languages/en.php: Fixes #3858 added file notification string
+ translation - thanks to slyhne
+
+ * .../views/default/forms/logbrowser/refine.php,
+ .../views/default/object/reported_content.php,
+ .../views/default/reportedcontent/admin_css.php, views/default/css/admin.php,
+ views/default/forms/admin/plugins/change_state.php,
+ views/default/forms/admin/plugins/filter.php,
+ views/default/forms/admin/plugins/sort.php: Fixes #3809 updated admin buttons
+ css
+
+ * engine/lib/deprecated-1.8.php, engine/lib/river.php, engine/lib/views.php,
+ mod/likes/views/default/likes/count.php,
+ mod/likes/views/default/likes/css.php, mod/likes/views/default/likes/js.php,
+ mod/messageboard/views/default/messageboard/js.php,
+ views/default/css/elements/components.php: Fixes #3828 correct list classes
+
+ * .../admin/administer_utilities/logbrowser.php,
+ mod/logbrowser/views/default/logbrowser/form.php,
+ mod/logbrowser/views/default/logbrowser/refine.php: Fixes #3865 updated
+ logbrowser refine form
+
+ * views/default/css/admin.php: Fixes #3788 pagination highlighting updated
+ for admin theme
+
+ * views/default/admin/appearance/profile_fields.php,
+ views/default/forms/profile/fields/add.php,
+ views/default/forms/profile/fields/reset.php: Refs #3864 updated the two form
+ bodies for edit profile fields
+
+ * views/default/forms/account/settings.php, views/default/forms/login.php,
+ views/default/forms/plugins/settings/save.php,
+ views/default/forms/plugins/usersettings/save.php,
+ views/default/forms/register.php, views/default/forms/usersettings/save.php:
+ Fixes #3856 adds elgg-foot to forms missing it in /views/default/forms and
+ updated documentation
+
+2011-09-23 Brett Profitt <brett.profitt@gmail.com>
+
+ * engine/handlers/cache_handler.php: Refs #3859. Using PHP internal
+ functions to expire cache in +6 months instead of doing math manually to
+ avoid int overflow.
+
+2011-09-22 cash <cash.costello@gmail.com>
+
+ * mod/embed/views/default/embed/css.php,
+ mod/file/views/default/embed/file/content.php: Fixes #3795 not inserting the
+ file title when embeding a file and tweaked css - thanks to slyhne
+
+ * engine/classes/ElggPluginManifest.php, languages/en.php,
+ views/default/admin/plugins.php: Fixes #3808 not translating manifest fields
+ through elgg_echo()
+
+2011-09-22 Cash Costello <cash.costello@gmail.com>
+
+ * engine/lib/upgrade.php: Fixes #3834 not loading version.php hundreds of
+ times - thanks to srokap
+
+2011-09-21 cash <cash.costello@gmail.com>
+
+ * js/lib/ui.js, views/default/css/elements/navigation.php,
+ views/default/css/ie.php, views/default/navigation/menu/site.php: Fixes #3770
+ CSS only site menu that works in IE7
+
+2011-09-19 Steve Clay <steve@mrclay.org>
+
+ * engine/lib/elgglib.php, engine/lib/group.php, engine/lib/sessions.php,
+ languages/en.php: forward throws Exception if headers sent. fix for
+ http://trac.elgg.org/ticket/3765
+
+ * engine/lib/views.php: don't generate false internalid deprecation notices:
+ http://trac.elgg.org/ticket/2921#comment:3
+
+2011-09-18 Cash Costello <cash.costello@gmail.com>
+
+ * engine/classes/ElggMenuItem.php: Fixes #3786 menu code was corrupting link
+ class if an additional class was passed through getContent()
+
+ * mod/developers/languages/en.php, mod/developers/start.php,
+ .../views/default/admin/develop_tools/inspect.php,
+ .../views/default/admin/develop_tools/preview.php,
+ .../views/default/admin/developers/inspect.php,
+ .../views/default/admin/developers/preview.php: Fixes #3721 reorganized the
+ developer tools menu items in the admin area
+
+ * .../views/default/js/developers/developers.php: Fixes #3825 need to
+ specify the data type as json
+
+2011-09-17 cash <cash.costello@gmail.com>
+
+ * languages/en.php: Fixes #3819 added the request action words to the
+ language file
+
+ * mod/logrotate/languages/en.php, mod/logrotate/start.php,
+ .../views/default/plugins/logrotate/settings.php,
+ .../default/plugins/twitter_api/usersettings.php: Fixes #3824 fixed
+ deprecation warnings for plugin settings and usersettings
+
+ * views/default/object/plugin/advanced.php: Refs #3236 using .float-alt for
+ plugin activate button
+
+ * .../default/plugins/garbagecollector/settings.php,
+ .../default/settings/garbagecollector/edit.php,
+ .../views/default/plugins/groups/settings.php,
+ mod/groups/views/default/settings/groups/edit.php,
+ .../views/default/plugins/logrotate/settings.php,
+ .../views/default/settings/logrotate/edit.php,
+ .../views/default/plugins/twitter_api/settings.php,
+ .../default/plugins/twitter_api/usersettings.php,
+ .../views/default/settings/twitter_api/edit.php,
+ .../default/usersettings/twitter_api/edit.php: Fixes #2990 updated the
+ bundled plugins to use the new plugin/user settings structure
+
+2011-09-17 Cash Costello <cash.costello@gmail.com>
+
+ * .../views/default/settings/twitter_api/edit.php,
+ views/default/css/admin.php, views/default/object/plugin/advanced.php,
+ .../object/plugin/elements/dependencies.php,
+ views/default/object/plugin/invalid.php,
+ views/default/object/plugin/simple.php, views/default/page/admin.php: Fixes
+ #3236 finishes admin theme - open new tickets for new issues
+
+2011-09-15 cash <cash.costello@gmail.com>
+
+ * engine/lib/views.php: Fixes #3178 updated elgg_view_icon() to take an
+ optional class
+
+ * _graphics/elgg_sprites.png, mod/search/views/default/search/css.php,
+ views/default/css/elements/icons.php: Fixes #3400, #3820 added additional
+ icons to Elgg's sprite
+
+2011-09-15 Cash Costello <cash.costello@gmail.com>
+
+ * views/default/css/lightbox.php: Fixes #3818 fixed short tag and extra
+ slash in URL for lightbox
+
+2011-09-14 Cash Costello <cash.costello@gmail.com>
+
+ * .../notifications/subscriptions/forminternals.php,
+ views/default/icon/user/default.php, views/default/input/friendspicker.php:
+ Fixes #3742 not including the hover menu on the personal notifications page
+
+ * engine/lib/navigation.php, languages/en.php,
+ mod/diagnostics/languages/en.php, mod/diagnostics/start.php,
+ .../admin/develop_utilities/diagnostics.php,
+ .../views/default/admin/utilities/diagnostics.php,
+ mod/logbrowser/languages/en.php, mod/logbrowser/start.php,
+ .../admin/administer_utilities/logbrowser.php,
+ .../views/default/admin/utilities/logbrowser.php,
+ mod/reportedcontent/languages/en.php, mod/reportedcontent/start.php,
+ .../admin/administer_utilities/reportedcontent.php,
+ .../default/admin/utilities/reportedcontent.php: Fixes #3681 fixed Utilities
+ menu collisions in admin section and added documentation about registering
+ more than one menu item with the same name to a menu
+
+ * views/default/forms/admin/site/update_advanced.php: Fixes #3783 using an
+ associative array for advanced settings checkboxes
+
+2011-09-13 Cash Costello <cash.costello@gmail.com>
+
+ * js/lib/elgglib.js, js/lib/languages.js, views/default/js/elgg.php: Fixes
+ #3539 two conditions for triggering init,system now - dom is ready and
+ languages loaded
+
+ * views/default/output/confirmlink.php: Fixes #3811 defaulting title to
+ confirm text if passed to output/confirmlink
+
+ * languages/en.php, views/default/admin/plugins.php: Fixes #3623 added
+ non-bundled filter option for plugins
+
+ * .../default/widgets/a_users_groups/content.php: Fixes #3803 fixed groups
+ more link in widget
+
+2011-09-12 Cash Costello <cash.costello@gmail.com>
+
+ * engine/lib/admin.php, views/default/js/admin.php: Refs #3800 including
+ jeditable on more admin pages
+
+ * engine/lib/deprecated-1.8.php: Fixes #3801 fixed documentation for
+ page_owner_entity()
+
+ * mod/externalpages/manifest.xml: Fixes #3804 using Site Pages for the
+ plugin name
+
+2011-09-12 Brett Profitt <brett.profitt@gmail.com>
+
+ * .gitignore: Refs #3573. Using more specific rules in .gitignore.
+
+ * mod/embed/manifest.xml: Refs #3771. Fixed incorrect requires plugin name.
+
+2011-09-11 Brett Profitt <brett.profitt@gmail.com>
+
+ * .gitignore: Fixse #3573. Ignoring all files in /mod/ that aren't part of
+ core.
+
+ * mod/embed/manifest.xml, mod/file/views/default/embed/file/content.php,
+ .../views/default/embed/file_upload/content.php: Fixes #3771. Added missing
+ files for embed support. Added requirement for file in embed's manifest.
+
+2011-09-11 Cash Costello <cash.costello@gmail.com>
+
+ * mod/dashboard/languages/en.php, mod/dashboard/start.php,
+ .../default/widgets/group_activity/content.php,
+ .../views/default/widgets/group_activity/edit.php: Fixes #3340 adds group
+ activity widget for the user dashboard
+
+2011-09-11 Ravindra Nath Kakarla <ravindhranath@gmail.com>
+
+ * engine/lib/input.php: Livesearch handler returns proper JSON string now
+
+2011-09-11 Cash Costello <cash.costello@gmail.com>
+
+ * engine/lib/users.php: Fixes #3794 fixes menu links so that admins can edit
+ avatars
+
+2011-09-10 Cash Costello <cash.costello@gmail.com>
+
+ * engine/classes/ElggMenuItem.php: Fixes #3741 fixed menu code so that all
+ links do not get empty title and confirm attributes
+
+ * engine/lib/views.php: Fixes #3665, #3660 added plugin hooks for page and
+ layouts so that rss icon for page meta menu can be registered consistently
+
+ * engine/lib/views.php: Fixes #3688 setting the page setup variable in
+ $CONFIG before trigger the event to prevent a stack overflow
+
+ * actions/admin/plugins/activate.php: Fixes #3714 also forwarding to the top
+ of the page when a plugin activation fails
+
+ * mod/groups/actions/groups/membership/join.php: Fixes #3752 corrected URL
+ for group membership requests
+
+ * engine/lib/plugins.php: made the documentation of the plugin settings code
+ a little clearer
+
+ * engine/classes/ElggEntity.php: Fixes #3782 only deleting metadata if the
+ entity has been saved
+
+ * mod/logbrowser/start.php,
+ mod/logbrowser/views/default/logbrowser/form.php: Fixes #3775 updated admin
+ area for logbrowser to utilities
+
+2011-09-05 Brett Profitt <brett.profitt@gmail.com>
+
+ * CHANGES.txt, engine/lib/access.php, version.php: Fixed a stupid problem I
+ introduced in the installation and only just caught >:O
+
+ * version.php: Version bump to 1.8.0. *whew*
+
+ * README.txt: Updated README to mention the Elgg Foundation.
+
+ * js/lib/ui.js, views/default/css/ie.php: Refs #3449, #3770. Using JS to fix
+ submenu dropdown in IE7.
+
+ * engine/lib/deprecated-1.8.php: Improved documentation for deprecated
+ functions.
+
+ * UPGRADE.txt: Added instructions to delete deprecated plugins during
+ upgrade.
+
+ * CHANGES.txt: Updated changes for 1.8.0.
+
+ * CONTRIBUTORS.txt: Updated contributors file with @elgg.org email
+ addresses.
+
+ * UPGRADE.txt: Added more explicit upgrade instructions for 1.7 -> 1.8.
+
+ * views/default/css/ie.php: Refs #3449. Some IE7 CSS fixes. I need to shower
+ now.
+
+2011-09-04 Brett Profitt <brett.profitt@gmail.com>
+
+ * engine/lib/entities.php: Fixes #3661. Pulled in recursive delete fixes to
+ master.
+
+ * engine/classes/ElggEntity.php: Refs #3661. Merged lat/long metadata fixes
+ to master.
+
+ * engine/lib/entities.php, mod/search/search_hooks.php: Refs #3661. Merged
+ XSS fixes in search to master.
+
+ * mod/pages/pages/pages/edit.php: Refs #3661. Better filtering for
+ container_guid in pages.
+
+ * mod/file/pages/file/search.php: Refs #3661. Pulled in XSS fixes for file
+ search.
+
+ * mod/groups/lib/groups.php: Fixes #3720. Using correctly filters for tabs
+ on group landing page.
+
+ * engine/start.php: Refs #3661. Merged $CONFIG->lastcache fix to master.
+ Fixes #3530. $CONFIG->lastcache has correct value on page load when cache is
+ regenerated. Conflicts: engine/start.php
+
+2011-09-03 Brett Profitt <brett.profitt@gmail.com>
+
+ * engine/lib/access.php: Fixed typo in call to function.
+
+2011-08-30 Brett Profitt <brett.profitt@gmail.com>
+
+ * actions/friends/collections/add.php,
+ actions/friends/collections/delete.php, actions/friends/collections/edit.php,
+ engine/lib/access.php, engine/tests/api/access_collections.php,
+ languages/en.php: Fixes #3543. Ported access collections fix to master.
+
+2011-08-29 Brett Profitt <brett.profitt@gmail.com>
+
+ * engine/lib/views.php, views/default/css/lightbox.php: Fixes #3461: Using
+ absolute URLs for lightbox CSS.
+
+ * mod/embed/views/default/js/embed/embed.php: Removing debug string.
+
+2011-08-27 Brett Profitt <brett.profitt@gmail.com>
+
+ * mod/profile/views/default/profile/js.php: Fixes #3561. Only adding the
+ static profile block height to the widget canvas if on the profile page.
+
+ * mod/embed/languages/en.php, mod/embed/start.php,
+ mod/embed/views/default/embed/embed.php,
+ mod/embed/views/default/embed/item/gallery.php,
+ mod/embed/views/default/embed/item/list.php,
+ mod/embed/views/default/embed/layouts/gallery.php,
+ mod/embed/views/default/embed/layouts/list.php,
+ mod/embed/views/default/embed/upload/content.php,
+ mod/embed/views/default/js/embed/embed.php,
+ mod/embed/views/default/js/embed/inline.php, mod/file/start.php: Fixes #2911.
+ Embed plugins works again. Added menu for embed sections. This plugin is
+ painfully messy.
+
+ * engine/classes/ElggPluginPackage.php, engine/lib/plugins.php,
+ languages/en.php, views/default/admin/plugins.php: Fixes #3434. Manifests are
+ checked more carefully on anything that checks deps. Disabling plugins with
+ invalid manifests from admin page.
+
+2011-08-25 Brett Profitt <brett.profitt@gmail.com>
+
+ * engine/lib/views.php: Fixes #3535. elgg_view_form() automatically adds
+ elgg-form-action-name.
+
+ * mod/likes/start.php: Removed unused code for likes notification.
+
+ * mod/likes/actions/likes/add.php, mod/likes/languages/en.php,
+ mod/likes/start.php: Fixes #3131. Added generic liking notification text.
+
+ * mod/blog/start.php: Fixes #3558. Added upgrade for excerpt in blogs.
+
+ * mod/blog/start.php: Removed silly check for elgg version.
+
+ * mod/blog/start.php: Fixing blog upgrades for excerpt.
+
+ * CODING.txt: Fixes #3657. There's not a good way to ensure redirects for
+ CODING.txt because most of the code is off our servers now, so just putting a
+ placeholder.
+
+ * views/default/page/elements/head.php,
+ views/default/page/elements/shortcut_icon.php: Fixes #3662. Pulled out
+ shortcut_icon to its own view for easier overriding.
+
+2011-08-24 Brett Profitt <brett.profitt@gmail.com>
+
+ * engine/classes/ElggPriorityList.php: Checking for === false when moving
+ elements in ElggPriorityList instead of just !$priority. Fixes problems when
+ moving elements to / from 0.
+
+ * .../forms/uservalidationbyemail/bulk_action.php: Fixes #3621. Corrected
+ the URL for the unvalidated users pagination.
+
+ * mod/groups/actions/discussion/reply/save.php, mod/groups/start.php,
+ .../views/default/annotation/group_topic_post.php,
+ .../views/default/forms/discussion/reply/save.php,
+ .../views/default/annotation/messageboard.php: Fixes #3612, #3750. Added edit
+ replies back to group plugin with the annotation menu.
+
+ * mod/messageboard/start.php: Refs #3750. Messageboard using annotations
+ menu.
+
+ * engine/lib/navigation.php, views/default/annotation/default.php,
+ views/default/annotation/generic_comment.php,
+ views/default/css/elements/navigation.php: Refs #3750. Added annotation menu
+ for generic comments (delete) and default annotations (empty).
+
+ * js/lib/hooks.js: Fixes #3589. JS "all" hook handlers called only once.
+
+ * actions/avatar/upload.php: Refs #3557: Upscaling small icons to 200x200.
+ This doesn't fix the problem if someone uploads a 100x200 image.
+
+ * mod/categories/actions/save.php, mod/categories/activate.php,
+ mod/categories/languages/en.php, mod/categories/start.php,
+ mod/categories/views/default/input/categories.php,
+ .../views/default/plugins/categories/settings.php,
+ .../views/default/settings/categories/edit.php: Refs #3746. Updated
+ categories for 1.8. Still some deprecation notices, but functional.
+
+2011-08-23 Brett Profitt <brett.profitt@gmail.com>
+
+ * .../actions/twitter_api/interstitial_settings.php,
+ mod/twitter_api/languages/en.php, mod/twitter_api/lib/twitter_api.php,
+ mod/twitter_api/pages/twitter_api/interstitial.php,
+ mod/twitter_api/start.php, .../forms/twitter_api/interstitial_settings.php,
+ .../default/usersettings/twitter_api/edit.php: Fixes #3117. Added an
+ interstitial page for twitter new users.
+
+ * views/default/navigation/menu/default.php: Rewriting more chars to - in
+ the default menu view.
+
+ * engine/classes/ElggPriorityList.php, engine/lib/elgglib.php,
+ engine/lib/views.php, engine/tests/api/helpers.php: Fixes #3355. Added
+ ElggPriorityList. Adapted the externals system to use it.
+
+2011-08-22 Brett Profitt <brett.profitt@gmail.com>
+
+ * engine/lib/views.php, vendors/jquery/jquery-1.6.2.min.js,
+ vendors/jquery/jquery-ui-1.8.16.min.js, views/installation/page/default.php:
+ Closes #3015. jQuery updated to 1.6.2. jQuery-UI updated to 1.8.16.
+
+2011-08-21 Brett Profitt <brett.profitt@gmail.com>
+
+ * mod/groups/lib/groups.php: Fixes #3701. Removed early call to elgg_echo()
+ for group action button menu.
+
+ * engine/classes/ElggSite.php: Fixes #3606. Reset password page added to
+ public pages for walled garden mode.
+
+ * engine/lib/river.php: Fixes #3496. Using OR to concatenate unpaired type
+ and subtype clauses in elgg_get_river_type_subtype_where_sql().
+
+2011-08-18 Brett Profitt <brett.profitt@gmail.com>
+
+ * engine/lib/views.php: Fixing priorities for jquery.
+
+2011-08-17 Brett Profitt <brett.profitt@gmail.com>
+
+ * engine/classes/ElggPriorityList.php, engine/lib/elgglib.php,
+ engine/tests/api/helpers.php: Finished ElggPriorityList. Migrated external
+ files to use it.
+
+2011-08-17 tvld <tom@lorinthe.com>
+
+ * htaccess_dist: My very first attempt to contribute...
+ http://trac.elgg.org/ticket/3601 For optimal speed, Firebug's Yslow
+ complained that the js and ico files did not have an Etag.
+
+2011-08-15 Brett Profitt <brett.profitt@gmail.com>
+
+ * engine/classes/ElggPriorityList.php, engine/tests/api/helpers.php: Refs
+ #3355. Added ElggPriorityList.
+
+ * pages/friends/collections/add.php,
+ pages/friends/collections/pickercallback.php,
+ pages/friends/collections/view.php, pages/friends/index.php,
+ pages/friends/of.php: Fixes #3715. Added the new collection button back.
+
+ * mod/search/views/default/search/list.php: Fixed pagination for search.
+
+ * mod/search/views/default/search/list.php: Fixes #3254. Removing limit for
+ "+X more Y" in search results.
+
+2011-07-27 Brett Profitt <brett.profitt@gmail.com>
+
+ * engine/classes/ElggBatch.php: Fixed some documentation.
+
+2011-07-27 Richard Loxley <richard.loxley@surevine.com>
+
+ * engine/lib/actions.php: Fixes Ticket #3709 "Embed plugin: uploading a file
+ in Firefox tries to save a JSON file in the user's browser"
+
+2011-07-10 Cash Costello <cash.costello@gmail.com>
+
+ * engine/lib/views.php: Fixes #3336 functions that used elgg_view_exists()
+ were not falling back to the default views
+
+2011-07-09 cash <cash.costello@gmail.com>
+
+ * mod/file/pages/file/search.php: Refs #3685 need null for no container
+
+ * engine/lib/users.php, pages/avatar/view.php: fixed redirect for user
+ avatars if we cannot get the user
+
+2011-07-07 Brett Profitt <brett.profitt@gmail.com>
+
+ * mod/dashboard/start.php,
+ views/default/admin/appearance/default_widgets.php: Fixes #3669. Dashboard
+ now registers for default widgets.
+
+ * version.php: Version bump to 1.8.0b2
+
+2011-07-07 Cash Costello <cash.costello@gmail.com>
+
+ * views/default/css/elements/components.php,
+ views/default/river/elements/body.php,
+ views/default/river/relationship/friend/create.php: fixed the float issue
+ with the friends river view
+
+ * views/default/icon/user/default.php: Refs #3567 added class extending to
+ icon/user/default
+
+2011-07-06 cash <cash.costello@gmail.com>
+
+ * views/default/input/radio.php: Refs #3624 fixed radio button value
+ detection
+
+2011-07-06 Cash Costello <cash.costello@gmail.com>
+
+ * actions/plugins/settings/save.php, actions/plugins/usersettings/save.php,
+ engine/classes/ElggPlugin.php: Fixes #2204 standardized the hooks/overrides
+ for plugin settings and usersettings
+
+ * engine/lib/river.php: $joins was not declared in elgg_get_river()
+
+ * vendors/jquery/jquery.imgareaselect-0.8.min.js,
+ .../jquery.imgareaselect-0.9.8/GPL-LICENSE.txt,
+ .../jquery.imgareaselect-0.9.8/MIT-LICENSE.txt, .../css/border-anim-h.gif,
+ .../css/border-anim-v.gif, .../jquery.imgareaselect-0.9.8/css/border-h.gif,
+ .../jquery.imgareaselect-0.9.8/css/border-v.gif,
+ .../css/imgareaselect-animated.css, .../css/imgareaselect-default.css,
+ .../css/imgareaselect-deprecated.css, .../scripts/jquery.imgareaselect.js,
+ .../scripts/jquery.imgareaselect.min.js,
+ .../scripts/jquery.imgareaselect.pack.js, .../scripts/jquery.min.js,
+ views/default/forms/avatar/crop.php: Fixes #3663 upgrades imgareaselect
+ library
+
+ * mod/likes/actions/likes/add.php,
+ .../default/river/annotation/likes/create.php: Fixes #3642 removing likes
+ river code
+
+ * engine/lib/navigation.php, mod/blog/lib/blog.php,
+ mod/bookmarks/pages/bookmarks/all.php,
+ mod/bookmarks/pages/bookmarks/friends.php,
+ mod/bookmarks/pages/bookmarks/owner.php, mod/file/pages/file/friends.php,
+ mod/file/pages/file/owner.php, mod/file/pages/file/world.php,
+ mod/groups/lib/discussion.php, mod/groups/lib/groups.php,
+ mod/messages/pages/messages/inbox.php, mod/messages/pages/messages/sent.php,
+ mod/pages/pages/pages/friends.php, mod/pages/pages/pages/owner.php,
+ mod/pages/pages/pages/world.php: changed new convenience function from
+ elgg_register_add_button() to elgg_register_title_button()
+
+ * mod/embed/views/default/embed/css.php,
+ mod/embed/views/default/js/embed/embed.php: updated the embed plugin for new
+ css class elgg-item rather than elgg-list-item
+
+2011-07-04 cash <cash.costello@gmail.com>
+
+ * views/default/css/elements/forms.php: styled the date picker for the main
+ theme
+
+ * views/default/css/admin.php: theming the date picker for the admin theme
+
+ * js/lib/ui.js, views/default/input/date.php, views/default/output/date.php:
+ Fixes #3560 input/date and output/date support ISO 8601 (YYYY-MM-DD) and Unix
+ timestamps. Need to think about how to handle alternate text formats.
+
+2011-07-03 Brett Profitt <brett.profitt@gmail.com>
+
+ * actions/friends/collections/add.php,
+ actions/friends/collections/delete.php, actions/friends/collections/edit.php,
+ engine/lib/access.php, engine/tests/api/access_collections.php,
+ languages/en.php: Merged ACL fixes from 1.7 branch.
+
+ * engine/lib/access.php, engine/tests/api/access_collections.php: Merged
+ d423aee393458d6827db from 1.7 to master. Fixes #3552. Addess ACL unit tests.
+ These currently fail because of #3522. Conflicts: engine/lib/access.php
+
+2011-07-03 cash <cash.costello@gmail.com>
+
+ * .../views/default/page/layouts/custom_index.php: removed deprecated
+ function from custom_index plugin
+
+ * views/default/page/elements/header_logo.php: reformatted the code in
+ page/elements/header_logo view due to line length
+
+ * .../views/default/page/layouts/custom_index.php: removed deprecated
+ function from custom_index plugin
+
+ * views/default/page/elements/header_logo.php: reformatted the code in
+ page/elements/header_logo view due to line length
+
+ * mod/blog/languages/en.php: Fixes #3593 defining the blog post notification
+ string
+
+ * languages/en.php: Fixes #3640 added 'none' as a language string
+
+ * engine/classes/ElggUser.php: Fixes #3650 deprecated
+ ElggUser::getCollections()
+
+ * documentation/coding_standards/best_practices.txt,
+ documentation/coding_standards/deprecation.txt: pulled deprecation guidelines
+ out of general best practices
+
+ * CODING.txt, documentation/coding_standards/best_practices.txt,
+ .../coding_standards/css_coding_standards.txt,
+ .../coding_standards/html_best_practices.txt,
+ .../coding_standards/javascript_best_practices.txt,
+ .../javascript_coding_standards.txt,
+ .../coding_standards/php_best_practices.txt,
+ .../coding_standards/php_coding_standards.txt: separate out coding standards
+
+2011-07-03 Cash Costello <cash.costello@gmail.com>
+
+ * engine/classes/ElggCache.php: Fixes #3656 updates the AccessArray
+ interface methods for ElggCache
+
+ * mod/notifications/start.php, mod/tinymce/start.php: these plugins should
+ not need special loading order
+
+ * views/default/css/admin.php: updated the admin theme for the new css
+ classes on input elements
+
+2011-07-02 cash <cash.costello@gmail.com>
+
+ * mod/developers/actions/developers/settings.php,
+ mod/developers/languages/en.php, mod/developers/start.php,
+ .../views/default/admin/developers/settings.php: added logging of events and
+ plugin hooks
+
+ * mod/developers/actions/developers/settings.php,
+ mod/developers/languages/en.php, mod/developers/start.php,
+ .../views/default/admin/developers/settings.php: Fixes #3152 adds wrapping of
+ views with comments
+
+ * mod/developers/classes/ElggLogCache.php: returning false to stop
+ elgg_dump() from also displaying the debugging information to the screen
+
+ * mod/developers/actions/developers/settings.php,
+ mod/developers/languages/en.php, mod/developers/start.php,
+ .../views/default/admin/developers/settings.php: Fixes #3500 added setting
+ for raw string display
+
+ * mod/developers/views/default/developers/log.php: removed warning if no
+ messages in the cache
+
+ * engine/classes/ElggPlugin.php,
+ mod/developers/actions/developers/settings.php,
+ mod/developers/classes/ElggLogCache.php, mod/developers/languages/en.php,
+ mod/developers/start.php, .../views/default/admin/developers/settings.php,
+ mod/developers/views/default/developers/css.php,
+ mod/developers/views/default/developers/log.php: added logging to the web
+ page footer
+
+ * mod/developers/actions/developers/inspect.php,
+ mod/developers/classes/ElggInspector.php, mod/developers/languages/en.php,
+ mod/developers/start.php, mod/developers/vendors/jsTree/jquery.jstree.js,
+ mod/developers/vendors/jsTree/themes/apple/bg.jpg,
+ mod/developers/vendors/jsTree/themes/apple/d.png,
+ .../vendors/jsTree/themes/apple/dot_for_ie.gif,
+ .../vendors/jsTree/themes/apple/style.css,
+ .../vendors/jsTree/themes/apple/throbber.gif,
+ mod/developers/vendors/jsTree/themes/classic/d.gif,
+ mod/developers/vendors/jsTree/themes/classic/d.png,
+ .../vendors/jsTree/themes/classic/dot_for_ie.gif,
+ .../vendors/jsTree/themes/classic/style.css,
+ .../vendors/jsTree/themes/classic/throbber.gif,
+ .../vendors/jsTree/themes/default-rtl/d.gif,
+ .../vendors/jsTree/themes/default-rtl/d.png,
+ .../vendors/jsTree/themes/default-rtl/dots.gif,
+ .../vendors/jsTree/themes/default-rtl/style.css,
+ .../vendors/jsTree/themes/default-rtl/throbber.gif,
+ mod/developers/vendors/jsTree/themes/default/d.gif,
+ mod/developers/vendors/jsTree/themes/default/d.png,
+ .../vendors/jsTree/themes/default/style.css,
+ .../vendors/jsTree/themes/default/throbber.gif,
+ .../views/default/admin/developers/inspect.php,
+ mod/developers/views/default/developers/css.php,
+ mod/developers/views/default/developers/tree.php,
+ .../views/default/forms/developers/inspect.php,
+ .../views/default/js/developers/developers.php: added the inspect tool to
+ developers tool plugin
+
+ * engine/lib/river.php, pages/river.php: fixed river for #3544
+
+ * mod/embed/start.php, mod/embed/views/default/embed/embed.php,
+ mod/embed/views/default/embed/upload/content.php: fixed embed plugin for
+ #3544
+
+ * mod/file/pages/file/search.php, mod/messages/pages/messages/send.php:
+ fixed messages and file plugins for #3544
+
+ * engine/lib/input.php: added note about preventing reflected XSS
+ vulnerabilities.
+
+2011-07-02 Cash Costello <cash.costello@gmail.com>
+
+ * views/default/input/access.php, views/default/input/autocomplete.php,
+ views/default/input/button.php, views/default/input/calendar.php,
+ views/default/input/captcha.php, views/default/input/checkbox.php,
+ views/default/input/checkboxes.php, views/default/input/date.php,
+ views/default/input/dropdown.php, views/default/input/email.php,
+ views/default/input/file.php, views/default/input/location.php,
+ views/default/input/longtext.php, views/default/input/password.php,
+ views/default/input/plaintext.php, views/default/input/radio.php,
+ views/default/input/tag.php, views/default/input/tags.php,
+ views/default/input/text.php, views/default/input/url.php: Fixes #3624 input
+ views use class extending rather than overriding
+
+ * mod/blog/views/default/forms/blog/save.php: fixed the blog categories code
+ as the entity was not being passed
+
+2011-07-01 Cash Costello <cash.costello@gmail.com>
+
+ * mod/bookmarks/pages/bookmarks/bookmarklet.php: removed the add bookmark
+ button from get bookmarklet page
+
+2011-06-30 Cash Costello <cash.costello@gmail.com>
+
+ * engine/lib/elgglib.php: Adds exception handling to shutdown hook from pull
+ request 50
+
+ * engine/lib/views.php, vendors/jquery/jquery-1.5.min.js,
+ vendors/jquery/jquery-1.6.1.min.js, vendors/jquery/jquery-ui-1.8.14.min.js,
+ vendors/jquery/jquery-ui-1.8.9.min.js: Refs #3015 updated jquery and
+ jquery-ui to their latest releases
+
+ * languages/en.php, views/default/object/plugin/advanced.php: Fixes #3635
+ added strings for activate and deactivate
+
+ * languages/en.php: Fixes #3636 added general language strings for sort and
+ filter
+
+2011-06-29 cash <cash.costello@gmail.com>
+
+ * .../views/default/groups/profile/widgets.php: made group widget columns
+ backward compatible so that content at least does not disappear
+
+ * engine/classes/ElggMenuItem.php: handling spaces and uppercase characters
+ when creating menu item classes
+
+ * engine/lib/views.php, views/default/page/elements/wrapper.php: added
+ backward compatibility for the view page_elements/content_wrapper
+
+ * engine/lib/group.php: added @since dates on two functions in group library
+
+2011-06-29 Cash Costello <cash.costello@gmail.com>
+
+ * mod/tinymce/views/default/js/tinymce.php,
+ mod/tinymce/views/default/tinymce/css.php: Fixes #3537 using elgg.echo() for
+ i18n of word count
+
+ * mod/tinymce/css/elgg_tinymce.css, mod/tinymce/tinymce_content.css,
+ mod/tinymce/views/default/js/tinymce.php: moved tinymce content css into its
+ own directory
+
+ * views/default/css/admin.php, views/default/css/elements/modules.php: Fixes
+ #3629 added overflow hidden to .elgg-module for both default and admin themes
+
+ * mod/thewire/pages/thewire/everyone.php,
+ mod/thewire/pages/thewire/friends.php, mod/thewire/pages/thewire/owner.php,
+ mod/thewire/pages/thewire/reply.php: Fixes #3578 added a css class to thewire
+ add form
+
+ * actions/admin/plugins/activate.php, actions/admin/plugins/deactivate.php,
+ actions/admin/plugins/set_priority.php,
+ views/default/object/plugin/advanced.php: Fixes #3630 using the plugin id for
+ the css id
+
+2011-06-28 Cash Costello <cash.costello@gmail.com>
+
+ * mod/thewire/views/default/forms/thewire/add.php: Fixes #3579 using
+ input/plaintext in the wire form
+
+ * views/default/css/admin.php, views/default/page/layouts/admin.php: Fixes
+ #3519 admin area now has title buttons
+
+ * engine/lib/views.php, views/default/page/layouts/content/header.php: using
+ elgg_view_title() in content layout header
+
+ * languages/en.php, views/default/widgets/content_stats/content.php: Fixes
+ #3597 defined a language string for 'more'
+
+ * languages/en.php: Fixes #3505 define language string for
+ menu:page:header:default
+
+ * .../views/default/forms/bookmarks/save.php,
+ .../views/default/forms/developers/settings.php,
+ .../views/default/forms/expages/edit.php,
+ mod/file/views/default/forms/file/upload.php,
+ .../views/default/forms/discussion/reply/save.php,
+ mod/groups/views/default/forms/discussion/save.php,
+ .../views/default/forms/invitefriends/invite.php,
+ .../views/default/forms/messages/process.php,
+ .../views/default/forms/messages/reply.php,
+ mod/messages/views/default/forms/messages/send.php,
+ .../forms/notificationsettings/groupsave.php,
+ mod/pages/views/default/forms/pages/edit.php,
+ .../views/default/forms/reportedcontent/add.php,
+ mod/thewire/views/default/forms/thewire/add.php: Fixes #3407 added elgg-foot
+ to plugin forms
+
+ * views/default/navigation/menu/elements/item.php: updated the menu item
+ view to work with the new setLinkClass/addLinkClass methods
+
+2011-06-27 Cash Costello <cash.costello@gmail.com>
+
+ * mod/blog/lib/blog.php, mod/blog/views/default/forms/blog/save.php,
+ mod/groups/lib/groups.php, mod/groups/views/default/forms/groups/edit.php,
+ mod/groups/views/default/forms/groups/invite.php,
+ mod/groups/views/default/groups/edit.php,
+ .../default/forms/notificationsettings/save.php,
+ .../default/notifications/subscriptions/form.php,
+ .../notifications/subscriptions/forminternals.php,
+ views/default/core/settings/account.php,
+ views/default/css/elements/forms.php, views/default/forms/avatar/crop.php,
+ views/default/forms/avatar/upload.php, views/default/forms/comments/add.php,
+ views/default/forms/friends/collections/add.php,
+ views/default/forms/plugins/settings/save.php,
+ views/default/forms/profile/edit.php,
+ views/default/forms/user/requestnewpassword.php,
+ views/default/forms/useradd.php, views/default/forms/usersettings/save.php,
+ views/default/forms/widgets/save.php, views/default/input/form.php: Refs
+ #3407 using elgg-form and elgg-foot in core forms. Plugins next
+
+2011-06-26 cash <cash.costello@gmail.com>
+
+ * mod/bookmarks/pages/bookmarks/add.php,
+ mod/bookmarks/pages/bookmarks/all.php,
+ mod/bookmarks/pages/bookmarks/bookmarklet.php,
+ mod/bookmarks/pages/bookmarks/edit.php,
+ mod/bookmarks/pages/bookmarks/friends.php,
+ mod/bookmarks/pages/bookmarks/owner.php, mod/file/pages/file/edit.php,
+ mod/file/pages/file/friends.php, mod/file/pages/file/owner.php,
+ mod/file/pages/file/search.php, mod/file/pages/file/upload.php,
+ mod/file/pages/file/view.php, mod/file/pages/file/world.php,
+ mod/groups/lib/discussion.php, mod/groups/lib/groups.php,
+ .../views/default/groups/profile/buttons.php,
+ mod/members/pages/members/index.php,
+ mod/messageboard/pages/messageboard/owner.php,
+ mod/messages/pages/messages/inbox.php, mod/messages/pages/messages/read.php,
+ mod/messages/pages/messages/send.php, mod/messages/pages/messages/sent.php,
+ mod/messages/views/default/messages/js.php, mod/pages/pages/pages/edit.php,
+ mod/pages/pages/pages/friends.php, mod/pages/pages/pages/history.php,
+ mod/pages/pages/pages/new.php, mod/pages/pages/pages/owner.php,
+ mod/pages/pages/pages/revision.php, mod/pages/pages/pages/view.php,
+ mod/pages/pages/pages/world.php, mod/thewire/pages/thewire/everyone.php,
+ mod/thewire/pages/thewire/friends.php, mod/thewire/pages/thewire/owner.php,
+ mod/thewire/pages/thewire/previous.php, mod/thewire/pages/thewire/reply.php,
+ mod/thewire/pages/thewire/tag.php, mod/thewire/pages/thewire/thread.php,
+ pages/river.php: this should update all the core pages and plugins using
+ 'buttons'
+
+ * engine/lib/navigation.php, mod/blog/lib/blog.php,
+ views/default/page/layouts/content.php,
+ views/default/page/layouts/content/header.php: removed buttons logic from
+ content header view, created convenience function rather than copying and
+ pasting, and updated the blog plugin
+
+ * engine/classes/ElggMenuItem.php, engine/lib/navigation.php,
+ mod/groups/start.php: added a data array to ElggMenuItem. Now by default
+ options end up being passed to output/url.
+
+ * mod/embed/images/close_button.gif, mod/embed/js/embed.js,
+ mod/embed/views/default/embed/js.php,
+ mod/embed/views/default/js/embed/embed.php: updated based on Brett's comments
+ and removed old stuff related to facebox lightbox
+
+2011-06-26 Cash Costello <cash.costello@gmail.com>
+
+ * engine/lib/relationships.php: Fixes #3585 do not need to check parameters
+ because was registered for only one event
+
+ * engine/classes/ElggMenuItem.php, engine/lib/navigation.php, js/lib/ui.js,
+ mod/groups/start.php, mod/logbrowser/views/default/logbrowser/form.php,
+ mod/profile/views/default/profile/owner_block.php,
+ .../views/default/object/reported_content.php,
+ views/default/object/plugin/advanced.php,
+ views/default/object/plugin/invalid.php,
+ views/default/object/widget/elements/controls.php,
+ views/default/page/layouts/widgets/add_button.php: Fixes #3470 using
+ rel=toggle now
+
+ * mod/thewire/languages/en.php,
+ .../views/default/river/object/thewire/create.php: provided a way to get to
+ the wire from activity page
+
+ * mod/blog/languages/en.php, mod/blog/lib/blog.php,
+ mod/bookmarks/pages/bookmarks/all.php, mod/bookmarks/start.php,
+ mod/file/languages/en.php, mod/file/pages/file/friends.php,
+ mod/file/pages/file/owner.php: made breadcrumbs consistent in content plugins
+
+ * mod/groups/start.php: not displaying the reply button for discussion
+ topics in widgets
+
+ * mod/blog/views/default/object/blog.php,
+ mod/bookmarks/views/default/object/bookmarks.php,
+ mod/file/views/default/object/file.php,
+ mod/groups/views/default/group/default.php,
+ .../views/default/object/groupforumtopic.php,
+ mod/pages/views/default/object/page_top.php,
+ mod/thewire/views/default/object/thewire.php,
+ views/default/object/default.php, views/default/object/elements/summary.php:
+ Fixes #3368 combining $vars with parameters for object/elements/summary view
+
+ * .../views/default/settings/logrotate/edit.php: removed use of deprecated
+ internalname key in log rotation
+
+ * engine/classes/ElggEntity.php, mod/blog/lib/blog.php,
+ mod/bookmarks/pages/bookmarks/view.php, mod/file/pages/file/view.php,
+ mod/groups/lib/discussion.php, .../views/default/groups/sidebar/featured.php,
+ mod/messages/pages/messages/read.php, mod/pages/pages/pages/view.php,
+ mod/search/views/default/search/entity.php, pages/entities/index.php,
+ views/default/page/elements/owner_block.php,
+ views/json/entities/entity_list.php: Fixes #3614 updated use of
+ elgg_view_entity() throughout core
+
+ * mod/messageboard/pages/messageboard/owner.php: better way of sorting
+ messageboard annotations
+
+2011-06-25 Brett Profitt <brett.profitt@gmail.com>
+
+ * engine/lib/deprecated-1.8.php: Don't say the db was upgradeded when it
+ wasn't.
+
+ * engine/lib/upgrade.php, engine/lib/upgrades/2011010101.php: Fixes #3554.
+ Pulled 1.7->1.8 upgrade bootstrapping into a separate function. Made the
+ plugin upgrade that forwards (2011010101) set itself in the processed
+ upgrades.
+
+2011-06-25 cash <cash.costello@gmail.com>
+
+ * views/default/css/elements/layout.php,
+ views/default/page/layouts/one_column.php: one_column layout was not showing
+ title and nav
+
+ * mod/messageboard/pages/messageboard/owner.php: fixed ordering of
+ messageboard posts
+
+2011-06-25 Brett Profitt <brett.profitt@gmail.com>
+
+ * engine/lib/configuration.php: datalist_get() was incorrectly setting
+ escaped values in the cache.
+
+2011-06-25 cash <cash.costello@gmail.com>
+
+ * engine/lib/admin.php: fixed spacing in
+ elgg_admin_add_plugin_settings_menu()
+
+ * languages/en.php, .../river/user/default/profileiconupdate.php: actually
+ read Evan's comment on pull request #48 and fixed previous
+
+ * languages/en.php, .../river/user/default/profileiconupdate.php: updates
+ the avatar update river view and closes pull request #48
+
+ * views/default/river/elements/body.php,
+ views/default/river/elements/responses.php,
+ views/default/river/elements/summary.php: added documentation to new river
+ views and improved handling of responses
+
+ * engine/classes/ElggEntity.php: Fixes #3609 removed use of deprecated
+ trigger_plugin_hook()
+
+ * mod/groups/views/default/groups/css.php,
+ mod/groups/views/default/groups/js.php,
+ mod/groups/views/default/groups/profile/module.php,
+ .../views/default/groups/profile/widgets.php: Fixes #3395 using a fluid
+ gallery for the groups profile page
+
+ * js/lib/ui.js, mod/likes/start.php,
+ mod/likes/views/default/likes/count.php,
+ mod/likes/views/default/likes/js.php: Fixes #3610 $guid was not defined
+
+2011-06-25 Cash Costello <cash.costello@gmail.com>
+
+ * views/default/css/elements/navigation.php: Fixes #3388 put vertical-align:
+ middle back on topbar icons
+
+ * mod/likes/start.php, mod/likes/views/default/likes/button.php,
+ mod/likes/views/default/likes/count.php,
+ mod/likes/views/default/likes/css.php, views/default/river/elements/body.php:
+ Fixes #3566 separate out likes button from likes count
+
+ * mod/likes/views/default/likes/button.php,
+ mod/likes/views/default/likes/display.php,
+ views/default/css/elements/core.php,
+ views/default/css/elements/navigation.php: Refs #3388 entity menu has better
+ vertical alignment
+
+2011-06-24 cash <cash.costello@gmail.com>
+
+ * mod/groups/lib/groups.php: fixed breadcrumb on groups members page
+
+ * .../views/default/forms/messageboard/add.php: added spacing between
+ messageboard textarea and submit button
+
+ * mod/messages/pages/messages/read.php: adding some spacing between the
+ messages and the reply form
+
+ * mod/blog/views/default/blog/group_module.php,
+ .../views/default/bookmarks/group_module.php,
+ mod/file/views/default/file/group_module.php,
+ .../views/default/discussion/group_module.php,
+ .../default/groups/profile/activity_module.php,
+ mod/groups/views/default/groups/profile/module.php,
+ mod/pages/views/default/pages/group_module.php: Fixes #3139 DRYs up group
+ modules
+
+ * mod/custom_index/index.php, .../views/default/groups/sidebar/members.php,
+ views/default/css/elements/components.php: Fixes #3559 adds
+ .elgg-gallery-users
+
+ * engine/lib/entities.php, engine/lib/views.php, mod/custom_index/index.php,
+ mod/file/views/default/file/typecloud.php,
+ .../views/default/groups/sidebar/members.php,
+ views/default/widgets/friends/content.php: Refs #1253 using 'list_type'
+ string instead of boolean 'gallery' for entity listing
+
+ * engine/lib/views.php, mod/search/views/default/search/list.php,
+ views/default/css/admin.php, views/default/css/elements/components.php,
+ views/default/object/elements/summary.php,
+ views/default/page/components/gallery.php,
+ views/default/page/components/list.php: cleaned up the list/gallery css
+ classes to use standard class naming - minor modifications only
+
+ * .../graphics/sign-in-with-twitter-d.png,
+ .../graphics/sign-in-with-twitter-l.png, mod/twitter_api/lib/twitter_api.php,
+ .../views/default/twitter_api/login.php: Fixes #2958 cleaned up user login
+ for twitter
+
+ * mod/thewire/start.php: Fixes #3204 adds hook trigger so that wire sends
+ out status updates
+
+ * mod/twitter_api/lib/twitter_api.php, mod/twitter_api/start.php: fixed the
+ post to twitter capability - was not loading the twitter oauth class
+
+ * mod/twitter_api/lib/twitter_api.php,
+ .../default/usersettings/twitter_api/edit.php: fixes the authorization to
+ post so that it does not include login
+
+ * mod/twitter_api/start.php: twitter @anywhere was causing problems - pages
+ were not loading and js errors
+
+2011-06-20 benbro <ben.browitt@gmail.com>
+
+ * engine/lib/statistics.php, engine/lib/users.php: added find_active_users
+ hook
+
+2011-06-24 Cash Costello <cash.costello@gmail.com>
+
+ * .../river/object/groupforumtopic/create.php,
+ views/default/river/elements/body.php: fixed group forum discussion inline
+ replies for activity page
+
+2011-06-23 cash <cash.costello@gmail.com>
+
+ * mod/twitter_api/languages/en.php, mod/twitter_api/start.php,
+ .../views/default/settings/twitter_api/edit.php,
+ mod/twitter_api/views/default/twitter_api/css.php: cleaned up the twitter api
+ plugin settings so instructions are correct. Need to verify that login works.
+
+ * .../views/default/settings/twitter_api/edit.php: added instructions back
+ to twitter api plugin settings
+
+ * views/default/css/admin.php: added a css class so that we can limit the
+ width of text instruction areas in admin area
+
+2011-06-23 Cash Costello <cash.costello@gmail.com>
+
+ * mod/groups/actions/groups/membership/remove.php,
+ mod/groups/languages/en.php: Refs #1867 let group owner know what user they
+ just removed
+
+ * mod/dashboard/start.php, mod/dashboard/views/default/dashboard/blurb.php,
+ mod/dashboard/views/default/dashboard/css.php: Fixes #3599 spacing of
+ dashboard blurb fixed
+
+ * views/default/css/elgg.php: Fixes #3471 moved helpers to end of css/elgg
+
+ * mod/twitter/views/default/twitter/css.php: Fixes #3603 fixes display of
+ @username in twitter widget - thanks to ManUtopiK
+
+2011-06-22 cash <cash.costello@gmail.com>
+
+ * views/default/page/default.php: backward compatibility of the admin page
+ shell was broken when we reorganized the page view directory
+
+ * mod/thewire/languages/en.php, mod/thewire/views/default/thewire/reply.php:
+ added @username in the reply text for a wire post
+
+2011-06-22 Cash Costello <cash.costello@gmail.com>
+
+ * mod/members/pages/members/search.php: Fixes #3598 sanitizing the $name
+ variable
+
+2011-06-21 Franz Liedke <franz@develophp.org>
+
+ * engine/classes/ElggMenuItem.php: Add param description to PHPDoc comments
+ of setText() function.
+
+2011-06-20 cash <cash.costello@gmail.com>
+
+ * engine/lib/actions.php: removed debug code
+
+2011-06-20 Franz Liedke <franz@develophp.org>
+
+ * engine/classes/ElggMenuItem.php: Add setText() method to ElggMenuItem
+ class. Fixes #3546.
+
+ * engine/classes/ElggMenuItem.php: Add setHref() method to ElggMenuItem
+ class. Fixes #3486.
+
+2011-06-19 cash <cash.costello@gmail.com>
+
+ * mod/thewire/start.php: fixed the wire's previous ajax - the link class for
+ the menu was lost in the conversion from plain class to link_class
+
+ * languages/en.php: fixed typo in edit profile fields instructions
+
+ * mod/file/views/default/forms/file/upload.php: we "upload" new files and
+ "save" old ones
+
+ * mod/file/pages/file/view.php, mod/file/views/default/object/file.php:
+ moved the download button for files to the action menu (which probably needs
+ to be a menu)
+
+ * mod/embed/views/default/embed/upload/content.php,
+ mod/embed/views/default/js/embed/embed.php: File uploads working now. It is
+ hard coded to only work with file plugin.
+
+ * engine/lib/actions.php: added another way for an action to be detected as
+ an Ajax action: set the request variable X-Requested-With = XMLHttpRequest.
+ This is because files cannot be uploaded with XMLHttpRequest
+
+ * mod/file/actions/file/upload.php,
+ mod/file/views/default/file/embed_upload.php,
+ mod/file/views/default/forms/file/upload.php: removed the special ajax/embed
+ code from the file plugin
+
+ * views/default/css/elements/layout.php: need the system messages to be
+ above the lightbox overlay at 1000 z-index
+
+ * mod/file/views/default/forms/file/upload.php: need a default container for
+ files if form included from outside file plugin (like the embed plugin)
+
+2011-06-19 Cash Costello <cash.costello@gmail.com>
+
+ * views/default/widgets/friends/content.php: Fixes #3581 checks that the
+ owner of a friends widget is a user
+
+2011-06-18 cash <cash.costello@gmail.com>
+
+ * mod/embed/start.php: fixed issue with embedding a file in an embedded file
+
+ * mod/embed/start.php, mod/embed/views/default/embed/tabs.php,
+ mod/embed/views/default/js/embed/embed.php: added back tab switching
+
+ * mod/embed/views/default/embed/addcontentjs.php,
+ mod/embed/views/default/embed/embed.php,
+ mod/embed/views/default/js/embed/embed.php: rewrote pagination for embed file
+ listing
+
+ * mod/embed/start.php, mod/embed/views/default/embed/css.php,
+ mod/embed/views/default/embed/embed.php,
+ mod/embed/views/default/js/embed/embed.php,
+ mod/embed/views/default/js/embed/inline.php: rewrote the insert javascript as
+ an intermediate step. I'm sure I completely broke ECML integration and
+ uploading files.
+
+ * mod/embed/start.php, mod/embed/views/default/embed/css.php,
+ mod/embed/views/default/embed/embed.php,
+ mod/embed/views/default/embed/tabs.php,
+ views/default/css/elements/navigation.php: using the new lightbox and hacking
+ away at the complicated embed/embed view
+
+ * mod/blog/actions/blog/save.php,
+ mod/blog/views/default/forms/blog/save.php: Fixes #3580 adding blog preview
+ button that uses save action
+
+ * languages/en.php: added "Preview" as a general term defined in language
+ file
+
+ * mod/blog/actions/blog/save.php: using elgg_delete_river() rather than
+ custom SQL in blog save action
+
+ * mod/blog/views/default/forms/blog/save.php: moving the delete button in
+ blog form to right side
+
+ * mod/twitter_api/languages/en.php: Calling normal user registration
+ "manual" was confusing admin manual registration with user initiated
+ registration
+
+2011-06-18 Cash Costello <cash.costello@gmail.com>
+
+ * LICENCE.txt, LICENSE.txt: moved LICENCE.txt to LICENSE.txt to be
+ consistent with readme
+
+2011-06-13 Evan Winslow <evan@elgg.org>
+
+ * views/default/css/elements/components.php: Using tabs, not spaces for
+ indentation
+
+ * mod/groups/start.php, .../river/object/groupforumtopic/create.php,
+ views/default/river/elements/body.php,
+ views/default/river/elements/footer.php,
+ views/default/river/elements/responses.php: Renamed river footer to a more
+ semantic "responses". Fixed discussion to work inline again with this new
+ layout.
+
+ * views/default/css/elements/components.php: elgg-river-message and
+ elgg-river-attachments now get the old elgg-river-content styling
+
+2011-06-13 Jeff Tilson <jrtilson@gmail.com>
+
+ * mod/groups/actions/groups/membership/remove.php,
+ mod/groups/languages/en.php, mod/groups/start.php: Added 'remove from group'
+ link to the user hover menu (only appears when the page owner is a group)
+
+2011-06-13 Ravindra Nath Kakarla <ravindhranath@gmail.com>
+
+ * mod/thewire/views/default/js/thewire.php: textarea has to be jQuery object
+
+2011-06-13 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggMenuItem.php,
+ views/default/navigation/menu/elements/item.php: Fixes #3282 always using a
+ menu item's name in its class
+
+ * mod/tinymce/views/default/js/tinymce.php: Fixes #3175 toggled tinymce
+ takes full width now - thanks tomv
+
+ * languages/en.php: made some language clearer on default widgets
+ instructions
+
+ * .../views/default/forms/expages/edit.php: fixed formatting of site pages
+ creation form - longtext menu was overlapping tinymce
+
+ * views/default/css/admin.php: added the css for longtext control menu to
+ admin theme
+
+2011-06-12 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/reportedcontent/languages/en.php,
+ .../views/default/object/reported_content.php,
+ .../views/default/reportedcontent/admin_css.php: fixing some display issues
+ with reported content - code use a little cleanup
+
+ * mod/thewire/languages/en.php: pulling in blacktooth's wire language typo
+ fix : pull request #32
+
+ * mod/likes/start.php: Fixes #3521 removed unecessary anchor tags - thanks
+ to blacktooth
+
+ * engine/classes/ElggSite.php,
+ ...8b1-sites_need_a_site_guid-6d9dcbf46c0826cc.php, version.php: Fixes #2910
+ set the site_guid of site entities
+
+ * engine/lib/elgglib.php: Fixes #3480 not requiring a timestamp when using
+ the js/css page handler
+
+ * views/default/css/elements/layout.php: Fixes #3409 fixed small viewport
+ issue with default theme
+
+ * views/default/core/settings/tools.php: adjusted the spacing between the
+ settings tools text and the surrounding elements
+
+ * mod/groups/views/default/groups/css.php,
+ mod/groups/views/default/groups/profile/fields.php: using divs for group
+ profile fields to work around html validation issues with the out/tags view
+ using a div
+
+2011-06-11 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/details.php: convert profile details to
+ use divs so that we don't have invalid html due to output/tags
+
+ * views/default/page/layouts/widgets/add_panel.php: need to maintain key
+ associations with widget types
+
+ * views/default/page/layouts/widgets/add_panel.php: sort widgets for add
+ widgets panel
+
+ * views/default/css/elements/navigation.php: moved the elgg logo to the left
+ by 10 pixels
+
+ * engine/lib/elgglib.php, engine/lib/users.php,
+ views/default/css/elements/navigation.php: topbar icons were pushing the site
+ title. Forced the elgg logo and avatar to behave. Adding overflow hidden to
+ the topbar div would prevent future problems but cause issues for those
+ adding drop down menus
+
+ * actions/avatar/crop.php, actions/avatar/upload.php, languages/en.php:
+ added user avatar river messages back
+
+ * engine/lib/deprecated-1.8.php, engine/lib/river.php: Fixes #3039 added
+ elgg_delete_river()
+
+ * views/default/css/elements/modules.php: made the widget edit area slightly
+ darker to provide contrast with content area
+
+ * mod/profile/views/default/profile/owner_block.php: added some spacing
+ around the profile action menu
+
+ * pages/account/forgotten_password.php, pages/account/register.php,
+ pages/account/reset_password.php, views/default/css/elements/forms.php,
+ views/default/forms/user/requestnewpassword.php: Fixes #3556 limiting width
+ of account text boxes
+
+ * index.php, mod/custom_index/index.php: Fixes #3376 added RSS feed to
+ default index page and suppressing on custom index front page
+
+ * engine/classes/ElggSite.php, engine/lib/users.php,
+ pages/account/forgotten_password.php, pages/account/reset_password.php,
+ views/default/core/account/login_walled_garden.php,
+ views/default/forms/login.php: Fixes #3515 created a unified page handler for
+ account pages
+
+ * mod/blog/views/default/object/blog.php,
+ mod/bookmarks/views/default/object/bookmarks.php,
+ mod/embed/views/default/embed/item/list.php,
+ mod/file/views/default/object/file.php,
+ mod/groups/views/default/group/default.php,
+ .../views/default/object/groupforumtopic.php,
+ mod/pages/views/default/object/page_top.php,
+ mod/thewire/views/default/object/thewire.php,
+ views/default/group/elements/summary.php, views/default/object/default.php,
+ views/default/user/default.php, views/default/user/elements/summary.php:
+ Fixes #3096 added user and group summery views an updated plugins to use the
+ new view locations
+
+ * views/default/object/elements/summary.php,
+ views/default/page/components/summary.php: Refs #3096 Moved
+ page/components/summary to object/elements/summary. Left
+ page/components/summary for backwards compatibility. Plugins will eventually
+ need to be updated to use $type/elements/summary
+
+ * mod/pages/views/default/object/page_top.php: Fixes #3523 setting public
+ write access to logged in for pages
+
+ * mod/pages/start.php, mod/pages/views/default/input/write_access.php: Refs
+ #3523 added a view for write access in pages plugin
+
+ * mod/pages/pages/pages/view.php, mod/pages/start.php: Fixes #2672 limiting
+ deleting pages and creating sub pages
+
+ * engine/classes/ElggMenuItem.php, engine/lib/admin.php: sorting plugin
+ settings menu items by text
+
+ * .../views/default/settings/categories/edit.php,
+ .../default/settings/garbagecollector/edit.php,
+ .../views/default/settings/logrotate/edit.php: Refs #2032 changed <p> to
+ <div> for plugin settings
+
+ * mod/blog/views/default/forms/blog/save.php,
+ mod/groups/actions/groups/delete.php,
+ mod/groups/views/default/forms/groups/delete.php,
+ mod/groups/views/default/forms/groups/edit.php,
+ mod/groups/views/default/forms/groups/invite.php,
+ mod/groups/views/default/groups/edit.php,
+ .../views/default/forms/invitefriends/invite.php,
+ .../notifications/subscriptions/forminternals.php,
+ views/default/css/elements/forms.php, views/default/forms/avatar/crop.php,
+ views/default/forms/avatar/upload.php, views/default/forms/comments/add.php,
+ views/default/forms/usersettings/save.php: Refs #3407 adds a footer for forms
+
+
+ * install/css/install.css, views/installation/install/nav.php,
+ views/installation/install/pages/complete.php: fixed disabled button in
+ installer - css class had changed
+
+2011-06-09 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/developers/languages/en.php,
+ .../views/default/theme_preview/general.php: Fixes #3394 added a landing page
+ to theme preview and break out of iframe link
+
+ * engine/lib/users.php, views/default/input/location.php,
+ views/default/input/tag.php, views/default/output/location.php,
+ views/default/output/tag.php, views/default/output/tags.php: Fixes #3489
+ added input/output views for tag and location
+
+ * mod/invitefriends/manifest.xml: added invite friends to default activated
+ plugins
+
+ * actions/admin/plugins/activate.php, actions/admin/plugins/deactivate.php:
+ Refs #2871 Forgot to update the forwarding for activate/deactivate actions
+
+ * languages/en.php, mod/diagnostics/languages/en.php,
+ mod/externalpages/languages/en.php: Refs #3410 all admin menu items have
+ consistent capitalization
+
+ * engine/lib/admin.php: Fixes #3548 the check for an admin menu's parent
+ wasn't working
+
+ * actions/admin/plugins/activate_all.php,
+ actions/admin/plugins/deactivate_all.php, views/default/admin/plugins.php,
+ views/default/css/admin.php,
+ views/default/forms/admin/plugins/change_state.php: Fixes #2871 activate
+ all/deactivate all now sensitive to filtering
+
+ * views/default/admin/plugins/simple.php,
+ .../forms/admin/plugins/simple_update_states.php: Refs #2871 removed the
+ simple plugins page
+
+ * engine/lib/admin.php, views/default/admin/plugins.php,
+ views/default/admin/plugins/advanced.php: Refs #2871 promoted advanced
+ plugins up to be a primary menu
+
+ * views/default/object/plugin/advanced.php: Refs #2871 also turning off
+ dragging when not in priority order
+
+ * views/default/admin/plugins/advanced.php,
+ views/default/object/plugin/advanced.php,
+ .../object/plugin/elements/dependencies.php: Refs #2871 only showing links
+ for changing priority when all plugins are shown in priority order
+
+ * languages/en.php, views/default/admin/plugins/advanced.php,
+ views/default/forms/admin/plugins/filter.php,
+ views/default/forms/admin/plugins/sort.php: Refs #2871 adding sorting to
+ advanced plugin page
+
+ * languages/en.php, views/default/admin/plugins/advanced.php: Refs #2871
+ filter plugins by active/inactive state
+
+ * mod/diagnostics/manifest.xml: setting correct category for diagnostics
+ plugin
+
+2011-06-09 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * CHANGES.txt: Closes #3510. Merged CHANGES.txt for 1.7.9 to trunk.
+
+ * engine/lib/deprecated-1.8.php: Refs #3510, #3366. Added warning about
+ count vs sum in egef_annotation_count() to trunk.
+
+ * mod/profile/start.php: Refs #3510, #3316. Merged
+ register_metadata_as_independent() fix in profile.
+
+2011-06-08 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/upgrades/2011052801.php, version.php: Refs #3510, #3416. Merged
+ update for making sure users have relationship member_of on site object.
+
+ * mod/messages/languages/en.php,
+ mod/messages/views/default/object/messages.php: Refs #3510, #3264. Ported fix
+ for icons of messages from deleted users to trunk.
+
+2011-06-08 Evan Winslow <evan@elgg.org>
+
+ * engine/lib/views.php, languages/en.php, mod/blog/languages/en.php,
+ .../views/default/river/object/blog/create.php,
+ mod/bookmarks/languages/en.php,
+ .../default/river/object/bookmarks/create.php, mod/file/languages/en.php,
+ .../views/default/river/object/file/create.php, mod/groups/languages/en.php,
+ .../river/annotation/group_topic_post/reply.php,
+ mod/groups/views/default/river/group/create.php,
+ .../river/object/groupforumtopic/create.php,
+ .../default/river/relationship/member/create.php,
+ .../default/river/annotation/likes/create.php,
+ mod/messageboard/languages/en.php,
+ .../default/river/object/messageboard/create.php, mod/pages/languages/en.php,
+ .../views/default/river/object/page/create.php, mod/thewire/languages/en.php,
+ .../views/default/river/object/thewire/create.php,
+ .../river/annotation/generic_comment/create.php,
+ views/default/river/elements/body.php,
+ views/default/river/elements/summary.php, views/default/river/item.php,
+ views/default/river/relationship/friend/create.php: River revamp for 1.8
+
+2011-06-07 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/core/account/login_dropdown.php,
+ views/default/core/account/login_walled_garden.php: Refs #3510, #3414, #3536.
+ Ported https login fixes to trunk. Will need to backport changes to 1.7 for
+ #3536.
+
+ * engine/lib/group.php, engine/lib/sessions.php, languages/en.php: Refs
+ #3510, #3418. Merged fix for forwarding after output to trunk.
+
+ * mod/tinymce/vendor/tinymce/changelog.txt,
+ .../vendor/tinymce/examples/accessibility.html,
+ .../vendor/tinymce/examples/css/content.css,
+ mod/tinymce/vendor/tinymce/examples/css/word.css,
+ .../vendor/tinymce/examples/custom_formats.html,
+ mod/tinymce/vendor/tinymce/examples/full.html,
+ mod/tinymce/vendor/tinymce/examples/index.html,
+ .../vendor/tinymce/examples/lists/image_list.js,
+ .../vendor/tinymce/examples/lists/link_list.js,
+ .../vendor/tinymce/examples/lists/media_list.js,
+ .../vendor/tinymce/examples/lists/template_list.js,
+ mod/tinymce/vendor/tinymce/examples/media/logo.jpg,
+ .../vendor/tinymce/examples/media/logo_over.jpg,
+ .../vendor/tinymce/examples/media/sample.avi,
+ .../vendor/tinymce/examples/media/sample.dcr,
+ .../vendor/tinymce/examples/media/sample.flv,
+ .../vendor/tinymce/examples/media/sample.mov,
+ .../vendor/tinymce/examples/media/sample.ram,
+ .../vendor/tinymce/examples/media/sample.rm,
+ .../vendor/tinymce/examples/media/sample.swf,
+ mod/tinymce/vendor/tinymce/examples/menu.html,
+ mod/tinymce/vendor/tinymce/examples/simple.html,
+ mod/tinymce/vendor/tinymce/examples/skins.html,
+ .../vendor/tinymce/examples/templates/layout1.htm,
+ .../vendor/tinymce/examples/templates/snippet1.htm,
+ mod/tinymce/vendor/tinymce/examples/word.html,
+ .../vendor/tinymce/jscripts/tiny_mce/langs/en.js,
+ .../tiny_mce/plugins/advhr/langs/en_dlg.js,
+ .../jscripts/tiny_mce/plugins/advhr/rule.htm,
+ .../jscripts/tiny_mce/plugins/advimage/image.htm,
+ .../jscripts/tiny_mce/plugins/advimage/js/image.js,
+ .../tiny_mce/plugins/advimage/langs/en_dlg.js,
+ .../tiny_mce/plugins/advlink/js/advlink.js,
+ .../tiny_mce/plugins/advlink/langs/en_dlg.js,
+ .../jscripts/tiny_mce/plugins/advlink/link.htm,
+ .../tiny_mce/plugins/advlist/editor_plugin.js,
+ .../tiny_mce/plugins/advlist/editor_plugin_src.js,
+ .../tiny_mce/plugins/autolink/editor_plugin.js,
+ .../tiny_mce/plugins/autolink/editor_plugin_src.js,
+ .../tiny_mce/plugins/autoresize/editor_plugin.js,
+ .../plugins/autoresize/editor_plugin_src.js,
+ .../tiny_mce/plugins/autosave/editor_plugin.js,
+ .../tiny_mce/plugins/autosave/editor_plugin_src.js,
+ .../tiny_mce/plugins/bbcode/editor_plugin.js,
+ .../tiny_mce/plugins/bbcode/editor_plugin_src.js,
+ .../tiny_mce/plugins/contextmenu/editor_plugin.js,
+ .../plugins/contextmenu/editor_plugin_src.js,
+ .../tiny_mce/plugins/emotions/emotions.htm,
+ .../tiny_mce/plugins/fullpage/css/fullpage.css,
+ .../tiny_mce/plugins/fullpage/editor_plugin.js,
+ .../tiny_mce/plugins/fullpage/editor_plugin_src.js,
+ .../tiny_mce/plugins/fullpage/fullpage.htm,
+ .../tiny_mce/plugins/fullpage/js/fullpage.js,
+ .../tiny_mce/plugins/fullscreen/editor_plugin.js,
+ .../plugins/fullscreen/editor_plugin_src.js,
+ .../tiny_mce/plugins/inlinepopups/editor_plugin.js,
+ .../plugins/inlinepopups/editor_plugin_src.js,
+ .../inlinepopups/skins/clearlooks2/window.css,
+ .../tiny_mce/plugins/layer/editor_plugin.js,
+ .../tiny_mce/plugins/layer/editor_plugin_src.js,
+ .../tiny_mce/plugins/legacyoutput/editor_plugin.js,
+ .../plugins/legacyoutput/editor_plugin_src.js,
+ .../tiny_mce/plugins/lists/editor_plugin.js,
+ .../tiny_mce/plugins/lists/editor_plugin_src.js,
+ .../tiny_mce/plugins/media/css/content.css,
+ .../jscripts/tiny_mce/plugins/media/css/media.css,
+ .../tiny_mce/plugins/media/editor_plugin.js,
+ .../tiny_mce/plugins/media/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/media/img/flash.gif,
+ .../tiny_mce/plugins/media/img/flv_player.swf,
+ .../tiny_mce/plugins/media/img/quicktime.gif,
+ .../tiny_mce/plugins/media/img/realmedia.gif,
+ .../tiny_mce/plugins/media/img/shockwave.gif,
+ .../jscripts/tiny_mce/plugins/media/img/trans.gif,
+ .../tiny_mce/plugins/media/img/windowsmedia.gif,
+ .../jscripts/tiny_mce/plugins/media/js/media.js,
+ .../tiny_mce/plugins/media/langs/en_dlg.js,
+ .../jscripts/tiny_mce/plugins/media/media.htm,
+ .../tiny_mce/plugins/media/moxieplayer.swf,
+ .../tiny_mce/plugins/nonbreaking/editor_plugin.js,
+ .../plugins/nonbreaking/editor_plugin_src.js,
+ .../tiny_mce/plugins/noneditable/editor_plugin.js,
+ .../plugins/noneditable/editor_plugin_src.js,
+ .../tiny_mce/plugins/pagebreak/css/content.css,
+ .../tiny_mce/plugins/pagebreak/editor_plugin.js,
+ .../plugins/pagebreak/editor_plugin_src.js,
+ .../tiny_mce/plugins/pagebreak/img/pagebreak.gif,
+ .../tiny_mce/plugins/pagebreak/img/trans.gif,
+ .../tiny_mce/plugins/paste/editor_plugin.js,
+ .../tiny_mce/plugins/paste/editor_plugin_src.js,
+ .../plugins/searchreplace/editor_plugin.js,
+ .../plugins/searchreplace/editor_plugin_src.js,
+ .../plugins/searchreplace/js/searchreplace.js,
+ .../plugins/searchreplace/searchreplace.htm,
+ .../tiny_mce/plugins/spellchecker/editor_plugin.js,
+ .../plugins/spellchecker/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/style/js/props.js,
+ .../tiny_mce/plugins/style/langs/en_dlg.js,
+ .../jscripts/tiny_mce/plugins/style/props.htm,
+ .../tiny_mce/plugins/tabfocus/editor_plugin.js,
+ .../tiny_mce/plugins/tabfocus/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/table/cell.htm,
+ .../tiny_mce/plugins/table/editor_plugin.js,
+ .../tiny_mce/plugins/table/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/table/js/cell.js,
+ .../jscripts/tiny_mce/plugins/table/js/row.js,
+ .../jscripts/tiny_mce/plugins/table/js/table.js,
+ .../tiny_mce/plugins/table/langs/en_dlg.js,
+ .../tiny_mce/plugins/table/merge_cells.htm,
+ .../jscripts/tiny_mce/plugins/table/row.htm,
+ .../jscripts/tiny_mce/plugins/table/table.htm,
+ .../tiny_mce/plugins/template/js/template.js,
+ .../tiny_mce/plugins/visualchars/editor_plugin.js,
+ .../plugins/visualchars/editor_plugin_src.js,
+ .../tiny_mce/plugins/wordcount/editor_plugin.js,
+ .../plugins/wordcount/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/xhtmlxtras/abbr.htm,
+ .../tiny_mce/plugins/xhtmlxtras/acronym.htm,
+ .../tiny_mce/plugins/xhtmlxtras/attributes.htm,
+ .../jscripts/tiny_mce/plugins/xhtmlxtras/cite.htm,
+ .../jscripts/tiny_mce/plugins/xhtmlxtras/del.htm,
+ .../tiny_mce/plugins/xhtmlxtras/editor_plugin.js,
+ .../plugins/xhtmlxtras/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/xhtmlxtras/ins.htm,
+ .../tiny_mce/plugins/xhtmlxtras/js/attributes.js,
+ .../jscripts/tiny_mce/plugins/xhtmlxtras/js/del.js,
+ .../plugins/xhtmlxtras/js/element_common.js,
+ .../jscripts/tiny_mce/plugins/xhtmlxtras/js/ins.js,
+ .../jscripts/tiny_mce/themes/advanced/about.htm,
+ .../jscripts/tiny_mce/themes/advanced/anchor.htm,
+ .../jscripts/tiny_mce/themes/advanced/charmap.htm,
+ .../tiny_mce/themes/advanced/color_picker.htm,
+ .../tiny_mce/themes/advanced/editor_template.js,
+ .../themes/advanced/editor_template_src.js,
+ .../jscripts/tiny_mce/themes/advanced/image.htm,
+ .../tiny_mce/themes/advanced/img/flash.gif,
+ .../tiny_mce/themes/advanced/img/iframe.gif,
+ .../tiny_mce/themes/advanced/img/pagebreak.gif,
+ .../tiny_mce/themes/advanced/img/quicktime.gif,
+ .../tiny_mce/themes/advanced/img/realmedia.gif,
+ .../tiny_mce/themes/advanced/img/shockwave.gif,
+ .../tiny_mce/themes/advanced/img/trans.gif,
+ .../tiny_mce/themes/advanced/img/video.gif,
+ .../tiny_mce/themes/advanced/img/windowsmedia.gif,
+ .../jscripts/tiny_mce/themes/advanced/js/about.js,
+ .../jscripts/tiny_mce/themes/advanced/js/anchor.js,
+ .../tiny_mce/themes/advanced/js/charmap.js,
+ .../tiny_mce/themes/advanced/js/color_picker.js,
+ .../jscripts/tiny_mce/themes/advanced/js/image.js,
+ .../jscripts/tiny_mce/themes/advanced/js/link.js,
+ .../tiny_mce/themes/advanced/js/source_editor.js,
+ .../jscripts/tiny_mce/themes/advanced/langs/en.js,
+ .../tiny_mce/themes/advanced/langs/en_dlg.js,
+ .../jscripts/tiny_mce/themes/advanced/link.htm,
+ .../tiny_mce/themes/advanced/shortcuts.htm,
+ .../themes/advanced/skins/default/content.css,
+ .../tiny_mce/themes/advanced/skins/default/ui.css,
+ .../themes/advanced/skins/highcontrast/content.css,
+ .../themes/advanced/skins/highcontrast/dialog.css,
+ .../themes/advanced/skins/highcontrast/ui.css,
+ .../themes/advanced/skins/o2k7/content.css,
+ .../tiny_mce/themes/advanced/skins/o2k7/dialog.css,
+ .../tiny_mce/themes/advanced/skins/o2k7/ui.css,
+ .../themes/advanced/skins/o2k7/ui_black.css,
+ .../themes/advanced/skins/o2k7/ui_silver.css,
+ .../tiny_mce/themes/advanced/source_editor.htm,
+ .../tiny_mce/themes/simple/editor_template.js,
+ .../tiny_mce/themes/simple/editor_template_src.js,
+ .../vendor/tinymce/jscripts/tiny_mce/tiny_mce.js,
+ .../tinymce/jscripts/tiny_mce/tiny_mce_popup.js,
+ .../tinymce/jscripts/tiny_mce/tiny_mce_src.js,
+ .../tinymce/jscripts/tiny_mce/utils/form_utils.js,
+ .../tinymce/jscripts/tiny_mce/utils/mctabs.js,
+ .../tinymce/jscripts/tiny_mce/utils/validate.js: Refs #3510, #9113. Updated
+ tinyMCE version in trunk.
+
+ * engine/classes/ElggEntity.php: Refs #3510, #3433. Ported r9062 to trunk.
+ Fix for comment hook returning 0 comments.
+
+ * CHANGES.txt: Refs #3510: Merged r8938 to trunk. Removed exec props.
+
+ * README.txt: Merged README.txt version removal to trunk.
+
+2011-06-03 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * install/ElggInstaller.php: Fixes #3520 fix check for subdirectory in
+ installer
+
+ * mod/embed/README.txt, mod/embed/start.php,
+ mod/embed/views/default/embed/css.php,
+ mod/embed/views/default/embed/embed.php,
+ mod/embed/views/default/embed/item/list.php,
+ mod/embed/views/default/embed/js.php,
+ .../default/tinymce/embed_custom_insert_js.php: Refs #3360 #2911 applying
+ ashleyward's patch for embed plugin - thanks!
+
+ * vendors/jquery/jquery.form.js: Refs #3360 updating the jquery form plugin
+
+ * mod/blog/actions/blog/delete.php, mod/blog/lib/blog.php,
+ mod/blog/start.php, mod/blog/views/default/blog/group_module.php,
+ mod/bookmarks/actions/bookmarks/delete.php,
+ mod/bookmarks/pages/bookmarks/view.php, mod/bookmarks/start.php,
+ .../views/default/bookmarks/group_module.php,
+ mod/file/actions/file/delete.php, mod/file/actions/file/upload.php,
+ mod/file/pages/file/search.php, mod/file/pages/file/upload.php,
+ mod/file/pages/file/view.php, mod/file/start.php,
+ mod/file/views/default/file/group_module.php,
+ mod/messageboard/pages/messageboard/owner.php, mod/messageboard/start.php,
+ .../views/default/widgets/messageboard/content.php,
+ mod/pages/actions/pages/delete.php, mod/pages/pages/pages/history.php,
+ mod/pages/pages/pages/revision.php, mod/pages/pages/pages/view.php,
+ mod/pages/start.php, mod/pages/views/default/pages/group_module.php: Fixes
+ #3138 using 'all' for group listing urls
+
+ * mod/likes/actions/likes/add.php, mod/likes/actions/likes/delete.php,
+ mod/likes/views/default/likes/display.php: Fixes #3497 users can unlike other
+ users content
+
+2011-06-02 Russell McLoughlin <mcloughlin3@llnl.gov>
+
+ * mod/file/actions/file/upload.php: fixed embarrassing spelling mistake.
+
+2011-06-01 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/likes/start.php: Fixes #3499 using consistent menu name for likes
+ plugin
+
+2011-06-01 Russell McLoughlin <mcloughlin3@llnl.gov>
+
+ * mod/file/actions/file/upload.php, mod/file/download.php: Modified upload
+ script to guarentee that the directory under elgg data is created or exists.
+ Removed strange chunking code with builtin php function
+
+ * mod/file/actions/file/upload.php: Modified upload code so that it uses
+ php's built in file copy function rather than reading the entire uploaded
+ file into memory from the temporary upload directory and then saving it to
+ elgg's data dir.
+
+2011-06-01 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/deprecated-1.8.php: Fixes #3455 added documentation back to
+ deprecated functions
+
+2011-05-24 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggPluginPackage.php, languages/en.php,
+ views/default/css/admin.php, views/default/object/plugin/advanced.php: Fixes
+ #3467. Fixed bugs in priority deps. Can now disable active plugins with unmet
+ dependencies.
+
+2011-05-20 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css/admin.php: Refs #3236 added some margin to the bottom of
+ the admin footer
+
+ * engine/lib/users.php, mod/dashboard/manifest.xml, mod/dashboard/start.php,
+ mod/dashboard/views/default/dashboard/blurb.php, pages/dashboard.php,
+ views/default/core/dashboard/blurb.php, views/default/css/elements/misc.php:
+ Refs #3340 pulls dashboard out as plugin
+
+ * views/default/annotation/generic_comment.php,
+ views/default/page/elements/comments_block.php: Fixes #3457 showing a snippet
+ of a comment in sidebar instead of entire comment
+
+ * views/default/input/access.php, views/default/input/tags.php,
+ views/default/output/tags.php: Fixes #3189 adds entity support to tags and
+ access views
+
+ * languages/en.php, mod/blog/manifest.xml, mod/bookmarks/manifest.xml,
+ mod/categories/manifest.xml, mod/diagnostics/manifest.xml,
+ mod/embed/manifest.xml, mod/file/manifest.xml,
+ mod/garbagecollector/manifest.xml, mod/groups/manifest.xml,
+ mod/htmlawed/manifest.xml, mod/invitefriends/manifest.xml,
+ mod/logrotate/manifest.xml, mod/messageboard/manifest.xml,
+ mod/pages/manifest.xml, mod/tagcloud/manifest.xml, mod/tinymce/manifest.xml,
+ mod/twitter/manifest.xml, mod/uservalidationbyemail/manifest.xml,
+ mod/zaudio/manifest.xml: Refs #2104 changed extension to enhancement and
+ added security, widget, and theme categories
+
+ * engine/lib/river.php: Fixes #3300 adds a created,river event
+
+2011-05-19 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/river.php: 'creating', 'river' is the plugin hook for
+ preventing or catching a river addition before it happens
+
+ * engine/lib/output.php: Fixes #3454 not stripping empty attributes
+
+ * engine/lib/admin.php, views/default/object/plugin/advanced.php: Fixes
+ #3163 plugin/<plugin id>/settings.php is now the preferred way to add
+ settings plugins
+
+ * engine/classes/ElggPlugin.php, engine/lib/elgglib.php, languages/en.php:
+ Fixes #3462 empty classes directory is no longer treated as an error
+
+2011-05-18 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * js/lib/ui.js, views/default/output/confirmlink.php,
+ views/default/page/elements/header.php: Fixes #3385 rel now used for the
+ confirm text so that title can be used separately
+
+ * engine/lib/pagehandler.php: Fixes #3132 added 'route', $handler plugin
+ hook
+
+ * views/default/forms/register.php: Fixes #3419 removed code about admin
+ option from registration form
+
+ * mod/groups/start.php: Fixes #3464 group discussion replies work on
+ activity page
+
+2011-05-15 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * install/languages/en.php: Fixes #3453 added link to wiki about the data
+ directory
+
+ * install/css/install.css,
+ views/installation/install/pages/requirements.php: fixed display issue in
+ installation where navigation was overlapping requirements
+
+ * install/ElggInstaller.php: fixed issue introduced with the session changes
+ in the installer
+
+ * install/ElggInstaller.php: do not need to initialize globals in installer
+ because they are now declared that way in Elgg core libs
+
+ * install/ElggInstaller.php: fixed regenerating cache when installing Elgg -
+ wasn't picking up the plugins before
+
+ * views/installation/page/elements/footer.php: helpful links in installer
+ open up in new page now
+
+ * install/ElggInstaller.php: Refs #3453 added $CONFIG->data_dir_override so
+ that people can put the data directory in Elgg's root if they want to. Will
+ add instructions to the wiki on using this and protecting the directory
+
+ * install/ElggInstaller.php, install/ElggRewriteTester.php,
+ install/css/install.css, install/js/install.js, install/languages/en.php,
+ views/installation/forms/install/template.php,
+ views/installation/input/checkbox.php,
+ views/installation/input/checkboxes.php, views/installation/input/combo.php,
+ views/installation/input/form.php, views/installation/input/hidden.php,
+ views/installation/input/text.php: Refs #3453 an implementation of creating
+ the data directory. This capability is turned off due to security concerns.
+
+2011-05-14 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/installation/input/access.php, views/installation/input/button.php,
+ views/installation/input/checkboxes.php,
+ views/installation/input/dropdown.php, views/installation/input/form.php,
+ views/installation/input/hidden.php, views/installation/input/longtext.php,
+ views/installation/input/password.php, views/installation/input/reset.php,
+ views/installation/input/securitytoken.php,
+ views/installation/input/submit.php, views/installation/input/text.php: clean
+ up installation input views
+
+ * install/css/install.css: fixed the width of text boxes for the installer
+
+ * actions/logout.php: on logout send the user to the main page
+
+ * install/ElggInstaller.php: Fixes #3446 password is not required anymore
+ for installer
+
+ * install/js/install.js, views/installation/forms/install/template.php,
+ views/installation/page/default.php: fixed the double form submission code
+ for the installer
+
+ * engine/lib/users.php, views/default/user/default.php: Fixes #3456
+ user/default now works much like the object/<subtype> views regarding the
+ menu
+
+ * engine/lib/extender.php: not using deprecated get_annotation/get_metadata
+ functions in can_edit_extender()
+
+ * views/default/navigation/topbar_tools.php,
+ views/default/page/elements/topbar.php: only display deprecation message if
+ someone extends/overrides the deprecated tools view
+
+ * mod/developers/languages/en.php: defined
+ elgg_dev_tools:settings:explanation
+
+ * views/default/input/dropdown.php: fixes a php warning if no options are
+ passed to input/dropdown view
+
+ * engine/lib/users.php: removed a deprecated function use in users lib
+
+ * .../views/default/theme_preview/forms.php,
+ views/default/input/userpicker.php: fixed passing wrong parameters to
+ input/userpicker (which is not currently functional)
+
+ * mod/externalpages/start.php, mod/thewire/start.php, mod/twitter/start.php:
+ removed deprecated functions from these 3 plugins
+
+ * engine/lib/actions.php: improved documentation of elgg_register_action()
+
+ * mod/pages/start.php: fixed page revisions url override
+
+ * engine/lib/extender.php: improved documentation of
+ elgg_register_extender_url_handler()
+
+ * views/default/forms/admin/menu/save.php: fixed php warning when no custom
+ menu items
+
+ * languages/en.php: fixed typo in the admin welcome widget
+
+ * views/default/admin/plugins/advanced.php: sorting plugin categories before
+ display
+
+ * languages/en.php, mod/groups/manifest.xml, mod/likes/manifest.xml,
+ mod/oauth_api/manifest.xml, mod/twitter_api/manifest.xml,
+ mod/zaudio/manifest.xml: Refs #2104 more category work for bundled plugins
+
+ * engine/lib/database.php: made database query logging explicitly set to
+ NOTICE level
+
+2011-05-13 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/lib/blog.php: Fixes #3439 forcing months to have leading zero
+
+2011-05-11 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * version.php: Version bump
+
+2011-05-09 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * js/lib/userpicker.js: Pulled in Jeff's patch to fix the user picker.
+
+ * engine/classes/ElggPluginPackage.php: Fixes 3426. Not using a horrible
+ variable name and fixing the bug created by it.
+
+2011-05-06 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/deprecated-1.8.php: Fixed wrapper for annotation calculations.
+
+2011-04-29 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php, views/default/css/admin.php,
+ views/default/widgets/admin_welcome/content.php: Made admin welcome widget a
+ bit more semantic
+
+ * upgrade.php: comment typo
+
+ * engine/.htaccess: Bah, can't do that
+
+ * engine/.htaccess: Deny all HTTP access to the engine directory
+
+2011-04-28 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/twitter/views/default/twitter/css.php: hacking the 1.7 css for current
+ twitter widget so it looks decent for screenshots
+
+ * mod/embed/start.php: Refs #3358 fixed another instance where link_class
+ should be used now due to changes in menu code
+
+2011-04-28 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * js/lib/security.js, js/lib/ui.js: Fixes #3408. During token refresh, only
+ touching hrefs that contain __elgg_ts and __elgg_token to avoid changing
+ selector-only hrefs since fragment-only hrefs are normalized by the browser.
+ Not sure how this didn't show up until now.
+
+2011-04-28 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php, views/default/widgets/admin_welcome/content.php: Trying
+ to increase probability that people will read the welcome widget by making
+ the text shorter
+
+ * languages/en.php, views/default/css/admin.php,
+ views/default/forms/profile/fields/reset.php: Refs #3236 updated the button
+ css so that disable plugin button looks like a button
+
+ * mod/messages/manifest.xml: activate messages plugin on install
+
+ * views/default/css/admin.php, .../object/plugin/elements/dependencies.php:
+ Refs #3236 removed elgg-states-* from admin css since it was overaggressive
+
+ * views/default/css/admin.php: Refs #3236 cleaned up the widget css in admin
+ area
+
+2011-04-28 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/tinymce/start.php: Refs #3358. Missed Tinymce's use of class for its
+ menu.
+
+ * views/default/css/admin.php: Fixes #3326. Added CSS for inputs in admin
+ area. No more tiny text fields!
+
+ * mod/messageboard/views/default/messageboard/js.php: Fixed a problem with
+ the ajax for deleting message board posts.
+
+ * engine/lib/actions.php: Removed unneeded else.
+
+ * engine/lib/actions.php: Fixes #3127. Normalizing the json returned by the
+ ajax action handler. Doing this in PHP.
+
+2011-04-27 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css/admin.php: Added very basic CSS for elgg-markdown in
+ admin area.
+
+ * engine/lib/admin.php: Fixed possible WSOD for plugin text file page
+ handler.
+
+ * mod/search/README.txt: Fixed typo in search's readme.
+
+ * vendors/markdown/UPSTREAM_WARNING, vendors/markdown/markdown.php: Updated
+ markdown to add support for === instead of just ### for headers. Added
+ upstream warning.
+
+ * mod/search/README.txt: Updated search's readme to markdown.
+
+ * mod/logrotate/languages/en.php, mod/logrotate/start.php,
+ .../views/default/settings/logrotate/edit.php: Fixes #3253. Merged in
+ Shashank's patch.
+
+ * engine/lib/plugins.php: Removed stray test code.
+
+ * engine/lib/plugins.php, views/default/admin/plugins/advanced.php: Refs
+ #3362. Removed use of $show_bad in elgg_get_plugins().
+
+ * actions/admin/plugins/activate.php,
+ actions/admin/plugins/activate_all.php, actions/admin/plugins/deactivate.php,
+ actions/admin/plugins/deactivate_all.php,
+ actions/admin/plugins/set_priority.php,
+ actions/admin/plugins/simple_update_states.php,
+ actions/plugins/settings/save.php, actions/plugins/usersettings/save.php,
+ engine/classes/ElggPlugin.php, engine/classes/ElggPluginPackage.php,
+ engine/lib/admin.php, engine/lib/deprecated-1.8.php, engine/lib/plugins.php,
+ install/ElggInstaller.php, views/default/admin/plugin_settings.php,
+ views/default/admin/plugins/advanced.php,
+ views/default/core/settings/tools.php,
+ .../forms/admin/plugins/simple_update_states.php,
+ views/default/object/plugin/advanced.php,
+ .../object/plugin/elements/dependencies.php,
+ views/default/object/plugin/simple.php: Refs #3362. Plugins don't check deps
+ upon boot. Made package and manifest private properties of ElggPlugin and
+ added ->getPackage() and ->getManifest().
+
+2011-04-26 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css/elements/icons.php: Fixes #3380: .elgg-icon-user states
+ are now consistent with other elgg-icons
+
+ * views/default/css/elements/core.php,
+ views/default/css/elements/navigation.php: Fixes #3388: .elgg-icon is now
+ middle-aligned by default
+
+ * views/default/page/components/gallery.php: Fixes #3290: Fluid gallery w/o
+ tables -- working great for fixed-width content
+
+ * views/default/css/elements/typography.php: Fixes #3389: Sandbox base
+ styles for dl, dt, dd
+
+ * engine/lib/input.php, views/default/input/autocomplete.php: Fixes #2922:
+ pg/livesearch now works with "term" parameter
+
+ * engine/lib/elgglib.php: Fixes some coding standard issues in elgglib.php
+
+2011-04-25 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/messages/readme.txt: Removed inaccurate readme.txt file.
+
+ * engine/classes/ElggPlugin.php, engine/classes/ElggPluginPackage.php,
+ engine/lib/admin.php, engine/lib/plugins.php, languages/en.php,
+ vendors/markdown/License.text, vendors/markdown/PHP Markdown Extra
+ Readme.text, vendors/markdown/markdown.php,
+ views/default/object/plugin/advanced.php,
+ views/default/object/plugin/simple.php: Fixes #2899, #2870. Added README.txt,
+ CHANGES.txt, COPYRIGHT.txt, LICENSE.txt, and INSTALL.txt as markdown files
+ for plugins. Added page handler to parse and serve them. Added links in
+ plugin admin. Refs #3236. Problems with displaying parsed markdown because of
+ missing parts of the admin theme's CSS.
+
+ * engine/classes/ElggPlugin.php: Renamed ElggPlugin->canIncludeFile() to
+ ->canReadFile() and am using is_readable() instead of file_exists().
+
+2011-04-24 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css/elements/forms.php: Fixes #2196. Pulled in Francisco's
+ patch for datepicker CSS.
+
+2011-04-23 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/developers/languages/en.php, mod/developers/start.php,
+ .../views/default/page/theme_preview.php,
+ .../views/default/theme_preview/buttons.php,
+ .../views/default/theme_preview/components.php,
+ .../theme_preview/components/image_block.php,
+ .../default/theme_preview/components/list.php,
+ .../default/theme_preview/components/messages.php,
+ .../default/theme_preview/components/table.php,
+ .../views/default/theme_preview/forms.php,
+ .../views/default/theme_preview/general.php,
+ .../views/default/theme_preview/grid.php,
+ .../views/default/theme_preview/icons.php,
+ .../views/default/theme_preview/icons/avatars.php,
+ .../views/default/theme_preview/icons/loader.php,
+ .../views/default/theme_preview/icons/sprites.php,
+ .../views/default/theme_preview/modules.php,
+ .../default/theme_preview/modules/modules.php,
+ .../default/theme_preview/modules/widgets.php,
+ .../views/default/theme_preview/navigation.php,
+ .../theme_preview/navigation/breadcrumbs.php,
+ .../default/theme_preview/navigation/default.php,
+ .../default/theme_preview/navigation/extras.php,
+ .../default/theme_preview/navigation/filter.php,
+ .../theme_preview/navigation/horizontal.php,
+ .../theme_preview/navigation/owner_block.php,
+ .../default/theme_preview/navigation/page.php,
+ .../theme_preview/navigation/pagination.php,
+ .../default/theme_preview/navigation/site.php,
+ .../default/theme_preview/navigation/tabs.php,
+ .../views/default/theme_preview/objects.php,
+ .../views/default/theme_preview/typography.php,
+ .../default/theme_preview/typography/headings.php,
+ .../default/theme_preview/typography/misc.php,
+ .../default/theme_preview/typography/paragraph.php,
+ .../views/default/theme_preview/widgets.php: Fixes #3042: Theme preview navs
+ now match css/elements/*. Also did a major cleanup of the presentation.
+
+2011-04-22 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/forms/developers/settings.php: Fixes #3374: echo
+ developers settings explanation
+
+ * views/default/navigation/menu/elements/item.php: Fixes #3282: Added a
+ class to menu items which includes menu item name (just like ul contains a
+ class with the menu name)
+
+2011-04-22 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/views.php: Fixed rewriting for internalid and internalname to
+ not cause false deprecation notices if passed among views.
+
+ * views/default/forms/profile/fields/add.php: Fixed deprecated call to
+ input/pulldown.
+
+ * engine/lib/elgglib.php: Added 3rd param to elgg_deprecated_notice() to
+ control how much of the stack to show.
+
+ * engine/lib/statistics.php: Fixes some deprecated notices in admin online
+ user widget.
+
+ * engine/lib/views.php: Fixed erroneous notices about full_view when passing
+ $vars directly to another view within a view.
+
+2011-04-21 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php, engine/lib/metastrings.php: Refs #3195.
+ Selectively joining certain tables for performance with annotation
+ calculations.
+
+ * engine/lib/annotations.php, engine/lib/metastrings.php: Reverting the
+ annotation changes because they're not ready yet.
+
+ * engine/lib/annotations.php, engine/lib/elgglib.php,
+ engine/lib/metastrings.php, engine/tests/api/metastrings.php: Fixed a problem
+ with the annotation batch operation test.
+
+ * engine/tests/api/plugins.php,
+ engine/tests/test_files/plugin_18/manifest.xml: Fixes #3310. Removed old
+ on_activate unit tests.
+
+2011-04-20 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/bookmarks/views/default/object/bookmarks.php: Fixes #3369: Bookmarks
+ now have rel=nofollow
+
+2011-04-20 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/actions/groups/featured.php, mod/groups/languages/en.php,
+ mod/groups/start.php: Fixes #3364: Added action tokens and fixed guids for
+ featuring/unfeaturing groups. Cleaned up language for result messages.
+
+2011-04-18 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggMenuItem.php, engine/lib/navigation.php,
+ views/default/page/layouts/content/header.php: Fixes #3358. Renamed menu's
+ class to link_class and documented possible problems with passing <a> tags in
+ the text option.
+
+ * engine/lib/database.php, engine/lib/entities.php,
+ engine/lib/metastrings.php, engine/lib/river.php: Fixes #2046. Applied Franz'
+ patch for sanitize_int().
+
+ * engine/lib/cache.php: Fixes #3365. Pulled in blacktooth's patch.
+
+2011-04-16 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggPlugin.php, engine/classes/ElggPluginManifest.php,
+ engine/classes/ElggPluginManifestParser18.php, languages/en.php,
+ mod/blog/manifest.xml, mod/blog/start.php, mod/categories/manifest.xml,
+ mod/categories/start.php: Fixes #3310. Applied lie2815's patch to use
+ activate.php and deactivate.php instead of manifest on_de/activate fields in
+ plugins.
+
+2011-04-16 Brett Profitt <brett.profitt@gmail.com>
+
+ * engine/lib/metastrings.php, engine/tests/api/entity_getter_functions.php:
+ Removed debug calls.
+
+ * .gitignore, engine/classes/ElggFile.php, engine/lib/annotations.php,
+ engine/lib/metadata.php, engine/lib/metastrings.php,
+ engine/tests/api/entity_getter_functions.php, engine/tests/suite.php:
+ Denormalizing annotation names for calculation functions.
+
+2011-04-14 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/deprecated-1.8.php: Fixed problem with
+ list_entities_from_metadata() wrapper.
+
+ * engine/lib/annotations.php, engine/lib/deprecated-1.8.php,
+ engine/lib/metastrings.php: Refs #3195. Changed the way annotation
+ calculations are performed. Seems to be working better, but will want to test
+ more before closing.
+
+ * engine/classes/ElggMenuItem.php: Refs #3023. Exposed item_class option in
+ the menu item factory. Should we change 'class' to 'link_class' to avoid
+ confusion?
+
+2011-04-14 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, engine/tests/api/helpers.php: fixed filtering of
+ external files array and suppressed warning in external files unit test
+
+2011-04-14 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css/elements/core.php: Fixes #2972: Following elements have
+ default clearfix: elgg-inner elgg-page-header elgg-page-footer elgg-foot
+ elgg-head elgg-col elgg-image-block
+
+ * views/default/page/admin.php, views/default/page/default.php,
+ views/default/page/elements/foot.php, views/default/page/walled_garden.php:
+ Fixes #3329: Added page/elements/foot and refactored page shells to use it
+
+2011-04-14 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/actions.php: Fixes #3268 forwarding to referrer (fixed by
+ ashwanthkumar)
+
+ * engine/lib/metastrings.php: handles empty array in get_metastring_id()
+ (hellekin)
+
+ * engine/lib/sessions.php: preventing warnings with the user password
+ authorization pam (hellekin)
+
+ * engine/classes/ElggEntity.php: fixes warnings in ElggEntity::getMetadata()
+ (hellekin)
+
+ * engine/classes/ElggEntity.php: making sure ElggEntity::getAnnotations()
+ returns an array, check if temporary annotation exists before returning, and
+ added a warning about annotating unsaved entities
+
+ * engine/tests/services/api.php: suppressing warnings in web services unit
+ tests (hellekin)
+
+ * engine/classes/ElggPAM.php: checking that PAM handlers have been
+ registered for the policy being tested (hellekin)
+
+ * engine/classes/ElggUser.php: Checking that get_user_by_username() returned
+ something in ElggUser constructor to prevent notice (hellekin)
+
+2011-04-13 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/handlers/cache_handler.php, engine/lib/cache.php,
+ engine/lib/elgglib.php: Fixes #3331. Changed cache schema to allow for JS/CSS
+ views with slashes and dots.
+
+ * engine/lib/elgglib.php: Consolidated the css and js pagehandlers with
+ elgg_cachable_view_pagehandler() and fixed for URLs with multiple dots after
+ the last / (like /js/calendars/fullcalendar.min.123456789.js)
+
+2011-04-12 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Fixes #3347 fixes comment typo for ege
+
+2011-04-12 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/js/initialize_elgg.php: Fixing JS problems when simple cache
+ is disabled.
+
+2011-04-11 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/output.php: Fixes #3327 fixed typo
+
+ * views/default/css/elgg.php: Fixes #3333 removed include of chrome css
+
+ * views/default/css/admin.php: Fixes #3325 added cursor: pointer to system
+ messages in admin area
+
+ * mod/file/start.php: Fixes #3322 file link removed from owner_block if
+ disabled for a group
+
+2011-04-10 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/start.php, views/default/page/elements/head.php: Fixed #3313:
+ Removed the superfluous html_head/extend view from core. Anyone following
+ trunk should extend page/elements/head instead
+
+ * js/lib/languages.js: Fixes #3182: No attempt to go through simplecache at
+ all, but any language can be exported to js now without any extra effort on
+ the part of language pack authors
+
+ * js/lib/elgglib.js, js/lib/languages.js, views/default/js/elgg.php,
+ views/default/js/initialize_elgg.php: Refs #3182: Feeding language loading
+ through /ajax/view to keep thing simpler for now. Reorganized boot process
+ so that we can ajax load the languages asap
+
+ * views/default/css/elements/buttons.php,
+ views/default/css/elements/components.php,
+ views/default/css/elements/core.php, views/default/css/elements/grid.php,
+ views/default/css/elements/helpers.php, views/default/css/elements/icons.php,
+ views/default/css/elements/layout.php,
+ views/default/css/elements/navigation.php, views/default/css/elgg.php: Refs
+ #3281: css/elements/core.php for the cross-browser/hacky/non-trivial things
+ that themes should rarely, if ever, override.
+
+2011-04-09 Brett Profitt <brett.profitt@gmail.com>
+
+ * engine/lib/annotations.php, engine/lib/metastrings.php: Running
+ egef_annotation_calculation() through elgg_get_annotations() instead of
+ elgg_get_entities().
+
+2011-04-09 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * ....8_svn-admins_like_widgets-7f19d2783c1680d3.php: Reopens #3224 not as
+ clever as I thought - user experiences error before seeing reminder
+
+ * mod/bookmarks/languages/en.php, mod/bookmarks/start.php: registered
+ bookmarks widget
+
+ * views/default/css/admin.php: fixed delete icon in admin css
+
+ * actions/widgets/upgrade.php,
+ ...svn-update_default_widgets-7daf5a459720d06d.php, engine/lib/widgets.php,
+ languages/en.php, views/default/admin/appearance/default_widgets.php: Fixes
+ #3193 moves default widgets upgrade to an action
+
+ * engine/lib/upgrades/2011010101.php,
+ ....8_svn-admins_like_widgets-7f19d2783c1680d3.php: Fixes #3224 Fixes #3241
+ stopping upgrade after plugin upgrade and restarting
+
+2011-04-09 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/tests/api/entity_getter_functions.php: Fixes #2600. Applied
+ Hellekin's patch. Props to him for understanding what was going on because
+ whoa.
+
+ * mod/twitter_api/lib/twitter_api.php: Removing odd ? after docblock in
+ twitter_api.
+
+2011-04-09 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/upgrade.php: Refs #3241 sorting upgrades so that upgrades from
+ old upgrade system are ordered in datalist array
+
+ * ..._svn-goodbye_walled_garden-083121a656d06894.php: Refs #3241 deleting
+ old config value in registration upgrade
+
+ * engine/lib/upgrade.php, engine/lib/upgrades/2010050701.php,
+ ..._svn-goodbye_walled_garden-083121a656d06894.php: Refs #3241 cleaned up
+ upgrade sequence so that we don't need special catch for that one upgrade
+ created during 1.7 development. It doesn't hurt running it twice so sites
+ currently using svn trunk will not be negatively affected.
+
+ * engine/lib/upgrades/2010123101.php, engine/lib/upgrades/2011010401.php:
+ Refs #3241 moved the default site access check upgrade script to before the
+ plugin upgrade so plugin upgrade is last upgrade in old upgrade system. The
+ site access script can be run multiple times without causing problems.
+
+ * engine/lib/deprecated-1.8.php, engine/lib/upgrade.php,
+ engine/lib/version.php, engine/start.php, install/ElggInstaller.php: Refs
+ #3241 upgrade.php is a much better name for the upgrade library
+
+ * engine/lib/upgrades/2008100701.php, engine/lib/upgrades/2009022701.php,
+ engine/lib/upgrades/2009041701.php, engine/lib/upgrades/2009070101.php,
+ engine/lib/upgrades/2009102801.php, engine/lib/upgrades/2010033101.php,
+ engine/lib/upgrades/2010040201.php, engine/lib/upgrades/2010061501.php: Refs
+ #3241 cleaned up comments on upgrades and removed the turning off of time
+ limit in individual upgrades since this is now handled by the upgrade
+ function
+
+ * ...11031400-1.8_svn-oauth_api-8f33ee79c3e66222.php: Refs #3241 we never
+ distributed an oauth_lib plugin so we don't need an upgrade (those following
+ svn trunk have already upgraded or can manually update this)
+
+ * engine/lib/upgrades/2010100500.php: Refs #3241 we never distributed a
+ plugin called oauth and Justin Richer has so let's not disable his plugin
+
+ * ....8_svn-admins_like_widgets-7f19d2783c1680d3.php: added a comment to
+ explain why the call to elgg_add_admin_widgets() in the upgrade script has
+ parameters like it does
+
+2011-04-09 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css/elements/chrome.php,
+ views/default/css/elements/components.php,
+ views/default/css/elements/helpers.php,
+ views/default/css/elements/typography.php, views/default/css/elgg.php: Refs
+ #3286: Removed css/elements/chrome.php, putting all the style rules into
+ sensible locations
+
+2011-04-08 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page/elements/sidebar_alt.php,
+ views/default/page/layouts/two_sidebar.php: Fixes #3319 alternate sidebar
+ should work now
+
+ * _graphics/admin_sprites.png, views/default/css/admin.php: Refs #3108
+ forgot the user hover menu for the admin area
+
+ * engine/lib/upgrades/2010121401.php: Fixes #3320 no longer require the
+ upgrade to disable the members plugin
+
+2011-04-07 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/metastrings.php: Fixes #3232 removed unnecessary distinct plus
+ variable in select for metastrings calculation
+
+2011-04-07 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * js/lib/ui.js: Refs #3278. No more likes in login popup handler.
+
+2011-04-07 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/admin_sprites.png, .../admin/appearance/profile_fields/list.php,
+ views/default/css/admin.php: Fixes #3108 added admin sprites (enough for beta
+ release)
+
+2011-04-07 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * js/lib/ui.js: Fixes #3278 Login popup aligned to right side of button.
+
+2011-04-07 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/SPRITES_LICENSE.TXT, _graphics/elgg_sprites.png,
+ mod/messages/views/default/messages/css.php,
+ mod/search/views/default/search/css.php,
+ views/default/css/elements/icons.php: Refs #3108 added more sprites and a
+ license file - no visible changes
+
+2011-04-06 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/elgg_sprites.png, engine/lib/views.php, mod/bookmarks/start.php,
+ mod/bookmarks/views/default/object/bookmarks.php, mod/messages/start.php,
+ views/default/css/elements/helpers.php, views/default/css/elements/icons.php,
+ views/default/river/relationship/friend/create.php: Refs #3108 frontend
+ should be done and ready for review - admin area is next
+
+ * engine/lib/deprecated-1.7.php, engine/lib/objects.php,
+ engine/lib/users.php: moved two more deprecated functions out of the main
+ libs
+
+2011-04-05 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css/admin.php, views/default/css/elements/buttons.php: Fixes
+ #3289 added inline-block to buttons
+
+ * mod/groups/languages/en.php, mod/groups/start.php,
+ .../river/object/groupforumtopic/create.php,
+ views/default/css/elements/components.php,
+ views/default/river/elements/footer.php: Fixes #3275 forms in a river item
+ are not forced to be hidden
+
+2011-04-04 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/favicon.ico, mod/reportedcontent/graphics/icon_reportthis.gif:
+ Refs #3307 removed executable prop from core files
+
+ * engine/lib/navigation.php, languages/en.php, mod/likes/start.php,
+ views/default/css/elements/navigation.php,
+ views/default/river/elements/body.php,
+ views/default/river/elements/controls.php, views/default/river/item.php: Refs
+ #3073 first version of horizontal river menu. css still needs work for likes
+ and the comment text bubble needs to be darkened
+
+ * mod/externalpages/start.php, mod/reportedcontent/start.php,
+ views/default/css/elements/navigation.php: Fixes #3305 switched default and
+ alt sections for footer menu
+
+ * _graphics/elgg_sprites.png, engine/lib/users.php,
+ .../admin/appearance/profile_fields/list.php,
+ views/default/css/elements/chrome.php, views/default/css/elements/icons.php,
+ views/default/css/elements/modules.php,
+ views/default/css/elements/navigation.php,
+ views/default/object/widget/elements/controls.php: Refs #3108 fixed widgets
+ for main site (not admin) and tweaked topbar
+
+2011-04-03 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/file/pages/file/world.php: removed unnecessary context setting
+
+ * .../views/default/groups/group_sort_menu.php: Fixes #3105 group sort menu
+ uses filter menu
+
+ * engine/lib/navigation.php, languages/en.php: better title attributes for
+ entity menu edit/delete links
+
+ * views/default/output/confirmlink.php: output/confirmlink now supports
+ title attribute
+
+ * views/default/output/access.php: cleaned up css for output/access
+
+ * mod/blog/start.php: Refs #3184 added span so that blog post status would
+ be vertically aligned
+
+ * _graphics/elgg_sprites.png, engine/lib/users.php,
+ views/default/css/elements/icons.php,
+ views/default/css/elements/navigation.php: Refs #3108 partial implementation
+ of new sprites (widgets, hover menu, search are all broken)
+
+ * mod/likes/languages/en.php, mod/likes/views/default/likes/display.php:
+ cleans up likes display which was causing issues in entity menu - less markup
+
+
+ * views/default/css/elements/navigation.php: Refs #3184 needed to set height
+ for the icons
+
+ * views/default/css/elements/navigation.php: Fixes #3184 fixed alignment
+ issues for entity menu
+
+ * views/default/css/elements/navigation.php: added spaces to standardize the
+ css statements
+
+2011-04-02 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/search/pages/search/index.php,
+ mod/search/views/default/search/search_box.php: Refs #3179 pulled fix for
+ search query encoding into trunk from 1.7 branch
+
+ * engine/lib/elgglib.php: Refs #3149 reimplemented fix for calling a handler
+ more than once in trunk
+
+ * .../views/default/uservalidationbyemail/js.php: Refs #3001 made comment
+ change in trunk from 1.7 fix
+
+ * mod/search/search_hooks.php: Refs #2994 added group search fix from 1.7
+ branch to trunk
+
+ * engine/classes/ElggRelationship.php: Refs #2988 corrected typo in trunk
+
+ * mod/invitefriends/start.php: Refs #2968 invite friends link should not be
+ available for non-logged in users
+
+ * engine/lib/extender.php: Refs #2878 fixes detect_extender_valuetype() in
+ trunk
+
+ * documentation/examples/crontab.example: updated crontab example
+ documentation
+
+ * engine/lib/entities.php: Refs #3277 merged fix for recursive delete and
+ disabled entities into trunk
+
+ * mod/groups/start.php, mod/groups/views/default/groups/side_menu.php: Fixes
+ #3104 updated groups plugin to use new menu system for sidebar
+
+ * mod/groups/lib/groups.php,
+ mod/groups/views/default/groups/profile/layout.php: moved group profile
+ layout out of page handler so that plugins can override it
+
+ * engine/classes/ElggEntity.php, engine/lib/entities.php,
+ mod/blog/actions/blog/save.php: Fixes #3208 setting time_created on update
+
+ * actions/admin/plugins/activate.php: Refs #3229 at least we can forward so
+ that user sees error message immediately
+
+ * actions/register.php, views/default/forms/register.php: Refs #3233
+ registration form should be sticky too
+
+ * actions/useradd.php, views/default/forms/useradd.php: Fixes #3233 useradd
+ form is now sticky
+
+ * engine/classes/ElggPluginManifest.php: Fixes #3244 fixed variable name in
+ ElggPluginManifest
+
+ * .../views/default/theme_preview/widgets.php: fixed typo in theme preview -
+ widgets
+
+2011-04-01 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * js/lib/hooks.js: Returning false in JS hooks is now sent through to the
+ calling trigger. Null still returns the original value.
+
+ * js/lib/elgglib.js, js/lib/ui.js: Refs #3098. Changed elgg.getUrlFragment
+ to elgg.getSelectorFromUrlFragment to allow for better selectors.
+
+2011-04-01 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php, languages/en.php, mod/members/languages/en.php,
+ mod/members/manifest.xml, mod/members/pages/members/index.php,
+ mod/members/pages/members/search.php, mod/members/start.php,
+ mod/members/views/default/members/nav.php,
+ mod/members/views/default/members/sidebar.php, pages/members/index.php,
+ pages/members/search.php, views/default/core/members/nav.php,
+ views/default/core/members/sidebar.php: Fixes #3231 welcome back, members
+ plugin
+
+ * engine/classes/ElggMenuItem.php: Refs #3287 setting null for menu href
+ means you want an empty <a></a> tag with no href
+
+ * engine/classes/ElggMenuItem.php, engine/lib/navigation.php: Fixes #3287
+ added documentation on non-link menu items
+
+ * engine/lib/metastrings.php: Refs #3245 pulling in
+ https://github.com/Elgg/Elgg/pull/11 and thanks also to gprasanth
+
+ * views/default/user/default.php: fixed hardcoded string in user/default
+ view
+
+ * mod/thewire/views/default/object/thewire.php: Refs #3200 any time a wire
+ post is displayed, we may need the 'previous' javascript
+
+ * mod/thewire/languages/en.php: added notification messages to the wire
+
+ * mod/bookmarks/start.php: Fixes #3044 removed bookmark link from user hover
+ menu
+
+ * engine/lib/users.php, views/default/forms/user/passwordreset.php: Fixes
+ #3207 passing code variable to form for password reset
+
+2011-03-31 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * htaccess_dist: Refs #2940 added php settings comments into trunk
+
+2011-03-30 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/actions.php: Fixed ajax status for elgg.action().
+
+2011-03-30 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/thewire/js/thewire.js, mod/thewire/languages/en.php,
+ mod/thewire/start.php, mod/thewire/views/default/js/thewire.php,
+ mod/thewire/views/default/object/thewire.php,
+ mod/thewire/views/default/thewire/css.php,
+ mod/thewire/views/default/thewire/previous.php: Fixes #3200 ajax-based view
+ previous link works for the wire
+
+ * mod/thewire/pages/thewire/previous.php: Refs #3200 pulling in
+ e1d75071737b2ed35cf9 from anirupdutta's pull request
+
+2011-03-29 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, js/lib/ajax.js: Fixed auto-display of system
+ errors in ajax actions. Fixed docs for system_messages(). Blech...that needs
+ cleaned up.
+
+2011-03-29 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/user_settings.php, mod/notifications/groups.php,
+ mod/notifications/index.php, .../forms/notificationsettings/groupsave.php,
+ .../notifications/subscriptions/forminternals.php: Fixes #3266 let the
+ settings pages have breadcrumbs
+
+ * views/default/page/layouts/content.php,
+ views/default/page/layouts/one_sidebar.php: one_sidebar layout wants
+ breadcrumbs, too!
+
+ * views/default/css/elements/chrome.php: fixed some typos in css chrome
+
+2011-03-29 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * js/lib/ui.js: Fixes #3234: Adding explicit collision param for popups.
+
+2011-03-29 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/languages/en.php, mod/groups/lib/groups.php,
+ mod/groups/start.php, mod/groups/views/default/groups/sidebar/find.php: Fixes
+ #3243 pulled in anirupdutta's patch with some modifications (moved tag search
+ to its own page handler function)
+
+2011-03-28 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/search/views/default/search/css.php: made search box span sidebar in
+ default theme
+
+2011-03-28 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/start.php: Fixing css/elgg instead of css/screen.
+
+2011-03-27 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/database.php, languages/en.php: Fixes #2591 removing newlines
+ in queries - thanks to Tachyon
+
+ * engine/lib/views.php: Refs #3085 updated elgg_view_annotation() to use
+ $vars array
+
+ * engine/lib/views.php: Refs #3085 added $vars array to
+ elgg_view_river_item()
+
+ * engine/lib/users.php, engine/lib/views.php,
+ mod/blog/views/default/object/blog.php,
+ mod/bookmarks/views/default/object/bookmarks.php,
+ .../default/file/specialcontent/image/default.php,
+ mod/file/views/default/object/file.php, mod/groups/lib/groups.php,
+ mod/groups/views/default/group/default.php,
+ .../views/default/object/groupforumtopic.php,
+ .../views/default/annotation/messageboard.php,
+ mod/messages/views/default/object/messages.php,
+ mod/pages/pages/pages/revision.php,
+ mod/pages/views/default/object/page_top.php,
+ mod/thewire/views/default/object/thewire.php,
+ views/default/annotation/generic_comment.php,
+ views/default/object/plugin.php, views/default/page/components/gallery.php,
+ views/default/page/components/list.php, views/json/entities/entity_list.php:
+ Fixes #3086 using full_view instead of full
+
+ * engine/lib/views.php: Refs #3086 adds backward compatibility code in
+ elgg_view() for full_view vs full
+
+ * mod/blog/views/default/blog/sidebar.php: Fixes #2969 turned off group blog
+ archives
+
+2011-03-26 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/admin.php, engine/lib/configuration.php,
+ mod/diagnostics/start.php, mod/profile/start.php: Fixes #3250
+ elgg_get_root_path() to be consistent
+
+ * actions/plugins/settings/save.php, actions/plugins/usersettings/save.php,
+ engine/lib/actions.php: Fixes #3249 fixed name of actions exists function
+
+ * mod/messages/languages/en.php,
+ .../views/default/forms/messages/process.php,
+ mod/messages/views/default/messages/css.php: forcing a min-height on inbox
+ list in messages plugin
+
+ * views/default/css/admin.php, views/default/css/elements/layout.php: Fixes
+ #3084 added clearfix to elgg-layout
+
+ * views/default/css/elements/chrome.php: Fixes #3183 limiting size of user
+ inserted images in content
+
+ * mod/externalpages/languages/en.php, mod/externalpages/start.php,
+ .../views/default/admin/appearance/expages.php,
+ .../views/default/admin/site/expages.php,
+ mod/externalpages/views/default/expages/menu.php: Fixes #3106 putting
+ external pages under appearance - no better place
+
+ * engine/classes/ElggMenuBuilder.php, engine/lib/navigation.php,
+ engine/lib/views.php: Fixes #3026 documentation for menu system
+
+ * engine/lib/admin.php: Fixes #2233 added documentation on admin menus and
+ pages
+
+ * engine/lib/deprecated-1.8.php: Refs #3248 deprecates remove_submenu_item()
+
+
+ * engine/classes/ElggAnnotation.php, engine/classes/ElggMetadata.php,
+ engine/lib/annotations.php: Refs #3238 #3246 anootations needed the same
+ fixes as metadata
+
+ * engine/lib/metadata.php: Refs #3238 fix for updating name of metadata for
+ trunk
+
+ * engine/classes/ElggMetadata.php: Fixes #3246 fixed ElggMetadata()
+ constructor
+
+ * engine/lib/pageowner.php: fixed notice in get_context() when no context is
+ set
+
+ * engine/lib/output.php, engine/lib/users.php, engine/lib/views.php,
+ engine/lib/widgets.php: some light standardization of the core libraries
+
+2011-03-26 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * js/lib/ui.js: Fixes #3234, refs #3094. Added the inTarget code back to the
+ popup closing function.
+
+2011-03-26 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/thewire/actions/add.php, mod/thewire/actions/delete.php,
+ mod/thewire/classes/ElggWire.php, mod/thewire/languages/en.php,
+ mod/thewire/pages/thewire/everyone.php,
+ mod/thewire/pages/thewire/friends.php, mod/thewire/pages/thewire/owner.php,
+ mod/thewire/pages/thewire/reply.php, mod/thewire/pages/thewire/thread.php,
+ mod/thewire/start.php, mod/thewire/tests/regex.php,
+ mod/thewire/views/default/object/thewire.php,
+ .../views/default/thewire/profile_status.php,
+ mod/thewire/views/rss/object/thewire.php: standardized thewire plugin
+
+ * engine/lib/annotations.php, engine/lib/metadata.php,
+ engine/tests/api/annotations.php, engine/tests/api/metadata.php,
+ engine/tests/objects/metadata.php: Closes #3202 'count' already supported,
+ added unit tests
+
+ * mod/bookmarks/lib/bookmarks.php,
+ .../views/default/forms/bookmarks/save.php, mod/file/lib/file.php,
+ mod/groups/lib/discussion.php, mod/pages/lib/pages.php: Fixes #3239 entities
+ aren't blown away in form prepare functions any more
+
+ * mod/pages/languages/en.php: fixed language strings in pages plugin
+
+ * mod/file/actions/file/upload.php: don't allow a user to remove a title on
+ a file, must replace with another title
+
+ * engine/lib/input.php, mod/blog/lib/blog.php: Refs #3239 added a new sticky
+ forms function to grab all variables and integrated into blog plugin
+
+2011-03-25 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css/admin.php, views/default/css/elements/reset.php: Refs
+ #2979 forces vertical scrollbar without breaking widget dragging
+
+ * engine/lib/navigation.php: Refs #3119 if only one item left, move it off
+ more menu (but only when nothing selected)
+
+ * actions/admin/menu/save.php, views/default/forms/admin/menu/save.php:
+ Fixes #3206 using space so that echo('none') is not passed as value
+
+ * views/default/css/admin.php: Refs #3236 removed some unused css from admin
+ theme and did some reorganizing
+
+2011-03-24 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/file/languages/en.php: added missing language string for comments on
+ file in river
+
+ * engine/lib/views.php: Fixes #3166 registering css/elgg for simplecache
+
+2011-03-23 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * version.php: Refs #3193 rollback version number
+
+ * upgrade.php: display_errors is on for upgrades
+
+ * ...svn-update_default_widgets-7daf5a459720d06d.php, version.php: Fixes
+ #3193 converts the default widgets for 1.8 - leaves behind the default
+ widgets object
+
+ * engine/lib/admin.php, views/default/css/admin.php: Refs #3165 floating
+ admin widgets in same direction as profile widgets
+
+ * ....8_svn-admins_like_widgets-7f19d2783c1680d3.php, version.php: Fixes
+ #3165 admins get widgets on upgrade - new upgrade creation process super
+ smooth #thanksBrett
+
+ * engine/lib/admin.php, engine/lib/users.php, install/ElggInstaller.php:
+ Refs #3165 new admin users all get admin widgets
+
+ * engine/classes/ElggFile.php: Fixes #3160 just needed a small variation off
+ of [8762] to handle getting filestore before metadata is set but after the
+ guid is set
+
+ * engine/classes/ElggFile.php: Fixes #3205 reverting [8762]
+
+ * engine/lib/elgglib.php: Fixes #3180 adds ajax page handler
+
+ * mod/bookmarks/start.php, mod/thewire/start.php: added an owner block link
+ for the wire plugin
+
+ * languages/en.php, mod/blog/languages/en.php, mod/blog/lib/blog.php,
+ mod/bookmarks/pages/bookmarks/all.php, mod/bookmarks/start.php,
+ mod/file/pages/file/owner.php, mod/groups/languages/en.php,
+ mod/pages/languages/en.php, views/default/page/layouts/content/header.php:
+ Fixes #3218 group content pages now use container method to determine who can
+ add content
+
+2011-03-22 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/pages/views/default/forms/pages/edit.php: fixed position of longtext
+ menu when editing pages
+
+ * mod/bookmarks/languages/en.php: better names for a bookmark - got rid of
+ 'bookmark item'
+
+ * mod/bookmarks/views/default/bookmarks/sidebar.php,
+ mod/file/views/default/file/sidebar.php,
+ mod/pages/views/default/pages/sidebar.php,
+ mod/thewire/pages/thewire/everyone.php, mod/thewire/pages/thewire/owner.php,
+ mod/thewire/views/default/thewire/sidebar.php: Fixes #2091 completes sidebar
+ tagcloud for major content plugins
+
+ * engine/lib/tags.php, mod/blog/views/default/blog/sidebar.php,
+ mod/blog/views/default/blog/sidebar/tagcloud.php,
+ views/default/output/tagcloud.php,
+ views/default/page/elements/tagcloud_block.php: Refs #2091 added a tagcloud
+ block that accepts subtype
+
+ * views/default/page/elements/comments_block.php: Fixes #2090 context
+ sensitive sidebar box is added - groups off
+
+ * mod/bookmarks/views/default/bookmarks/js.php,
+ mod/groups/views/default/groups/js.php,
+ mod/messageboard/views/default/messageboard/js.php,
+ mod/messages/views/default/messages/js.php,
+ .../views/default/uservalidationbyemail/js.php: Refs #3016 making the final
+ elgg.js more readable
+
+ * .../views/default/uservalidationbyemail/js.php: another example of an
+ extra ; in js due to lazy copy/paste jobs
+
+ * mod/file/pages/file/owner.php, mod/file/pages/file/world.php,
+ mod/file/views/default/file/sidebar.php, mod/pages/pages/pages/owner.php,
+ mod/pages/pages/pages/world.php, mod/pages/views/default/pages/sidebar.php,
+ views/default/page/elements/comments_block.php: Refs #2090 added sidebar
+ comment block to file and pages plugins
+
+ * mod/file/start.php, mod/pages/start.php: Refs #3176 missed group owner
+ page in script renaming
+
+2011-03-21 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/views.php, vendors/jquery/fancybox/blank.gif,
+ vendors/jquery/fancybox/fancy_close.png,
+ vendors/jquery/fancybox/fancy_loading.png,
+ vendors/jquery/fancybox/fancy_nav_left.png,
+ vendors/jquery/fancybox/fancy_nav_right.png,
+ vendors/jquery/fancybox/fancy_shadow_e.png,
+ vendors/jquery/fancybox/fancy_shadow_n.png,
+ vendors/jquery/fancybox/fancy_shadow_ne.png,
+ vendors/jquery/fancybox/fancy_shadow_nw.png,
+ vendors/jquery/fancybox/fancy_shadow_s.png,
+ vendors/jquery/fancybox/fancy_shadow_se.png,
+ vendors/jquery/fancybox/fancy_shadow_sw.png,
+ vendors/jquery/fancybox/fancy_shadow_w.png,
+ vendors/jquery/fancybox/fancy_title_left.png,
+ vendors/jquery/fancybox/fancy_title_main.png,
+ vendors/jquery/fancybox/fancy_title_over.png,
+ vendors/jquery/fancybox/fancy_title_right.png,
+ vendors/jquery/fancybox/fancybox-x.png,
+ vendors/jquery/fancybox/fancybox-y.png, vendors/jquery/fancybox/fancybox.png,
+ vendors/jquery/fancybox/jquery.easing-1.3.pack.js,
+ vendors/jquery/fancybox/jquery.fancybox-1.3.4.css,
+ vendors/jquery/fancybox/jquery.fancybox-1.3.4.js,
+ .../jquery/fancybox/jquery.fancybox-1.3.4.pack.js,
+ .../fancybox/jquery.mousewheel-3.0.4.pack.js, views/default/js/lightbox.php:
+ Refs #2882 basic lightbox
+
+2011-03-21 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/sessions.php: No need to document NULL as possible return value
+ here
+
+ * engine/classes/ElggEntity.php: oof -- how did that happen?
+
+ * engine/classes/ElggEntity.php: Added documentation of magic properties to
+ ElggEntity
+
+2011-03-21 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/logbrowser/views/default/logbrowser/table.php: using - in log browser
+ css class names
+
+ * mod/bookmarks/languages/en.php: added missing language string
+
+ * mod/bookmarks/pages/bookmarks/all.php,
+ mod/bookmarks/pages/bookmarks/owner.php,
+ mod/bookmarks/views/default/bookmarks/sidebar.php: Refs #2090 added latest
+ comments to bookmarks sidebar
+
+ * engine/lib/views.php, languages/en.php,
+ mod/blog/views/default/blog/sidebar.php,
+ views/default/page/elements/comments.php,
+ views/default/page/elements/comments_block.php: Refs #2090 removed
+ elgg_view_latest_comments() and replaced it with a page elements view
+
+ * mod/groups/lib/groups.php,
+ mod/groups/views/default/groups/profile/fields.php,
+ .../views/default/groups/profile/profile_block.php,
+ .../views/default/groups/profile/summary.php: Fixes #3113 pulled group
+ profile fields out of top profile area
+
+ * views/default/admin/appearance/profile_fields.php: Fixes #3099 corrected
+ view name
+
+ * mod/logbrowser/views/default/logbrowser/form.php: Fixes #3082 fixed
+ toggler in log browser
+
+ * views/default/navigation/menu/user_hover.php: Fixes #3203 not calling the
+ view menu section code once per item
+
+ * views/default/page/layouts/widgets.php: Fixes #3168 not displaying a
+ widget when handler is not available
+
+2011-03-20 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggObject.php, mod/blog/lib/blog.php,
+ mod/groups/actions/discussion/reply/save.php,
+ mod/groups/actions/discussion/save.php, mod/groups/languages/en.php,
+ mod/groups/lib/discussion.php, mod/groups/start.php,
+ .../river/object/groupforumtopic/create.php: Fixes #2974 using
+ canWriteToContainer() to control access to creating group content
+
+ * install/ElggInstaller.php: Fixes #3101 removed language setting from
+ installer until we provide language files besides English
+
+ * .../forms/admin/plugins/simple_update_states.php: Fixes #3102 removed
+ plugin reset button
+
+ * views/default/forms/admin/site/update_advanced.php: Fixes #3100 removed
+ viewtype from advanced settings
+
+ * install/ElggInstaller.php, install/languages/en.php: Fixes #3062 checks if
+ data directory exists
+
+2011-03-20 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * js/lib/ui.js: Fixes #3094. Removed event blocking code for popups.
+
+ * ..._svn-widgets_arent_plugins-61836261fa280a5c.php, version.php: Fixes
+ #3161. Added upgrade to update object, widget to ElggWidget.
+
+2011-03-20 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/river.php, mod/twitter/start.php: Refs #3171 Using correct
+ widget registration method
+
+2011-03-20 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/version.php: Fixes #3188. We no longer store the version as the
+ key, so a standard sort will do.
+
+2011-03-20 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/river.php, pages/river.php, views/rss/core/river/body.php,
+ views/rss/river/item.php, views/rss/river/item/list.php: Fixes #3197 fixed
+ the RSS feed for activity (river)
+
+ * views/rss/layout/objects/image_block.php,
+ views/rss/layout/objects/list.php, views/rss/layout/shells/default.php,
+ views/rss/page/components/image_block.php,
+ views/rss/page/components/list.php, views/rss/page/layouts/default.php: Refs
+ #3197 fixed layout views for RSS viewtype
+
+ * engine/lib/entities.php, engine/lib/group.php, engine/lib/sites.php,
+ engine/lib/users.php, engine/lib/views.php,
+ views/default/page/elements/sidebar.php: Fixes #3114 added the rss link back
+ to pages
+
+ * engine/lib/admin.php: Refs #2892 added elgg_get_admins() function - this
+ could eventually be a wrapper around elgg_get_users()
+
+ * mod/thewire/start.php: Fixes #3025 added back thewire's entity menu items
+
+ * engine/lib/elgglib.php: Fixes #3198 handling exceptions thrown in
+ exception handler by pointing to the error log
+
+2011-03-20 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggEntity.php: Fixes #3192, refs #650.
+ ElggEntity->getMetaData() sets the limit to 0 for array-based metadata.
+
+ * engine/lib/deprecated-1.8.php: Fixes #3190. Added elgg_count_comments() to
+ deprecated-1.8.php.
+
+ * upgrade.php: Fixes #3064. Can't use the pretty new views to display the
+ can't upgrade messages. Using the failsafe view type.
+
+2011-03-20 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * ...031300-1.8_svn-twitter_api-12b832a5a7a3e1bd.php: Refs #3188 removed
+ empty call for guid
+
+ * languages/en.php: Fixes #3162
+
+2011-03-19 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/widgets/friends/content.php,
+ views/default/widgets/new_users/content.php,
+ views/default/widgets/online_users/content.php: added documentation headers
+ to the core widgets
+
+ * engine/lib/river.php, languages/en.php,
+ views/default/widgets/river_widget/content.php,
+ views/default/widgets/river_widget/edit.php: Fixes #3171 added river widget
+ using same name as 1.7 riverdashboard plugin
+
+ * actions/friends/add.php: Fixes #3167 friending now shows up in river
+
+ * mod/twitter/manifest.xml: added "widget" to this plugin's name to
+ descrease confusion with the api plugin
+
+ * languages/en.php, mod/profile/views/default/profile/owner_block.php: Fixes
+ #3173 quote was missing from conversion to new toggler pattern
+
+ * mod/file/pages/file/index.php, mod/file/pages/file/owner.php,
+ mod/file/start.php, mod/pages/pages/pages/index.php,
+ mod/pages/pages/pages/owner.php, mod/pages/start.php,
+ mod/thewire/pages/thewire/owner.php, mod/thewire/pages/thewire/user.php,
+ mod/thewire/start.php: Fixes #3176 replaced usage of index.php where
+ appropriate
+
+ * mod/pages/edit.php, mod/pages/friends.php, mod/pages/history.php,
+ mod/pages/index.php, mod/pages/new.php, mod/pages/pages/pages/edit.php,
+ mod/pages/pages/pages/friends.php, mod/pages/pages/pages/history.php,
+ mod/pages/pages/pages/index.php, mod/pages/pages/pages/new.php,
+ mod/pages/pages/pages/revision.php, mod/pages/pages/pages/view.php,
+ mod/pages/pages/pages/world.php, mod/pages/revision.php, mod/pages/start.php,
+ mod/pages/view.php, mod/pages/world.php, mod/search/index.php,
+ mod/search/pages/search/index.php, mod/search/start.php: Fixes #3158 updated
+ search and pages plugins for page handler scripts
+
+ * mod/messages/inbox.php, mod/messages/pages/messages/inbox.php,
+ mod/messages/pages/messages/read.php, mod/messages/pages/messages/send.php,
+ mod/messages/pages/messages/sent.php, mod/messages/read.php,
+ mod/messages/send.php, mod/messages/sent.php, mod/messages/start.php: Refs
+ #3158 update messages plugin
+
+ * mod/messageboard/pages/messageboard/owner.php,
+ mod/messageboard/pages/owner.php, mod/messageboard/start.php: Refs #3158
+ Fixes #3170 namespaces messageboard plugin page scripts
+
+ * mod/file/edit.php, mod/file/friends.php, mod/file/index.php,
+ mod/file/pages/file/edit.php, mod/file/pages/file/friends.php,
+ mod/file/pages/file/index.php, mod/file/pages/file/search.php,
+ mod/file/pages/file/upload.php, mod/file/pages/file/view.php,
+ mod/file/pages/file/world.php, mod/file/search.php, mod/file/start.php,
+ mod/file/upload.php, mod/file/view.php,
+ mod/file/views/default/file/typecloud.php, mod/file/world.php: Refs #3158
+ namespace file plugin's page scripts
+
+2011-03-19 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/forms/register.php: using shorthand for document ready
+ callback
+
+2011-03-19 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/messages/start.php: Fixes #3164. Denormalized unread message counting
+ query because it was making things so slow I couldn't test.
+
+ * engine/classes/ElggFile.php: Fixes #3160. ElggFile->getFilehandler() is
+ less horrible.
+
+ * engine/lib/metastrings.php: Fixing pluralization of singular options for
+ elgg_get_metadata() and elgg_get_annotations().
+
+2011-03-18 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/bookmarks/pages/add.php, mod/bookmarks/pages/all.php,
+ mod/bookmarks/pages/bookmarklet.php, mod/bookmarks/pages/bookmarks/add.php,
+ mod/bookmarks/pages/bookmarks/all.php,
+ mod/bookmarks/pages/bookmarks/bookmarklet.php,
+ mod/bookmarks/pages/bookmarks/edit.php,
+ mod/bookmarks/pages/bookmarks/friends.php,
+ mod/bookmarks/pages/bookmarks/owner.php,
+ mod/bookmarks/pages/bookmarks/view.php, mod/bookmarks/pages/edit.php,
+ mod/bookmarks/pages/friends.php, mod/bookmarks/pages/owner.php,
+ mod/bookmarks/pages/view.php, mod/bookmarks/start.php,
+ mod/thewire/pages/everyone.php, mod/thewire/pages/friends.php,
+ mod/thewire/pages/previous.php, mod/thewire/pages/reply.php,
+ mod/thewire/pages/tag.php, mod/thewire/pages/thewire/everyone.php,
+ mod/thewire/pages/thewire/friends.php,
+ mod/thewire/pages/thewire/previous.php, mod/thewire/pages/thewire/reply.php,
+ mod/thewire/pages/thewire/tag.php, mod/thewire/pages/thewire/thread.php,
+ mod/thewire/pages/thewire/user.php, mod/thewire/pages/thread.php,
+ mod/thewire/pages/user.php, mod/thewire/start.php: Refs #3158 namespaced
+ pages for bookmarks and thewire
+
+2011-03-17 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../notifications/subscriptions/forminternals.php: Using shorthand
+ document ready initialization
+
+ * mod/embed/views/default/embed/embed.php: Switching click handler to "live"
+
+2011-03-17 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php: fixed typo in welcome widget text
+
+ * engine/lib/system_log.php: Refs #3154 adding system log cache bug fix to
+ trunk
+
+ * engine/lib/system_log.php: Fixes #3098 catching exceptions when loading an
+ object in system log
+
+2011-03-17 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * js/lib/ui.js: Fixes #3094, Refs #3111. Clicking outside a popup closes the
+ visible popups.
+
+ * views/default/css/admin.php: elgg-head is no longer the direct child of
+ elgg-state-draggable. Restoring dragger icon for plugins.
+
+ * mod/blog/languages/en.php: Added missing language string.
+
+ * install/ElggInstaller.php: Changed order of the default admin widgets.
+
+ * engine/lib/cache.php, engine/lib/configuration.php,
+ ...-1.8_svn-datalist_grows_up-0b8aec5a55cc1e1c.php, engine/schema/mysql.sql,
+ version.php: Fixes #3123. Datalists and config names can now be up to 255
+ chars long. Debug mode is disabled when caching views to avoid saving bad
+ stuff.
+
+ * mod/likes/start.php: Fixes #3153. Fixed incorrect options.
+
+ * views/default/forms/comments/add.php,
+ views/default/river/elements/body.php: Fixes #3130. Fixed river/item/footer
+ -> river/elements/footer for comments.
+
+ * mod/twitter_api/lib/twitter_api.php: Fixes #2416. Twitter API pulls in
+ avatars from twitter for new users.
+
+ * ...031300-1.8_svn-twitter_api-12b832a5a7a3e1bd.php,
+ ...11031400-1.8_svn-oauth_api-8f33ee79c3e66222.php, languages/en.php,
+ mod/oauth_api/manifest.xml, mod/twitter_api/manifest.xml: Fixes #3141.
+ Disabling twitter_api and oauth_api upon upgrade because we can't check
+ previous states. Adding an admin notice. twitter_api conflicts with
+ twitterservice and oauth_api conflicts with oauth_lib.
+
+ * views/default/object/admin_notice.php: Refs #2242: Better placement for
+ the delete button for admin notices.
+
+ * engine/lib/plugins.php: Fixed a typo that broke ignore access. That was
+ fun to track down >:O
+
+ * views/default/object/admin_notice.php: Refs #2242. Removed incorrect
+ option passed to url view.
+
+ * actions/admin/delete_admin_notice.php, engine/lib/admin.php,
+ languages/en.php, views/default/css/admin.php, views/default/js/admin.php,
+ views/default/object/admin_notice.php, views/default/page/admin.php: Fixes
+ #2242. Can now delete admin notices manually.
+
+2011-03-16 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/start.php: Fixes #3066 moves setting of lastcache value up in boot
+ sequence
+
+ * engine/classes/ElggMenuBuilder.php: Fixes #3145 adds a default for menu
+ sort_by
+
+ * index.php: Fixes #3144 not using undefined $options variable
+
+2011-03-16 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * ...031300-1.8_svn-twitter_api-12b832a5a7a3e1bd.php,
+ ...11031400-1.8_svn-oauth_api-8f33ee79c3e66222.php: Fixes #3141. Fixed
+ twitter_api and oauth_api upgrades. Only worked on localhost because of code
+ gnomes.
+
+2011-03-15 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * ...11031400-1.8_svn-oauth_api-8f33ee79c3e66222.php: Fixes #3129. Fixed
+ faulty logic that broke upgrades.
+
+2011-03-15 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Refs #2814 can now check if an entity exists
+ indepedent of access permissions
+
+2011-03-15 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * js/lib/ajax.js, js/lib/configuration.js, js/lib/security.js,
+ languages/en.php, views/default/js/elgg.php: Refs #2538. Loading
+ js/configuration.js again. Only updating tokens on success and showing a
+ basic warning if refresh fails.
+
+ * js/lib/languages.js: JS elgg.echo() returns the key if the string isn't
+ found. This is consistent with the PHP version.
+
+ * js/classes/ElggPriorityList.js, js/lib/elgglib.js, js/lib/hooks.js,
+ js/lib/languages.js, js/lib/prototypes.js, js/lib/security.js,
+ js/lib/session.js, js/lib/ui.js, js/lib/userpicker.js: Refs #2538: Added
+ documentation to most of the JS methods missing it.
+
+ * mod/oauth_api/manifest.xml, mod/oauth_api/start.php,
+ mod/oauth_api/vendors/oauth/LICENSE,
+ mod/oauth_api/vendors/oauth/example/server/INSTALL,
+ .../vendors/oauth/example/server/core/init.php,
+ .../example/server/core/templates/inc/footer.tpl,
+ .../example/server/core/templates/inc/header.tpl,
+ .../oauth/example/server/core/templates/index.tpl,
+ .../oauth/example/server/core/templates/logon.tpl,
+ .../example/server/core/templates/register.tpl,
+ .../vendors/oauth/example/server/www/hello.php,
+ .../vendors/oauth/example/server/www/index.php,
+ .../vendors/oauth/example/server/www/logon.php,
+ .../vendors/oauth/example/server/www/oauth.php,
+ .../vendors/oauth/example/server/www/register.php,
+ .../oauth/example/server/www/services.xrds.php,
+ .../vendors/oauth/library/OAuthDiscovery.php,
+ .../vendors/oauth/library/OAuthException.php,
+ .../vendors/oauth/library/OAuthRequest.php,
+ .../vendors/oauth/library/OAuthRequestLogger.php,
+ .../vendors/oauth/library/OAuthRequestSigner.php,
+ .../vendors/oauth/library/OAuthRequestVerifier.php,
+ .../vendors/oauth/library/OAuthRequester.php,
+ .../vendors/oauth/library/OAuthServer.php,
+ mod/oauth_api/vendors/oauth/library/OAuthStore.php,
+ .../library/body/OAuthBodyContentDisposition.php,
+ .../library/body/OAuthBodyMultipartFormdata.php,
+ .../vendors/oauth/library/discovery/xrds_parse.php,
+ .../vendors/oauth/library/discovery/xrds_parse.txt,
+ .../OAuthSignatureMethod.class.php, .../OAuthSignatureMethod_HMAC_SHA1.php,
+ .../signature_method/OAuthSignatureMethod_MD5.php,
+ .../OAuthSignatureMethod_PLAINTEXT.php,
+ .../OAuthSignatureMethod_RSA_SHA1.php,
+ .../library/store/OAuthStoreAbstract.class.php,
+ .../oauth/library/store/OAuthStoreAnyMeta.php,
+ .../oauth/library/store/OAuthStoreMySQL.php,
+ .../vendors/oauth/library/store/mysql/install.php,
+ .../vendors/oauth/library/store/mysql/mysql.sql,
+ .../oauth/test/discovery/xrds-fireeagle.xrds,
+ .../oauth/test/discovery/xrds-getsatisfaction.xrds,
+ .../oauth/test/discovery/xrds-magnolia.xrds,
+ mod/oauth_api/vendors/oauth/test/oauth_test.php, mod/oauth_lib/manifest.xml,
+ mod/oauth_lib/start.php, mod/oauth_lib/vendors/oauth/LICENSE,
+ mod/oauth_lib/vendors/oauth/example/server/INSTALL,
+ .../vendors/oauth/example/server/core/init.php,
+ .../example/server/core/templates/inc/footer.tpl,
+ .../example/server/core/templates/inc/header.tpl,
+ .../oauth/example/server/core/templates/index.tpl,
+ .../oauth/example/server/core/templates/logon.tpl,
+ .../example/server/core/templates/register.tpl,
+ .../vendors/oauth/example/server/www/hello.php,
+ .../vendors/oauth/example/server/www/index.php,
+ .../vendors/oauth/example/server/www/logon.php,
+ .../vendors/oauth/example/server/www/oauth.php,
+ .../vendors/oauth/example/server/www/register.php,
+ .../oauth/example/server/www/services.xrds.php,
+ .../vendors/oauth/library/OAuthDiscovery.php,
+ .../vendors/oauth/library/OAuthException.php,
+ .../vendors/oauth/library/OAuthRequest.php,
+ .../vendors/oauth/library/OAuthRequestLogger.php,
+ .../vendors/oauth/library/OAuthRequestSigner.php,
+ .../vendors/oauth/library/OAuthRequestVerifier.php,
+ .../vendors/oauth/library/OAuthRequester.php,
+ .../vendors/oauth/library/OAuthServer.php,
+ mod/oauth_lib/vendors/oauth/library/OAuthStore.php,
+ .../library/body/OAuthBodyContentDisposition.php,
+ .../library/body/OAuthBodyMultipartFormdata.php,
+ .../vendors/oauth/library/discovery/xrds_parse.php,
+ .../vendors/oauth/library/discovery/xrds_parse.txt,
+ .../OAuthSignatureMethod.class.php, .../OAuthSignatureMethod_HMAC_SHA1.php,
+ .../signature_method/OAuthSignatureMethod_MD5.php,
+ .../OAuthSignatureMethod_PLAINTEXT.php,
+ .../OAuthSignatureMethod_RSA_SHA1.php,
+ .../library/store/OAuthStoreAbstract.class.php,
+ .../oauth/library/store/OAuthStoreAnyMeta.php,
+ .../oauth/library/store/OAuthStoreMySQL.php,
+ .../vendors/oauth/library/store/mysql/install.php,
+ .../vendors/oauth/library/store/mysql/mysql.sql,
+ .../oauth/test/discovery/xrds-fireeagle.xrds,
+ .../oauth/test/discovery/xrds-getsatisfaction.xrds,
+ .../oauth/test/discovery/xrds-magnolia.xrds,
+ mod/oauth_lib/vendors/oauth/test/oauth_test.php,
+ mod/twitter_api/manifest.xml: Refs #3115. Moved oauth_lib to oauth_api.
+
+ * engine/lib/version.php: Refs #1433. Restored db_upgrade() call during
+ upgrades to fix issues for people upgrading from earlier versions of 1.7 than
+ 2010062401. This is why we should follow deprecation policies, Brett........
+
+2011-03-15 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/developers/actions/developers/settings.php,
+ mod/developers/languages/en.php, mod/developers/manifest.xml,
+ mod/developers/start.php, .../views/default/admin/developers/settings.php,
+ .../views/default/forms/developers/settings.php: Fixes #3009 a bare bones
+ developer tools settings
+
+2011-03-14 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/embed/js/embed.js, mod/embed/start.php,
+ mod/embed/views/default/embed/embed.php,
+ mod/embed/views/default/embed/js.php,
+ mod/embed/views/default/embed/lightbox_init.php,
+ mod/embed/views/default/embed/upload/content.php,
+ mod/file/views/default/file/embed_upload.php, mod/groups/start.php,
+ mod/groups/views/default/groups/js.php,
+ .../views/default/groups/profile/widgets.php, mod/messages/start.php,
+ .../views/default/forms/messages/process.php,
+ .../views/default/forms/messages/reply.php,
+ mod/messages/views/default/messages/js.php, mod/profile/start.php,
+ mod/profile/views/default/profile/js.php,
+ mod/profile/views/default/profile/wrapper.php, mod/thewire/start.php,
+ mod/thewire/views/default/forms/thewire/add.php,
+ views/default/css/elements/buttons.php: Refs #3016: Removes inline javascript
+ from embed, file, groups, messages, profile, thewire
+
+ * views/default/css/admin.php, views/default/css/elements/icons.php,
+ views/default/css/elements/modules.php,
+ views/default/object/widget/elements/controls.php: Refs #3112: Pulled out
+ widget gear icon into elgg-icon-gear, which widgets now use
+
+2011-03-14 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggPlugin.php, engine/classes/ElggPluginPackage.php,
+ languages/en.php, views/default/admin/plugins/advanced.php,
+ views/default/object/plugin/invalid.php: Fixes #2928: Invalid plugins are
+ shown on the advance page with details about the problem and a link to the
+ docs.
+
+2011-03-14 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css/admin.php, views/default/css/elements/layout.php: Refs
+ #3109: Fixes 3 more instances of background-color being used when background
+ should be
+
+ * .../views/default/theme_preview/navigation.php,
+ mod/embed/views/default/embed/css.php,
+ mod/search/views/default/search/css.php,
+ mod/thewire/views/default/thewire/css.php, views/default/css/admin.php,
+ views/default/css/elements/buttons.php,
+ views/default/css/elements/components.php,
+ views/default/css/elements/forms.php, views/default/css/elements/icons.php,
+ views/default/css/elements/layout.php, views/default/css/elements/misc.php,
+ views/default/css/elements/modules.php,
+ views/default/css/elements/navigation.php: Refs #3109: More CSS cleanup.
+ Fixes bugs introduced by last cleanup where background-color: was being used
+ instead of background:
+
+2011-03-14 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggPlugin.php, engine/lib/plugins.php: Refs #3079: Making
+ sure elgg_get_max_plugin_priority() returns 1 instead. Fixed problems when
+ bootstrapping elgg plugins. Will perform tests against MySQL 5.5 when I have
+ a real internet connection.
+
+2011-03-14 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * CODING.txt: Using tabs instead of spaces to indent lines in CODING.txt
+
+ * install/css/install.css, .../views/default/custom_index/css.php,
+ mod/embed/views/default/embed/css.php,
+ mod/groups/views/default/groups/css.php,
+ mod/messages/views/default/messages/css.php,
+ mod/profile/views/default/profile/css.php,
+ .../views/default/reportedcontent/admin_css.php,
+ mod/search/views/default/search/css.php,
+ mod/tinymce/views/default/tinymce/css.php,
+ mod/twitter/views/default/twitter/css.php, views/default/css/admin.php,
+ views/default/css/elements/buttons.php,
+ views/default/css/elements/chrome.php,
+ views/default/css/elements/components.php,
+ views/default/css/elements/forms.php, views/default/css/elements/icons.php,
+ views/default/css/elements/misc.php, views/default/css/elements/modules.php,
+ views/default/css/elements/navigation.php: Fixes #3109: added
+ non-vendor-prefixed css property declarations where applicable. Cleaned up
+ various other aspects of the css
+
+ * engine/handlers/page_handler.php: Fixes #3080: Move redirect code to
+ before engine inclusion
+
+2011-03-14 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Fixes #3121 array_filter() passes array elements
+ to callback
+
+2011-03-14 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/navigation/menu/page.php: Fixes #3103. Re-added code
+ accidentally removed in r8611 that broke page menu selected items.
+
+ * ...031300-1.8_svn-twitter_api-12b832a5a7a3e1bd.php,
+ mod/twitter_api/graphics/sign_in_with_twitter.gif,
+ mod/twitter_api/languages/en.php, mod/twitter_api/lib/twitter_api.php,
+ mod/twitter_api/manifest.xml, mod/twitter_api/start.php,
+ mod/twitter_api/vendors/twitteroauth/LICENSE,
+ mod/twitter_api/vendors/twitteroauth/OAuth.php,
+ mod/twitter_api/vendors/twitteroauth/README,
+ .../vendors/twitteroauth/twitterOAuth.php,
+ .../views/default/settings/twitter_api/edit.php,
+ mod/twitter_api/views/default/twitter_api/css.php,
+ .../views/default/twitter_api/login.php,
+ .../views/default/twitter_api/metatags.php,
+ .../default/usersettings/twitter_api/edit.php,
+ .../graphics/sign_in_with_twitter.gif, mod/twitterservice/languages/en.php,
+ mod/twitterservice/manifest.xml, mod/twitterservice/start.php,
+ mod/twitterservice/twitterservice_lib.php,
+ mod/twitterservice/vendors/twitteroauth/LICENSE,
+ mod/twitterservice/vendors/twitteroauth/OAuth.php,
+ mod/twitterservice/vendors/twitteroauth/README,
+ .../vendors/twitteroauth/twitterOAuth.php,
+ .../views/default/settings/twitterservice/edit.php,
+ .../views/default/twitterservice/css.php,
+ .../views/default/twitterservice/login.php,
+ .../views/default/twitterservice/metatags.php,
+ .../default/usersettings/twitterservice/edit.php, version.php: Refs #3115.
+ Migrated twitterservice to twitter_api. Added upgrade to migrate plugin and
+ user settings.
+
+2011-03-13 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/messages/start.php: fixed WSOD because of function name change when
+ using some 1.7 themes
+
+ * engine/lib/views.php: Refs #3059 should only fallback to 1.7 pageshell
+ when asking for default page shell - otherwise breaks admin area
+
+ * engine/lib/deprecated-1.8.php: Fixes #3116 old method of getting site menu
+ works again
+
+ * engine/handlers/page_handler.php: Refs #2228 reverting [8654] so
+ forwarding works
+
+ * languages/en.php: Reverted changes made for elgg.com on Tools menu
+
+2011-03-13 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggPlugin.php: Refs #3079: Better checks in
+ ElggPlugin::setPriority() to avoid priorities of 0. Still requires testing
+ for MySQL 5.5.
+
+ * languages/en.php: Refs #3009. Fixed a few typos and inaccuracies in the
+ welcome widget.
+
+2011-03-13 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/likes/views/default/likes/display.php: tweaked an id so that the likes
+ html would be valid
+
+ * views/default/page/elements/footer.php: how did that get there - removing
+ some test code
+
+ * js/lib/ui.js: Fixes #3110 - checking selector before running date picker
+ js
+
+ * .../views/default/admin/utilities/diagnostics.php,
+ .../views/default/diagnostics/forms/download.php: wrapped the submit button
+ in diagnostics plugin with a <p> so the bottom doesn't get cut off
+
+ * languages/en.php: Refs #2991 restored plugin settings language string
+ needed for page title
+
+ * languages/en.php: fixed typo in site settings
+
+ * .../views/default/groups/sidebar/members.php: Fixes #3072 using
+ elgg_list_entities*() in group members sidebar view
+
+ * mod/groups/languages/en.php, mod/groups/lib/groups.php: displaying a no
+ activity message on the activity page for new groups
+
+ * mod/pages/world.php: added text to pages plugin for case where no pages
+ have been created on site
+
+ * mod/file/index.php: fixed typo in file index.php
+
+ * mod/blog/views/default/object/blog.php,
+ mod/bookmarks/views/default/object/bookmarks.php,
+ mod/file/views/default/object/file.php,
+ mod/groups/views/default/group/default.php,
+ .../views/default/object/groupforumtopic.php,
+ mod/pages/views/default/object/page_top.php,
+ mod/thewire/views/default/object/thewire.php,
+ views/default/object/default.php,
+ views/default/page/components/list/body.php,
+ views/default/page/components/summary.php, views/default/user/default.php:
+ Refs #3096 using 'summary' instead of list/body
+
+2011-03-12 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/river/elements/body.php,
+ views/default/river/elements/controls.php,
+ views/default/river/elements/footer.php,
+ views/default/river/elements/image.php, views/default/river/item.php,
+ views/default/river/item/body.php, views/default/river/item/controls.php,
+ views/default/river/item/footer.php, views/default/river/item/image.php:
+ somehow we forgot to use 'elements' in river views
+
+2011-03-12 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php, upgrade.php, views/default/page/upgrade.php: Fixes
+ #3064. Checking for views/default/welcome.php and aborting upgrade if found.
+ Need to add documention for how to replace views directory to wiki. Also
+ fixed ajax loader during upgrade.
+
+2011-03-12 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggUser.php, mod/custom_index/index.php,
+ views/default/widgets/friends/content.php: Fixes #3045 custom index and the
+ friends widget now use the gallery view for user icons
+
+ * engine/lib/views.php, views/default/page/components/gallery.php,
+ views/default/page/components/list.php, views/default/user/default.php: Refs
+ #3045 adds a gallery context based view for users
+
+ * engine/lib/admin.php, engine/lib/elgglib.php, engine/lib/views.php,
+ engine/tests/api/helpers.php, mod/blog/lib/blog.php, mod/blog/start.php,
+ .../views/default/page/theme_preview.php, mod/notifications/index.php,
+ .../notifications/subscriptions/forminternals.php, mod/pages/start.php,
+ mod/tinymce/start.php, mod/tinymce/views/default/tinymce/init.php,
+ mod/zaudio/start.php, mod/zaudio/views/default/zaudio/audioplayer.php,
+ views/default/input/autocomplete.php, views/default/input/friendspicker.php,
+ views/default/input/userpicker.php, views/default/page/elements/head.php:
+ Fixes #3091 adds load functions for js and css
+
+2011-03-12 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/admin.php: Fixed #3093: Admin link shows only for admin users.
+
+ * languages/en.php: Fixes #3004: Updated admin widget text to reflect menu
+ changes.
+
+ * mod/blog/views/default/blog/sidebar/revisions.php: Fixed blog revision
+ links.
+
+ * js/lib/autocomplete.js, js/lib/elgglib.js, js/lib/events.js,
+ js/lib/hooks.js, js/lib/languages.js, js/lib/security.js, js/lib/ui.js,
+ js/lib/ui.widgets.js, js/lib/userpicker.js, js/tests/ElggEventsTest.js,
+ js/tests/ElggHooksTest.js, mod/blog/views/default/js/blog/save_draft.php,
+ mod/bookmarks/views/default/bookmarks/js.php,
+ mod/messageboard/views/default/messageboard/js.php,
+ mod/tinymce/views/default/js/tinymce.php,
+ .../views/default/uservalidationbyemail/js.php,
+ views/default/core/account/login_box.php,
+ views/default/core/account/login_dropdown.php,
+ views/default/core/river/filter.php, views/default/js/admin.php,
+ views/default/js/elgg.php: Fixes #3065, #3089. Merged JS events and hooks to
+ a single system. Updated plugins and core to use it. Added
+ elgg.getURLFragment() and using it elgg.ui.popsUp().
+
+2011-03-12 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page/admin.php, views/default/page/default.php: forgot to
+ include js registered for the footer in page shells
+
+ * engine/lib/elgglib.php, engine/tests/api/helpers.php: Refs #3091
+ registering js and css support priority though does not maintain registration
+ order if items have equal priority
+
+ * engine/lib/admin.php, engine/lib/elgglib.php, engine/lib/views.php,
+ engine/tests/api/helpers.php, mod/blog/lib/blog.php,
+ mod/notifications/index.php,
+ .../notifications/subscriptions/forminternals.php, mod/pages/start.php,
+ mod/tinymce/views/default/tinymce/init.php,
+ mod/zaudio/views/default/zaudio/audioplayer.php,
+ views/default/admin/plugins/advanced.php,
+ views/default/input/autocomplete.php, views/default/input/friendspicker.php,
+ views/default/input/userpicker.php, views/default/page/components/list.php:
+ Refs #3091 rewrote the js and css register functions to require a name
+
+ * mod/blog/views/default/blog/group_module.php,
+ .../views/default/bookmarks/group_module.php,
+ mod/file/views/default/file/group_module.php,
+ .../views/default/discussion/group_module.php,
+ mod/pages/views/default/pages/group_module.php: Fixes #3071 group "widgets"
+ check permissions now
+
+2011-03-12 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/admin.php, engine/lib/widgets.php,
+ views/default/admin/appearance/default_widgets.php,
+ views/default/admin/appearance/menu_items.php,
+ views/default/admin/appearance/profile_fields.php,
+ .../admin/appearance/profile_fields/list.php,
+ views/default/admin/settings/default_widgets.php,
+ views/default/admin/settings/menu_items.php,
+ views/default/admin/settings/profile_fields.php,
+ .../default/admin/settings/profile_fields/list.php,
+ views/default/css/admin.php, views/default/js/admin.php: Refs #2991: Readded
+ the appearance menu under configure. Moved plugin settings under
+ configure/settings.
+
+ * engine/lib/admin.php, languages/en.php, views/default/admin/sidebar.php,
+ views/default/css/admin.php: Refs #2991. Using section for admin menu.
+
+ * views/default/navigation/menu/elements/section.php: Refs #3088. Wrapping
+ section headers in <h2>s.
+
+ * engine/lib/views.php: Refs #3088. Added docs for section headers to
+ elgg_view_menu().
+
+ * views/default/navigation/menu/default.php,
+ views/default/navigation/menu/elements/section.php,
+ views/default/navigation/menu/page.php: Fixes #3088: Added section header
+ support to page and default menus.
+
+2011-03-12 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/views.php: Refs #3085 finished support for passing $vars to
+ elgg_view_entity()
+
+ * engine/lib/views.php, views/default/page/components/gallery.php,
+ views/default/page/components/list.php, views/rss/layout/objects/list.php:
+ Refs #3085 moved full_view out of elgg_view_list_item() into $vars to be
+ consistent with other elgg_view* functions
+
+ * engine/lib/views.php, views/default/page/elements/comments.php: Refs #3085
+ added $vars to elgg_view_comments()
+
+ * engine/lib/views.php: Refs #3085 improved documentation of
+ elgg_view_entity_list() which already supported $vars array
+
+ * engine/classes/ElggEntity.php, engine/lib/entities.php: improved
+ documentation of can write to container method/function
+
+2011-03-11 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/js/elgg.php: Fixes #3081: Adding a new line between
+ javascript includes.
+
+ * engine/handlers/page_handler.php, engine/lib/admin.php,
+ engine/lib/widgets.php, languages/en.php, mod/developers/languages/en.php,
+ mod/developers/start.php, mod/diagnostics/start.php,
+ mod/externalpages/start.php, mod/logbrowser/start.php,
+ mod/reportedcontent/start.php, mod/uservalidationbyemail/start.php,
+ views/default/admin/appearance/default_widgets.php,
+ views/default/admin/appearance/menu_items.php,
+ views/default/admin/appearance/profile_fields.php,
+ .../admin/appearance/profile_fields/list.php,
+ views/default/admin/settings/advanced.php,
+ views/default/admin/settings/basic.php,
+ views/default/admin/settings/default_widgets.php,
+ views/default/admin/settings/menu_items.php,
+ views/default/admin/settings/profile_fields.php,
+ .../default/admin/settings/profile_fields/list.php,
+ views/default/admin/sidebar.php, views/default/admin/site/advanced.php,
+ views/default/admin/site/basic.php, views/default/css/admin.php,
+ views/default/js/admin.php: Refs #2991. First round at rearranging and
+ grouping admin menu based upon tasks.
+
+2011-03-10 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/plugins/activate.php, actions/admin/plugins/deactivate.php,
+ actions/admin/user/delete.php, actions/friends/collections/add.php,
+ actions/friends/collections/delete.php, engine/classes/ElggSite.php,
+ engine/lib/admin.php, engine/lib/cache.php, engine/lib/cron.php,
+ engine/lib/elgglib.php, engine/lib/entities.php, engine/lib/input.php,
+ engine/lib/navigation.php, engine/lib/output.php, engine/lib/pagehandler.php,
+ engine/lib/pageowner.php, engine/lib/plugins.php, engine/lib/river.php,
+ engine/lib/user_settings.php, engine/lib/users.php,
+ engine/tests/api/helpers.php, index.php, install/ElggInstaller.php,
+ js/lib/elgglib.js, js/lib/languages.js, js/lib/userpicker.js,
+ js/tests/ElggLibTest.js, mod/blog/actions/blog/delete.php,
+ mod/blog/actions/blog/save.php, mod/blog/lib/blog.php, mod/blog/start.php,
+ mod/blog/views/default/blog/group_module.php,
+ mod/blog/views/default/blog/sidebar/archives.php,
+ mod/blog/views/default/blog/sidebar/revisions.php,
+ mod/blog/views/default/object/blog.php,
+ mod/blog/views/default/widgets/blog/content.php,
+ mod/bookmarks/actions/bookmarks/delete.php,
+ mod/bookmarks/actions/bookmarks/save.php, mod/bookmarks/pages/friends.php,
+ mod/bookmarks/pages/view.php, mod/bookmarks/start.php,
+ .../views/default/bookmarks/bookmarklet.php,
+ .../views/default/bookmarks/group_module.php,
+ mod/bookmarks/views/default/object/bookmarks.php,
+ .../views/default/widgets/bookmarks/content.php, mod/categories/start.php,
+ mod/categories/views/default/output/categories.php, mod/developers/start.php,
+ .../views/default/admin/developers/preview.php, mod/embed/start.php,
+ mod/embed/views/default/embed/embed.php,
+ mod/embed/views/default/embed/upload/content.php,
+ mod/externalpages/start.php,
+ mod/externalpages/views/default/expages/menu.php,
+ mod/file/actions/file/delete.php, mod/file/actions/file/upload.php,
+ mod/file/edit.php, mod/file/friends.php, mod/file/index.php,
+ mod/file/search.php, mod/file/start.php, mod/file/upload.php,
+ mod/file/view.php, mod/file/views/default/file/embed_upload.php,
+ mod/file/views/default/file/group_module.php,
+ mod/file/views/default/object/file.php,
+ .../views/default/widgets/filerepo/content.php,
+ mod/groups/actions/discussion/delete.php,
+ mod/groups/actions/groups/delete.php,
+ mod/groups/actions/groups/membership/invite.php,
+ mod/groups/lib/discussion.php, mod/groups/lib/groups.php,
+ mod/groups/start.php, .../views/default/discussion/group_module.php,
+ .../views/default/groups/group_sort_menu.php,
+ .../default/groups/profile/activity_module.php,
+ .../views/default/groups/profile/buttons.php,
+ mod/groups/views/default/groups/side_menu.php,
+ mod/groups/views/default/groups/sidebar/find.php,
+ .../views/default/groups/sidebar/members.php,
+ .../default/widgets/a_users_groups/content.php,
+ mod/invitefriends/actions/invite.php, mod/invitefriends/start.php,
+ mod/logbrowser/start.php, mod/logbrowser/views/default/logbrowser/form.php,
+ mod/logbrowser/views/default/logbrowser/table.php,
+ mod/messageboard/pages/owner.php, mod/messageboard/start.php,
+ .../views/default/widgets/messageboard/content.php,
+ mod/messages/actions/messages/send.php, mod/messages/read.php,
+ mod/messages/start.php, mod/messages/views/default/object/messages.php,
+ mod/notifications/start.php, mod/pages/actions/pages/delete.php,
+ mod/pages/friends.php, mod/pages/history.php, mod/pages/revision.php,
+ mod/pages/start.php, mod/pages/view.php,
+ mod/pages/views/default/object/page_top.php,
+ mod/pages/views/default/pages/group_module.php,
+ mod/pages/views/default/widgets/pages/content.php, mod/profile/start.php,
+ mod/reportedcontent/start.php, mod/search/index.php,
+ mod/search/views/default/search/list.php,
+ mod/search/views/default/search/search_box.php, mod/thewire/actions/add.php,
+ mod/thewire/actions/delete.php, mod/thewire/pages/friends.php,
+ mod/thewire/pages/reply.php, mod/thewire/pages/tag.php,
+ mod/thewire/pages/thread.php, mod/thewire/pages/user.php,
+ mod/thewire/start.php, mod/thewire/tests/regex.php,
+ mod/thewire/views/default/object/thewire.php,
+ .../views/default/thewire/profile_status.php,
+ .../views/default/widgets/thewire/content.php, mod/twitterservice/start.php,
+ mod/twitterservice/twitterservice_lib.php,
+ .../views/default/twitterservice/login.php,
+ .../default/usersettings/twitterservice/edit.php,
+ mod/uservalidationbyemail/lib/functions.php,
+ mod/uservalidationbyemail/start.php,
+ .../forms/uservalidationbyemail/bulk_action.php,
+ views/default/admin/appearance/default_widgets.php,
+ views/default/admin/header.php, views/default/admin/plugins/advanced.php,
+ views/default/core/account/login_dropdown.php,
+ views/default/core/account/login_walled_garden.php,
+ views/default/core/members/nav.php, views/default/core/members/sidebar.php,
+ views/default/forms/login.php, views/default/group/search/finishblurb.php,
+ views/default/input/autocomplete.php,
+ views/default/object/plugin/advanced.php,
+ views/default/object/plugin/simple.php, views/default/output/tagcloud.php,
+ views/default/output/tags.php, views/default/page/default.php,
+ views/default/page/layouts/content/filter.php,
+ views/default/page/layouts/content/header.php,
+ .../page/layouts/two_column_left_sidebar.php,
+ views/default/user/search/finishblurb.php,
+ views/default/widgets/content_stats/content.php, views/foaf/page/default.php,
+ views/installation/page/elements/footer.php, views/rss/core/river/body.php:
+ Fixes #2228: Major cleanup of urls -- remove leading pg/ and trailing / from
+ most urls in core and plugins
+
+ * .gitignore: Ignoring some standard eclipse files
+
+ * engine/handlers/page_handler.php, htaccess_dist: Refs #2228: Deprecated
+ use of pg/ in page handlers. Now we get to have nice clean urls like
+ "example.com/bookmarks"
+
+2011-03-10 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/twitterservice/manifest.xml: Added curl requirement to twitterservice.
+
+
+ * .../views/default/groups/sidebar/members.php: Fixes #3072: Fixed group
+ member list in sidebar. Thoughts about using the elgg-menu-hz class for this?
+ It's not exactly a menu, but that CSS does exactly what's needed.
+
+ * mod/groups/lib/groups.php: Fixes #3069. Added group activity content.
+
+ * languages/en.php, views/default/widgets/admin_welcome/content.php: Refs
+ #3004. First draft of welcome widget text.
+
+ * views/default/css/admin.php: Fixes #2948: Put specific rules in for
+ .elgg-plugin.elgg-state-active to get white backgrounds in plugins, but not
+ break the action button. The admin CSS still needs a bit of work.
+
+2011-03-10 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/pageowner.php: fixed page owner setting for sites in a
+ subdirectory
+
+ * views/default/css/admin.php, views/default/page/admin.php: Fixes #3057
+ page wrapper now sets the margin so messages don't end up in far left
+
+2011-03-09 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * js/lib/ui.js, mod/likes/views/default/likes/display.php: Refs #3027: Using
+ the event popup, ui for advanced placement in elgg.ui.popsUp()
+
+ * engine/lib/views.php: Fixes #3059. elgg_view_layout() checks for
+ deprecated layouts in canvas/layouts/ and elgg_view_page() checks for
+ deprecated pageshells in pageshells/pageshell.
+
+ * engine/classes/ElggBatch.php: Fixed some typos in documentation of
+ ElggBatch.
+
+2011-03-09 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/upgrades/2011030101.php: Fixes #2679 added a script to upgrade
+ forum topics from 1.7 and earlier
+
+ * actions/likes/add.php, actions/likes/delete.php,
+ engine/classes/ElggEntity.php, engine/lib/elgglib.php,
+ engine/lib/navigation.php, engine/lib/upgrades/2010121702.php,
+ engine/lib/views.php, languages/en.php,
+ .../views/default/theme_preview/icons.php, mod/likes/actions/likes/add.php,
+ mod/likes/actions/likes/delete.php, mod/likes/languages/en.php,
+ mod/likes/manifest.xml, mod/likes/start.php,
+ mod/likes/views/default/annotation/likes.php,
+ mod/likes/views/default/likes/css.php,
+ mod/likes/views/default/likes/display.php,
+ .../default/river/annotation/likes/create.php,
+ views/default/annotation/likes.php, views/default/core/likes/display.php,
+ views/default/css/admin.php, views/default/css/elements/components.php,
+ views/default/css/elements/icons.php, views/default/css/ie.php,
+ views/default/river/annotation/likes/create.php: Fixes #3058 pulls likes out
+ as a plugin
+
+ * views/default/navigation/menu/metadata.php: removed metadata menu which
+ has been replaced by the entity menu
+
+2011-03-08 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/categories/manifest.xml, mod/custom_index/manifest.xml,
+ mod/developers/manifest.xml, mod/diagnostics/manifest.xml,
+ mod/embed/manifest.xml, mod/externalpages/manifest.xml,
+ mod/garbagecollector/manifest.xml, mod/groups/manifest.xml,
+ mod/htmlawed/manifest.xml, mod/invitefriends/manifest.xml,
+ mod/logbrowser/manifest.xml, mod/logrotate/manifest.xml,
+ mod/messageboard/manifest.xml, mod/messages/manifest.xml,
+ mod/notifications/manifest.xml, mod/oauth_lib/manifest.xml,
+ mod/twitter/manifest.xml: Refs #2104 added bundled category to all core
+ plugins
+
+ * .../views/default/theme_preview/forms.php: added padding to the display of
+ buttons in developer preview
+
+ * languages/en.php, views/default/admin/plugins/advanced.php: Refs #2871
+ advanced plugin filtering works - sorting still does odd things
+
+2011-03-08 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/admin.php, languages/en.php, views/default/admin/footer.php,
+ views/default/css/admin.php: Fixes #3005. Added links to admin faq, admin
+ manual, blog, and community forums in the admin footer.
+
+ * mod/thewire/start.php, mod/thewire/views/default/object/thewire.php,
+ mod/thewire/views/default/thewire/metadata.php: Fixes #3025: The wire uses
+ core's metadata view.
+
+ * engine/lib/views.php: Added a bit more docs to elgg_view_menu().
+
+ * engine/lib/upgrades/2010050701.php: Fixes #2310. Only conditionally
+ setting the allow_registration field for users who used
+ $CONFIG->disable_registration.
+
+ * js/lib/ui.js, views/default/core/likes/display.php: Fixes #3027. Likes
+ popup uses rel='popup' and elgg.ui.popsUp() to position absolute.
+
+ * mod/blog/views/default/blog/sidebar/revisions.php: Fixes #3054: Fixed URL
+ for editing a blog revision.
+
+2011-03-08 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/tinymce/start.php, mod/tinymce/views/default/js/tinymce.php,
+ mod/tinymce/views/default/tinymce/init.php, views/default/input/longtext.php:
+ fixed tinymce toggle
+
+2011-03-08 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/views/default/js/blog/save_draft.php: Fixes #3053: Fixed JS for
+ blog draft autosave.
+
+ * ...8_svn-blog_status_metadata-4645225d7b440876.php,
+ mod/blog/actions/blog/auto_save_revision.php, mod/blog/actions/blog/save.php,
+ mod/blog/classes/ElggBlog.php, mod/blog/languages/en.php,
+ mod/blog/views/default/blog/sidebar/revisions.php,
+ mod/blog/views/default/forms/blog/save.php,
+ mod/blog/views/default/input/datetime.php,
+ mod/blog/views/default/js/blog/save_draft.php,
+ mod/blog/views/default/object/blog.php, version.php: Fixes #2229. Removed
+ published data metadata in blog. Added upgrade to set all status metadata for
+ old blog posts.
+
+ * engine/classes/ElggBatch.php: Added a bit more docs to ElggBatch.
+
+ * mod/blog/lib/blog.php, mod/blog/views/default/blog/sidebar/archives.php:
+ Refs #2229: Using time_created instead of publish_date metadata to sort.
+
+2011-03-08 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/input/longtext.php: fixed longtext menu display
+
+2011-03-07 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/navigation.php, engine/lib/river.php, mod/blog/start.php,
+ mod/blog/views/default/object/blog.php,
+ mod/bookmarks/views/default/object/bookmarks.php,
+ mod/file/views/default/object/file.php, mod/groups/start.php,
+ mod/groups/views/default/group/default.php,
+ .../views/default/object/groupforumtopic.php, mod/pages/start.php,
+ mod/pages/views/default/object/page_top.php,
+ views/default/css/elements/navigation.php: Refs #2895 entity menu uses new
+ menu system
+
+ * engine/classes/ElggMenuItem.php: added support for confirm text to
+ ElggMenuItem
+
+ * views/default/output/confirmlink.php: made encoding text default to false
+ in confirm link
+
+ * mod/blog/views/default/blog/group_module.php,
+ .../views/default/bookmarks/group_module.php,
+ mod/file/views/default/file/group_module.php,
+ .../views/default/discussion/group_module.php,
+ mod/groups/views/default/forms/groups/delete.php,
+ mod/groups/views/default/groups/css.php,
+ .../views/default/groups/group_sort_menu.php,
+ .../default/groups/profile/activity_module.php,
+ .../views/default/groups/profile/profile_block.php,
+ .../views/default/groups/profile/widgets.php,
+ mod/pages/views/default/pages/group_module.php: cleaned up the groups plugin
+ css
+
+ * views/default/css/elements/navigation.php: owner block menu items appear
+ like normal page menu items in default theme
+
+ * engine/lib/river.php: not showing river buttons when displaying in widgets
+
+
+2011-03-06 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php: Elgg's web services API is not RESTful
+
+ * mod/custom_index/start.php: custom index plugin checks return value on
+ hook now
+
+ * _graphics/walled_garden_background_top.gif, engine/lib/elgglib.php,
+ views/default/core/account/login_walled_garden.php,
+ views/default/css/walled_garden.php, views/default/page/elements/footer.php,
+ views/default/page/walled_garden.php: Refs #2693 walled garden code is
+ functional. Push back a thorough clean up until Elgg 1.9
+
+ * engine/lib/elgglib.php, languages/en.php,
+ views/default/css/walled_garden.php, views/default/page/walled_garden.php:
+ Refs #2693 pulled walled garden css out as separate view
+
+ * documentation/examples/plugins/manifest.xml, mod/blog/manifest.xml,
+ mod/bookmarks/manifest.xml, mod/developers/manifest.xml,
+ mod/file/manifest.xml, mod/pages/manifest.xml, mod/profile/manifest.xml,
+ mod/reportedcontent/manifest.xml, mod/search/manifest.xml,
+ mod/tagcloud/manifest.xml, mod/thewire/manifest.xml,
+ mod/tinymce/manifest.xml, mod/twitterservice/manifest.xml,
+ mod/uservalidationbyemail/manifest.xml, mod/zaudio/manifest.xml,
+ views/default/admin/plugins/advanced.php: Refs #2104 added some categories to
+ core (bundled) plugins
+
+ * mod/thewire/languages/en.php,
+ .../views/default/thewire/profile_status.php: Fixes #3024 thewire view for
+ the profile page is finished
+
+ * engine/lib/river.php, mod/groups/start.php,
+ .../views/default/forms/discussion/reply/save.php,
+ .../river/object/groupforumtopic/create.php,
+ views/default/river/item/controls.php: Refs #2679 can comment on discussion
+ forums in river now
+
+ * mod/groups/start.php: Refs #2413 registered the subtype for discussion
+ topics so search works
+
+ * mod/groups/start.php: Refs #2679 added a function for processing groups
+ plugin upgrades
+
+ * engine/lib/river.php, views/default/river/item/controls.php: Fixes #2895
+ river menu reimplemented using plugin hook
+
+ * upgrade.php: Fixes #3029 added an upgrade, system event that always fires
+
+2011-03-05 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggMenuBuilder.php: added a catch to menu builder for bad
+ menu items
+
+ * views/default/css/elements/navigation.php: added background to li hover
+ for filter menu to work around sliver of white showing through - probably a
+ better way to do this
+
+ * mod/messages/start.php, mod/messages/views/default/messages/css.php: fixed
+ new message indicator
+
+ * views/default/navigation/menu/default.php: forcing dashes in elgg menu
+ classes
+
+ * mod/messages/read.php, mod/pages/view.php: changed rest of title buttons
+ to an elgg-menu
+
+ * mod/groups/languages/en.php, mod/groups/lib/groups.php: fixed some group
+ page titles
+
+ * mod/thewire/languages/en.php: thewire was missing object:<subtype> string
+
+ * views/default/css/elements/navigation.php: tweaked spacing of sidebar
+ menus
+
+ * languages/en.php: adjust language on like link title
+
+ * views/default/css/elements/layout.php,
+ views/default/css/elements/navigation.php: added a amrgin to elgg-menu-title
+ so that buttons have some space
+
+ * .../views/default/groups/profile/buttons.php: groups profile buttons now
+ elgg-menu-title - still need to be registered
+
+ * .../views/default/forms/messages/process.php,
+ mod/messages/views/default/object/messages.php,
+ views/default/annotation/default.php,
+ views/default/annotation/generic_comment.php,
+ views/default/annotation/likes.php, views/default/css/elements/buttons.php,
+ views/default/input/submit.php: cleared up confusion over elgg-icon-delete
+ and elgg-button-delete
+
+ * .../views/default/groups/invitationrequests.php,
+ .../views/default/groups/membershiprequests.php: cleaned up the group
+ invitations and membership request pages
+
+ * mod/groups/actions/groups/edit.php,
+ mod/groups/views/default/forms/groups/edit.php: fixed a bug with invisible
+ groups - saving and display both had a problem
+
+ * mod/groups/views/default/forms/groups/search.php,
+ mod/groups/views/default/groups/edit.php,
+ .../views/default/groups/group_sort_menu.php,
+ .../default/groups/profile/activity_module.php,
+ mod/groups/views/default/groups/side_menu.php,
+ .../views/default/groups/sidebar/members.php,
+ .../river/annotation/group_topic_post/reply.php,
+ .../default/river/relationship/member/create.php,
+ mod/groups/views/default/settings/groups/edit.php: reviewed groups plugin and
+ added todo's
+
+ * mod/bookmarks/views/default/object/bookmarks.php: added owner icons to
+ bookmarks
+
+ * views/default/css/elements/misc.php: drop down button did not need to have
+ such a large z-index
+
+ * views/default/css/elements/buttons.php: removed shadow from
+ elgg-button-dropdown inherited from elgg-button
+
+ * views/default/page/elements/footer.php: make sure powered by icon does not
+ sneak up in the menu area
+
+ * .../default/file/specialcontent/image/default.php,
+ mod/file/views/default/icon/object/file.php: fixed two validation issues with
+ ampersands in file plugin
+
+ * views/default/page/elements/footer.php: forgot to close div tag
+
+ * .../views/default/custom_index/css.php,
+ .../views/default/page/layouts/custom_index.php: updated custom index spacing
+ so it spans the width of the central area
+
+ * engine/lib/elgglib.php, mod/externalpages/start.php,
+ mod/reportedcontent/start.php, views/default/css/elements/navigation.php,
+ views/default/page/elements/footer.php: pulled power by icon out of menu in
+ footer as it serves a different purpose than other menu items
+
+2011-03-05 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * js/lib/ui.js, views/default/input/date.php,
+ views/default/input/datepicker.php, views/default/output/calendar.php,
+ views/default/output/date.php: Fixes #2321: Deprecated input/datepicker and
+ output/calendar in favor of input/date and output/date
+
+2011-03-04 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/actions/groups/membership/join.php, mod/groups/start.php: Fixes
+ #1926 flushing access list when adding a user to a group
+
+ * mod/groups/lib/groups.php, .../default/groups/profile/activity_module.php:
+ preventing crashes when user does not have access to the group on group
+ profile page
+
+ * engine/lib/access.php: Refs #1926 should not be ignoring the flush
+ variable
+
+ * engine/lib/access.php: updated comment on why we may want to keep access
+ cache
+
+ * mod/groups/start.php: Refs #1926 user is now being added to access
+ collection of invisible group
+
+ * engine/lib/access.php: Refs #1926 made 'access:collections:add_user',
+ 'collection' plugin hook more useful
+
+ * mod/groups/actions/groups/edit.php, mod/groups/languages/en.php,
+ mod/groups/views/default/forms/groups/edit.php: trying to make support for
+ invisible groups a little saner
+
+ * mod/groups/actions/groups/membership/join.php: fixed bug in accepting
+ invitations to closed groups
+
+ * mod/groups/actions/addtogroup.php, mod/groups/actions/delete.php,
+ mod/groups/actions/edit.php, mod/groups/actions/featured.php,
+ mod/groups/actions/groups/delete.php, mod/groups/actions/groups/edit.php,
+ mod/groups/actions/groups/featured.php,
+ mod/groups/actions/groups/membership/add.php,
+ .../actions/groups/membership/delete_invite.php,
+ .../actions/groups/membership/delete_request.php,
+ mod/groups/actions/groups/membership/invite.php,
+ mod/groups/actions/groups/membership/join.php,
+ mod/groups/actions/groups/membership/leave.php,
+ mod/groups/actions/groupskillinvitation.php,
+ mod/groups/actions/groupskillrequest.php, mod/groups/actions/invite.php,
+ mod/groups/actions/join.php, mod/groups/actions/joinrequest.php,
+ mod/groups/actions/leave.php, mod/groups/start.php,
+ .../views/default/groups/invitationrequests.php,
+ .../views/default/groups/profile/buttons.php: updated the group actions -
+ edit group needs more work
+
+ * engine/lib/entities.php: added a hint about 0 meaning no limit for ege
+
+2011-03-03 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/start.php: Refs #2679 preventing people from commenting on a
+ discussion topic in the river
+
+ * mod/groups/actions/discussion/reply/delete.php,
+ mod/groups/actions/discussion/reply/save.php, mod/groups/languages/en.php,
+ mod/groups/lib/discussion.php, mod/groups/lib/groups.php,
+ mod/groups/start.php, .../views/default/annotation/group_topic_post.php,
+ mod/groups/views/default/discussion/replies.php,
+ .../views/default/forms/discussion/reply/save.php,
+ mod/groups/views/default/groups/css.php,
+ .../views/default/object/groupforumtopic.php,
+ .../river/annotation/group_topic_post/reply.php: Refs #2679 - returning to a
+ separate annotation for discussion forum replies. For those using the latest
+ out of svn you can update with this script: https://gist.github.com/852092
+
+2011-03-02 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php, mod/blog/views/default/forms/blog/save.php,
+ mod/groups/views/default/forms/groups/edit.php,
+ .../notifications/subscriptions/forminternals.php,
+ views/default/css/elements/chrome.php,
+ views/default/forms/admin/site/update_advanced.php,
+ views/default/forms/admin/site/update_basic.php,
+ views/default/forms/usersettings/save.php: Fixes #3006 restores previous
+ dividers
+
+ * mod/categories/views/default/output/categories.php: not showing categories
+ label if not categories chosen
+
+ * actions/login.php: Fixes #3036 moved setting of forward url to before
+ login in case session variables are being lost
+
+ * views/default/river/item/controls.php: Refs #2895 reverting [8532] as code
+ is not working as intended. Can comment and like everything because menu
+ items are being registered. Perhaps questionable benefit of using the menu
+ system here.
+
+ * mod/groups/actions/discussion/save.php: fixed the view name for discussion
+ topic river
+
+ * .../views/default/object/groupforumtopic.php: showing the text of a forum
+ topic post
+
+2011-03-02 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/tinymce/views/default/tinymce/css.php,
+ views/default/core/friends/collection.php,
+ views/default/core/friends/collections.php,
+ views/default/core/friends/collectiontabs.php,
+ views/default/css/elements/components.php,
+ views/default/css/elements/modules.php,
+ views/default/css/elements/navigation.php,
+ views/default/page/elements/owner_block.php,
+ views/default/page/elements/topbar.php: Addressed several miscellaneous
+ todo's
+
+ * views/default/object/widget.php,
+ views/default/object/widget/elements/controls.php: Widget collapse button
+ uses elgg-toggler pattern
+
+ * views/default/page/layouts/widgets/add_button.php: Fixed widgets add
+ button
+
+ * views/default/object/plugin/advanced.php,
+ .../object/plugin/elements/dependencies.php: Plugin admin displays
+ dependencies again
+
+ * mod/twitterservice/start.php: Removed redundant requirements checking code
+
+ * views/default/admin/statistics/basic.php,
+ views/default/admin/statistics/numentities.php,
+ views/default/admin/statistics/overview.php: Refactored admin statistics
+ views -- no longer manually building elgg-module
+
+ * engine/lib/output.php: Added comment explaining purpose of regex
+
+ * engine/lib/output.php: elgg_normalize_url leaves #target and ?query urls
+ alone
+
+2011-03-01 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page/elements/messages.php: Fixes #3012 added hidden li
+
+ * js/lib/elgglib.js: cleaned up appending system messages since <ul> will
+ always be there
+
+ * install/ElggInstaller.php: Fixes #2929 Installer handles private default
+ access now
+
+2011-03-01 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page/layouts/content/filter.php: Filter tabs ordered
+ correctly
+
+2011-03-01 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * install/ElggInstaller.php: fixed bug where dataroot wasn't being set when
+ regenerating cache during the install
+
+2011-03-01 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css/elements/modules.php,
+ views/default/css/elements/navigation.php,
+ views/default/navigation/menu/site.php: Some fixes for site menu
+
+2011-03-01 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/actions/blog/save.php: because river entry is deleted we do not
+ need to do an update
+
+ * views/default/forms/comments/add.php: put margin back between longtext and
+ submit button for comment form
+
+ * mod/blog/actions/blog/save.php: fixes saving a new blog post - was trying
+ to do an update to without a guid
+
+ * engine/lib/views.php: Fixes #3033 removed use of set_view_location() from
+ core
+
+ * engine/classes/ElggMenuBuilder.php, engine/classes/ElggMenuItem.php,
+ engine/lib/admin.php, engine/lib/elgglib.php, engine/lib/users.php,
+ mod/embed/start.php, mod/messages/start.php, mod/reportedcontent/start.php,
+ views/default/admin/footer.php, views/default/admin/sidebar.php,
+ views/default/css/admin.php, views/default/css/elements/navigation.php,
+ views/default/input/longtext.php, views/default/page/elements/footer.php,
+ views/default/page/elements/topbar.php,
+ views/default/page/layouts/content/filter.php,
+ views/default/page/layouts/content/header.php,
+ views/default/river/item/controls.php: Fixes #3031 using 'priority' now
+
+ * engine/lib/users.php: Fixes #3010 moved topbar menu registration to page
+ setup so that the url override is registered
+
+ * mod/tinymce/views/default/js/tinymce.php: fixed tinymce js code so that at
+ least it doesn't have syntax errors and runtime exceptions
+
+ * mod/tinymce/start.php: tinymce longtext menu item also needed the longtext
+ class
+
+ * mod/tinymce/start.php: fixes tinymce longtext registration
+
+ * mod/embed/start.php: fixed embed longtext menu registration
+
+ * views/default/input/button.php, views/default/input/submit.php: added
+ better support for classes to buttons
+
+2011-03-01 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggMenuItem.php, js/lib/ui.js,
+ mod/profile/views/default/profile/owner_block.php,
+ .../views/default/object/reported_content.php,
+ views/default/core/account/login_dropdown.php,
+ views/default/object/plugin/advanced.php,
+ views/default/object/widget/elements/controls.php,
+ views/default/page/layouts/widgets/add_button.php,
+ views/default/river/item/controls.php: Fixes #3032: toggler pattern is now <a
+ href="#target" class="elgg-toggler">...</a> Wow, so much nicer.
+
+ * engine/classes/ElggMenuItem.php: Added support for "rel" to ElggMenuItem
+
+ * engine/classes/ElggMenuItem.php: Fixes #3023: added support for 'class'
+ argument in elgg_register_menu_item
+
+ * views/default/css/admin.php, views/default/css/elements/navigation.php,
+ views/default/navigation/menu/default.php,
+ views/default/navigation/menu/elements/group.php,
+ views/default/navigation/menu/elements/item.php,
+ views/default/navigation/menu/elements/section.php,
+ views/default/navigation/menu/metadata.php,
+ views/default/navigation/menu/page.php,
+ views/default/navigation/menu/site.php,
+ views/default/navigation/menu/user_hover.php,
+ views/default/page/elements/footer.php,
+ views/default/page/elements/topbar.php,
+ views/default/page/layouts/content/filter.php,
+ views/default/page/layouts/content/header.php: Refs #3021:
+ elgg-section-$section => elgg-menu-$menu-$section Added elgg-menu-hz for
+ horizontal menus
+
+ * mod/embed/start.php, mod/embed/views/default/embed/link.php: Fixes #2895:
+ Embed uses longtext menu -- I believe that covers *all* the menus we had
+ brought up!
+
+ * mod/tinymce/start.php, mod/tinymce/views/default/input/longtext.php,
+ mod/tinymce/views/default/js/tinymce.php,
+ mod/tinymce/views/default/tinymce/init.php, views/default/input/longtext.php:
+ Refs #2538: Cleaned up custom tinymce code into elgg.tinymce.*. Refs #2895:
+ TinyMCE makes use of longtext menu
+
+ * views/default/river/item/controls.php: Refs #2895: River items now emit a
+ "river" menu. Not confident on the name, just trying to keep things short
+ and sweet.
+
+ * mod/search/start.php, views/default/page/elements/header.php: Removed
+ header/extend in favor of just extending page/elements/header
+
+ * views/default/css/elements/navigation.php,
+ views/default/page/layouts/content/header.php: Refs #2895: Content header now
+ emits a "title" menu
+
+2011-03-01 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/actions/blog/save.php: Fixes #2425, #2427. Blog status for
+ published and draft correctly set the river items.
+
+2011-03-01 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/core/likes/display.php,
+ views/default/css/elements/navigation.php: core/likes/display uses
+ image-block component. ensures that the like icon is even with the "x users
+ liked this" text. Wondering if we should split the two up entirely.
+
+ * views/default/css/elements/navigation.php,
+ views/default/page/layouts/content/filter.php: Refs #2895: Converted content
+ filter to a menu, duplicated styles to mimic tabs... ick.
+
+2011-03-01 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/manifest.xml, mod/blog/start.php: blog plugin uses on_activate to
+ set its class or the upgrade event
+
+ * mod/groups/views/default/forms/groups/search.php,
+ mod/thewire/views/default/forms/thewire/add.php,
+ views/default/css/elements/buttons.php,
+ views/default/forms/members/name_search.php,
+ views/default/forms/members/tag_search.php,
+ views/default/forms/widgets/save.php, views/default/input/submit.php: brought
+ back the blue submit button
+
+ * views/default/css/elements/buttons.php: yuck - have to reset all the
+ styling from elgg-button for elgg-button-delete
+
+ * actions/admin/plugins/activate.php, actions/admin/plugins/deactivate.php:
+ Fixes #3013 activating/deactivating a plugin forwards to plugin page with
+ changed plugin given focus
+
+2011-03-01 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/bookmarks/pages/all.php: No need for ".=" here
+
+ * mod/groups/start.php: pg/groups/world => pg/groups/all
+
+2011-03-01 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/admin.php, engine/lib/widgets.php, mod/developers/start.php,
+ mod/diagnostics/start.php, mod/externalpages/start.php,
+ mod/logbrowser/start.php, mod/reportedcontent/start.php,
+ mod/uservalidationbyemail/start.php: Fixes #3014 using more standard
+ "register" in admin convenience function
+
+2011-03-01 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css/elements/navigation.php: Fixes for breadcrumbs and
+ metadata menus. Added basic styles for title menu
+
+ * views/default/core/likes/display.php: false is default for encode text in
+ output/url -- no need to specify
+
+2011-03-01 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/messageboard/start.php, .../views/default/forms/messageboard/add.php,
+ .../views/default/messageboard/css.php: cleaned up the css for messageboard
+
+ * mod/messageboard/languages/en.php,
+ .../views/default/annotation/messageboard.php,
+ .../views/default/forms/messageboard/add.php,
+ .../views/default/messageboard/messageboard.php,
+ .../default/river/object/messageboard/create.php,
+ .../views/default/widgets/messageboard/content.php,
+ .../views/default/widgets/messageboard/edit.php: cleaned up the messageboard
+ plugin further
+
+ * views/default/annotation/default.php,
+ views/default/annotation/generic_comment.php: finished making the "default"
+ view the default view for annotation rather than generic_comment
+
+2011-03-01 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/core/river/filter.php: Convert river filter javascript to
+ new style
+
+2011-03-01 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/annotation/default.php: improved the default annotation view
+
+
+2011-02-28 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page/elements/topbar.php: No more reason to explicitly add
+ elgg-menu-topbar class
+
+ * views/default/css/elements/navigation.php,
+ views/default/navigation/menu/site.php: Fixes for several menu issues
+
+ * views/default/page/elements/footer.php: No more need to explicitly include
+ elgg-menu-footer class
+
+ * views/default/navigation/menu/default.php: Always include name of menu in
+ menu classes
+
+ * mod/bookmarks/start.php, views/default/page/elements/sidebar.php: Refs
+ #2895: Renamed "page_links" to "extras" -- feels more semantic (facebook's
+ menu has actions such as unfriend, share, block)
+
+2011-02-27 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggPlugin.php, mod/categories/manifest.xml,
+ mod/categories/start.php: Fixes #3011. on_activate and on_deactivate work for
+ plugins now. Updated the categories plugin to use it.
+
+2011-02-27 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/views/default/object/blog.php,
+ mod/categories/views/default/output/categories.php,
+ views/default/css/elements/icons.php,
+ views/default/page/components/list/body.php: pushing categories to the next
+ line when viewing an entity
+
+ * views/default/js/admin.php: slide up system messages in admin area
+
+ * views/default/page/admin.php: fixed the AWHOL state of system messages on
+ admin pages
+
+ * .../views/default/admin/users/unvalidated.php,
+ .../forms/uservalidationbyemail/bulk_action.php: fixed bad return value in
+ unvalidated users bulk action form
+
+2011-02-26 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/forms/useradd.php: fixed useradd form
+
+ * engine/lib/admin.php, engine/lib/navigation.php: Fixes #2951 not
+ registering utilities menu item by default but added code to automatically
+ register a parent menu if not registered in admin menu registration function.
+ We lose the ability to set a weight on utilities.
+
+ * engine/lib/admin.php, engine/lib/widgets.php, mod/developers/start.php,
+ mod/diagnostics/start.php, mod/externalpages/start.php,
+ mod/logbrowser/start.php, mod/reportedcontent/start.php,
+ mod/uservalidationbyemail/start.php: simplified admin menu registration
+ function in preparation for adding sections
+
+ * install/ElggInstaller.php, install/languages/en.php: Fixes #2799 added
+ check for session.auto_start
+
+ * engine/lib/admin.php, views/default/css/admin.php,
+ views/default/page/admin.php: moved admin messages into admin page shell
+
+ * engine/lib/admin.php, install/ElggInstaller.php, languages/en.php,
+ views/default/css/admin.php, views/default/widgets/admin_welcome/content.php:
+ Fixes #2913 - directs the user to admin dashboard when installer finishes
+
+ * .../views/default/bookmarks/bookmarklet.php: Fixes #2977 changed title to
+ alt
+
+ * _graphics/friendspicker.png, actions/friends/collections/edit.php,
+ languages/en.php, pages/friends/collections/add.php,
+ pages/friends/collections/pickercallback.php,
+ views/default/core/friends/collections.php,
+ views/default/css/elements/forms.php, views/default/css/elements/misc.php,
+ views/default/forms/friends/collections/add.php,
+ views/default/forms/friends/collections/edit.php,
+ views/default/input/friendspicker.php: Fixes #2982 friends collections work
+ even if the code is convoluted and poorly written.
+
+ * .../notifications/subscriptions/forminternals.php: fixed notifications
+ plugin's hacked up friends picker...shudder
+
+ * mod/groups/lib/groups.php,
+ mod/groups/views/default/forms/groups/invite.php: fixed group invite form
+
+ * engine/lib/elgglib.php: fixed image size attributes added to "power by"
+ image
+
+2011-02-26 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggSite.php: Refs #2693 - Added pg/css and pg/js to the
+ list of public pages for walled garden.
+
+2011-02-26 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/friends/addcollection.php, actions/friends/collections/add.php,
+ actions/friends/collections/delete.php, actions/friends/collections/edit.php,
+ actions/friends/deletecollection.php, actions/friends/editcollection.php,
+ engine/lib/users.php, pages/friends/add.php, pages/friends/collections.php,
+ pages/friends/collections/add.php,
+ pages/friends/collections/pickercallback.php,
+ pages/friends/collections/view.php, pages/friends/edit.php,
+ pages/friends/pickercallback.php, views/default/core/friends/collection.php,
+ views/default/core/friends/collections.php,
+ views/default/core/friends/collectiontabs.php,
+ views/default/forms/friends/collectionfields.php,
+ views/default/forms/friends/collections/edit.php,
+ views/default/forms/friends/edit.php: Refs #2982 adding and deleting friend
+ collections works - editing does not
+
+ * engine/lib/views.php, mod/groups/views/default/forms/groups/invite.php,
+ pages/friends/pickercallback.php, views/default/core/friends/collection.php,
+ views/default/core/friends/picker.php, views/default/forms/friends/edit.php,
+ views/default/input/friendspicker.php: fixed friends picker js include
+
+2011-02-26 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css/elements/navigation.php: Switching elgg-menu-metadata to
+ inline-block method of declaring a horizontal menu
+
+ * mod/groups/views/default/group/default.php,
+ mod/thewire/views/default/thewire/metadata.php, views/default/css/admin.php,
+ views/default/css/elements/components.php,
+ views/default/css/elements/navigation.php,
+ views/default/navigation/menu/metadata.php,
+ views/default/object/plugin/advanced.php,
+ views/default/page/components/list/body.php, views/default/user/default.php:
+ Refs #2895: .elgg-list-metadata => .elgg-menu-metadata. Still not using menu
+ system yet, though
+
+ * views/default/css/elements/navigation.php: .elgg-menu enhancement for
+ horizontal menus
+
+ * views/default/css/elements/layout.php,
+ views/default/css/elements/navigation.php,
+ views/default/navigation/breadcrumbs.php: .elgg-menu is no longer trivial
+
+ * engine/lib/elgglib.php: Added explicit height and width to powered_by_elgg
+ icon
+
+ * views/default/css/elements/icons.php: Fixes #2691: Switching elgg-icon to
+ display:inline-block -- matches behavior of <img> tag
+
+2011-02-25 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/reportedcontent/start.php, .../views/default/reportedcontent/css.php:
+ Converted report-this icon to be compatible with elgg_view_icon
+
+ * engine/lib/elgglib.php, mod/reportedcontent/start.php,
+ .../views/default/reportedcontent/css.php,
+ .../views/default/reportedcontent/footer_link.php,
+ views/default/page/elements/footer.php: Refs #2895: Removed footer/links
+ view. Moved report-this link into alternate footer menu
+
+ * engine/lib/elgglib.php, views/default/css/elements/layout.php,
+ views/default/css/elements/navigation.php,
+ views/default/page/elements/footer.php: Refs #2895: Added support for an
+ "alt" section in the footer menu. Put "powered by Elgg" badge in here
+
+ * js/lib/elgglib.js, js/lib/session.js: Refs #2538: Functions don't need
+ double-namespacing
+
+ * views/default/css/elements/components.php,
+ views/default/css/elements/misc.php,
+ views/default/css/elements/navigation.php: Using shorthand in css to scrunch
+ it a bit
+
+ * views/default/css/elements/layout.php,
+ views/default/css/elements/page_layout.php, views/default/css/elgg.php:
+ Converted css/elements/page_layout to layout. Now we have a bunch of nice,
+ terse, 1-word names :)
+
+ * engine/lib/admin.php, engine/lib/elgglib.php, engine/lib/users.php,
+ views/default/css/elements/navigation.php,
+ views/default/css/elements/page_layout.php,
+ views/default/page/elements/topbar.php: Refs #2895: Converted topbar to one
+ menu (felt dirty using two). Fixed styles.
+
+ * engine/lib/users.php, mod/messages/start.php,
+ mod/messages/views/default/messages/topbar.php: Refs #2895: Messages now uses
+ new topbar menu
+
+ * mod/bookmarks/start.php, .../views/default/bookmarks/page_links.php,
+ views/default/page/elements/page_links.php,
+ views/default/page/elements/sidebar.php: Refs #2895: Converted page_links
+ into a menu. Bookmarks now uses it
+
+ * engine/lib/admin.php, engine/lib/users.php,
+ views/default/page/elements/topbar.php: Refs #2895: Made topbar into 2 menus.
+ CSS will have to be fixed
+
+ * engine/lib/navigation.php: Corrects bug that occurred when ElggMenuItem is
+ passed
+
+ * mod/blog/views/default/object/blog.php, mod/groups/start.php,
+ .../views/default/groups/invitationrequests.php,
+ .../views/default/groups/membershiprequests.php,
+ .../views/default/object/groupforumtopic.php,
+ mod/messages/views/default/object/messages.php,
+ .../notifications/subscriptions/forminternals.php,
+ mod/profile/views/default/profile/owner_block.php,
+ views/default/annotation/default.php,
+ views/default/annotation/generic_comment.php,
+ views/default/annotation/likes.php, views/default/core/friends/picker.php,
+ views/default/core/friends/tablelist.php,
+ views/default/forms/friends/edit.php, views/default/river/item/image.php,
+ views/default/river/relationship/friend/create.php,
+ views/default/user/default.php, views/default/widgets/friends/content.php:
+ Converted all uses of profile/icon in core + plugins to elgg_view_entity_icon
+
+ * engine/lib/navigation.php: Emit a warning + debug info when an attempt to
+ register a menu item fails
+
+ * engine/classes/ElggMenuItem.php: Supporting is_action in ElggMenuItem
+
+ * views/installation/forms/install/template.php,
+ views/installation/install/pages/admin.php,
+ views/installation/install/pages/database.php,
+ views/installation/install/pages/settings.php: Cleaned up some js in the
+ installer
+
+ * views/installation/input/checkbox.php,
+ views/installation/input/checkboxes.php: Updated input/checkboxes code in
+ installation viewtype
+
+ * views/installation/install/forms/admin.php,
+ views/installation/install/forms/database.php,
+ views/installation/install/forms/settings.php,
+ views/installation/install/forms/template.php,
+ views/installation/install/pages/admin.php,
+ views/installation/install/pages/database.php,
+ views/installation/install/pages/settings.php: Converted installation forms
+ to use elgg_view_form and the /forms/ directory
+
+2011-02-24 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/js/admin.php: Fixes #2998. Updated admin JS for dnd plugin
+ reordering with new plugin layout.
+
+2011-02-24 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggAnnotation.php: Fixes #2960 removing river entry for
+ deleted annotation
+
+ * views/default/css/admin.php, views/default/css/elements/reset.php: fixed
+ dragging/scrolling issue caused by overflow-y on body
+
+ * engine/classes/ElggMenuItem.php: fixed typo in elgg menu updates from last
+ night
+
+ * engine/lib/users.php, languages/en.php, pages/friends/collections.php,
+ views/default/css/elements/misc.php: fixing friends collections for backward
+ compatibility
+
+ * engine/classes/ElggMenuItem.php,
+ views/default/navigation/menu/elements/item.php: finished the implementation
+ of non-links in menu system
+
+ * engine/classes/ElggMenuItem.php,
+ mod/profile/views/default/profile/owner_block.php,
+ views/default/navigation/menu/elements/item.php: using getContent() in menu
+ system in preparation for supporting non-links
+
+ * engine/classes/ElggMenuBuilder.php, engine/classes/ElggMenuItem.php,
+ engine/lib/admin.php, engine/lib/deprecated-1.8.php,
+ engine/lib/navigation.php, engine/lib/user_settings.php,
+ engine/lib/users.php, engine/lib/views.php, mod/bookmarks/start.php,
+ mod/developers/start.php, mod/file/views/default/file/typecloud.php,
+ mod/invitefriends/start.php, mod/messages/start.php,
+ mod/notifications/start.php, mod/pages/lib/pages.php: Fixes #2997 - href used
+ instead of url in menu system
+
+ * engine/classes/ElggMenuBuilder.php, engine/classes/ElggMenuItem.php,
+ engine/lib/admin.php, engine/lib/deprecated-1.8.php,
+ engine/lib/user_settings.php, engine/lib/users.php, engine/lib/views.php,
+ mod/bookmarks/start.php, mod/developers/start.php,
+ mod/file/views/default/file/typecloud.php, mod/invitefriends/start.php,
+ mod/messages/start.php, mod/notifications/start.php, mod/pages/lib/pages.php,
+ views/default/forms/admin/menu/save.php: Refs #2997 switching from title to
+ text for menu items
+
+ * views/default/core/friends/tablelist.php,
+ views/default/css/elements/forms.php, views/default/css/elements/misc.php:
+ moved another friends picker css section to forms css view
+
+ * views/default/core/avatar/crop.php, views/default/core/avatar/upload.php,
+ views/default/css/elements/misc.php, views/default/forms/avatar/crop.php:
+ cutting down the amount of css required for the avatar page
+
+ * views/default/core/river/body.php, views/default/core/river/controls.php,
+ views/default/core/river/footer.php, views/default/core/river/image.php,
+ views/default/river/item.php, views/default/river/item/body.php,
+ views/default/river/item/controls.php, views/default/river/item/footer.php,
+ views/default/river/item/image.php: organized the river views according to
+ the subview pattern
+
+2011-02-23 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css/elements/modules.php: Adjusting offset for dropdown
+ module
+
+ * engine/lib/admin.php: Simplify registration of jeditable library
+
+ * views/default/css/elements/components.php,
+ views/default/css/elements/layout_objects.php, views/default/css/elgg.php:
+ Refs #2993: Changed css layout_objects to components
+
+ * views/default/css/elgg.php: Refs #2995: Including css/elements/buttons in
+ css/elgg
+
+ * views/default/css/elements/buttons.php,
+ views/default/css/elements/forms.php, views/default/css/elements/misc.php:
+ Fixes #2995: buttons get their own css file
+
+ * mod/blog/views/default/object/blog.php,
+ mod/bookmarks/views/default/object/bookmarks.php,
+ mod/file/views/default/object/file.php,
+ mod/groups/views/default/group/default.php,
+ .../views/default/object/groupforumtopic.php,
+ mod/pages/views/default/object/page_top.php,
+ mod/thewire/views/default/object/thewire.php,
+ views/default/object/default.php,
+ views/default/object/elements/list_body.php,
+ views/default/page/components/list/body.php, views/default/user/default.php:
+ Fixes #2992: moved object/elements/list_body to page/components/list/body
+
+ * js/lib/ui.js: Fixes #2976: Removed second toggler implementation
+
+ * mod/blog/lib/blog.php, mod/file/index.php,
+ mod/file/views/default/object/file.php,
+ .../views/default/groups/invitationrequests.php,
+ .../views/default/groups/membershiprequests.php, mod/messages/read.php,
+ .../views/default/forms/messages/process.php,
+ mod/messages/views/default/object/messages.php, mod/pages/view.php,
+ mod/profile/views/default/profile/owner_block.php,
+ .../views/default/object/reported_content.php,
+ .../views/default/thewire/profile_status.php,
+ views/default/admin/plugins/advanced.php,
+ views/default/annotation/generic_comment.php,
+ views/default/annotation/likes.php,
+ views/default/core/account/login_dropdown.php,
+ views/default/core/friends/picker.php,
+ views/default/forms/profile/fields/reset.php, views/default/input/button.php,
+ views/default/object/plugin/advanced.php,
+ views/default/page/layouts/content/header.php,
+ views/default/page/layouts/widgets/add_button.php: Every occurrence of
+ elgg-button-$type needs an elgg-button base class declaration -- or should we
+ remove that requirement?
+
+ * mod/profile/views/default/profile/owner_block.php,
+ .../views/default/object/reported_content.php,
+ views/default/core/river/controls.php, views/default/core/river/footer.php,
+ views/default/object/widget/elements/controls.php,
+ views/default/object/widget/elements/settings.php,
+ views/default/page/layouts/widgets/add_button.php,
+ views/default/page/layouts/widgets/add_panel.php: Refs #2976: Converted most
+ markup to use elgg-toggler elgg-toggles-* pattern
+
+ * views/default/css/elements/page_layout.php,
+ views/default/page/default.php: Fixes #2957: elgg-page-classic =>
+ elgg-page-default
+
+ * views/default/page/admin.php, views/default/page/layouts/one_column.php,
+ views/default/page/layouts/one_sidebar.php: Moved elgg-inner out of layouts
+ and into the page shell
+
+ * mod/messageboard/views/default/messageboard/js.php,
+ mod/messages/views/default/object/messages.php,
+ mod/thewire/views/default/thewire/css.php,
+ mod/tinymce/views/default/tinymce/css.php,
+ views/default/annotation/generic_comment.php,
+ views/default/annotation/likes.php, views/default/css/ie.php,
+ views/default/css/ie6.php, views/default/page/upgrade.php: Converted
+ delete-button to elgg-button-delete throughout plugins
+
+ * views/default/css/elements/forms.php, views/default/css/elements/misc.php:
+ Moved elgg-button-dropdown to forms
+
+ * views/default/css/elements/chrome.php: Cleanup of css/elements/chrome.php
+
+ * views/default/css/elements/forms.php: Converted delete-button to
+ elgg-button-delete. This breaks some things because the semantics of the two
+ are not equivalent. .delete-button just really needed to die
+
+ * views/failsafe/canvas/default.php, views/foaf/canvas/default.php,
+ views/ical/canvas/default.php, views/json/canvas/default.php,
+ views/opendd/canvas/default.php, views/php/canvas/default.php: Deleting
+ deprecated canvas/ directory from all viewtypes
+
+ * views/default/navigation/menu/default.php: DRY'ed up default menu a bit
+
+2011-02-22 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/page/shells/theme_preview.php,
+ .../views/default/page/theme_preview.php: Moved theme_preview page shell out
+ into page/
+
+ * views/default/css/elements/page_layout.php,
+ views/default/page/elements/sidebar_alt.php,
+ views/default/page/layouts/one_column.php,
+ views/default/page/layouts/one_sidebar.php,
+ views/default/page/layouts/two_sidebar.php: Trying to simplify layout css:
+ nixed elgg-aside .elgg-sidebar.elgg-alt => .elgg-sidebar-alt (similar to
+ .elgg-image-alt)
+
+ * views/default/admin/footer.php, views/default/admin/header.php,
+ views/default/page/admin.php, views/default/page/layouts/admin.php: extracted
+ admin header, footer to admin/*. Moved pageshell code from admin layout to
+ admin pageshell.
+
+ * install/css/install.css, views/installation/install/footer.php,
+ views/installation/install/header.php,
+ views/installation/install/sidebar.php, views/installation/page/default.php,
+ views/installation/page/elements/footer.php,
+ views/installation/page/elements/header.php,
+ views/installation/page/elements/sidebar.php: Move install
+ footer/header/sidebar into page/elements. Converted CSS to use more standard
+ Elgg framework objects
+
+ * views/default/page/elements/content_header.php,
+ .../page/elements/content_header_member.php: Removing holdovers from elgg.com
+
+ * views/failsafe/page/default.php, views/failsafe/page/shells/default.php,
+ views/foaf/page/default.php, views/foaf/page/shells/default.php,
+ views/ical/page/default.php, views/ical/page/shells/default.php,
+ views/installation/page/default.php,
+ views/installation/page/shells/default.php, views/json/page/default.php,
+ views/json/page/shells/default.php, views/opendd/page/default.php,
+ views/opendd/page/shells/default.php, views/php/page/default.php,
+ views/php/page/shells/default.php, views/rss/page/default.php,
+ views/rss/page/shells/default.php, views/xml/page/default.php,
+ views/xml/page/shells/default.php: Moved page/shells/* to page/*
+
+ * mod/embed/views/default/embed/css.php, views/default/css/ie.php,
+ views/default/export/entity.php: entity-listing => elgg-image-block,
+ margin-top => mtm
+
+ * mod/groups/lib/groups.php,
+ mod/groups/views/default/forms/groups/delete.php,
+ mod/groups/views/default/forms/groups/invite.php,
+ mod/groups/views/default/forms/groups/search.php,
+ mod/groups/views/default/groups/css.php,
+ .../views/default/groups/group_sort_menu.php,
+ .../views/default/groups/invitationrequests.php,
+ .../views/default/groups/membershiprequests.php,
+ .../default/groups/profile/activity_module.php,
+ .../views/default/groups/profile/buttons.php,
+ .../views/default/groups/profile/profile_block.php,
+ .../views/default/groups/profile/widgets.php,
+ .../views/default/groups/sidebar/members.php: Touch ups of groups plugin
+
+ * mod/embed/views/default/embed/embed.php,
+ mod/embed/views/default/embed/js.php,
+ mod/embed/views/default/embed/layouts/gallery.php,
+ mod/embed/views/default/embed/layouts/list.php,
+ mod/embed/views/default/embed/lightbox_init.php,
+ mod/embed/views/default/embed/link.php,
+ mod/embed/views/default/embed/upload/content.php,
+ mod/embed/views/default/group/default/embed.php,
+ mod/embed/views/default/object/file/embedlist.php: Touch ups for the embed
+ plugin -- this one needs some serious work still, though...
+
+ * views/default/output/url.php: Utilizing elgg_extract in output/url
+
+ * js/lib/configuration.js: Added elgg.get_site_url(), so people don't have
+ to deal with the config object.
+
+ * mod/diagnostics/actions/download.php,
+ .../views/default/admin/utilities/diagnostics.php,
+ .../views/default/diagnostics/forms/download.php: Touch ups for diagnostics
+ plugin
+
+ * views/default/admin/plugin_settings.php,
+ views/default/core/settings/tools.php,
+ views/default/forms/plugins/settings/save.php: Deprecated
+ (user)settings/$plugin_id/edit in favor of plugins/$plugin_id/(user)settings
+
+ * mod/bookmarks/start.php: Default permissions for new actions is logged_in,
+ so no need to make that explicit
+
+ * mod/blog/lib/blog.php, mod/blog/views/default/forms/blog/save.php,
+ mod/blog/views/default/input/datetime.php,
+ mod/blog/views/default/js/blog/save_draft.php: Cleaned up blog js
+
+2011-02-22 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * index.php: Fixes #2952 less silliness in Elgg now
+
+ * engine/lib/pageowner.php: Refs #2790 - fixes it for real this time
+
+ * engine/lib/pageowner.php: Fixes #2790 adds fix from 1.7 branch into trunk
+
+2011-02-22 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * crontab.example, documentation/examples/crontab.example: Moved
+ crontab.example into documentation directory
+
+2011-02-22 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/developers/actions/developers/settings.php,
+ mod/developers/languages/en.php, mod/developers/manifest.xml,
+ .../views/default/admin/developers/settings.php,
+ mod/developers/views/default/developers/css.php,
+ .../views/default/forms/developers/settings.php: started adding a settings
+ page
+
+2011-02-22 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * : hmmm... how did those get there?
+
+ * mod/blog/views/default/object/blog.php,
+ mod/bookmarks/views/default/object/bookmarks.php,
+ mod/file/views/default/object/file.php,
+ mod/groups/views/default/group/default.php,
+ .../views/default/object/groupforumtopic.php,
+ mod/pages/views/default/object/page_top.php,
+ mod/thewire/views/default/object/thewire.php,
+ views/default/object/default.php, views/default/page/layouts/content.php,
+ views/default/user/default.php: Fixes #2950: All files that were calling into
+ layout/* now use the up-to-date locations
+
+ * .../views/default/bookmarks/page_links.php,
+ mod/bookmarks/views/default/object/bookmarks.php,
+ .../views/default/theme_preview/icons.php,
+ mod/thewire/views/default/thewire/metadata.php,
+ .../admin/appearance/profile_fields/list.php,
+ views/default/core/likes/display.php, views/default/icon/user/default.php,
+ views/default/navigation/menu/metadata.php,
+ views/default/navigation/menu/site.php, views/default/output/tagcloud.php,
+ views/default/output/tags.php, views/default/page/elements/page_links.php,
+ views/default/page/elements/topbar.php,
+ views/default/river/relationship/friend/create.php: Fixes #2980: DRY'ed up
+ all plugins to use elgg_view_icon instead of generating their own html
+
+ * views/default/forms/comments/inline.php: Deprecated forms/comments/inline
+ in favor of passing inline => TRUE to forms/comments/add
+
+ * views/default/core/river/footer.php: core river footer taking advantage of
+ new DRY comment form
+
+ * engine/lib/views.php: Refs #2980: Added an elgg_view_icon function
+
+ * views/default/graphics/ajax_loader.php: Typo in ajax_loader
+
+ * views/default/navigation/listtype.php,
+ views/default/navigation/topbar_tools.php: Cleaned up some nav views
+
+ * views/default/navigation/menu/metadata.php: Refs #2950:
+ layout/objects/list/metadata => navigation/menu/metadata
+
+ * views/default/object/elements/list_body.php,
+ views/default/page/components/list/body.php,
+ views/default/page/layouts/content.php,
+ views/default/page/layouts/widgets.php: Refs #2950: update locations of
+ layout elements + moved page/components/list/body into
+ object/elements/list_body -- not sure if this will be the final location
+
+ * views/default/navigation/viewtype.php: Added appropraite @deprecated tag
+ to navigation/viewtype
+
+ * views/default/profile/icon.php: Included proper deprecation notice with
+ deprecated view
+
+ * views/default/layout/objects/list/body.php,
+ views/default/layout/objects/list/metadata.php,
+ views/default/layout/shells/content/filter.php,
+ views/default/layout/shells/content/footer.php,
+ views/default/layout/shells/content/header.php,
+ views/default/layout/shells/content/sidebar.php,
+ views/default/layout/shells/widgets/add_button.php,
+ views/default/layout/shells/widgets/add_panel.php,
+ views/default/page/components/list/body.php,
+ views/default/page/layouts/content/filter.php,
+ views/default/page/layouts/content/footer.php,
+ views/default/page/layouts/content/header.php,
+ views/default/page/layouts/content/sidebar.php,
+ views/default/page/layouts/widgets/add_button.php,
+ views/default/page/layouts/widgets/add_panel.php: Refs #2950: Moved /layout/
+ views into /page/ dir -- still not satisfied with page/components/list/body,
+ page/layouts/content/* and page/layouts/widgets/add_button
+
+ * views/default/forms/comments/add.php: Dry'ed up forms/comments/add a bit
+
+ * views/default/forms/comments/add.php: merged comments/inline and
+ comments/add forms
+
+ * views/default/forms/friends/edit.php: Deleted completed @todo
+
+ * pages/friends/add.php, pages/friends/edit.php,
+ views/default/forms/friends/edit.php: forms/friends/edit no longer contains
+ input/form logic
+
+ * views/default/admin/users/add.php, views/default/forms/useradd.php:
+ Extracted input/form login from forms/useradd
+
+ * engine/lib/admin.php, views/default/admin/components/invalid_plugin.php,
+ views/default/admin/components/plugin.php,
+ .../admin/components/plugin_dependencies.php,
+ views/default/admin/components/plugin_settings.php,
+ views/default/admin/plugin_settings.php,
+ views/default/admin/plugins/advanced.php,
+ views/default/core/settings/tools.php,
+ views/default/core/settings/tools/plugin.php,
+ .../forms/admin/plugins/simple_update_states.php,
+ views/default/forms/plugins/usersettings/save.php,
+ views/default/js/admin.php, views/default/object/plugin.php,
+ views/default/object/plugin/advanced.php,
+ .../object/plugin/elements/dependencies.php,
+ views/default/object/plugin/invalid.php,
+ views/default/object/plugin/simple.php: Refs #2950: Cleanup of plugin-related
+ view structure
+
+ * views/default/output/longtext.php: output/longtext can now apply arbitrary
+ html attributes to the wrapper div
+
+ * views/default/core/dashboard/blurb.php: Cleaned up core/dashboard/blurb to
+ take advantage of the new <div> wrapper around output/longtext
+
+2011-02-21 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * pages/settings/tools.php: Removed use of deprecated set_page_owner
+
+ * views/default/admin/sidebar.php, views/default/admin/sidebar/menu.php,
+ views/default/page/layouts/admin.php: Refs #2950: Cleaned up admin sidebar
+ code
+
+ * engine/lib/deprecated-1.8.php,
+ views/default/css/elements/layout_objects.php,
+ views/default/page/elements/owner_block.php: elgg-owner-block-menu =>
+ elgg-menu-owner-block
+
+ * views/default/admin/sidebar/menu.php,
+ views/default/layout/shells/admin/menu.php,
+ views/default/page/layouts/admin.php: Refs #2950: layout/shells/admin/menu =>
+ admin/sidebar/menu
+
+ * engine/lib/views.php, views/default/page/admin.php,
+ views/default/page/default.php, views/default/page/shells/admin.php,
+ views/default/page/shells/default.php, views/default/page/shells/upgrade.php,
+ views/default/page/shells/walled_garden.php, views/default/page/upgrade.php,
+ views/default/page/walled_garden.php: Refs #2950: Move page/shells/ directly
+ under /page/ since nowhere else in views do we use a shells directory.
+
+ * views/default/layout/objects/widget/controls.php,
+ views/default/layout/objects/widget/settings.php,
+ views/default/object/widget.php,
+ views/default/object/widget/elements/controls.php,
+ views/default/object/widget/elements/settings.php,
+ views/default/page/components/widget.php: Refs #2950: Moved widget code to
+ object/widget/*
+
+2011-02-21 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/pageowner.php: Default page owner code now ignores the query.
+ Works for pages like pg/bookmarks/add/34?address=http://google.com
+
+2011-02-21 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php: fixed caching of user avatars
+
+ * engine/lib/admin.php, engine/lib/views.php, mod/bookmarks/start.php,
+ mod/categories/start.php, mod/custom_index/start.php,
+ .../views/default/page/shells/theme_preview.php, mod/embed/start.php,
+ mod/file/start.php, mod/groups/start.php, mod/messages/start.php,
+ mod/notifications/start.php, mod/pages/start.php, mod/profile/start.php,
+ mod/reportedcontent/start.php, mod/search/start.php, mod/tinymce/start.php,
+ mod/twitter/start.php, mod/zaudio/start.php, views/default/css.php,
+ views/default/css/elements/layout_objects.php, views/default/css/elgg.php,
+ views/default/css/screen.php, views/default/page/shells/default.php: Fixes
+ #2934 switching to css/elgg for css view since using a print viewtype is a
+ good idea and screen would become a confusing idea
+
+ * actions/likes/add.php, engine/classes/ElggEntity.php, languages/en.php,
+ views/default/core/likes/display.php, views/default/core/river/controls.php:
+ fixes #2885 #2569 likes controlled by canAnnotate() with plugin hook
+
+ * engine/classes/ElggEntity.php, engine/classes/ElggGroup.php,
+ engine/classes/ElggObject.php, engine/classes/ElggUser.php,
+ mod/blog/classes/ElggBlog.php, mod/thewire/classes/ElggWire.php,
+ mod/thewire/start.php, views/default/core/river/controls.php: Fixes #2971
+ added canComment() method and implemented it for ElggBlog
+
+2011-02-21 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/plugins/activate.php,
+ actions/admin/plugins/activate_all.php, actions/admin/plugins/deactivate.php,
+ actions/admin/plugins/deactivate_all.php,
+ actions/admin/plugins/set_priority.php,
+ actions/admin/plugins/simple_update_states.php, install/ElggInstaller.php,
+ languages/en.php: Fixes #2452. Removed the first admin installation notice.
+ It was just a reminder to his "save" on the simple plugins screen. This is
+ pretty obvious.
+
+ * engine/lib/upgrades/2010050701.php: Fixes #2310. Rewriting
+ disable_registration to allow_registration in walled garden upgrade.
+
+ * mod/bookmarks/actions/bookmarks/save.php,
+ mod/messageboard/actions/add.php,
+ mod/messageboard/views/default/messageboard/js.php: Fixes #2719. Removing
+ hack from bookmarks add. Now appends http:// to www.example.com and validates
+ that.
+
+2011-02-20 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/actions/blog/save.php, mod/blog/lib/blog.php: fixed blog sticky
+ forms
+
+ * mod/file/index.php, mod/file/start.php, mod/file/upload.php,
+ mod/file/view.php, mod/groups/lib/discussion.php, mod/groups/start.php,
+ mod/pages/friends.php, mod/pages/index.php, mod/pages/start.php,
+ mod/thewire/start.php: Fixes #2970 updated groups, file, pages, and wire
+ plugins for automatic page owner setting
+
+ * mod/bookmarks/actions/bookmarks/save.php: fixed sticky forms for bookmarks
+
+
+ * mod/bookmarks/views/default/object/bookmarks.php: fixed copy and paste
+ error in bookmarks
+
+ * mod/blog/lib/blog.php, mod/bookmarks/pages/add.php,
+ mod/bookmarks/pages/all.php, mod/bookmarks/pages/edit.php,
+ mod/bookmarks/pages/friends.php, mod/bookmarks/pages/owner.php,
+ mod/bookmarks/pages/view.php, mod/bookmarks/start.php: Refs #2970 updated
+ blog and bookmarks plugins
+
+2011-02-20 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/upgrades/create_upgrade.php: Fixed a few bugs with
+ create_upgrade.php. It now also automatically increments version.php.
+
+ * version.php: Refs #2049: Missed version.php.
+
+2011-02-20 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/pageowner.php: added code to figure out page owner rather than
+ explicitly setting in all the plugin page handlers
+
+2011-02-20 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * ..._svn-custom_profile_fields-390ac967b0bb5665.php: Fixes #2049. Created
+ migration for 1.7 custom profile fields to 1.8.
+
+ * mod/groups/actions/delete.php: Refs #2787, #2956: Merged fix for deleting
+ group icon files on group delete to trunk.
+
+ * views/default/core/river/filter.php: Refs #2697, #2956. Ported fix to show
+ users and groups in river filter to trunk.
+
+ * engine/lib/actions.php: Refs #2833, #2956. Merged 1.7's action timeout
+ changes to 1.8.
+
+ * engine/tests/regression/trac_bugs.php: Refs #2956. Merged left out merges
+ from r2799 to trunk.
+
+ * engine/lib/elgglib.php: Refs #2749, #2956. Merged elgg_depracated_notice()
+ fixes to trunk.
+
+ * engine/lib/configuration.php: Refs #2793, #2956. Ported
+ run_function_once() and datalist_get/set() changes for values > 32 chars to
+ trunk.
+
+ * engine/lib/configuration.php: Refs #2813, #2956. Ported get_config()
+ returns null to 1.8's get_config() and elgg_get_config().
+
+ * engine/lib/notification.php: Refs #2617, #2956: Merged email notification
+ exception message fix in r7926 to trunk.
+
+ * mod/file/start.php: Refs #2765, #2956. Merged r7830 fix for group files to
+ trunk.
+
+ * engine/classes/ElggEntity.php, engine/tests/objects/entities.php: Fixes
+ #2963: Rewrote ElggEntity->setMetadata() because yikes. Wrote unit tests for
+ setting metadata on saved / unsaved entities.
+
+2011-02-20 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page/layouts/admin.php: only include footer/analytics view
+ once on admin pages
+
+2011-02-20 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../actions/resend_validation.php,
+ mod/uservalidationbyemail/languages/en.php,
+ mod/uservalidationbyemail/lib/functions.php,
+ mod/uservalidationbyemail/start.php,
+ .../views/default/admin/users/unvalidated.php,
+ .../forms/uservalidationbyemail/bulk_action.php,
+ .../views/default/uservalidationbyemail/js.php,
+ .../uservalidationbyemail/unvalidated_user.php: Fixes #2658. Merged
+ uservalidationbyemail changes from 1.7 to 1.8. This plugin needs cleanup.
+
+ * engine/lib/widgets.php: Fixed problems with user-self registration if
+ default widgets are set.
+
+ * js/lib/ui.js: Removing more debug code.
+
+ * js/lib/ui.js: Removing debug code from previous.
+
+ * js/lib/ui.js: Fixes #2947: User hover menu attached to body and shows
+ regardless of overflow.
+
+ * mod/bookmarks/actions/bookmarks/save.php: Fixes #2719: Addresses are
+ checked and normalized (and checked again) for bookmarks.
+
+2011-02-19 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/messages/views/default/forms/messages/send.php: Can send messages to
+ non-friends.
+
+ * languages/en.php, views/default/admin/appearance/default_widgets.php:
+ Added instructions for default widgets.
+
+ * actions/widgets/add.php: No need to say the widget was added because we
+ can see it happen.
+
+ * views/default/css/admin.php: Copied all the icons into the admin theme.
+
+ * install/ElggInstaller.php: Installation loads 3 default widgets for the
+ admin dashboard.
+
+ * views/default/css/elements/icons.php: Refs #2961: Restoring old icon CSS
+ for sprites and hover menu.
+
+ * mod/messageboard/views/default/messageboard/js.php: Fixes #2962. I hate
+ ie.
+
+ * mod/messageboard/actions/add.php, mod/messageboard/actions/delete.php,
+ mod/messageboard/ajax_endpoint/load.php, mod/messageboard/history.php,
+ mod/messageboard/index.php, mod/messageboard/languages/en.php,
+ mod/messageboard/manifest.xml, mod/messageboard/pages/owner.php,
+ mod/messageboard/start.php, .../views/default/annotation/messageboard.php,
+ mod/messageboard/views/default/messageboard/js.php,
+ .../default/messageboard/messageboard_content.php,
+ .../views/default/widgets/messageboard/content.php,
+ .../views/default/widgets/messageboard/edit.php: Refs #2916. More cleanup of
+ the messageboard widget.
+
+ * views/default/admin/appearance/default_widgets.php: Refs #2753. Missed
+ this one.
+
+ * js/lib/ajax.js: elgg.action() now supports full URLs.
+
+2011-02-19 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/tinymce/views/default/input/longtext.php: fixed tinymce "remove
+ editor" javascript
+
+ * languages/en.php: updated language string for comments
+
+2011-02-19 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * js/lib/elgglib.js: elgg.normalize_url() JS does the same as
+ elgg_normalize_url() PHP.
+
+2011-02-19 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/layout/shells/custom_index.php,
+ .../views/default/page/layouts/custom_index.php: updated the custom index for
+ new views layout structure
+
+ * mod/notifications/start.php: fixed the call to unextend the user settings
+ for notifications
+
+ * views/default/page/elements/messages.php: system messages use autop() for
+ formatting rather than output/longtext
+
+ * views/default/css/elements/chrome.php,
+ views/default/forms/comments/add.php,
+ views/default/page/elements/comments.php: improved the styling of the comment
+ area
+
+ * languages/en.php, mod/blog/languages/en.php, mod/blog/lib/blog.php,
+ mod/blog/views/default/object/blog.php,
+ mod/bookmarks/views/default/object/bookmarks.php,
+ mod/file/views/default/object/file.php,
+ mod/thewire/views/default/object/thewire.php: Fixes #2824 moved byline text
+ in core language file
+
+2011-02-19 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * js/lib/ui.js: Avatar menus use live() so they'll work with content
+ inserted into the dom.
+
+2011-02-19 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css/elements/chrome.php,
+ views/default/css/elements/typography.php, views/default/output/longtext.php:
+ added elgg-output class for displaying user input with typical styling for
+ lists and tables
+
+ * engine/lib/views.php: fixed typo
+
+ * views/default/page/elements/comments.php: another work around to suppress
+ incorrect warnings from elgg_view()
+
+ * views/default/input/longtext.php: added a work around for injecting
+ internal* variables to handle deprecation code - this prevents warnings from
+ being emitted for no reason
+
+ * mod/bookmarks/views/default/object/bookmarks.php,
+ views/default/css/elements/icons.php,
+ views/default/css/elements/layout_objects.php,
+ views/default/css/elements/navigation.php,
+ views/default/css/elements/page_layout.php,
+ views/default/layout/objects/list/body.php,
+ views/default/output/tagcloud.php, views/default/output/tags.php: improved
+ icon css so that they do not require having display: block
+
+ * views/default/css/screen.php: removed a $CONFIG usage in css/screen view
+
+2011-02-19 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/annotation/generic_comment.php: Genericked up
+ annotation/generic_comment view to allow reuse in plugins.
+
+ * install/ElggInstaller.php: Fixes #2959: Removed engine/lib/install.php
+ from ElggInstaller since it's no longer required.
+
+2011-02-19 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/core/likes/display.php: fixed typo on function name
+
+2011-02-19 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css/elements/page_layout.php,
+ views/default/css/elements/typography.php: Moving elgg-heading-main into
+ typography
+
+ * views/default/css/elements/page_layout.php: That wasn't supposed to be
+ there...
+
+ * views/default/css/elements/page_layout.php,
+ views/default/css/elements/typography.php: Moved elgg-heading-site into
+ typography
+
+2011-02-19 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/messageboard/actions/add.php, mod/messageboard/start.php,
+ .../views/default/forms/messageboard/add.php,
+ .../views/default/messageboard/forms/add.php,
+ mod/messageboard/views/default/messageboard/js.php,
+ .../views/default/widgets/messageboard/content.php: Refs #2916: Moved
+ messageboard js into elgg.messageboard object. Moved forms into
+ forms/messageboard. Can post now, but wow this plugin needs cleaned up.
+
+2011-02-19 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/messages/views/default/messages/topbar.php: More consistent way of
+ building topbar item for messages plugin
+
+2011-02-19 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/bookmarks/actions/bookmarks/save.php: sticky form wasn't being cleared
+ in bookmarks - that's fixed now
+
+ * mod/bookmarks/views/default/object/bookmarks.php: fixed display issue when
+ a bookmark has no description text
+
+2011-02-19 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/theme_preview/forms.php: elgg-state-selected doesn't
+ make sense for non-default buttons
+
+2011-02-19 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/bookmarks/actions/bookmarks/delete.php: fixed forward url when
+ deleting a bookmark
+
+2011-02-19 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php: Fixes #2905: Admin action links on user hover menu
+ asks for confirmation.
+
+ * engine/classes/ElggMenuItem.php,
+ views/default/navigation/menu/elements/item.php: Added 4 methods to
+ ElggMenuItem: get/setLinkClass() and get/setItemClass(). This allows you to
+ put classes on the a and li tags it generates.
+
+2011-02-19 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/input.php: added documentation for the livesearch page handler
+
+2011-02-19 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/page/shells/theme_preview.php,
+ .../views/default/theme_preview/forms.php: More buttons in forms theme
+ preview
+
+2011-02-19 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * js/lib/ui.js, views/default/output/confirmlink.php: Pulled the confirm
+ link output view's JS into elgg.us. Any links with elgg-requires-confirmation
+ will get a confirm box with the title of the anchor tag as the question, or
+ the default "Are you sure?" if that doesn't exist.
+
+ * views/default/input/plaintext.php: Fixed the tab that was showing up in
+ every text area.
+
+2011-02-19 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/search/search_hooks.php: removed $CONFIG usage in search hooks
+
+ * mod/pages/views/default/pages/sidebar/history.php: Fixes #2955 fixed typo
+
+ * mod/blog/lib/blog.php, mod/thewire/tests/regex.php,
+ views/default/admin/plugins/advanced.php,
+ views/default/forms/admin/menu/save.php: Fixes #2428 last of the $CONFIG
+ removals. Anything missed can be individual tickets
+
+2011-02-19 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/wrapper.php: Fixes #2768. min-height
+ needed a little bit more for the profile widgets.
+
+ * mod/groups/start.php: Removing test group default widget code.
+
+ * actions/widgets/add.php, actions/widgets/delete.php,
+ actions/widgets/move.php, actions/widgets/save.php, engine/lib/views.php,
+ engine/lib/widgets.php, js/lib/ui.widgets.js, languages/en.php,
+ mod/defaultwidgets/actions/update.php, mod/defaultwidgets/languages/en.php,
+ mod/defaultwidgets/manifest.xml, mod/defaultwidgets/start.php,
+ .../admin/appearance/default_dashboard_widgets.php,
+ .../admin/appearance/default_profile_widgets.php,
+ .../views/default/defaultwidgets/editor.php, mod/groups/start.php,
+ mod/profile/start.php, views/default/object/plugin.php,
+ views/default/page/components/widget.php,
+ views/default/page/layouts/widgets.php: Fixes #2753: Default widgets merged
+ into core as extensible admin section and updated to work with new widgets.
+ Will need an upgrade for users running the old default widgets in 1.7.
+
+2011-02-19 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/layout/shells/widgets/add_panel.php: clearfix > clearfloat
+
+ * mod/developers/languages/en.php, mod/developers/start.php,
+ .../views/default/page/shells/theme_preview.php,
+ .../views/default/theme_preview/header.php,
+ .../views/default/theme_preview/index.php,
+ mod/developers/views/default/theme_preview/nav.php,
+ .../views/default/theme_preview/navigation.php: theme_preview is using more
+ familiar/correct page handling practices
+
+2011-02-18 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * js/lib/pageowner.js, views/default/js/elgg.php: Added stub for page owners
+ in elgg.js
+
+ * views/default/core/likes/display.php: Fixes #2953: Like button doesn't
+ show up for logged out users.
+
+ * engine/lib/entities.php: Fixing list_registered_entities() from displaying
+ things it should. You now must explicitly register object subtypes to get
+ them to display.
+
+ * views/default/forms/plugins/settings/save.php: Fixed plugin settings save
+ form.
+
+ * .../views/default/thewire/scripts/counter.js,
+ mod/twitterservice/start.php, mod/twitterservice/twitterservice_lib.php,
+ .../views/default/twitterservice/metatags.php: Removing unneeded @copyright
+ and @license tags.
+
+2011-02-18 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css/admin.php: Added some color to the selected state for
+ admin page menu so we can tell what page we're on
+
+ * views/default/css/admin.php, views/default/css/elements/reset.php: Added
+ overflow-y: scroll by default so that the page doesn't get jerky when an
+ animation breaks the fold
+
+ * views/default/css/admin.php: Improved the admin menu a bit
+
+2011-02-18 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/manifest.xml, mod/bookmarks/manifest.xml,
+ mod/categories/manifest.xml, mod/custom_index/manifest.xml,
+ mod/defaultwidgets/manifest.xml, mod/developers/manifest.xml,
+ mod/diagnostics/manifest.xml, mod/embed/manifest.xml,
+ mod/externalpages/manifest.xml, mod/file/manifest.xml,
+ mod/garbagecollector/manifest.xml, mod/groups/manifest.xml,
+ mod/htmlawed/manifest.xml, mod/invitefriends/manifest.xml,
+ mod/logbrowser/manifest.xml, mod/logrotate/manifest.xml,
+ mod/messageboard/manifest.xml, mod/messages/manifest.xml,
+ mod/notifications/manifest.xml, mod/oauth_lib/manifest.xml,
+ mod/pages/manifest.xml, mod/profile/manifest.xml,
+ mod/reportedcontent/manifest.xml, mod/search/manifest.xml,
+ mod/tagcloud/manifest.xml, mod/thewire/manifest.xml,
+ mod/tinymce/manifest.xml, mod/twitter/manifest.xml,
+ mod/twitterservice/manifest.xml, mod/uservalidationbyemail/manifest.xml,
+ mod/zaudio/manifest.xml: Updated copyright and author information in plugin
+ manifests.
+
+2011-02-18 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/install.php, engine/start.php: Fixes #2943: Removed
+ engine/lib/install.php
+
+2011-02-18 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/twitterservice/twitterservice_lib.php: Adding plugin hooks for
+ authorization and login events.
+
+2011-02-18 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/deprecated-1.8.php, engine/lib/river.php, engine/lib/views.php,
+ .../views/default/theme_preview/objects.php,
+ views/default/core/river/body.php, views/default/layout/objects/gallery.php,
+ views/default/layout/objects/image_block.php,
+ views/default/layout/objects/list.php,
+ views/default/layout/objects/module.php,
+ views/default/layout/objects/widget.php, views/default/object/widget.php,
+ views/default/page/components/gallery.php,
+ views/default/page/components/image_block.php,
+ views/default/page/components/list.php,
+ views/default/page/components/module.php,
+ views/default/page/components/widget.php,
+ views/default/page/elements/owner_block.php, views/default/river/item.php:
+ Refs #2950: layout/objects => page/components
+
+2011-02-18 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page/layouts/widgets.php: Removed unused var in widgets
+ layout.
+
+2011-02-18 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/views.php, views/default/layout/shells/admin.php,
+ views/default/layout/shells/content.php,
+ views/default/layout/shells/default.php,
+ views/default/layout/shells/one_column.php,
+ views/default/layout/shells/one_sidebar.php,
+ .../layout/shells/two_column_left_sidebar.php,
+ views/default/layout/shells/two_sidebar.php,
+ views/default/layout/shells/widgets.php,
+ views/default/page/layouts/admin.php, views/default/page/layouts/content.php,
+ views/default/page/layouts/default.php,
+ views/default/page/layouts/one_column.php,
+ views/default/page/layouts/one_sidebar.php,
+ .../page/layouts/two_column_left_sidebar.php,
+ views/default/page/layouts/two_sidebar.php,
+ views/default/page/layouts/widgets.php: Refs #2950: layout/shells =>
+ page/layouts
+
+ * engine/lib/views.php, mod/search/views/default/search/list.php,
+ views/default/layout/elements/comments.php,
+ views/default/layout/elements/owner_block.php,
+ views/default/layout/elements/page_links.php,
+ views/default/layout/elements/sidebar.php,
+ views/default/layout/elements/title.php,
+ views/default/layout/shells/one_sidebar.php,
+ views/default/layout/shells/two_sidebar.php,
+ views/default/page/elements/comments.php,
+ views/default/page/elements/owner_block.php,
+ views/default/page/elements/page_links.php,
+ views/default/page/elements/sidebar.php,
+ views/default/page/elements/title.php: Refs #2950: layout/elements =>
+ page/elements
+
+ * views/default/admin/statistics/overview.php: @todos for refactoring admin
+ statistics
+
+2011-02-18 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggPluginPackage.php, languages/en.php: Fixes #2945, Refs
+ #2946. Plugins that require priority on an uninstalled plugin don't crash the
+ plugins admin.
+
+ * .../views/default/bookmarks/page_links.php: Don't show the bookmark this
+ link if you're not logged in.
+
+2011-02-18 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/file/views/default/file/css.php,
+ .../default/file/specialcontent/image/default.php,
+ mod/file/views/default/icon/object/file.php,
+ views/default/css/elements/chrome.php,
+ views/default/css/elements/layout_objects.php: improved .elgg-gallery and
+ added .elgg-photo
+
+2011-02-18 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * install/ElggInstaller.php: Refs #2847. Install now sets the
+ viewspath_cache_enabled.
+
+ * engine/lib/cache.php, install/ElggInstaller.php: Refs #2847. Fixed
+ simplecache settings and functionality for initial installation.
+
+ * engine/lib/database.php, engine/lib/deprecated-1.8.php,
+ engine/lib/upgrades/create_upgrade.php, engine/lib/version.php,
+ install/ElggInstaller.php, upgrade.php: Fixes #1433, #2183. Upgrades are now
+ tracked and will be run if needed regardless of version.
+
+2011-02-17 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/twitterservice/twitterservice_lib.php: Fixes faulty logic in twitter
+ services that allowed new users to register via twitter when site
+ registration was off.
+
+ * views/default/admin/components/plugin_settings.php: Fixes #2938: Restored
+ the $vars['entity'] in plugin settings for backward compatibility with
+ plugins.
+
+2011-02-17 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/admin/components/plugin_settings.php: Cleaned up a manual
+ implementation of elgg-module
+
+2011-02-17 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/elgg_bookmarklet.gif, mod/bookmarks/graphics/bookmark.gif,
+ mod/bookmarks/graphics/bookmarklet.gif, mod/bookmarks/start.php,
+ .../views/default/bookmarks/bookmarklet.php,
+ mod/bookmarks/views/default/bookmarks/css.php,
+ mod/bookmarks/views/default/bookmarks/js.php,
+ .../views/default/bookmarks/page_links.php: Further cleanup of bookmarks.
+ Moved bookmarklet to plugin. Pulled in the 1.7 bookmark pin and made the
+ "Bookmark this page" less ugly. Added js to append the title.
+
+ * mod/bookmarks/actions/bookmarks/edit.php, mod/bookmarks/start.php,
+ .../views/default/bookmarks/group_module.php,
+ .../default/bookmarks/groupprofile_bookmarks.php,
+ .../views/default/forms/bookmarks/save.php: Fixes #2680. Added group profile
+ bookmark widget. Fixed a problem editing bookmarks.
+
+ * mod/bookmarks/pages/owner.php: Hiding the filter tabs for bookmarks when
+ not in a filter context.
+
+ * views/default/layout/shells/content/filter.php: Fixed typo in filter nav
+ view.
+
+ * mod/bookmarks/lib/bookmarks.php, mod/bookmarks/pages/add.php,
+ mod/bookmarks/pages/edit.php, mod/bookmarks/pages/owner.php,
+ mod/bookmarks/start.php: Fixes #2680: Added group support to bookmarks
+ plugin.
+
+ * mod/bookmarks/bookmarklet.php, mod/bookmarks/languages/en.php,
+ mod/bookmarks/lib/bookmarks.php, mod/bookmarks/pages/add.php,
+ mod/bookmarks/pages/all.php, mod/bookmarks/pages/bookmarklet.php,
+ mod/bookmarks/pages/friends.php, mod/bookmarks/pages/owner.php,
+ mod/bookmarks/start.php, .../views/default/bookmarks/bookmarklet.php,
+ mod/bookmarks/views/default/bookmarks/form.php,
+ .../views/default/bookmarks/owner_block.php,
+ mod/bookmarks/views/default/bookmarks/sharing.php,
+ .../default/river/object/bookmarks/annotate.php,
+ .../default/river/object/bookmarks/create.php,
+ .../views/default/widgets/bookmarks/edit.php: Refs #2680: Bookmarks revamp,
+ part 3: menus and bookmarklet.
+
+2011-02-17 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/views/default/object/blog.php,
+ mod/bookmarks/views/default/object/bookmarks.php,
+ mod/file/views/default/object/file.php: calling the new category output view
+ in the plugins - looks like the pages plugin is missing the categories code
+
+ * mod/tinymce/start.php: added tinymce to admin css
+
+2011-02-16 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/layout/objects/widget/settings.php: Fixes #2915. Can edit
+ widget settings again.
+
+ * mod/bookmarks/actions/bookmarks/add.php,
+ mod/bookmarks/actions/bookmarks/delete.php,
+ mod/bookmarks/actions/bookmarks/save.php, mod/bookmarks/lib/bookmarks.php,
+ mod/bookmarks/pages/add.php, mod/bookmarks/pages/edit.php,
+ mod/bookmarks/pages/inbox.php, mod/bookmarks/pages/view.php,
+ mod/bookmarks/start.php, .../views/default/forms/bookmarks/save.php,
+ mod/bookmarks/views/default/object/bookmarks.php,
+ .../views/default/widgets/bookmarks/content.php,
+ .../views/default/widgets/bookmarks/edit.php,
+ .../views/default/widgets/bookmarks/view.php: Refs #2680. Bookmarks revamp,
+ part 2.
+
+2011-02-16 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/start.php, mod/bookmarks/start.php, mod/file/start.php,
+ mod/groups/start.php, mod/messages/start.php, mod/pages/start.php,
+ mod/profile/start.php, mod/thewire/start.php: Updated
+ register_entity_url_handler => elgg_* in plugins. Used this regex:
+ ([^_a-z])register_entity_url_handler\((.*),(.*,.*)\);
+
+2011-02-15 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/deprecated-1.7.php, engine/lib/deprecated-1.8.php,
+ engine/lib/elgglib.php, engine/lib/install.php, engine/lib/pam.php,
+ engine/lib/views.php, engine/lib/widgets.php: Moved all deprecated core
+ functions into the appropriate deprecation fine
+
+ * engine/lib/entities.php, engine/lib/users.php, mod/blog/start.php,
+ mod/bookmarks/start.php, mod/file/start.php, mod/groups/start.php,
+ mod/pages/start.php, mod/thewire/start.php: Removed all calls to deprecated
+ register_entity_type
+
+ * actions/admin/site/update_advanced.php, engine/handlers/cache_handler.php,
+ engine/lib/actions.php, engine/lib/admin.php, engine/lib/cache.php,
+ engine/lib/deprecated-1.8.php, engine/lib/upgrades/2010060101.php,
+ engine/lib/views.php, engine/start.php,
+ .../views/default/page/shells/theme_preview.php, mod/notifications/index.php,
+ mod/profile/start.php, views/default/core/friends/picker.php,
+ views/default/page/elements/head.php: Fixes #2923: Removed "view" prefix from
+ simplecache function names
+
+ * engine/lib/elgglib.php: Fixes #2695: Return false on autoload fail, rather
+ than throwing exception
+
+ * engine/lib/entities.php: Fixes #2777: delete_entity is now recursive
+
+ * engine/lib/annotations.php, engine/lib/deprecated-1.8.php,
+ engine/lib/entities.php, engine/lib/extender.php, engine/lib/metadata.php,
+ engine/lib/relationships.php: Fixes #2860: Deprecated several registration
+ functions that were using the 'callback-first' ordering
+
+ * views/default/css/elements/grid.php: Fixes #2924: elgg-grid clearfixes
+
+ * engine/lib/entities.php: Fixes #2476: update_entity_last_action()
+ sanitizes posted time.
+
+2011-02-15 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/plugins.php: Updated egef_plugin_user_settings() to accept
+ plugin_id instead of plugin_name.
+
+ * engine/lib/upgrades/2011010101.php: Fixes #2926: Fixed upgrade for plugin
+ user settings.
+
+2011-02-15 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/developers/languages/en.php: added language strings for theme preview
+
+2011-02-15 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page/shells/walled_garden.php: Refs #2693: Walledgarden code
+ cleanup -- Too much custom code
+
+2011-02-15 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/developers/start.php,
+ mod/developers/views/default/developers/ipsum.php,
+ .../views/default/theme_preview/forms.php,
+ .../views/default/theme_preview/general.php,
+ .../views/default/theme_preview/objects.php,
+ .../views/default/theme_preview/widgets.php: moved lorem ipsum into a view
+
+2011-02-15 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/lib/blog.php, mod/blog/views/default/forms/blog/save.php,
+ mod/blog/views/default/input/datetime.php,
+ mod/blog/views/default/widgets/blog/edit.php,
+ mod/bookmarks/views/default/bookmarks/form.php,
+ mod/bookmarks/views/default/bookmarks/sharing.php,
+ mod/categories/views/default/input/categories.php,
+ .../views/default/settings/categories/edit.php,
+ .../views/default/defaultwidgets/editor.php,
+ .../views/default/theme_preview/forms.php,
+ mod/embed/views/default/embed/link.php,
+ mod/embed/views/default/embed/upload/content.php,
+ .../views/default/forms/expages/edit.php,
+ mod/file/views/default/file/embed_upload.php,
+ mod/file/views/default/forms/file/upload.php,
+ mod/file/views/default/widgets/filerepo/edit.php,
+ .../default/settings/garbagecollector/edit.php,
+ mod/groups/views/default/forms/discussion/save.php,
+ mod/groups/views/default/forms/groups/delete.php,
+ mod/groups/views/default/forms/groups/edit.php,
+ mod/groups/views/default/forms/groups/invite.php,
+ mod/groups/views/default/forms/groups/search.php,
+ mod/groups/views/default/settings/groups/edit.php,
+ .../views/default/widgets/a_users_groups/edit.php,
+ mod/logbrowser/views/default/logbrowser/form.php,
+ .../views/default/settings/logrotate/edit.php, mod/messages/read.php,
+ .../views/default/forms/messages/process.php,
+ .../views/default/forms/messages/reply.php,
+ mod/messages/views/default/forms/messages/send.php,
+ .../notifications/subscriptions/forminternals.php,
+ mod/pages/views/default/forms/pages/edit.php,
+ mod/pages/views/default/widgets/pages/edit.php,
+ .../views/default/forms/reportedcontent/add.php,
+ .../views/default/object/reported_content.php,
+ .../views/default/widgets/reportedcontent/edit.php,
+ .../views/default/widgets/tagcloud/edit.php,
+ mod/thewire/views/default/forms/thewire/add.php,
+ mod/thewire/views/default/widgets/thewire/edit.php,
+ mod/tinymce/views/default/input/longtext.php,
+ .../views/default/settings/twitterservice/edit.php,
+ .../forms/uservalidationbyemail/bulk_action.php,
+ .../uservalidationbyemail/unvalidated_user.php,
+ views/default/admin/components/plugin_settings.php,
+ views/default/admin/plugins/advanced.php,
+ views/default/core/account/login_walled_garden.php,
+ views/default/core/friends/picker.php, views/default/core/river/controls.php,
+ views/default/core/river/filter.php, views/default/core/river/footer.php,
+ .../core/settings/account/default_access.php,
+ views/default/core/settings/account/email.php,
+ views/default/core/settings/account/language.php,
+ views/default/core/settings/account/name.php,
+ .../core/settings/account/notifications.php,
+ views/default/core/settings/account/password.php,
+ views/default/forms/admin/menu/save.php,
+ views/default/forms/admin/site/update_advanced.php,
+ views/default/forms/admin/site/update_basic.php,
+ views/default/forms/avatar/crop.php, views/default/forms/avatar/upload.php,
+ views/default/forms/comments/add.php,
+ views/default/forms/comments/inline.php,
+ views/default/forms/friends/edit.php, views/default/forms/login.php,
+ views/default/forms/members/name_search.php,
+ views/default/forms/members/tag_search.php,
+ views/default/forms/plugins/settings/save.php,
+ views/default/forms/profile/edit.php,
+ views/default/forms/profile/fields/add.php, views/default/forms/register.php,
+ views/default/forms/user/passwordreset.php,
+ views/default/forms/user/requestnewpassword.php,
+ views/default/forms/useradd.php, views/default/forms/widgets/save.php,
+ views/default/graphics/ajax_loader.php, views/default/input/access.php,
+ views/default/input/checkboxes.php, views/default/input/datepicker.php,
+ views/default/input/password.php, views/default/input/plaintext.php,
+ views/default/input/radio.php, views/default/input/securitytoken.php,
+ views/default/input/userpicker.php,
+ views/default/layout/objects/widget/controls.php,
+ views/default/layout/shells/widgets.php,
+ views/default/layout/shells/widgets/add_panel.php,
+ views/default/navigation/tabs.php,
+ views/default/widgets/content_stats/edit.php,
+ views/default/widgets/friends/edit.php,
+ views/default/widgets/new_users/edit.php,
+ views/default/widgets/online_users/edit.php,
+ views/installation/input/access.php, views/installation/input/button.php,
+ views/installation/input/checkboxes.php,
+ views/installation/input/dropdown.php, views/installation/input/form.php,
+ views/installation/input/hidden.php, views/installation/input/longtext.php,
+ views/installation/input/password.php,
+ views/installation/input/securitytoken.php,
+ views/installation/input/text.php,
+ views/installation/install/forms/template.php: Fixes #2921: converted
+ internalname => name and internalid => id
+
+ * engine/lib/cache.php, engine/lib/views.php: Fixes #2920: Moved simplecache
+ functions into cache.php
+
+ * engine/classes/ElggBatch.php, engine/classes/ElggPluginManifest.php,
+ engine/lib/admin.php, engine/lib/annotations.php, engine/lib/elgglib.php,
+ engine/lib/metastrings.php, engine/lib/plugins.php, engine/lib/river.php,
+ engine/lib/views.php, engine/lib/xml.php,
+ mod/blog/views/default/blog/sidebar/revisions.php,
+ mod/blog/views/default/object/blog.php,
+ mod/bookmarks/views/default/object/bookmarks.php,
+ mod/embed/views/default/embed/embed.php,
+ mod/embed/views/default/embed/layouts/gallery.php,
+ mod/embed/views/default/embed/layouts/list.php,
+ mod/embed/views/default/embed/upload/content.php,
+ mod/file/views/default/file/typecloud.php,
+ mod/file/views/default/forms/file/upload.php,
+ mod/file/views/default/object/file.php,
+ mod/groups/views/default/forms/discussion/save.php,
+ mod/groups/views/default/groups/edit.php,
+ .../views/default/groups/group_sort_menu.php,
+ .../views/default/object/groupforumtopic.php,
+ mod/messages/views/default/forms/messages/send.php,
+ mod/messages/views/default/object/messages.php,
+ mod/pages/views/default/object/page_top.php,
+ .../views/default/pages/sidebar/navigation.php,
+ mod/profile/views/default/profile/owner_block.php,
+ mod/thewire/views/default/forms/thewire/add.php,
+ mod/thewire/views/default/object/thewire.php,
+ mod/thewire/views/default/thewire/metadata.php,
+ mod/uservalidationbyemail/start.php,
+ .../uservalidationbyemail/unvalidated_user.php,
+ views/default/admin/components/invalid_plugin.php,
+ .../admin/components/plugin_dependencies.php,
+ views/default/annotation/generic_comment.php,
+ views/default/forms/plugins/settings/save.php,
+ views/default/forms/widgets/save.php, views/default/icon/user/default.php,
+ views/default/input/checkboxes.php, views/default/input/radio.php,
+ views/default/layout/elements/comments.php,
+ views/default/layout/objects/gallery.php,
+ views/default/layout/objects/image_block.php,
+ views/default/layout/objects/list.php,
+ views/default/layout/objects/list/body.php,
+ views/default/layout/objects/list/metadata.php,
+ views/default/layout/objects/module.php,
+ views/default/layout/objects/widget.php,
+ views/default/layout/objects/widget/controls.php,
+ views/default/layout/shells/content.php,
+ views/default/layout/shells/content/filter.php,
+ views/default/layout/shells/content/header.php,
+ views/default/layout/shells/widgets.php,
+ views/default/layout/shells/widgets/add_panel.php,
+ views/default/navigation/breadcrumbs.php,
+ views/default/navigation/menu/elements/group.php,
+ views/default/navigation/menu/user_hover.php,
+ views/default/navigation/pagination.php, views/default/navigation/tabs.php,
+ views/default/object/plugin.php, views/default/output/confirmlink.php,
+ views/default/output/longtext.php, views/default/output/url.php,
+ views/default/page/elements/body.php, views/default/profile/icon.php,
+ views/rss/layout/objects/list.php: Fixes #2808: elgg_get_array_value =>
+ elgg_extract
+
+ * js/lib/userpicker.js, views/default/input/autocomplete.php,
+ views/default/input/userpicker.php: Refs #2102: addressing more
+ userpicker/autocomplete bugs
+
+ * views/default/input/autocomplete.php: Refs #2102: Some input/autocomplete
+ fixes
+
+ * documentation/stubs/config.php, engine/handlers/page_handler.php,
+ engine/lib/admin.php, engine/lib/cron.php, engine/lib/elgglib.php,
+ engine/lib/entities.php, engine/lib/input.php, engine/lib/pagehandler.php,
+ engine/lib/river.php, engine/lib/tags.php, engine/lib/user_settings.php,
+ engine/lib/users.php, js/lib/friends_picker.js, mod/blog/start.php,
+ mod/bookmarks/start.php, mod/categories/start.php, mod/developers/start.php,
+ mod/diagnostics/start.php, mod/embed/start.php, mod/externalpages/start.php,
+ mod/file/start.php, mod/groups/start.php, mod/invitefriends/start.php,
+ mod/messageboard/start.php, mod/messages/start.php,
+ mod/notifications/start.php, mod/pages/start.php, mod/profile/start.php,
+ mod/reportedcontent/start.php, mod/search/start.php, mod/thewire/start.php,
+ mod/twitterservice/start.php, mod/uservalidationbyemail/start.php: Replaced
+ all occurrences of register_page_handler in core with
+ elgg_register_page_handler
+
+ * views/default/js/friendsPickerv1.php: Friendspicker was moved to
+ js/lib/friends_picker.js
+
+ * js/lib/userpicker.js, views/default/input/userpicker.php: Refs #2102:
+ Replace input/userpicker with jQuery UI autocomplete
+
+ * htaccess_dist: Combining two rewrite rules into one
+
+2011-02-14 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * js/lib/autocomplete.js, views/default/input/autocomplete.php: Refs #2102:
+ Replaced input/autocomplete with jQuery UI autocomplete (untested)
+
+ * engine/lib/deprecated-1.8.php, engine/lib/pagehandler.php: Prepended elgg_
+ to (un)register_page_handler() functions. Deprecated old ones
+
+ * views/default/js/initialize_elgg.php,
+ views/default/page/elements/head.php: Views in the js/ directory should not
+ contain <script> tags
+
+2011-02-14 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/twitterservice/twitterservice_lib.php: Saving avatar filename with
+ user GUID instead of old-style username.
+
+2011-02-14 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/js/elgg.php: Removed unnecessary deprecated javascript
+ function
+
+ * js/lib/languages.js, js/lib/session.js, js/lib/ui.widgets.js: No need for
+ double-namespacing of javascript functions
+
+ * mod/developers/views/default/developers/css.php: Give the css preview a
+ little more vertical breathing room
+
+ * engine/lib/views.php: Refs #2610: Custom template handler for views now
+ sent through call_user_func
+
+ * engine/lib/views.php: Refs #2124: Adding backwards compatibility
+ conversions for internalname/internalid
+
+ * views/default/page/elements/body.php,
+ views/default/page/elements/footer.php,
+ views/default/page/elements/header.php,
+ views/default/page/elements/messages.php,
+ views/default/page/elements/topbar.php, views/default/page/shells/admin.php,
+ views/default/page/shells/default.php: Cleaned up views/default/page/
+ directory
+
+ * engine/lib/views.php, vendors/jquery/jquery-1.4.2.min.js,
+ vendors/jquery/jquery-1.5.min.js, vendors/jquery/jquery-ui-1.7.2.min.js,
+ vendors/jquery/jquery-ui-1.8.9.min.js: Refs #2525: jQuery => 1.5, jQuery UI
+ => 1.8.9
+
+ * views/default/core/account/login_box.php,
+ views/default/core/account/login_dropdown.php,
+ views/default/css/elements/forms.php, views/default/css/elements/misc.php,
+ views/default/css/elements/modules.php: More cleanup of login/forms css --
+ converted login_dropdown to use elgg-toggler pattern
+
+ * js/lib/ui.js: Fixes #2902: Added elgg-toggler pattern.
+
+2011-02-14 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css/admin.php: remove some unused css from admin theme
+
+2011-02-14 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggBatch.php: Clearing caches in ElggBatch.
+
+ * engine/handlers/cache_handler.php: Cache handler won't serve up cached
+ pages if caching is disabled. Refs #2758: Added call to
+ elgg_view_regenerate_simplecache() on cache misses if cache is enabled.
+ Leaving #2758 open in case Cash has a better idea since he's more familiar
+ with the simple cache changes.
+
+ * engine/classes/ElggEntity.php, engine/lib/metadata.php: Removed last of
+ deprecated metadata calls in trunk.
+
+ * actions/comments/delete.php, actions/likes/delete.php,
+ actions/profile/edit.php, engine/classes/ElggAnnotation.php,
+ engine/classes/ElggEntity.php, engine/classes/ElggRiverItem.php,
+ engine/handlers/export_handler.php, engine/lib/annotations.php,
+ engine/lib/metadata.php, engine/lib/metastrings.php,
+ engine/tests/api/entity_getter_functions.php,
+ engine/tests/api/metastrings.php, engine/tests/regression/trac_bugs.php,
+ mod/blog/lib/blog.php, mod/messageboard/actions/delete.php,
+ mod/pages/revision.php: Updated trunk to use new annotation functions. Added
+ checks for annotations_* options vs annotation_* options because it's so easy
+ to confuse.
+
+ * engine/classes/ElggEntity.php, engine/classes/ElggUser.php,
+ engine/lib/entities.php: Fixes #2918. Moved annotation/metadata delete
+ functions from ElggUser to ElggEntity. When deleted, entities now correctly
+ delete metadata they own as well as metadata on them. Removed uses of
+ deprecated functions.
+
+ * engine/lib/annotations.php, engine/lib/metadata.php,
+ engine/lib/metastrings.php: More fixes to annotations/metadata changes. Now
+ all function support getting via a metastring id.
+
+ * engine/lib/relationships.php: Fixes #2914. Merged fix for r.time_created
+ sorting to trunk.
+
+2011-02-14 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/developers/start.php, .../views/default/theme_preview/forms.php,
+ .../views/default/theme_preview/header.php, views/default/icon/default.php:
+ getting rid of some warnings in theme preview
+
+ * mod/developers/start.php, .../views/default/admin/developers/preview.php,
+ .../views/default/theme_preview/forms.php,
+ .../views/default/theme_preview/general.php,
+ .../views/default/theme_preview/grid.php,
+ .../views/default/theme_preview/header.php,
+ .../views/default/theme_preview/icons.php,
+ mod/developers/views/default/theme_preview/nav.php,
+ .../views/default/theme_preview/objects.php,
+ .../views/default/theme_preview/widgets.php: Refs #2903 navigation updated
+ for theme preview
+
+2011-02-14 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php, engine/lib/deprecated-1.8.php,
+ engine/lib/elgglib.php, engine/lib/metadata.php,
+ engine/tests/api/metastrings.php,
+ mod/pages/views/default/object/page_top.php: Refs #2912. Added checks for
+ constraints in dangerous functions. Unit tests no longer remove all
+ metadata/annotations.
+
+2011-02-14 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * documentation/theming/preview/forms.php,
+ documentation/theming/preview/general.php,
+ documentation/theming/preview/grid.php,
+ documentation/theming/preview/head.php,
+ documentation/theming/preview/icons.php,
+ documentation/theming/preview/index.php,
+ documentation/theming/preview/nav.php,
+ documentation/theming/preview/objects.php,
+ documentation/theming/preview/widgets.php, mod/developers/start.php,
+ .../views/default/page/shells/theme_preview.php,
+ .../views/default/theme_preview/forms.php,
+ .../views/default/theme_preview/general.php,
+ .../views/default/theme_preview/grid.php,
+ .../views/default/theme_preview/icons.php,
+ .../views/default/theme_preview/index.php,
+ mod/developers/views/default/theme_preview/nav.php,
+ .../views/default/theme_preview/objects.php,
+ .../views/default/theme_preview/widgets.php: Refs #2903 moving theme preview
+ into developers plugin - navigation and titles are broken
+
+2011-02-13 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggEntity.php, engine/lib/entities.php,
+ engine/tests/objects/entities.php: Deprecated ElggEntity->clearMetadata() by
+ ElggEntity->deleteMetadata(). Disabling entities now disables their
+ annotations and metadata. Enabling entities enables annotations and metadata.
+ Fixes #1115.
+
+ * engine/classes/ElggBatch.php: If using a callback in ElggBatch, make sure
+ there's an initial value to return.
+
+ * engine/lib/metastrings.php: Allowing metastrings_type for metastring-based
+ object functions.
+
+2011-02-13 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css/admin.php: added tabs to admin theme
+
+ * mod/externalpages/actions/add.php, mod/externalpages/actions/addfront.php,
+ mod/externalpages/actions/edit.php, mod/externalpages/index.php,
+ mod/externalpages/languages/en.php, mod/externalpages/manifest.xml,
+ mod/externalpages/read.php, mod/externalpages/start.php,
+ .../views/default/expages/analytics.php,
+ mod/externalpages/views/default/expages/css.php,
+ .../views/default/expages/footer_menu.php,
+ .../views/default/expages/forms/edit.php,
+ .../views/default/expages/forms/editfront.php,
+ .../views/default/expages/front_left.php,
+ .../views/default/expages/front_right.php,
+ mod/externalpages/views/default/expages/menu.php,
+ .../views/default/forms/expages/edit.php,
+ mod/externalpages/views/default/object/expages.php: updates externalpages to
+ 1.8
+
+2011-02-13 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggAnnotation.php, engine/classes/ElggEntity.php,
+ engine/classes/ElggMetadata.php, engine/lib/annotations.php,
+ engine/lib/deprecated-1.8.php, engine/lib/elgglib.php,
+ engine/lib/entities.php, engine/lib/metadata.php, engine/lib/metastrings.php,
+ engine/tests/api/metastrings.php: Refs #2907. DRY'd up and abstracted out a
+ lot of metadata / annotation functions so I can implement #1115 with a clean
+ conscious.
+
+2011-02-13 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/externalpages/actions/add.php, mod/externalpages/actions/addfront.php,
+ mod/externalpages/index.php, mod/externalpages/languages/en.php,
+ mod/externalpages/manifest.xml, mod/externalpages/read.php,
+ mod/externalpages/start.php, .../views/default/expages/analytics.php,
+ mod/externalpages/views/default/expages/css.php,
+ .../views/default/expages/footer_menu.php,
+ .../views/default/expages/forms/edit.php,
+ .../views/default/expages/forms/editfront.php,
+ .../views/default/expages/front_left.php,
+ .../views/default/expages/front_right.php,
+ mod/externalpages/views/default/expages/menu.php,
+ mod/externalpages/views/default/object/expages.php, mod/sitepages/README.txt,
+ mod/sitepages/actions/edit_settings.php, mod/sitepages/index.php,
+ mod/sitepages/languages/en.php, mod/sitepages/manifest.xml,
+ mod/sitepages/sitepages_functions.php, mod/sitepages/start.php,
+ .../views/default/admin/site/sitepages.php,
+ .../views/default/canvas/layouts/frontpage.php,
+ mod/sitepages/views/default/sitepages/css.php,
+ .../views/default/sitepages/custom_frontpage.php,
+ .../views/default/sitepages/forms/edit.php,
+ .../default/sitepages/forms/editfrontsimple.php,
+ .../views/default/sitepages/forms/editmeta.php,
+ .../views/default/sitepages/keywords/sitestats.php,
+ .../views/default/sitepages/keywords/userlist.php,
+ mod/sitepages/views/default/sitepages/members.php,
+ mod/sitepages/views/default/sitepages/menu.php,
+ mod/sitepages/views/default/sitepages/metatags.php,
+ mod/sitepages/views/default/sitepages/sidebar.php,
+ mod/sitepages/views/default/sitepages/welcome.php: swapping sitepages for
+ externalpages since the external pages is easier to integrate into 1.8 .
+ sitepages needs more work before it is ready for release (plus we would need
+ an upgrade script)
+
+ * engine/lib/entities.php: Refs #2301 demoting type:subtype warnings to
+ notices
+
+ * actions/email/save.php, actions/user/default_access.php,
+ actions/user/language.php, actions/user/name.php, actions/user/password.php,
+ engine/lib/user_settings.php, engine/lib/users.php,
+ views/default/core/settings/account/language.php,
+ views/default/forms/account/settings.php: Fixes #2848 pulls user settings
+ code out of actions into functions. We should investigate putting them in a
+ library that is only loaded on demand
+
+ * engine/lib/user_settings.php, engine/lib/usersettings.php,
+ engine/start.php, install/ElggInstaller.php: user settings library is now
+ user_settings.php
+
+ * engine/lib/admin.php: documentation update for admin functions
+
+ * engine/classes/ElggMenuBuilder.php, engine/classes/ElggMenuItem.php,
+ engine/lib/admin.php, languages/en.php,
+ views/default/layout/shells/admin/menu.php: admin menu uses weights for
+ ordering
+
+2011-02-13 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggBatch.php: Additional checking in ElggBatch for valid
+ key.
+
+2011-02-13 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/output/confirmlink.php, views/default/output/dropdown.php,
+ views/default/output/email.php, views/default/output/pulldown.php,
+ views/default/output/radio.php, views/default/output/text.php,
+ views/default/output/url.php: Fixed #2218 finished up the encoding issues by
+ turning of double encoding
+
+ * mod/categories/views/default/categories/css.php,
+ mod/categories/views/default/input/categories.php: categories are listed
+ horizontally
+
+2011-02-13 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggBatch.php: Added ability to get results of callback
+ function in ElggBatch.
+
+ * engine/classes/ElggBatch.php: Fixed ElggBatch problems when specifying a
+ limit of 0. Fixed a shoot yourself in the foot situation if passing a dumb
+ number to chunk size.
+
+ * engine/classes/ElggBatch.php: Added ElggBatch class for more efficient
+ batch operations on large sets of Elgg* objects.
+
+2011-02-13 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/layout/shells/admin.php: added the footer analytics view for
+ js
+
+ * mod/custom_index/index.php, mod/custom_index/languages/en.php,
+ mod/custom_index/manifest.xml, mod/custom_index/start.php,
+ .../views/default/canvas/layouts/new_index.php,
+ .../views/default/custom_index/css.php,
+ .../views/default/layout/shells/custom_index.php: updated custom index for
+ 1.8
+
+2011-02-13 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/core/account/login_dropdown.php,
+ views/default/css/elements/misc.php: Renamed signin to elgg-button-dropdown
+
+ * views/default/core/account/login_box.php,
+ views/default/core/account/login_dropdown.php,
+ views/default/css/elements/layout_objects.php,
+ views/default/css/elements/misc.php, views/default/css/elements/modules.php,
+ views/default/forms/login.php: Progress refactoring the login dropdown and
+ login forms -- not quite there yet, though Added another module: dropdown.
+
+2011-02-13 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/custom_index/index.php, mod/custom_index/languages/en.php,
+ mod/custom_index/manifest.xml, mod/custom_index/start.php,
+ .../views/default/canvas/layouts/new_index.php,
+ .../views/default/custom_index/css.php: moved custom_index back into core
+
+2011-02-12 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css/elements/layout_objects.php: Updated docs for
+ layout_objects
+
+2011-02-12 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggEntity.php, views/default/core/river/footer.php: Refs
+ #650. Replaced count_annotations().
+
+ * mod/pages/views/default/pages/sidebar/history.php,
+ views/default/core/likes/display.php, views/default/core/river/controls.php,
+ views/default/layout/elements/comments.php: Refs #650. Replaced
+ list_annotations() by elgg_list_annotations().
+
+ * engine/classes/ElggEntity.php, engine/lib/views.php,
+ mod/messageboard/history.php: Updated a few recent calls to
+ elgg_get_annotations() to use 'reverse_order_by' => true.
+
+ * engine/lib/metastrings.php: Refs #2906. Missed a file.
+
+ * engine/lib/elgglib.php, engine/lib/entities.php: Fixes #2906. Added
+ elgg_sql_reverse_order_by() and wired it up to ege() and ega(). Pass
+ 'reverse_order_by' => true in option arrays.
+
+2011-02-12 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/thewire/actions/add.php, mod/thewire/actions/delete.php,
+ mod/thewire/add.php, mod/thewire/everyone.php, mod/thewire/index.php,
+ mod/thewire/languages/en.php, mod/thewire/manifest.xml,
+ mod/thewire/pages/everyone.php, mod/thewire/pages/friends.php,
+ mod/thewire/pages/previous.php, mod/thewire/pages/reply.php,
+ mod/thewire/pages/tag.php, mod/thewire/pages/thread.php,
+ mod/thewire/pages/user.php, mod/thewire/readme.txt, mod/thewire/start.php,
+ mod/thewire/tests/regex.php, mod/thewire/views/default/forms/thewire/add.php,
+ mod/thewire/views/default/object/thewire.php,
+ .../views/default/river/object/thewire/create.php,
+ mod/thewire/views/default/thewire/css.php,
+ mod/thewire/views/default/thewire/forms/add.php,
+ mod/thewire/views/default/thewire/metadata.php,
+ mod/thewire/views/default/thewire/notfound.php,
+ .../views/default/thewire/profile_status.php,
+ mod/thewire/views/default/thewire/reply.php,
+ mod/thewire/views/default/thewire/view.php,
+ .../views/default/widgets/thewire/content.php,
+ mod/thewire/views/default/widgets/thewire/edit.php: a mostly completed port
+ of JHU/APL wire plugin to 1.8
+
+2011-02-12 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggEntity.php, engine/lib/annotations.php,
+ engine/lib/views.php, engine/tests/objects/entities.php,
+ mod/messageboard/history.php, views/default/core/likes/display.php,
+ views/default/core/river/controls.php, views/default/core/river/footer.php:
+ Refs #650. Replaced calls to get_annotations() by elgg_get_annotations().
+
+2011-02-12 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/details.php: added the status view into
+ details on profile page
+
+2011-02-12 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggEntity.php, engine/lib/users.php,
+ views/default/forms/profile/edit.php: Refs #650. Replaced uses for
+ get_metadata_byname() by elgg_get_metadata()
+
+ * engine/classes/ElggMetadata.php, engine/handlers/export_handler.php,
+ engine/lib/deprecated-1.8.php, engine/lib/metadata.php: Refs #650. Changed
+ elgg_get_metadata_by_id() to elgg_get_metadata_from_id() to be consistent
+ with other functions.
+
+ * engine/classes/ElggMetadata.php, engine/handlers/export_handler.php,
+ engine/lib/metadata.php: Refs #650. Replaced get_metadata() by
+ elgg_get_metadata_by_id()
+
+ * engine/classes/ElggEntity.php, engine/classes/ElggFile.php,
+ engine/lib/metadata.php, engine/lib/notification.php,
+ views/default/export/entity.php: Refs #650: Replaced uses of
+ get_metadata_for_entity() by elgg_get_metadata().
+
+2011-02-12 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/layout/objects/list/body.php: allow plugins to decline the
+ addition of tags to their entity list view
+
+2011-02-12 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/deprecated-1.8.php, engine/lib/metadata.php: Deprecated
+ get_metadata() by elgg_get_metadata_by_id() to avoid confusion with
+ elgg_get_metadata(). Not in love with the name...had considered
+ elgg_get_metadatum(). Open to suggestions.
+
+ * engine/lib/annotations.php, engine/lib/deprecated-1.8.php,
+ engine/lib/metadata.php, engine/lib/metastrings.php: Refs #650: Deprecated
+ find_metadata() with elgg_get_metadata(). Deprecated
+ get_metadata_for_entity() and get_metadata_byname() <twitch>. Added
+ elgg_get_metastrings_based_objects() to deal with the commonalities between
+ the metadata and annotation getter functions.
+
+2011-02-12 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/input/checkboxes.php: Label wraps checkbox for usability
+
+ * mod/minify/lib/min/.htaccess, mod/minify/lib/min/README.txt,
+ mod/minify/lib/min/builder/_index.js, mod/minify/lib/min/builder/bm.js,
+ mod/minify/lib/min/builder/index.php, mod/minify/lib/min/builder/ocCheck.php,
+ mod/minify/lib/min/builder/rewriteTest.js, mod/minify/lib/min/config.php,
+ mod/minify/lib/min/groupsConfig.php, mod/minify/lib/min/index.php,
+ mod/minify/lib/min/lib/CSS.php, mod/minify/lib/min/lib/FirePHP.php,
+ mod/minify/lib/min/lib/HTTP/ConditionalGet.php,
+ mod/minify/lib/min/lib/HTTP/Encoder.php, mod/minify/lib/min/lib/JSMin.php,
+ mod/minify/lib/min/lib/JSMinPlus.php, mod/minify/lib/min/lib/Minify.php,
+ mod/minify/lib/min/lib/Minify/Build.php,
+ mod/minify/lib/min/lib/Minify/CSS/Compressor.php,
+ mod/minify/lib/min/lib/Minify/CSS/UriRewriter.php,
+ mod/minify/lib/min/lib/Minify/Cache/APC.php,
+ mod/minify/lib/min/lib/Minify/Cache/File.php,
+ mod/minify/lib/min/lib/Minify/Cache/Memcache.php,
+ mod/minify/lib/min/lib/Minify/CommentPreserver.php,
+ mod/minify/lib/min/lib/Minify/Controller/Base.php,
+ mod/minify/lib/min/lib/Minify/Controller/Files.php,
+ .../lib/min/lib/Minify/Controller/Groups.php,
+ .../lib/min/lib/Minify/Controller/MinApp.php,
+ mod/minify/lib/min/lib/Minify/Controller/Page.php,
+ .../lib/min/lib/Minify/Controller/Version1.php,
+ mod/minify/lib/min/lib/Minify/HTML.php,
+ mod/minify/lib/min/lib/Minify/ImportProcessor.php,
+ mod/minify/lib/min/lib/Minify/Lines.php,
+ mod/minify/lib/min/lib/Minify/Logger.php,
+ mod/minify/lib/min/lib/Minify/Packer.php,
+ mod/minify/lib/min/lib/Minify/Source.php,
+ mod/minify/lib/min/lib/Minify/YUICompressor.php,
+ mod/minify/lib/min/lib/Solar/Dir.php, mod/minify/lib/min/utils.php,
+ mod/minify/manifest.xml, mod/minify/start.php: Doh, how did this get here?
+
+2011-02-12 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * documentation/examples/plugins/manifest.xml,
+ engine/tests/test_files/plugin_18/manifest.xml: Refs #2898: Updated docs and
+ test manifest for the xmlns changes.
+
+ * engine/classes/ElggPluginManifest.php, mod/blog/manifest.xml,
+ mod/bookmarks/manifest.xml, mod/categories/manifest.xml,
+ mod/defaultwidgets/manifest.xml, mod/developers/manifest.xml,
+ mod/diagnostics/manifest.xml, mod/embed/manifest.xml, mod/file/manifest.xml,
+ mod/garbagecollector/manifest.xml, mod/groups/manifest.xml,
+ mod/htmlawed/manifest.xml, mod/invitefriends/manifest.xml,
+ mod/logbrowser/manifest.xml, mod/logrotate/manifest.xml,
+ mod/messageboard/manifest.xml, mod/messages/manifest.xml,
+ mod/minify/manifest.xml, mod/notifications/manifest.xml,
+ mod/oauth_lib/manifest.xml, mod/pages/manifest.xml, mod/profile/manifest.xml,
+ mod/reportedcontent/manifest.xml, mod/search/manifest.xml,
+ mod/sitepages/manifest.xml, mod/tagcloud/manifest.xml,
+ mod/thewire/manifest.xml, mod/tinymce/manifest.xml, mod/twitter/manifest.xml,
+ mod/twitterservice/manifest.xml, mod/uservalidationbyemail/manifest.xml,
+ mod/zaudio/manifest.xml: Refs #2898: Using an XML namespace instead of
+ version attribute on plugin manifests.
+
+ * mod/twitterservice/languages/en.php,
+ mod/twitterservice/twitterservice_lib.php,
+ .../views/default/settings/twitterservice/edit.php: Cleaned up more
+ twitterservice code. Twitter usernames now have random digits appended upon
+ name collision. Added the option for admins to allow twitter sign up even if
+ site registration is disabled.
+
+ * actions/admin/user/delete.php: Deleting a user from his profile forwards
+ to the right page.
+
+2011-02-12 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/actions/blog/delete.php, mod/file/actions/file/upload.php,
+ mod/pages/actions/pages/delete.php: Fixed #2887 redirects on blog, file, and
+ pages works now - bookmarks plugin still needs plenty of work
+
+ * mod/reportedcontent/actions/add.php,
+ mod/reportedcontent/actions/archive.php,
+ mod/reportedcontent/actions/delete.php, .../actions/reportedcontent/add.php,
+ .../actions/reportedcontent/archive.php,
+ .../actions/reportedcontent/delete.php, mod/reportedcontent/start.php,
+ .../views/default/forms/reportedcontent/add.php,
+ .../views/default/reportedcontent/form.php: updated reported content to use
+ elgg_view_form
+
+ * views/default/css/admin.php,
+ views/default/forms/admin/site/update_advanced.php,
+ views/default/forms/admin/site/update_basic.php,
+ views/default/forms/avatar/crop.php, views/default/forms/avatar/upload.php,
+ views/default/forms/comments/add.php, views/default/forms/friends/edit.php,
+ views/default/forms/login.php, views/default/forms/plugins/settings/save.php,
+ views/default/forms/profile/edit.php, views/default/forms/register.php,
+ views/default/forms/user/requestnewpassword.php,
+ views/default/forms/useradd.php, views/default/forms/usersettings/save.php,
+ views/default/forms/widgets/save.php, views/default/group/default.php: Refs
+ #2032 core forms use divs
+
+ * mod/blog/views/default/forms/blog/save.php,
+ mod/blog/views/default/widgets/blog/edit.php,
+ mod/categories/views/default/input/categories.php,
+ .../views/default/forms/diagnostics/download.php,
+ mod/file/views/default/forms/file/upload.php,
+ mod/file/views/default/widgets/filerepo/edit.php,
+ .../views/default/widgets/a_users_groups/edit.php,
+ .../views/default/forms/invitefriends/invite.php,
+ .../views/default/forms/messages/reply.php,
+ mod/messages/views/default/forms/messages/send.php,
+ .../forms/notificationsettings/groupsave.php,
+ .../default/forms/notificationsettings/save.php,
+ .../notifications/subscriptions/collections.php,
+ .../notifications/subscriptions/personal.php,
+ mod/pages/views/default/forms/pages/edit.php,
+ mod/pages/views/default/widgets/pages/edit.php,
+ .../views/default/reportedcontent/form.php,
+ .../views/default/widgets/reportedcontent/edit.php,
+ .../default/usersettings/twitterservice/edit.php: updated the plugins that
+ have already been updated to 1.8 html/css to use divs rather than p tags in
+ forms
+
+ * mod/groups/views/default/forms/groups/delete.php,
+ mod/groups/views/default/forms/groups/edit.php,
+ mod/groups/views/default/groups/edit.php: pulled delete form out of edit view
+ for groups
+
+ * mod/groups/views/default/forms/discussion/save.php,
+ mod/groups/views/default/forms/groups/edit.php,
+ views/default/css/elements/forms.php: recommended to use <div> in forms for
+ wrapping content - added css to support that and updated all group forms
+
+ * views/default/input/form.php: moved security tokens to top of form so we
+ can use :last-child
+
+ * views/default/input/access.php: fixed another bug from last night
+
+ * views/default/input/plaintext.php, views/default/input/radio.php: fixing a
+ few bugs introduced last night in the input views
+
+ * mod/bookmarks/languages/en.php: fixed enable group bookmarks language
+ string
+
+ * views/default/input/checkboxes.php, views/default/input/radio.php: Refs
+ #1393 added work around note to checkboxes and radio inputs for integer
+ labels
+
+ * views/default/css/elements/forms.php, views/default/input/checkboxes.php,
+ views/default/input/radio.php: Fixes #972 checkboxes and radio input fields
+ support horizontal and vertical alignments
+
+ * mod/blog/lib/blog.php: blog object wasn't being passed to the form view
+
+ * engine/lib/output.php: fixed bug in elgg_format_attributes() that caused
+ none string values to be ignored
+
+ * views/default/input/form.php: reverted part of [8148] that broke all forms
+
+
+ * views/default/admin/components/plugin_settings.php,
+ views/default/forms/plugins/settings/save.php: continued cleaning up admin
+ plugin settings
+
+2011-02-12 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/input/radio.php: Fixes #2143: DRYed up input/radio -- other
+ views still should be addressed, but not because they aren't DRY, therefore
+ I'm calling this ticket closed
+
+ * views/default/input/checkbox.php, views/default/input/form.php,
+ views/default/input/password.php, views/default/input/pulldown.php: Refs
+ #2143: General cleanup of input views
+
+ * views/default/input/access.php: Refs #2143: DRYed up input/access -- wow,
+ that feels good
+
+ * views/default/input/longtext.php: Refs #2143: DRYed up input/longtext --
+ maybe I missed it, but was there a difference b/w plaintext and longtext?
+
+ * views/default/input/plaintext.php: Refs #2143: DRYed up input/plaintext
+
+ * views/default/input/dropdown.php: Refs #2143: DRYed up input/dropdown --
+ probably could be better still
+
+ * views/default/input/hidden.php: Refs #2143: DRY up input/hidden
+
+ * views/default/input/password.php: Refs #2143: DRYed up input/password
+
+ * views/default/input/file.php: Refs #2143: DRYed up input/file
+
+ * engine/lib/deprecated-1.8.php, engine/lib/entities.php:
+ register_entity_url_handler => elgg_register_entity_url_handler (because
+ every other reigstration function asks for the callback last)
+
+ * engine/lib/entities.php, engine/lib/extender.php,
+ engine/lib/relationships.php: Refs #2610: Using call_user_func in url hooks
+
+ * engine/lib/pagehandler.php: Page handler uses call_user_func (so methods
+ of classes can be used as page handlers)
+
+2011-02-12 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/twitterservice/start.php, mod/twitterservice/twitterservice_lib.php:
+ Twitter services now allows login with twitter properly for a single user.
+
+ * engine/lib/elgglib.php, engine/lib/plugins.php,
+ engine/lib/private_settings.php: Added
+ elgg_get_entities_from_plugin_user_settings(). Added a name_prefix option to
+ elgg_get_entities_from_private_settings().
+
+2011-02-12 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * documentation/theming/preview/objects.php, engine/lib/views.php,
+ mod/blog/views/default/blog/group_module.php,
+ mod/blog/views/default/blog/sidebar/archives.php,
+ mod/blog/views/default/blog/sidebar/revisions.php,
+ mod/file/views/default/file/group_module.php,
+ .../views/default/discussion/group_module.php,
+ .../default/groups/profile/activity_module.php,
+ .../views/default/groups/sidebar/featured.php,
+ mod/groups/views/default/groups/sidebar/find.php,
+ .../views/default/groups/sidebar/members.php,
+ mod/pages/views/default/pages/group_module.php,
+ mod/pages/views/default/pages/sidebar/history.php,
+ .../views/default/pages/sidebar/navigation.php,
+ views/default/core/members/sidebar.php, views/default/core/river/body.php,
+ views/default/layout/elements/owner_block.php,
+ views/default/layout/shells/admin/menu.php,
+ views/default/layout/shells/two_sidebar.php,
+ views/default/output/tagcloud.php: Fixes #2904: Converted most
+ elgg_view('layout/objects/module') instances to elgg_view_module()
+
+2011-02-12 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page/elements/head.php, views/default/page/shells/admin.php,
+ views/default/page/shells/default.php,
+ views/default/page/shells/walled_garden.php: pulled <head> out into page
+ shell also
+
+2011-02-12 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/views.php: Added an elgg_view_module shortcut function -- now
+ to try it out!
+
+2011-02-12 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page/elements/topbar.php: fixed topbar html
+
+2011-02-12 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/deprecated-1.8.php: Added missing @deprecated tags to a couple
+ functions
+
+ * engine/lib/deprecated-1.8.php, engine/lib/views.php: set_view_location =>
+ elgg_set_view_location (to match elgg_get_view_location)
+
+ * views/default/spotlight/default.php: Bye bye spotlight
+
+ * engine/lib/users.php: One more conversion to elgg_view_form
+
+ * .../views/default/diagnostics/forms/download.php,
+ .../views/default/forms/diagnostics/download.php,
+ mod/file/views/default/file/embed_upload.php,
+ mod/file/views/default/forms/file/upload.php,
+ .../views/default/forms/invitefriends/invite.php,
+ .../views/default/invitefriends/form.php,
+ .../views/default/invitefriends/formitems.php,
+ mod/logbrowser/views/default/logbrowser/form.php,
+ mod/minify/lib/min/.htaccess, mod/minify/lib/min/README.txt,
+ mod/minify/lib/min/builder/_index.js, mod/minify/lib/min/builder/bm.js,
+ mod/minify/lib/min/builder/index.php, mod/minify/lib/min/builder/ocCheck.php,
+ mod/minify/lib/min/builder/rewriteTest.js, mod/minify/lib/min/config.php,
+ mod/minify/lib/min/groupsConfig.php, mod/minify/lib/min/index.php,
+ mod/minify/lib/min/lib/CSS.php, mod/minify/lib/min/lib/FirePHP.php,
+ mod/minify/lib/min/lib/HTTP/ConditionalGet.php,
+ mod/minify/lib/min/lib/HTTP/Encoder.php, mod/minify/lib/min/lib/JSMin.php,
+ mod/minify/lib/min/lib/JSMinPlus.php, mod/minify/lib/min/lib/Minify.php,
+ mod/minify/lib/min/lib/Minify/Build.php,
+ mod/minify/lib/min/lib/Minify/CSS/Compressor.php,
+ mod/minify/lib/min/lib/Minify/CSS/UriRewriter.php,
+ mod/minify/lib/min/lib/Minify/Cache/APC.php,
+ mod/minify/lib/min/lib/Minify/Cache/File.php,
+ mod/minify/lib/min/lib/Minify/Cache/Memcache.php,
+ mod/minify/lib/min/lib/Minify/CommentPreserver.php,
+ mod/minify/lib/min/lib/Minify/Controller/Base.php,
+ mod/minify/lib/min/lib/Minify/Controller/Files.php,
+ .../lib/min/lib/Minify/Controller/Groups.php,
+ .../lib/min/lib/Minify/Controller/MinApp.php,
+ mod/minify/lib/min/lib/Minify/Controller/Page.php,
+ .../lib/min/lib/Minify/Controller/Version1.php,
+ mod/minify/lib/min/lib/Minify/HTML.php,
+ mod/minify/lib/min/lib/Minify/ImportProcessor.php,
+ mod/minify/lib/min/lib/Minify/Lines.php,
+ mod/minify/lib/min/lib/Minify/Logger.php,
+ mod/minify/lib/min/lib/Minify/Packer.php,
+ mod/minify/lib/min/lib/Minify/Source.php,
+ mod/minify/lib/min/lib/Minify/YUICompressor.php,
+ mod/minify/lib/min/lib/Solar/Dir.php, mod/minify/lib/min/utils.php,
+ mod/minify/manifest.xml, mod/minify/start.php, mod/notifications/groups.php,
+ .../forms/notificationsettings/groupsave.php,
+ .../default/forms/notificationsettings/save.php,
+ .../default/notifications/subscriptions/form.php,
+ .../notifications/subscriptions/groupsform.php,
+ .../views/default/admin/users/unvalidated.php,
+ .../forms/uservalidationbyemail/bulk_action.php,
+ views/default/admin/site/advanced.php, views/default/admin/site/basic.php,
+ views/default/core/account/login_box.php,
+ views/default/core/account/login_dropdown.php,
+ views/default/core/account/login_walled_garden.php,
+ views/default/core/river/footer.php, views/default/core/settings/account.php,
+ views/default/forms/admin/site/update_advanced.php,
+ views/default/forms/admin/site/update_basic.php,
+ views/default/forms/friends/edit.php,
+ views/default/forms/user/passwordreset.php, views/default/forms/useradd.php,
+ views/default/forms/usersettings/save.php,
+ views/default/forms/widgets/save.php,
+ views/default/layout/objects/widget/settings.php,
+ views/installation/install/forms/admin.php,
+ views/installation/install/forms/database.php,
+ views/installation/install/forms/settings.php: Converted most forms to use
+ elgg_view_form (therefore also moved the views to forms/*). Some views are
+ left that _only_ do elgg_view_form, so I wonder if those should even be kept
+ around.
+
+2011-02-12 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/twitterservice/twitterservice_lib.php: Registering forward() calls to
+ a plugin-specific reason for use in plugin hooks.
+
+2011-02-12 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/embed/languages/en.php, mod/embed/start.php,
+ mod/embed/views/default/embed/addcontentjs.php,
+ mod/embed/views/default/embed/lightbox_init.php,
+ mod/embed/views/default/embed/link.php,
+ mod/embed/views/default/embed/metatags.php: light clean up on the embed
+ plugin which doesn't appear to work at present
+
+2011-02-11 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/deprecated-1.8.php: Typo correction: @deprecate => @deprecated
+
+ * mod/blog/views/default/js/blog/save_draft.php,
+ .../views/default/widgets/bookmarks/view.php,
+ .../views/default/defaultwidgets/editor.php,
+ mod/embed/views/default/embed/embed.php,
+ mod/embed/views/default/embed/item/gallery.php,
+ mod/embed/views/default/embed/item/list.php,
+ mod/embed/views/default/embed/metatags.php,
+ mod/embed/views/default/embed/upload/content.php,
+ mod/file/views/default/file/embed_upload.php,
+ .../views/default/groups/profile/widgets.php,
+ .../views/default/widgets/messageboard/content.php,
+ .../views/default/forms/messages/process.php,
+ .../notifications/subscriptions/collections.php,
+ .../notifications/subscriptions/forminternals.php,
+ .../notifications/subscriptions/jsfuncs.php,
+ .../views/default/pages/sidebar/navigation.php,
+ mod/profile/views/default/profile/wrapper.php,
+ mod/thewire/views/default/thewire/forms/add.php,
+ mod/tinymce/views/default/tinymce/init.php,
+ mod/zaudio/views/default/zaudio/audioplayer.php,
+ views/default/core/account/login_box.php,
+ views/default/core/account/login_dropdown.php,
+ views/default/core/account/login_walled_garden.php,
+ views/default/core/friends/collection.php,
+ views/default/core/friends/collections.php,
+ views/default/core/friends/collectiontabs.php,
+ views/default/core/friends/picker.php,
+ .../default/core/friends/tablelistcountupdate.php,
+ views/default/core/river/filter.php, views/default/forms/avatar/crop.php,
+ views/default/forms/register.php,
+ views/default/forms/user/requestnewpassword.php,
+ views/default/input/autocomplete.php, views/default/input/datepicker.php,
+ views/default/input/userpicker.php,
+ views/installation/install/forms/template.php: Added disapproving @todo's
+ wherever I could find javascript not conforming to 1.8 conventions
+
+2011-02-11 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/categories/actions/save.php, mod/categories/languages/en.php,
+ mod/categories/listing.php, mod/categories/readme.txt,
+ mod/categories/start.php, mod/categories/views/default/categories.php,
+ mod/categories/views/default/categories/list.php,
+ mod/categories/views/default/categories/view.php,
+ mod/categories/views/default/input/categories.php,
+ mod/categories/views/default/output/categories.php,
+ .../views/default/settings/categories/edit.php: some clean up of the
+ categories plugin - html/css polish needed
+
+2011-02-11 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/plugins.php: Fixes #2888: Plugins are checked for validity in
+ elgg_get_plugins(). It won't return broken plugins unless specifically
+ requested.
+
+ * engine/lib/annotations.php, engine/lib/deprecated-1.8.php: Moved
+ list_entities_from_annotation_count_by_metadata() to deprecated-18.php.
+
+ * engine/lib/annotations.php, engine/lib/deprecated-1.8.php: Refs #650.
+ Added 'annotation_calculation' to elgg_get_annotations(). Deprecated
+ list_annotations() by elgg_list_annotations(). Deprecated get_annotations_*()
+ by elgg_get_annotations() and passing annotation_calculation.
+
+ * engine/lib/entities.php: Added $viewer callback option for
+ elgg_list_entities().
+
+ * engine/lib/views.php: Fixed doc spacing that was throwing errors in PHP
+ Code Sniffer.
+
+2011-02-11 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css/admin.php, views/default/css/elements/page_layout.php,
+ views/default/page/shells/admin.php, views/default/page/shells/default.php:
+ elgg-classic => elgg-page-classic, elgg-admin => elgg-page-admin
+
+ * views/default/css/elements/layout_objects.php,
+ views/default/css/elements/modules.php, views/default/css/screen.php: Added
+ css/elements/modules.php. Modules and widgets deserve their own space.
+
+ * views/default/admin/components/plugin.php, views/default/css/admin.php,
+ views/default/page/shells/default.php: Cleaned up admin advanced plugins view
+ a bit
+
+ * views/default/page/elements/head.php,
+ views/default/page/elements/html_begin.php,
+ views/default/page/elements/html_end.php,
+ views/default/page/shells/admin.php, views/default/page/shells/default.php,
+ views/default/page/shells/walled_garden.php: Fixes #1303: Pulled
+ html_begin/end into page shells. extracted <head> element into
+ page/elements/head
+
+ * mod/blog/manifest.xml, mod/bookmarks/manifest.xml,
+ mod/categories/manifest.xml, mod/defaultwidgets/manifest.xml,
+ mod/diagnostics/manifest.xml, mod/embed/manifest.xml, mod/file/manifest.xml,
+ mod/garbagecollector/manifest.xml, mod/groups/manifest.xml,
+ mod/htmlawed/manifest.xml, mod/invitefriends/manifest.xml,
+ mod/logbrowser/manifest.xml, mod/logrotate/manifest.xml,
+ mod/messageboard/manifest.xml, mod/messages/manifest.xml,
+ mod/notifications/manifest.xml, mod/oauth_lib/manifest.xml,
+ mod/pages/manifest.xml, mod/reportedcontent/manifest.xml,
+ mod/search/manifest.xml, mod/sitepages/manifest.xml,
+ mod/tagcloud/manifest.xml, mod/thewire/manifest.xml,
+ mod/tinymce/manifest.xml, mod/twitter/manifest.xml,
+ mod/twitterservice/manifest.xml, mod/uservalidationbyemail/manifest.xml,
+ mod/zaudio/manifest.xml: Converted all manifest files to 1.8 style
+
+2011-02-11 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php, engine/lib/deprecated-1.8.php: Moved
+ get_annotations() to deprecated-1.8.
+
+ * engine/lib/annotations.php, engine/lib/metadata.php,
+ engine/tests/api/entity_getter_functions.php: Refs #650. Deprecated
+ get_annotations() for elgg_get_annotations().
+
+2011-02-11 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/diagnostics/start.php: not outputing all the plugin information in
+ diagnostics plugin due to new plugin system structure
+
+ * engine/lib/admin.php: Fixes #2872 - returned plugin settings menu to
+ working order
+
+2011-02-11 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css/admin.php,
+ .../forms/admin/plugins/simple_update_states.php: Cleanup of basic plugins
+ area
+
+ * views/default/admin/components/invalid_plugin.php,
+ views/default/admin/components/plugin.php, views/default/css/admin.php,
+ .../forms/admin/plugins/simple_update_states.php, views/default/js/admin.php:
+ More cleanup of admin/plugins css
+
+ * views/default/admin/components/plugin.php,
+ .../admin/components/plugin_dependencies.php, views/default/css/admin.php:
+ elgg-$state-dependency => elgg-state-(error|success)
+
+ * views/default/output/rss_view.php: Removed unused view: output/rss_view
+
+ * .../uservalidationbyemail/unvalidated_user.php: Converted hardcoded styles
+ to css primitives
+
+2011-02-10 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/input/reset.php, views/default/input/submit.php: Removed
+ nonsensical comments
+
+ * mod/blog/views/default/forms/blog/save.php,
+ mod/groups/views/default/forms/groups/edit.php,
+ .../notifications/subscriptions/forminternals.php,
+ views/default/admin/site/advanced.php, views/default/admin/site/basic.php,
+ views/default/core/settings/account.php,
+ views/default/css/elements/chrome.php,
+ views/default/page/elements/topbar.php: Added border primitives
+ "b<loc><type>" where type is "a" or "b" -- anyone want to choose better
+ names? :)
+
+ * mod/blog/views/default/forms/blog/save.php:
+
+ * mod/blog/views/default/forms/blog/save.php,
+ mod/groups/views/default/groups/edit.php,
+ .../views/default/groups/invitationrequests.php,
+ .../views/default/groups/membershiprequests.php: disabled =>
+ elgg-state-disabled in plugins (only found in blog and groups)
+
+ * views/default/admin/plugins/simple.php,
+ .../forms/admin/plugins/simple_update_states.php: Moved the simple plugins
+ admin form to the forms/ dir
+
+ * views/default/admin/components/plugin.php,
+ views/default/core/account/login_walled_garden.php,
+ views/default/css/admin.php, views/default/css/elements/forms.php,
+ views/default/forms/profile/fields/reset.php,
+ views/default/page/shells/walled_garden.php,
+ views/installation/install/nav.php: .disabled => .elgg-state-disabled (core
+ only)
+
+ * views/default/admin/plugins/advanced.php: Using spacing primitives rather
+ than <br/>
+
+ * views/default/css/admin.php: Corrected minor problem with admin tables
+
+ * views/default/forms/plugins/settings/save.php,
+ views/default/forms/plugins/usersettings/save.php,
+ views/default/object/plugin.php: Plugin settings forms now use the forms/
+ directory
+
+2011-02-10 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/navigation.php: fixed access issue on menu name
+
+2011-02-10 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * documentation/theming/preview/forms.php, mod/blog/lib/blog.php,
+ mod/blog/views/default/forms/blog/save.php,
+ .../views/default/admin/utilities/diagnostics.php, mod/file/index.php,
+ mod/file/views/default/object/file.php,
+ mod/groups/views/default/groups/css.php,
+ mod/groups/views/default/groups/edit.php,
+ .../views/default/groups/invitationrequests.php,
+ .../views/default/groups/membershiprequests.php,
+ .../views/default/groups/profile/buttons.php, mod/messages/read.php,
+ .../views/default/forms/messages/process.php, mod/pages/view.php,
+ mod/profile/views/default/profile/css.php,
+ mod/profile/views/default/profile/owner_block.php,
+ .../views/default/object/reported_content.php,
+ mod/thewire/views/default/object/thewire.php,
+ mod/thewire/views/default/thewire/css.php,
+ mod/thewire/views/default/thewire/forms/add.php,
+ .../views/default/thewire/profile_status.php,
+ views/default/admin/components/plugin.php,
+ views/default/admin/plugins/advanced.php,
+ views/default/admin/plugins/simple.php,
+ views/default/core/account/login_walled_garden.php,
+ views/default/core/friends/picker.php, views/default/css/admin.php,
+ views/default/css/elements/forms.php, views/default/css/elements/misc.php,
+ views/default/forms/profile/fields/reset.php, views/default/input/button.php,
+ views/default/layout/shells/content/header.php,
+ views/default/layout/shells/widgets/add_button.php,
+ views/default/page/elements/content_header.php,
+ views/installation/input/button.php: Converted buttons to elgg-object-type
+ naming convention. Added table of buttons to forms theming preview meant for
+ previewing all button types and states (still have to implement those...)
+
+2011-02-09 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/deprecated-1.8.php, mod/garbagecollector/start.php,
+ mod/groups/actions/edit.php, mod/groups/views/default/forms/groups/edit.php,
+ mod/logrotate/start.php, mod/sitepages/start.php,
+ mod/twitterservice/start.php, mod/twitterservice/twitterservice_lib.php,
+ .../views/default/twitterservice/metatags.php,
+ views/default/core/settings/tools/plugin.php: Refs #2874. Finished removing
+ calls to deprecated plugin mgmt functions in core and core plugins.
+
+ * actions/plugins/settings/save.php, actions/plugins/usersettings/save.php,
+ engine/lib/admin.php, engine/lib/deprecated-1.8.php, engine/lib/plugins.php,
+ views/default/admin/components/plugin_settings.php,
+ views/default/core/settings/tools.php,
+ views/default/core/settings/tools/plugin.php,
+ views/default/object/plugin.php: Refs #2874: More removal of deprecated
+ function user in plugins systems.
+
+ * engine/classes/ElggPlugin.php, engine/lib/deprecated-1.8.php,
+ engine/lib/plugins.php: Refs #2869, #2874. Renamed ElggPlugin::remove*
+ functions to unset*. Added ElggPlugin::getAllUserSettings() and
+ getAllSettings(). Deprecated all plugin settings and user setting functions
+ with correctly named functions.
+
+2011-02-09 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css/elements/helpers.php: Slightly more efficient way of
+ declaring spacing helpers
+
+2011-02-09 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/file/manifest.xml, mod/messages/manifest.xml, mod/pages/manifest.xml,
+ mod/search/manifest.xml: updated version number
+
+2011-02-09 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggPlugin.php, engine/lib/plugins.php: Refs #2869: Fixed
+ problems when deleting user settings using wrapper function.
+
+ * engine/classes/ElggPluginPackage.php, engine/lib/admin.php,
+ mod/diagnostics/start.php, pages/settings/tools.php,
+ views/default/core/settings/tools.php,
+ views/default/core/settings/tools/plugin.php,
+ views/default/object/plugin.php: Refs #2874: Using elgg_get_plugins() instead
+ of get_installed_plugins().
+
+ * engine/classes/ElggPlugin.php: Fixed typo that break
+ ElggPlugin::isActive().
+
+ * engine/lib/input.php, engine/lib/upgrades/2010050701.php,
+ engine/lib/upgrades/2010100500.php, mod/embed/views/default/embed/embed.php,
+ mod/embed/views/default/embed/link.php, mod/notifications/start.php,
+ mod/sitepages/index.php, mod/thewire/start.php, mod/twitterservice/start.php,
+ views/default/core/settings/tools.php: Refs #2874: Using
+ elgg_is_active_plugin() in core and bundled plugins.
+
+2011-02-08 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggPlugin.php, engine/lib/deprecated-1.8.php,
+ engine/lib/plugins.php: Fixes #2858: is_plugin_enabled() is deprecated by
+ elgg_is_active_plugin() instead of ElggPlugin::isActive().
+
+ * engine/classes/ElggPlugin.php, engine/lib/plugins.php: Fixes #2869: User
+ settings for plugins work again.
+
+2011-02-08 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/tagcloud/languages/de.php, mod/tagcloud/languages/en.php,
+ mod/tagcloud/manifest.xml, mod/tagcloud/start.php, mod/tagcloud/tagcloud.php,
+ mod/tagcloud/views/default/tagcloud/css.php,
+ .../views/default/widgets/tagcloud/content.php,
+ .../views/default/widgets/tagcloud/edit.php: cleaned up tagcloud plugin
+
+ * mod/search/start.php, .../views/default/search/comments/entity.php,
+ mod/search/views/default/search/css.php,
+ mod/search/views/default/search/entity.php,
+ mod/search/views/default/search/list.php: updated search plugin for new
+ html/css
+
+ * .../views/default/admin/utilities/diagnostics.php: ahhh...missed this one
+ too
+
+ * mod/logbrowser/views/default/logbrowser/form.php: missed this file in the
+ admin backend update last night
+
+ * engine/lib/views.php, views/default/layout/elements/title.php: added a
+ class variable to title view
+
+2011-02-08 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggPluginManifest.php,
+ engine/classes/ElggPluginPackage.php: Fixes #2863: Can now use all 6 dep
+ types with conflicts.
+
+ * engine/classes/ElggPluginManifest.php,
+ engine/classes/ElggPluginManifestParser18.php,
+ engine/classes/ElggPluginPackage.php, engine/lib/plugins.php,
+ engine/tests/api/plugins.php, engine/tests/test_files/plugin_18/manifest.xml,
+ languages/en.php, views/default/admin/components/plugin.php,
+ .../admin/components/plugin_dependencies.php, views/default/css/admin.php:
+ Added suggests to the plugins deps system. It parallels the requires system,
+ but doesn't affect if the plugin can be enabled and only shows up in the deps
+ table on the advanced view.
+
+ * engine/classes/ElggPluginManifest.php: Running plugins' blurb,
+ description, name, and screenshot->description through elgg_echo().
+
+2011-02-08 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css/admin.php, views/default/layout/shells/admin.php,
+ views/default/page/shells/admin.php: rough system messages implementation for
+ admin backend
+
+ * js/lib/ui.js, views/default/admin/components/plugin_settings.php,
+ views/default/admin/statistics/overview.php,
+ views/default/admin/users/newest.php, views/default/admin/users/online.php,
+ views/default/css/admin.php, views/default/forms/admin/menu/save.php,
+ views/default/forms/useradd.php, views/default/layout/shells/admin.php,
+ views/default/layout/shells/admin/menu.php: updates for the admin css based
+ on the reworking I did to the html
+
+ * .../views/default/reportedcontent/footer_link.php,
+ views/default/annotation/generic_comment.php,
+ views/default/css/elements/forms.php,
+ views/default/css/elements/navigation.php,
+ views/default/css/elements/typography.php, views/default/icon/default.php,
+ views/default/input/form.php, views/default/navigation/menu/user_hover.php,
+ views/default/output/tagcloud.php: bringing us a little closer to validating
+
+ * mod/blog/views/default/forms/blog/save.php,
+ mod/groups/views/default/forms/groups/edit.php,
+ .../notifications/subscriptions/forminternals.php,
+ views/default/admin/site/advanced.php, views/default/admin/site/basic.php,
+ views/default/core/settings/account.php,
+ views/default/css/elements/chrome.php: a tiny amount of progress on the css
+ chrome element view
+
+ * js/lib/ui.js, views/default/css/elements/chrome.php,
+ views/default/css/elements/forms.php, views/default/css/elements/grid.php,
+ views/default/css/elements/helpers.php, views/default/css/elements/icons.php,
+ views/default/css/elements/layout_objects.php,
+ views/default/css/elements/navigation.php,
+ views/default/css/elements/page_layout.php,
+ views/default/css/elements/typography.php,
+ views/default/layout/elements/page_links.php,
+ views/default/layout/objects/image_block.php,
+ views/default/navigation/menu/page.php,
+ views/default/navigation/menu/site.php,
+ views/default/navigation/menu/user_hover.php,
+ views/default/page/elements/footer.php: more tweaking of css - menu and
+ general clean up
+
+ * views/default/css/elements/navigation.php,
+ views/default/css/elements/page_layout.php,
+ views/default/layout/shells/content/header.php,
+ views/default/page/elements/header_logo.php: starting to standardize on
+ elgg-<type>-<subtype> for css such as elgg-heading-site
+
+2011-02-07 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css/admin.php, views/default/css/elements/chrome.php,
+ views/default/css/elements/forms.php,
+ views/default/css/elements/page_layout.php,
+ views/default/css/elements/reset.php,
+ views/default/css/elements/typography.php: removed some old css no longer
+ supported by modern browsers
+
+2011-02-07 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/profile/fields/add.php, actions/profile/fields/edit.php,
+ engine/lib/admin.php, .../admin/appearance/profile_fields/list.php,
+ views/default/css/admin.php, views/default/js/admin.php: Pulled elgg-icon and
+ elgg-icon-* into the admin css. Pulled out profile field JS into elgg.admin
+ obj. Fixed editing profile field names.
+
+ * _graphics/elgg_sprites.png, documentation/theming/preview/icons.php,
+ views/default/css/elements/icons.php: Added dragger icon.
+
+2011-02-07 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/plugins.php: fixed typo in plugin library
+
+ * languages/en.php, mod/search/index.php, mod/search/start.php,
+ mod/search/views/default/search/list.php,
+ mod/search/views/default/search/listing.php: Fixes 2354 Using list instead of
+ listing for search now
+
+ * mod/search/index.php, mod/search/start.php,
+ .../views/default/search/comments/entity.php,
+ mod/search/views/default/search/entity.php,
+ mod/search/views/default/search/entity_list.php,
+ mod/search/views/default/search/gallery.php,
+ .../views/default/search/gallery_listing.php,
+ mod/search/views/default/search/layout.php,
+ mod/search/views/default/search/listing.php,
+ mod/search/views/default/search/search_box.php,
+ mod/search/views/default/search/startblurb.php,
+ mod/search/views/rss/search/comments/entity.php,
+ mod/search/views/rss/search/entity.php,
+ mod/search/views/rss/search/layout.php,
+ mod/search/views/rss/search/listing.php: Fixes #2335 #2336 clean up and minor
+ standardization of search plugin - needs html/css clean up
+
+2011-02-07 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/admin.php, views/default/admin/components/plugin.php,
+ views/default/admin/plugins/advanced.php, views/default/js/admin.php: Added
+ drag and drop reordering of plugins. Started pulling out admin area's js into
+ elgg.admin JS object.
+
+2011-02-07 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, engine/lib/input.php: moved sticky forms into the
+ input library
+
+2011-02-06 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/search/views/default/search/entity.php,
+ views/default/entities/entity_list.php,
+ views/default/entities/entity_listing.php,
+ views/default/entities/gallery.php,
+ views/default/entities/gallery_listing.php, views/default/entities/list.php,
+ views/default/entities/list_item.php, views/default/graphics/icon.php,
+ views/default/object/default.php: removing old entities views that are no
+ longer needed and did the last changes for the icon system
+
+ * mod/groups/icon.php, mod/groups/start.php,
+ mod/groups/views/default/group/default.php,
+ .../views/default/groups/profile/profile_block.php: updated the groups plugin
+ to use the new icon structure
+
+ * engine/lib/views.php, mod/file/start.php,
+ mod/file/views/default/file/icon.php,
+ .../default/file/icon/application/default.php,
+ .../views/default/file/icon/application/excel.php,
+ .../views/default/file/icon/application/msword.php,
+ .../views/default/file/icon/application/pdf.php,
+ .../default/file/icon/application/powerpoint.php,
+ .../default/file/icon/application/vnd.ms-excel.php,
+ .../file/icon/application/vnd.ms-powerpoint.php,
+ .../application/vnd.oasis.opendocument.text.php,
+ .../views/default/file/icon/application/x-gzip.php,
+ .../file/icon/application/x-rar-compressed.php,
+ .../default/file/icon/application/x-stuffit.php,
+ .../views/default/file/icon/application/zip.php,
+ mod/file/views/default/file/icon/archive.php,
+ mod/file/views/default/file/icon/audio.php,
+ mod/file/views/default/file/icon/audio/default.php,
+ mod/file/views/default/file/icon/default.php,
+ mod/file/views/default/file/icon/document.php,
+ mod/file/views/default/file/icon/text/default.php,
+ .../views/default/file/icon/text/directory.php,
+ mod/file/views/default/file/icon/text/v-card.php,
+ mod/file/views/default/file/icon/video.php,
+ mod/file/views/default/file/icon/video/default.php,
+ mod/file/views/default/object/file.php, views/default/icon/default.php:
+ updated the file plugin to use the new icon structure
+
+ * engine/classes/ElggUser.php, engine/lib/actions.php,
+ engine/lib/database.php, engine/lib/deprecated-1.7.php,
+ engine/lib/elgglib.php, engine/lib/entities.php,
+ engine/lib/relationships.php, engine/lib/widgets.php: fixed some minor
+ documentation issues
+
+ * mod/bookmarks/views/default/object/bookmarks.php: missed this use of
+ elgg_count_comments() in change to ->countComments()
+
+2011-02-06 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggPlugin.php: Fixed bug when trying to set a plugin's
+ priority to 1 without using "first."
+
+2011-02-06 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggEntity.php, engine/lib/elgglib.php,
+ engine/lib/views.php, mod/blog/views/default/object/blog.php,
+ mod/file/views/default/object/file.php,
+ .../views/default/object/groupforumtopic.php,
+ mod/pages/views/default/object/page_top.php,
+ views/default/core/likes/display.php: moved the count comments and likes
+ functions into the ElggEntity class
+
+ * engine/lib/views.php, mod/file/edit.php, mod/messages/read.php: Fixes
+ #2854 removing my bad idea of auto-appending action in elgg_view_form()
+
+ * mod/pages/actions/pages/delete.php, mod/pages/start.php,
+ .../views/default/icon/object/page/medium.php,
+ mod/pages/views/default/icon/object/page/small.php,
+ .../views/default/icon/object/page_top/medium.php,
+ .../views/default/icon/object/page_top/small.php,
+ mod/pages/views/default/pages/icon.php: Fixes #2853 pages plugin uses the
+ icon url plugin hook and fixes delete action
+
+ * documentation/theming/preview/objects.php, js/lib/elgglib.js,
+ .../core/settings/statistics/numentities.php,
+ views/default/core/settings/statistics/online.php,
+ views/default/css/elements/chrome.php,
+ views/default/css/elements/layout_objects.php,
+ views/default/css/elements/page_layout.php,
+ views/default/page/elements/messages.php: more css/html improvements - added
+ .elgg-table and a featured module
+
+ * views/default/input/access.php, views/default/input/checkboxes.php,
+ views/default/input/datepicker.php, views/default/input/dropdown.php,
+ views/default/input/email.php, views/default/input/hidden.php,
+ views/default/input/longtext.php, views/default/input/plaintext.php,
+ views/default/input/radio.php, views/default/input/tags.php,
+ views/default/input/text.php, views/default/input/url.php,
+ views/default/input/userpicker.php: Fixes #2510 sticky forms is finish - Elgg
+ 1.9 could have a ElggForm class that makes it even easier to use a sticky
+ form
+
+2011-02-05 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * documentation/theming/preview/objects.php, engine/lib/views.php,
+ mod/blog/views/default/blog/group_module.php,
+ mod/blog/views/default/blog/sidebar/archives.php,
+ mod/blog/views/default/blog/sidebar/revisions.php,
+ mod/file/views/default/file/group_module.php,
+ .../views/default/discussion/group_module.php,
+ .../default/groups/profile/activity_module.php,
+ .../views/default/groups/sidebar/featured.php,
+ mod/groups/views/default/groups/sidebar/find.php,
+ .../views/default/groups/sidebar/members.php,
+ .../notifications/subscriptions/collections.php,
+ .../notifications/subscriptions/forminternals.php,
+ .../notifications/subscriptions/groupsform.php,
+ .../notifications/subscriptions/personal.php,
+ mod/pages/views/default/pages/group_module.php,
+ mod/pages/views/default/pages/sidebar/history.php,
+ .../views/default/pages/sidebar/navigation.php,
+ views/default/core/likes/display.php, views/default/core/members/sidebar.php,
+ .../core/settings/account/default_access.php,
+ views/default/core/settings/account/email.php,
+ views/default/core/settings/account/language.php,
+ .../core/settings/account/notifications.php,
+ views/default/core/settings/account/password.php,
+ .../core/settings/statistics/numentities.php,
+ views/default/core/settings/statistics/online.php,
+ views/default/core/settings/tools/plugin.php,
+ views/default/css/elements/chrome.php, views/default/css/elements/grid.php,
+ views/default/css/elements/layout_objects.php,
+ views/default/css/elements/page_layout.php,
+ views/default/css/elements/reset.php, views/default/output/tagcloud.php:
+ using elgg-module-<type>
+
+ * views/default/icon/user/default/large.php,
+ views/default/icon/user/default/master.php,
+ views/default/icon/user/default/medium.php,
+ views/default/icon/user/default/small.php,
+ views/default/icon/user/default/tiny.php,
+ views/default/icon/user/default/topbar.php, views/default/profile/hover.php:
+ a little clean up of unnecessary views
+
+ * documentation/theming/preview/icons.php, engine/classes/ElggEntity.php,
+ engine/lib/deprecated-1.8.php, engine/lib/entities.php, engine/lib/input.php,
+ engine/lib/users.php, engine/lib/views.php, js/lib/ui.js,
+ mod/pages/views/default/pages/icon.php, views/default/css/elements/icons.php,
+ views/default/input/userpicker.php, views/default/page/elements/topbar.php,
+ views/default/profile/icon.php, views/foaf/page/shells/default.php: Fixes
+ #2559 refs #2475 added ElggEntity:getIconURL() and elgg_view_entity_icon()
+
+2011-02-05 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/admin/components/plugin.php,
+ views/default/admin/plugins/advanced.php, views/default/css/admin.php: The
+ screenshot desc is now used. Small css changes.
+
+ * views/default/admin/components/plugin.php,
+ views/default/admin/plugins/advanced.php, views/default/css/admin.php: Better
+ displaying of full screenshots in plugins admin.
+
+ * engine/classes/ElggPluginPackage.php, engine/lib/plugins.php: Fixes #2852:
+ Checking other plugins' conflicts to see if plugin is able to be activated in
+ ElggPluginPackage->checkDependencies(). Some language clarification in admin
+ panel.
+
+2011-02-05 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * documentation/theming/preview/widgets.php: fixed widgets theming preview
+ by overriding some javascript
+
+ * engine/classes/ElggEntity.php: supporting private settings before an
+ entity is saved
+
+ * engine/classes/ElggPlugin.php, engine/classes/ElggWidget.php,
+ engine/lib/users.php: using setPrivateSetting() and getPrivateSetting()
+ instead of procedural functions
+
+ * views/default/css/elements/icons.php,
+ views/default/graphics/ajax_loader.php: fixed ajax loader css and html
+
+ * documentation/css/preview/forms.php,
+ documentation/css/preview/general.php, documentation/css/preview/grid.php,
+ documentation/css/preview/head.php, documentation/css/preview/icons.php,
+ documentation/css/preview/index.php, documentation/css/preview/nav.php,
+ documentation/css/preview/objects.php, documentation/css/preview/widgets.php,
+ documentation/theming/preview/forms.php,
+ documentation/theming/preview/general.php,
+ documentation/theming/preview/grid.php,
+ documentation/theming/preview/head.php,
+ documentation/theming/preview/icons.php,
+ documentation/theming/preview/index.php,
+ documentation/theming/preview/nav.php,
+ documentation/theming/preview/objects.php,
+ documentation/theming/preview/widgets.php, mod/developers/languages/en.php,
+ .../views/default/admin/developers/preview.php: changed named from css
+ preview to theming preview
+
+ * documentation/css/preview/forms.php,
+ documentation/css/preview/general.php, documentation/css/preview/grid.php,
+ documentation/css/preview/icons.php, documentation/css/preview/nav.php,
+ documentation/css/preview/objects.php, documentation/css/preview/widgets.php:
+ better navigation for theming previews
+
+ * documentation/css/preview/grid.php, documentation/css/preview/icons.php,
+ js/lib/ui.js, views/default/css/elements/grid.php,
+ views/default/css/elements/icons.php,
+ views/default/css/elements/layout_objects.php,
+ views/default/css/elements/navigation.php, views/default/js/elgg.php,
+ views/default/profile/hover.php: cleaned up hover menu
+
+ * mod/blog/views/default/forms/blog/save.php,
+ views/default/css/elements/base.php, views/default/css/elements/chrome.php,
+ views/default/css/elements/core.php, views/default/css/elements/forms.php,
+ views/default/css/elements/helpers.php,
+ views/default/css/elements/layout.php,
+ views/default/css/elements/layout_objects.php,
+ views/default/css/elements/misc.php,
+ views/default/css/elements/page_layout.php,
+ views/default/css/elements/spacing.php,
+ views/default/css/elements/typography.php, views/default/css/screen.php,
+ views/default/page/elements/header_logo.php: getting back to the css - making
+ changes based on Evan's comments
+
+ * documentation/css/preview/forms.php,
+ documentation/css/preview/general.php, documentation/css/preview/grid.php,
+ documentation/css/preview/icons.php, documentation/css/preview/images.php,
+ documentation/css/preview/index.php, documentation/css/preview/nav.php,
+ documentation/css/preview/objects.php, documentation/css/preview/widgets.php:
+ cleaned up navigation of the css preview documentation
+
+ * mod/developers/start.php, .../views/default/admin/developers/preview.php,
+ mod/developers/views/default/developers/css.php: setting size for iframe for
+ css preview
+
+ * views/default/css/admin.php, views/default/layout/shells/admin.php:
+ removed unecessary inner div for .elgg-main because of switch back to
+ overflow: hidden
+
+2011-02-05 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/plugins.php: Fixed a problem with detecting conflicting plugin
+ versions. Not showing a stray = when versions aren't specified in conflicts
+ and requires.
+
+2011-02-04 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/admin.php, views/default/admin/components/plugin.php,
+ views/default/css/admin.php: Added support for screenshots in the advanced
+ plugin admin.
+
+ * engine/classes/ElggPlugin.php: Fixed typo that caused ElggPlugin->path not
+ to be correctly set when loading from a plugin id.
+
+ * documentation/examples/plugins/manifest.xml,
+ engine/classes/ElggPluginManifest.php, engine/classes/ElggPluginPackage.php,
+ engine/lib/plugins.php, engine/tests/api/plugins.php,
+ engine/tests/test_files/plugin_18/manifest.xml: Renamed 'name' to 'plugin'
+ for priority req. Updated unit tests and docs.
+
+ * documentation/examples/plugins/manifest.xml: Added priority requires to
+ example manifest.
+
+ * engine/lib/plugins.php, languages/en.php,
+ views/default/admin/components/plugin.php: Fixed problems showing detected
+ values for plugin conflicts. Clarified language for what the first value
+ column means.
+
+ * engine/classes/ElggMenuItem.php, engine/classes/ElggPlugin.php,
+ engine/classes/ElggPluginManifest.php, engine/classes/ElggPluginPackage.php,
+ engine/lib/plugins.php, languages/en.php,
+ .../admin/components/plugin_dependencies.php, views/default/css/admin.php:
+ Added new priority 'requires' for plugin dep system. You can now say that a
+ plugin is required to be after / before another plugin in the system.
+
+2011-02-04 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggData.php: I'm a dummy -- getType and getSubtype are
+ taken care of by Loggable...
+
+ * engine/classes/ElggData.php: Added type and subtype abstract functions to
+ ElggData
+
+2011-02-04 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php: Fixes #2822: Added missing language string.
+
+ * engine/classes/ElggPluginPackage.php, engine/lib/deprecated-1.8.php,
+ engine/lib/plugins.php: Fixes #2820, Fixes #2823. Using elgg_get_plugins() in
+ elgg_get_plugins_provides(). Fixed plugin conflicts system. Removed cruft
+ from ElggPluginPackage. Fixed deprecated get_installed_plugins() to honor the
+ type requested.
+
+2011-02-03 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/deprecated-1.8.php: Updated elgg_get_plugin_path in
+ load_plugin_manifest to elgg_get_plugins_path
+
+ * mod/profile/start.php, mod/sitepages/views/default/sitepages/css.php,
+ pages/dashboard.php, views/default/layout/shells/widgets.php: Changed widgets
+ layout "box" parameter to "content" just like the other layouts
+
+2011-02-03 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * documentation/css/preview/forms.php, install/ElggInstaller.php,
+ mod/blog/views/default/forms/blog/save.php,
+ mod/blog/views/default/input/datetime.php,
+ mod/blog/views/default/widgets/blog/edit.php,
+ mod/embed/views/default/embed/upload/content.php,
+ mod/file/views/default/widgets/filerepo/edit.php,
+ .../default/settings/garbagecollector/edit.php,
+ mod/groups/views/default/forms/discussion/save.php,
+ mod/groups/views/default/settings/groups/edit.php,
+ .../views/default/widgets/a_users_groups/edit.php,
+ .../views/default/settings/logrotate/edit.php,
+ mod/messages/views/default/forms/messages/send.php,
+ mod/pages/views/default/widgets/pages/edit.php,
+ .../views/default/widgets/reportedcontent/edit.php,
+ .../default/sitepages/forms/editfrontsimple.php,
+ .../views/default/widgets/tagcloud/edit.php,
+ .../views/default/settings/twitterservice/edit.php,
+ .../views/default/admin/users/unvalidated.php,
+ views/default/admin/plugins/advanced.php,
+ views/default/admin/site/advanced.php, views/default/admin/site/basic.php,
+ views/default/core/river/filter.php,
+ views/default/core/settings/account/language.php,
+ views/default/css/admin.php, views/default/forms/admin/menu/save.php,
+ views/default/input/access.php, views/default/input/dropdown.php,
+ views/default/input/pulldown.php, views/default/output/dropdown.php,
+ views/default/output/pulldown.php,
+ views/default/widgets/content_stats/edit.php,
+ views/default/widgets/friends/edit.php,
+ views/default/widgets/new_users/edit.php,
+ views/default/widgets/online_users/edit.php,
+ views/installation/input/access.php, views/installation/input/dropdown.php,
+ views/installation/input/pulldown.php: changed input/pulldown to
+ input/dropdown
+
+ * engine/lib/tags.php, engine/lib/views.php, engine/tests/ui/submenu.php,
+ mod/bookmarks/bookmarklet.php, mod/thewire/add.php, mod/thewire/everyone.php,
+ mod/thewire/index.php, pages/friends/edit.php,
+ .../layout/shells/one_column_with_sidebar.php: removed
+ one_column_with_sidebar layout - use one_sidebar instead
+
+ * engine/lib/views.php, mod/categories/views/default/categories.php,
+ mod/categories/views/default/categories/list.php,
+ .../views/default/sitepages/keywords/userlist.php: removed uses of
+ $vars[config] in views
+
+ * engine/lib/output.php, .../views/default/twitterservice/login.php,
+ .../views/default/admin/users/unvalidated.php: removes uses of $vars[url]
+ from core and plugins
+
+ * engine/lib/views.php: Fixes #2124 only setting $vars[user], $vars[url],
+ and $vars[config] in elgg_view() if not already set
+
+ * engine/classes/ElggPlugin.php, engine/classes/ElggPluginPackage.php,
+ engine/lib/configuration.php, engine/lib/plugins.php, mod/blog/start.php,
+ mod/categories/start.php, mod/defaultwidgets/start.php,
+ mod/developers/start.php, mod/diagnostics/start.php,
+ mod/file/actions/file/download.php, mod/file/start.php, mod/groups/icon.php,
+ mod/groups/start.php, mod/invitefriends/start.php,
+ mod/messageboard/start.php, mod/messages/start.php,
+ mod/notifications/start.php, mod/pages/start.php,
+ mod/reportedcontent/start.php, mod/sitepages/start.php,
+ mod/thewire/start.php, mod/twitterservice/start.php,
+ views/default/admin/components/plugin.php: changed elgg_get_plugin_path() to
+ elgg_get_plugins_path()
+
+ * engine/lib/configuration.php, mod/categories/start.php,
+ mod/defaultwidgets/start.php, mod/diagnostics/start.php,
+ mod/groups/start.php, mod/pages/start.php, mod/profile/start.php,
+ mod/search/start.php, mod/twitterservice/languages/en.php,
+ mod/twitterservice/twitterservice_lib.php,
+ .../default/usersettings/twitterservice/edit.php: Refs #2428 just a few more
+ CONFIG uses left to be replaced
+
+ * mod/categories/actions/save.php,
+ .../views/default/settings/categories/edit.php, mod/file/start.php,
+ mod/groups/actions/addtogroup.php, mod/groups/actions/delete.php,
+ mod/groups/actions/featured.php, mod/groups/actions/groupskillinvitation.php,
+ mod/groups/actions/groupskillrequest.php, mod/groups/actions/invite.php,
+ mod/groups/actions/join.php, mod/groups/actions/joinrequest.php,
+ mod/groups/actions/leave.php, mod/groups/icon.php,
+ mod/invitefriends/actions/invite.php,
+ .../views/default/invitefriends/formitems.php, mod/notifications/start.php,
+ mod/pages/start.php, mod/profile/icon.php, mod/profile/start.php,
+ mod/sitepages/sitepages_functions.php, mod/twitterservice/start.php,
+ mod/twitterservice/twitterservice_lib.php,
+ .../default/usersettings/twitterservice/edit.php,
+ mod/uservalidationbyemail/lib/functions.php: Refs #2428 almost done removing
+ CONFIG access - mostly plugin start.php left
+
+2011-02-03 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/bookmarks/actions/add.php, mod/bookmarks/actions/bookmarks/add.php,
+ mod/bookmarks/actions/bookmarks/delete.php,
+ mod/bookmarks/actions/bookmarks/edit.php, mod/bookmarks/actions/delete.php,
+ mod/bookmarks/actions/edit.php, mod/bookmarks/actions/reference.php,
+ mod/bookmarks/actions/remove.php, mod/bookmarks/bookmarklet.php,
+ mod/bookmarks/languages/en.php, mod/bookmarks/pages/add.php,
+ mod/bookmarks/pages/all.php, mod/bookmarks/pages/friends.php,
+ mod/bookmarks/pages/inbox.php, mod/bookmarks/pages/owner.php,
+ mod/bookmarks/pages/view.php, mod/bookmarks/start.php,
+ .../views/default/bookmarks/bookmarklet.php,
+ mod/bookmarks/views/default/bookmarks/css.php,
+ mod/bookmarks/views/default/bookmarks/form.php,
+ .../views/default/bookmarks/group_bookmarks.php,
+ .../default/bookmarks/groupprofile_bookmarks.php,
+ .../views/default/bookmarks/owner_block.php,
+ mod/bookmarks/views/default/bookmarks/sharing.php,
+ mod/bookmarks/views/default/bookmarks/stats.php,
+ mod/bookmarks/views/default/object/bookmarks.php,
+ .../default/river/object/bookmarks/annotate.php,
+ .../default/river/object/bookmarks/create.php,
+ .../views/default/widgets/bookmarks/view.php,
+ mod/bookmarks/views/rss/object/bookmarks.php: Refs #2680: First pass at
+ porting the 1.7 bookmarks to 1.8. Functional, but code is still messy.
+
+ * engine/lib/actions.php: Added more info to the @params documentation for
+ elgg_register_action.
+
+2011-02-03 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/admin/site/advanced.php, views/default/admin/site/basic.php,
+ views/default/core/account/login_box.php,
+ views/default/core/account/login_dropdown.php,
+ views/default/core/account/login_walled_garden.php,
+ .../core/settings/account/default_access.php,
+ views/default/core/settings/account/language.php,
+ views/default/forms/login.php, views/default/input/button.php,
+ views/default/js/initialize_elgg.php,
+ views/default/page/elements/html_begin.php,
+ views/default/page/elements/html_end.php,
+ views/failsafe/messages/exceptions/exception.php,
+ views/opendd/messages/exceptions/exception.php,
+ views/rss/page/shells/default.php,
+ views/xml/messages/exceptions/exception.php: Refs #2428 removed some uses of
+ CONFIG in the views
+
+ * views/default/css/elements/navigation.php,
+ views/default/navigation/pagination.php: Fixes #2802 put state class on list
+ element rather than span
+
+ * install/ElggInstaller.php: fixes #2784 for real this time
+
+ * install/ElggInstaller.php: Fixes #2784 #2842 installer uses new plugin
+ system now
+
+2011-02-02 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/manifest.xml, mod/bookmarks/manifest.xml,
+ mod/defaultwidgets/manifest.xml, mod/embed/manifest.xml,
+ mod/garbagecollector/manifest.xml, mod/groups/manifest.xml,
+ mod/htmlawed/manifest.xml, mod/logbrowser/manifest.xml,
+ mod/logrotate/manifest.xml, mod/messageboard/manifest.xml,
+ mod/messages/manifest.xml, mod/notifications/manifest.xml,
+ mod/reportedcontent/manifest.xml, mod/search/manifest.xml,
+ mod/tinymce/manifest.xml, mod/uservalidationbyemail/manifest.xml,
+ mod/zaudio/manifest.xml: updated the plugin manifest files to use the new
+ variable name for activation on installation
+
+2011-02-02 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/views.php, views/default/river/item.php: Fixes #2846:
+ elgg_view_river_item calls river/item view first.
+
+ * views/default/core/river/body.php: Adding quotes to "constant" item
+
+2011-02-02 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/river.php: Fixes #2844 setting table for access_id to fix fatal
+ error
+
+ * mod/groups/actions/edit.php, mod/groups/start.php,
+ mod/groups/views/default/forms/groups/edit.php: moved name out of
+ configurable fields for a group
+
+ * mod/groups/views/default/forms/groups/edit.php,
+ mod/groups/views/default/groups/edit.php: more clean up of the group edit
+ form
+
+ * mod/groups/lib/groups.php, mod/groups/start.php,
+ mod/groups/views/default/forms/groups/edit.php,
+ mod/groups/views/default/groups/edit.php: a little clean up of the groups
+ edit form
+
+ * .../layout/shells/two_column_left_sidebar.php,
+ views/default/page/shells/default.php: backward compatibility code for
+ plugins that add admin pages
+
+ * languages/en.php: added a string from 1.7 profile plugin into core for
+ backward compatibility
+
+2011-02-01 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page/elements/html_begin.php: Puts css before js in the
+ head, per website performance best practices
+
+ * engine/lib/views.php: Initialize global $CURRENT_SYSTEM_VIEWTYPE correctly
+
+ * engine/lib/deprecated-1.7.php, engine/lib/deprecated-1.8.php,
+ engine/lib/sessions.php, engine/lib/sites.php, engine/lib/tags.php,
+ engine/lib/users.php, engine/lib/usersettings.php, engine/lib/views.php:
+ Moved deprecated files from sessions.php - xml.php into deprecation files for
+ 1.7 and 1.8
+
+ * engine/lib/deprecated-1.7.php, engine/lib/deprecated-1.8.php,
+ engine/lib/group.php, engine/lib/location.php, engine/lib/metadata.php,
+ engine/lib/navigation.php, engine/lib/objects.php, engine/lib/output.php,
+ engine/lib/pageowner.php, engine/lib/plugins.php,
+ engine/lib/private_settings.php, engine/lib/relationships.php,
+ engine/lib/river.php: Moved functions from export.php - river.php into
+ deprecated files
+
+ * engine/lib/access.php, engine/lib/actions.php, engine/lib/admin.php,
+ engine/lib/annotations.php, engine/lib/deprecated-1.7.php,
+ engine/lib/deprecated-1.8.php, engine/lib/elgglib.php,
+ engine/lib/entities.php, engine/start.php: Moving deprecated functions out of
+ files access.php - entities.php. This time into two files based on version
+ deprecated and maintaining documentation
+
+ * engine/lib/access.php, engine/lib/actions.php, engine/lib/admin.php,
+ engine/lib/annotations.php, engine/lib/deprecated.php,
+ engine/lib/elgglib.php, engine/lib/entities.php, engine/lib/group.php,
+ engine/lib/install.php, engine/lib/location.php, engine/lib/mb_wrapper.php,
+ engine/lib/metadata.php, engine/lib/metastrings.php,
+ engine/lib/navigation.php, engine/lib/objects.php, engine/lib/output.php,
+ engine/lib/pageowner.php, engine/lib/plugins.php,
+ engine/lib/private_settings.php: Reversing 7975
+
+ * engine/lib/access.php, engine/lib/actions.php, engine/lib/admin.php,
+ engine/lib/annotations.php, engine/lib/deprecated.php,
+ engine/lib/elgglib.php, engine/lib/entities.php, engine/lib/group.php,
+ engine/lib/install.php, engine/lib/location.php, engine/lib/mb_wrapper.php,
+ engine/lib/metadata.php, engine/lib/metastrings.php,
+ engine/lib/navigation.php, engine/lib/objects.php, engine/lib/output.php,
+ engine/lib/pageowner.php, engine/lib/plugins.php,
+ engine/lib/private_settings.php, engine/start.php: Refs #2839: moved
+ deprecated functions from access.php - private_settings.php to
+ deprecated.php. Still have to do relationships.php - xml.php. Deleted
+ documentation for these functions since 1) it was using up precious bytes,
+ and 2) documentation should not be needed since people should not be trying
+ to use these if they are using 1.8, and 3) I assume the documentation will
+ exist as archived 1.7 docs.
+
+2011-01-29 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/views/default/blog/group_module.php,
+ mod/file/views/default/file/group_module.php,
+ .../views/default/discussion/group_module.php,
+ mod/groups/views/default/groups/css.php,
+ .../default/groups/profile/activity_module.php,
+ mod/pages/views/default/pages/group_module.php,
+ views/default/css/elements/core.php: fixed css for group module headers
+
+ * engine/lib/output.php: supporting 'javascript:' when normalizing urls
+
+ * engine/lib/pageowner.php: fixed typo in deprecation notice
+
+ * .../layout/shells/two_column_left_sidebar.php: made
+ two_column_left_sidebar layout also handle $vars['content']
+
+ * engine/lib/navigation.php: accidently removed the site menu set up
+ function
+
+2011-01-27 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/views/default/blog/sidebar/revisions.php,
+ mod/blog/views/default/forms/blog/save.php,
+ mod/pages/views/default/annotation/page.php,
+ views/default/annotation/default.php,
+ views/default/annotation/generic_comment.php,
+ views/default/annotation/likes.php, views/default/css/admin.php,
+ views/default/css/elements/core.php,
+ views/default/layout/objects/list/body.php: introducing elgg-subtext as
+ replacement for elgg-list-subtitle and elgg-subtitle
+
+ * engine/lib/elgglib.php, engine/lib/navigation.php,
+ engine/tests/ui/submenu.php, views/default/layout/elements/sidebar.php,
+ views/default/layout/elements/title.php,
+ views/default/navigation/sidebar_menu.php,
+ views/default/navigation/submenu_group.php,
+ views/default/navigation/submenu_item.php,
+ views/default/navigation/submenu_js.php: removed menu code introduced for
+ elgg.com that did not make it into 1.8
+
+ * views/default/annotation/default.php: using the new image block rather
+ than deprecated listing view
+
+ * views/default/navigation/tabs.php: supporting adding a class to navigation
+ tabs
+
+2011-01-26 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/admin/statistics/overview.php: updated the overview
+ statistics page
+
+ * engine/lib/group.php, engine/lib/pageowner.php, engine/lib/users.php,
+ engine/lib/widgets.php, mod/blog/views/default/blog/group_module.php,
+ mod/blog/views/default/blog/sidebar/archives.php,
+ mod/blog/views/default/blog/sidebar/tagcloud.php,
+ mod/blog/views/default/widgets/blog/content.php,
+ mod/bookmarks/bookmarklet.php, mod/bookmarks/start.php,
+ .../views/default/bookmarks/bookmarklet.php,
+ mod/bookmarks/views/default/bookmarks/form.php,
+ .../views/default/bookmarks/group_bookmarks.php,
+ .../views/default/widgets/bookmarks/view.php,
+ .../views/default/defaultwidgets/editor.php, mod/file/friends.php,
+ mod/file/index.php, mod/file/search.php, mod/file/upload.php,
+ mod/file/view.php, mod/file/views/default/file/group_module.php,
+ .../views/default/widgets/filerepo/content.php, mod/groups/lib/groups.php,
+ .../default/widgets/a_users_groups/content.php, mod/messageboard/index.php,
+ .../views/default/widgets/messageboard/content.php, mod/messages/inbox.php,
+ mod/messages/read.php, mod/messages/sent.php, mod/pages/friends.php,
+ mod/pages/index.php, mod/pages/revision.php, mod/pages/view.php,
+ mod/pages/views/default/pages/group_module.php,
+ .../views/default/pages/sidebar/navigation.php,
+ mod/pages/views/default/widgets/pages/content.php, mod/profile/icon.php,
+ mod/profile/views/default/profile/details.php,
+ mod/profile/views/default/profile/metatags.php,
+ mod/profile/views/default/profile/owner_block.php, mod/thewire/index.php,
+ .../views/default/widgets/thewire/content.php, pages/avatar/edit.php,
+ pages/avatar/view.php, pages/friends/index.php, pages/friends/of.php,
+ pages/friends/pickercallback.php, pages/profile/edit.php,
+ pages/settings/account.php, pages/settings/statistics.php,
+ pages/settings/tools.php, .../core/settings/account/default_access.php,
+ views/default/core/settings/account/email.php,
+ views/default/core/settings/account/language.php,
+ views/default/core/settings/account/name.php,
+ views/default/core/settings/account/password.php,
+ views/default/js/initialize_elgg.php,
+ views/default/layout/elements/owner_block.php,
+ views/default/layout/shells/content/header.php,
+ views/default/layout/shells/widgets.php,
+ views/default/page/elements/content_header.php,
+ .../page/elements/content_header_member.php,
+ views/foaf/page/shells/default.php: changed elgg_get_page_owner() to
+ elgg_get_page_owner_entity() to be more consistent
+
+ * mod/file/languages/en.php: updated language file for file plugin due to
+ change in groups
+
+ * mod/groups/languages/en.php, mod/groups/start.php,
+ mod/groups/views/default/groups/css.php,
+ .../default/groups/profile/activity_module.php,
+ .../default/groups/profile/activity_widget.php,
+ .../views/default/groups/profile/widgets.php: adding activity module to
+ groups
+
+ * views/default/css/admin.php: going back to overflow:hidden on elgg-body -
+ too many issues with display: table-cell
+
+ * languages/en.php, views/default/css/admin.php,
+ views/default/widgets/content_stats/content.php,
+ views/default/widgets/content_stats/edit.php,
+ views/default/widgets/friends/edit.php,
+ views/default/widgets/new_users/edit.php,
+ views/default/widgets/online_users/edit.php: added edit views for admin
+ widgets
+
+ * mod/ecml/README.txt, mod/ecml/actions/save_permissions.php,
+ mod/ecml/ecml_functions.php, mod/ecml/graphics/dailymotion.png,
+ mod/ecml/graphics/ecml.png, mod/ecml/graphics/googlemaps.png,
+ mod/ecml/graphics/logo_bliptv.gif, mod/ecml/graphics/logo_dailymotion.gif,
+ mod/ecml/graphics/logo_googlemaps.gif, mod/ecml/graphics/logo_livevideo.gif,
+ mod/ecml/graphics/logo_redlasso.gif, mod/ecml/graphics/logo_slideshare.gif,
+ mod/ecml/graphics/logo_vimeo.gif, mod/ecml/graphics/logo_youtube.gif,
+ mod/ecml/graphics/redlasso_1.png, mod/ecml/graphics/redlasso_2.png,
+ mod/ecml/graphics/slideshare.png, mod/ecml/graphics/url_status.png,
+ mod/ecml/graphics/vimeo.png, mod/ecml/graphics/youtube.png,
+ mod/ecml/languages/en.php, mod/ecml/manifest.xml, mod/ecml/start.php,
+ mod/ecml/views/default/ecml/admin/css.php,
+ mod/ecml/views/default/ecml/help.php,
+ mod/ecml/views/default/ecml/help/blip.tv.php,
+ mod/ecml/views/default/ecml/help/dailymotion.php,
+ mod/ecml/views/default/ecml/help/googlemaps.php,
+ mod/ecml/views/default/ecml/help/livevideo.php,
+ mod/ecml/views/default/ecml/help/redlasso.php,
+ mod/ecml/views/default/ecml/help/slideshare.php,
+ mod/ecml/views/default/ecml/help/vimeo.php,
+ mod/ecml/views/default/ecml/help/youtube.php,
+ mod/ecml/views/default/ecml/input_ext.php,
+ mod/ecml/views/default/ecml/keyword_help.php,
+ mod/ecml/views/default/ecml/keywords/blip.tv.php,
+ .../views/default/ecml/keywords/dailymotion.php,
+ mod/ecml/views/default/ecml/keywords/entity.php,
+ .../views/default/ecml/keywords/googlemaps.php,
+ mod/ecml/views/default/ecml/keywords/livevideo.php,
+ mod/ecml/views/default/ecml/keywords/redlasso.php,
+ .../views/default/ecml/keywords/slideshare.php,
+ mod/ecml/views/default/ecml/keywords/user_list.php,
+ mod/ecml/views/default/ecml/keywords/vimeo.php,
+ mod/ecml/views/default/ecml/keywords/youtube.php,
+ .../views/default/embed/web_services/content.php,
+ mod/ecml/views/default/settings/ecml/edit.php: moving ecml to plugins
+ repository as it won't be a part of 1.8.0. It will be back though...
+
+ * views/default/css/admin.php, views/default/css/elements/navigation.php,
+ views/default/css/elements/reset.php,
+ views/default/navigation/menu/elements/item.php,
+ views/default/navigation/pagination.php, views/default/navigation/tabs.php:
+ adding elgg-state-* css elements
+
+ * engine/lib/views.php: fixed bug where a null passed as 2nd parameter to
+ elgg_view_layout() prevented backward compatibility
+
+ * js/lib/ui.widgets.js: one too many parents in the widget collapse code
+
+ * mod/ecml/views/default/ecml/input_ext.php,
+ mod/embed/views/default/embed/link.php, views/default/css/admin.php,
+ views/default/css/elements/forms.php: namespaced longtext-control
+
+ * mod/tinymce/graphics/ed-bg.gif, mod/tinymce/graphics/example.gif,
+ mod/tinymce/graphics/more.gif, mod/tinymce/views/default/input/longtext.php,
+ mod/tinymce/views/default/tinymce/css.php,
+ mod/tinymce/views/default/tinymce/init.php: cleaned up tinymce plugin
+
+ * mod/reportedcontent/start.php, .../views/default/reportedcontent/form.php,
+ .../views/default/reportedcontent/user_report.php,
+ .../default/widgets/reportedcontent/content.php,
+ .../views/default/widgets/reportedcontent/edit.php: added reported content
+ widget
+
+ * mod/reportedcontent/languages/en.php, mod/reportedcontent/start.php,
+ .../default/admin/utilities/reportedcontent.php,
+ .../views/default/object/reported_content.php,
+ .../views/default/reportedcontent/admin_css.php, views/default/css/admin.php:
+ adding margin to admin buttons
+
+ * .../graphics/sign_in_with_twitter.gif,
+ mod/twitterservice/languages/en.php, mod/twitterservice/manifest.xml,
+ mod/twitterservice/start.php, mod/twitterservice/twitterservice_lib.php,
+ mod/twitterservice/vendors/twitteroauth/LICENSE,
+ mod/twitterservice/vendors/twitteroauth/OAuth.php,
+ mod/twitterservice/vendors/twitteroauth/README,
+ .../vendors/twitteroauth/twitterOAuth.php,
+ .../views/default/settings/twitterservice/edit.php,
+ .../views/default/twitterservice/css.php,
+ .../views/default/twitterservice/login.php,
+ .../views/default/twitterservice/metatags.php,
+ .../default/usersettings/twitterservice/edit.php: moved twitterservice into
+ core
+
+2011-01-25 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css/elements/chrome.php,
+ views/default/css/elements/core.php, views/default/css/elements/icons.php,
+ views/default/css/elements/navigation.php,
+ views/default/layout/objects/gallery.php,
+ views/default/layout/objects/list.php: light clean-up of the css
+
+ * js/lib/ui.widgets.js, pages/dashboard.php,
+ views/default/admin/dashboard.php, views/default/css/admin.php,
+ views/default/css/elements/core.php, views/default/layout/objects/widget.php,
+ views/default/layout/objects/widget/controls.php,
+ views/default/layout/objects/widget/settings.php,
+ views/default/layout/shells/widgets.php,
+ views/default/layout/shells/widgets/add_button.php,
+ views/default/layout/shells/widgets/add_panel.php: converted widgets to
+ modules
+
+ * engine/lib/views.php: added support for $vars to elgg_view_entity() - need
+ to clean up documentation and deprecate old usage
+
+2011-01-24 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/admin.php, engine/lib/widgets.php, languages/en.php,
+ mod/developers/languages/en.php, mod/developers/start.php,
+ mod/thewire/start.php, views/default/admin/dashboard.php,
+ views/default/admin/overview/statistics.php,
+ views/default/admin/statistics/overview.php, views/default/css/admin.php,
+ views/default/layout/shells/widgets.php,
+ views/default/layout/shells/widgets/add_panel.php,
+ views/default/navigation/menu/elements/item.php,
+ views/default/widgets/content_stats/content.php,
+ views/default/widgets/new_users/content.php,
+ views/default/widgets/online_users/content.php: welcome to the admin
+ dashboard
+
+2011-01-23 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php, mod/logbrowser/start.php,
+ .../views/default/admin/utilities/logbrowser.php,
+ mod/logbrowser/views/default/logbrowser/css.php,
+ mod/logbrowser/views/default/logbrowser/form.php,
+ mod/logbrowser/views/default/logbrowser/table.php,
+ views/default/admin/appearance/menu_items.php,
+ views/default/admin/appearance/profile_fields.php,
+ views/default/admin/components/plugin_settings.php,
+ views/default/admin/overview/statistics.php,
+ views/default/admin/users/newest.php, views/default/admin/users/online.php,
+ views/default/css/admin.php, views/default/forms/admin/menu/save.php,
+ views/default/forms/profile/fields/add.php, views/default/forms/useradd.php,
+ views/default/layout/shells/admin.php,
+ views/default/layout/shells/admin/menu.php: updated more of the admin backend
+ to use new CSS/HTML
+
+ * views/default/admin/overview/statistics.php, views/default/css/admin.php:
+ starting to remove the old HTML/CSS in the admin backend
+
+ * views/default/css/admin.php, views/default/layout/shells/admin.php,
+ views/default/layout/shells/admin/menu.php: take two of the admin header and
+ sidebar menu - sidebar menu still needs plenty of work
+
+ * views/default/layout/objects/module.php: default elgg-inner to false in
+ module
+
+ * engine/lib/group.php, mod/groups/start.php: Refs #2557 merged fix into
+ trunk from 1.7 branch
+
+ * engine/lib/database.php, engine/lib/entities.php,
+ engine/lib/metastrings.php, engine/lib/notification.php, engine/lib/pam.php,
+ engine/lib/users.php, engine/lib/web_services.php, engine/lib/xml-rpc.php:
+ Refs #2804 #2805 pulling changes in trunk from 1.7 branch
+
+ * languages/en.php: forgot these language strings in the admin theme update
+
+ * views/default/css/admin.php, views/default/layout/shells/admin.php:
+ playing around a little with the admin theme
+
+2011-01-22 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ErrorResult.php: Fixes #2586 ErrorResult has PHP5
+ constructor now
+
+ * engine/lib/web_services.php: missed check for null parameters in web
+ services parameter code
+
+ * engine/lib/web_services.php: Fixes #2548 do not need include_post_data()
+ because of changes to rewrite rules
+
+ * engine/lib/sessions.php, engine/lib/web_services.php,
+ mod/uservalidationbyemail/start.php: testing for the existence of username in
+ pam credentials before using
+
+ * mod/groups/languages/en.php, mod/groups/lib/groups.php,
+ mod/groups/start.php, .../views/default/groups/sidebar/members.php: Fixes
+ #2295 added a group member list page
+
+ * views/default/css/elements/base.php,
+ .../layout/shells/one_column_with_sidebar.php: css now provides center for
+ text and centered for divs
+
+ * mod/pages/history.php, mod/pages/images/application.png,
+ mod/pages/images/code.png, mod/pages/images/css.png, mod/pages/images/db.png,
+ mod/pages/images/directory.png, mod/pages/images/doc.png,
+ mod/pages/images/file.gif, mod/pages/images/file.png,
+ mod/pages/images/film.png, mod/pages/images/flash.png,
+ mod/pages/images/folder-closed.gif, mod/pages/images/folder.gif,
+ mod/pages/images/folder_open.png, mod/pages/images/html.png,
+ mod/pages/images/java.png, mod/pages/images/linux.png,
+ mod/pages/images/minus.gif, mod/pages/images/music.png,
+ mod/pages/images/pdf.png, mod/pages/images/php.png,
+ mod/pages/images/picture.png, mod/pages/images/plus.gif,
+ mod/pages/images/ppt.png, mod/pages/images/psd.png,
+ mod/pages/images/ruby.png, mod/pages/images/script.png,
+ mod/pages/images/spinner.gif, mod/pages/images/treeview-black-line.gif,
+ mod/pages/images/treeview-black.gif,
+ mod/pages/images/treeview-default-line.gif,
+ mod/pages/images/treeview-default.gif,
+ mod/pages/images/treeview-famfamfam-line.gif,
+ mod/pages/images/treeview-famfamfam.gif,
+ mod/pages/images/treeview-gray-line.gif, mod/pages/images/treeview-gray.gif,
+ mod/pages/images/treeview-red-line.gif, mod/pages/images/treeview-red.gif,
+ mod/pages/images/txt.png, mod/pages/images/xls.png, mod/pages/images/zip.png,
+ mod/pages/index.php, mod/pages/javascript/jquery.treeview.async.js,
+ mod/pages/javascript/jquery.treeview.js, mod/pages/lib/pages.php,
+ mod/pages/new.php, mod/pages/pagesTree.php, mod/pages/revision.php,
+ mod/pages/start.php, mod/pages/vendors/jquery-treeview/.gitignore,
+ mod/pages/vendors/jquery-treeview/README.md,
+ mod/pages/vendors/jquery-treeview/changelog.txt,
+ mod/pages/vendors/jquery-treeview/demo/async.html,
+ mod/pages/vendors/jquery-treeview/demo/bg.gif,
+ mod/pages/vendors/jquery-treeview/demo/demo.js,
+ mod/pages/vendors/jquery-treeview/demo/edit.html,
+ mod/pages/vendors/jquery-treeview/demo/images.html,
+ mod/pages/vendors/jquery-treeview/demo/index.html,
+ mod/pages/vendors/jquery-treeview/demo/large.html,
+ .../vendors/jquery-treeview/demo/prerendered.html,
+ mod/pages/vendors/jquery-treeview/demo/screen.css,
+ mod/pages/vendors/jquery-treeview/demo/simple.html,
+ .../vendors/jquery-treeview/demo/sortable.html,
+ mod/pages/vendors/jquery-treeview/demo/source.php,
+ mod/pages/vendors/jquery-treeview/demo/source.phps,
+ .../vendors/jquery-treeview/images/ajax-loader.gif,
+ mod/pages/vendors/jquery-treeview/images/file.gif,
+ .../jquery-treeview/images/folder-closed.gif,
+ .../vendors/jquery-treeview/images/folder.gif,
+ mod/pages/vendors/jquery-treeview/images/minus.gif,
+ mod/pages/vendors/jquery-treeview/images/plus.gif,
+ .../jquery-treeview/images/treeview-black-line.gif,
+ .../jquery-treeview/images/treeview-black.gif,
+ .../images/treeview-default-line.gif,
+ .../jquery-treeview/images/treeview-default.gif,
+ .../images/treeview-famfamfam-line.gif,
+ .../jquery-treeview/images/treeview-famfamfam.gif,
+ .../jquery-treeview/images/treeview-gray-line.gif,
+ .../jquery-treeview/images/treeview-gray.gif,
+ .../jquery-treeview/images/treeview-red-line.gif,
+ .../jquery-treeview/images/treeview-red.gif,
+ .../jquery-treeview/jquery.treeview.async.js,
+ .../vendors/jquery-treeview/jquery.treeview.css,
+ .../jquery-treeview/jquery.treeview.edit.js,
+ .../vendors/jquery-treeview/jquery.treeview.js,
+ .../vendors/jquery-treeview/jquery.treeview.min.js,
+ .../jquery-treeview/jquery.treeview.sortable.js,
+ .../vendors/jquery-treeview/lib/jquery.cookie.js,
+ mod/pages/vendors/jquery-treeview/lib/jquery.js,
+ mod/pages/vendors/jquery-treeview/todo, mod/pages/view.php,
+ mod/pages/views/default/pages/css.php,
+ mod/pages/views/default/pages/metatags.php,
+ mod/pages/views/default/pages/sidebar/history.php,
+ .../views/default/pages/sidebar/navigation.php,
+ .../views/default/pages/sidebar/sidebarthis.php,
+ mod/pages/views/default/pages/sidebar/starter.php,
+ mod/pages/views/default/pages/sidebar/tree.php,
+ mod/pages/views/default/pages/sidebar/wrapper.php: finished the first pass on
+ the pages plugin for using the new HTML/CSS
+
+ * views/default/layout/shells/content/header.php: this time got the guid
+ correct for the add button
+
+ * views/default/css/elements/navigation.php: limiting the +/- additions to
+ page menus
+
+ * js/lib/ui.js: limit the collapsible menus to page menus
+
+ * actions/widgets/add.php: making sure context is set correctly when adding
+ a widget
+
+ * views/default/layout/shells/content/header.php: fixed add link for all
+ site content pages
+
+ * mod/pages/actions/pages/edit.php, mod/pages/edit.php,
+ mod/pages/friends.php, mod/pages/history.php, mod/pages/index.php,
+ mod/pages/languages/en.php, mod/pages/lib/pages.php, mod/pages/new.php,
+ mod/pages/revision.php, mod/pages/start.php,
+ mod/pages/views/default/annotation/icon.php,
+ mod/pages/views/default/annotation/page.php,
+ mod/pages/views/default/forms/pages/edit.php,
+ mod/pages/views/default/object/page.php,
+ mod/pages/views/default/object/page_top.php,
+ mod/pages/views/default/pages/css.php,
+ mod/pages/views/default/pages/group_module.php,
+ .../views/default/pages/groupprofile_pages.php,
+ mod/pages/views/default/pages/icon.php,
+ mod/pages/views/default/pages/pagegallery.php,
+ mod/pages/views/default/pages/pagelisting.php,
+ mod/pages/views/default/pages/pageprofile.php,
+ .../views/default/pages/sidebar/navigation.php,
+ mod/pages/views/default/widgets/pages/content.php,
+ mod/pages/views/default/widgets/pages/edit.php, mod/pages/world.php: more
+ clean up of the pages plugin to use new HTML/CSS
+
+ * mod/blog/views/default/object/blog.php: using list metadata view rather
+ than custom code
+
+ * engine/classes/ElggPlugin.php: fixed bug with plugin settings because of
+ assignment operator confusion
+
+ * engine/lib/private_settings.php: do not need {} around quoted variables
+ surrounded by quotes
+
+ * engine/classes/ElggPlugin.php: Fixes #2812 - correct check for guid now
+
+ * engine/classes/ElggPlugin.php: fixed backward compatibility issue with
+ $CONFIG in plugins' start.php
+
+ * engine/lib/navigation.php: deprecated add_menu() and made it compatible
+ with 1.8
+
+ * .../layout/shells/two_column_left_sidebar.php: added the
+ two_column_left_sidebar layout back so that plugins from 1.7 work with 1.8
+
+2011-01-21 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css/admin.php, views/default/css/elements/base.php,
+ views/default/css/elements/chrome.php, views/default/css/elements/core.php,
+ views/default/css/elements/forms.php, views/default/css/elements/grid.php,
+ views/default/css/elements/icons.php, views/default/css/elements/layout.php,
+ views/default/css/elements/misc.php,
+ views/default/css/elements/navigation.php,
+ views/default/css/elements/reset.php, views/default/css/elements/spacing.php,
+ views/default/css/elements/typography.php: Made a thorough pass over the css.
+ Included todo's throughout to track what still needs to be done.
+
+2011-01-20 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/layout/objects/list/metadata.php: moved likes to end of
+ metadata and added a links parameter
+
+ * views/default/layout/shells/content/header.php: using page owner rather
+ than owner for better support for groups
+
+ * engine/lib/views.php: adding 'action' to url when overriding the form
+ action
+
+2011-01-15 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/messages/actions/delete.php, mod/messages/actions/messages/delete.php,
+ mod/messages/actions/messages/process.php,
+ mod/messages/actions/messages/send.php, mod/messages/actions/send.php,
+ mod/messages/inbox.php, mod/messages/index.php,
+ mod/messages/languages/en.php, mod/messages/lib/messages.php,
+ mod/messages/read.php, mod/messages/readme.txt, mod/messages/send.php,
+ mod/messages/sent.php, mod/messages/start.php,
+ .../views/default/forms/messages/process.php,
+ .../views/default/forms/messages/reply.php,
+ mod/messages/views/default/forms/messages/send.php,
+ mod/messages/views/default/messages/css.php,
+ .../views/default/messages/forms/reply.php,
+ mod/messages/views/default/messages/forms/send.php,
+ mod/messages/views/default/messages/forms/view.php,
+ mod/messages/views/default/messages/menu.php,
+ mod/messages/views/default/messages/messages.php,
+ mod/messages/views/default/messages/topbar.php,
+ mod/messages/views/default/messages/view.php,
+ mod/messages/views/default/object/messages.php: Updated the messages plugin
+ to use the new CSS/HTML
+
+ * mod/pages/actions/pages/editwelcome.php, mod/pages/edit.php,
+ mod/pages/friends.php, mod/pages/history.php, mod/pages/index.php,
+ mod/pages/languages/en.php, mod/pages/lib/pages.php, mod/pages/new.php,
+ mod/pages/start.php, mod/pages/view.php,
+ .../views/default/forms/pages/editwelcome.php,
+ mod/pages/views/default/object/page_top.php,
+ mod/pages/views/default/pages/breadcrumbs.php,
+ mod/pages/views/default/pages/welcome.php, mod/pages/welcome.php,
+ mod/pages/world.php: pages plugin using new layout - still need to get
+ subpages working and navigation
+
+2011-01-14 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/handlers/export_handler.php, index.php,
+ mod/reportedcontent/start.php, mod/sitepages/sitepages_functions.php,
+ mod/tagcloud/tagcloud.php, pages/friends/add.php,
+ pages/friends/collections.php: changed layout on pages to one_sidebar
+
+ * mod/search/index.php, mod/search/views/default/search/layout.php,
+ mod/search/views/default/search/no_results.php: using new menu system and
+ page layouts in search
+
+ * mod/groups/graphics/icon.php, mod/groups/icon.php,
+ mod/groups/languages/en.php, mod/groups/lib/discussion.php,
+ mod/groups/start.php, .../views/default/discussion/group_module.php,
+ mod/groups/views/default/forum/maintopic.php,
+ mod/groups/views/default/forum/topics.php,
+ mod/groups/views/default/forum/viewposts.php,
+ .../views/default/groups/profile/forum_widget.php,
+ .../views/default/groups/profile/widgets.php,
+ .../views/default/object/groupforumtopic.php: finished discussion rewrite to
+ use new html/css
+
+2011-01-13 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/actions/discussion/delete.php,
+ mod/groups/actions/discussion/save.php,
+ mod/groups/actions/forums/addtopic.php,
+ mod/groups/actions/forums/deletetopic.php,
+ mod/groups/actions/forums/edittopic.php, mod/groups/addtopic.php,
+ mod/groups/edittopic.php, mod/groups/forum.php, mod/groups/languages/en.php,
+ mod/groups/lib/discussion.php, mod/groups/start.php,
+ mod/groups/topicposts.php,
+ mod/groups/views/default/forms/discussion/save.php,
+ mod/groups/views/default/forms/forums/addtopic.php,
+ .../views/default/forms/forums/edittopic.php,
+ mod/groups/views/default/forum/maintopic.php,
+ mod/groups/views/default/forum/viewposts.php,
+ .../views/default/groups/group_sort_menu.php,
+ .../views/default/groups/profile/forum_widget.php,
+ .../views/default/object/groupforumtopic.php: discussion topics using new
+ page handler
+
+ * mod/developers/languages/en.php, mod/developers/manifest.xml,
+ mod/developers/start.php, .../views/default/admin/developers/preview.php:
+ added the beginnings of a core developers tool plugin
+
+ * documentation/css/preview/general.php, documentation/css/preview/grid.php,
+ documentation/css/preview/images.php, documentation/css/preview/index.php,
+ documentation/css/preview/nav.php, documentation/css/preview/objects.php,
+ documentation/css/preview/widgets.php: Added images to css preview and
+ removed the page centering
+
+ * engine/lib/river.php: Fixes #2402 adds 'add' 'river' plugin hook
+
+ * actions/profile/edit.php: Fixes #2372 fixed the entity included in profile
+ update event
+
+ * engine/tests/suite.php: Refs #2757 merged fix into trunk
+
+ * mod/twitter/languages/en.php, mod/twitter/start.php: Refs #2791 merged fix
+ into trunk
+
+2011-01-10 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/layout/objects/list/body.php: Small typo in comment
+
+2011-01-10 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/invite.php, mod/groups/lib/groups.php,
+ mod/groups/membershipreq.php, mod/groups/start.php,
+ .../views/default/groups/profile/buttons.php: finished moving the group pages
+ to library - forum pages next
+
+ * mod/groups/activity.php, mod/groups/all.php, mod/groups/edit.php,
+ mod/groups/groupprofile.php, mod/groups/index.php,
+ mod/groups/invitations.php, mod/groups/languages/en.php,
+ mod/groups/lib/groups.php, mod/groups/membership.php, mod/groups/new.php,
+ mod/groups/start.php, .../views/default/groups/group_sort_menu.php,
+ .../views/default/groups/profile/buttons.php: moved most of the groups pages
+ into its library
+
+2011-01-08 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/admin.php, mod/diagnostics/languages/en.php,
+ mod/logbrowser/languages/en.php,
+ .../views/default/admin/utilities/logbrowser.php: finished the admin title
+ modifications
+
+ * engine/lib/system_log.php: Fixed problem where system log code would crash
+ due to new plugin code
+
+ * engine/lib/admin.php, languages/en.php, mod/defaultwidgets/start.php,
+ .../views/default/admin/utilities/diagnostics.php,
+ mod/uservalidationbyemail/languages/en.php,
+ views/default/admin/appearance/menu_items.php,
+ views/default/admin/appearance/profile_fields.php,
+ views/default/admin/overview/numentities.php,
+ views/default/admin/overview/online.php,
+ views/default/admin/overview/statistics.php,
+ views/default/admin/plugins/advanced.php,
+ views/default/admin/plugins/simple.php,
+ views/default/admin/site/advanced.php, views/default/admin/site/basic.php,
+ views/default/admin/users/add.php, views/default/admin/users/newest.php,
+ views/default/admin/users/online.php, views/default/layout/shells/admin.php,
+ views/default/layout/shells/administration.php: drying up the title creation
+ in the admin backend
+
+ * mod/groups/all.php, mod/groups/languages/en.php,
+ mod/groups/views/default/group/default.php,
+ mod/groups/views/default/groups/css.php,
+ mod/groups/views/default/groups/featured.php,
+ mod/groups/views/default/groups/find.php,
+ mod/groups/views/default/groups/grouplisting.php,
+ .../views/default/groups/sidebar/featured.php,
+ mod/groups/views/default/groups/sidebar/find.php,
+ .../views/default/icon/group/default/large.php,
+ .../views/default/icon/group/default/medium.php,
+ .../views/default/icon/group/default/small.php,
+ .../views/default/icon/group/default/tiny.php,
+ .../views/default/object/groupforumtopic.php,
+ mod/groups/views/default/settings/groups/edit.php: cleanup of the groups
+ plugin views
+
+2011-01-07 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/installation/canvas/default.php: elgg_view_layout is not used in
+ installation so removing old view
+
+ * install/css/install.css, views/installation/messages/errors/error.php,
+ views/installation/messages/errors/list.php,
+ views/installation/messages/list.php,
+ views/installation/messages/messages/list.php,
+ views/installation/messages/messages/message.php,
+ views/installation/page/elements/messages.php,
+ views/installation/page/shells/default.php: Fixes #2783 - updated
+ installation message code to mirror default views
+
+2011-01-07 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggPluginPackage.php: Refs #2781: Checking if a dir was
+ passed as a plugin package. Need to do this to allow packages to be loaded
+ outside of plugin_dir.
+
+ * engine/classes/ElggPlugin.php: Refs #2781: Using same technique to check
+ for full plugin path in ElggPlugin.
+
+ * views/default/admin/plugins/simple.php: Refs #2782: Fixed WSOD for simple
+ plugin admin.
+
+ * languages/en.php, views/default/admin/components/invalid_plugin.php,
+ views/default/admin/components/plugin.php,
+ views/default/admin/plugins/advanced.php: Refs #2782: Fixed WSOD for invalid
+ plugins on admin screen. Needs better CSS, but holding off until admin area
+ CSS revamp.
+
+ * engine/lib/entities.php: Changed callback function option from
+ 'row_callback' to 'callback' for elgg_get_entities().
+
+2011-01-07 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggPluginPackage.php: Refs #2781 path checking in
+ ElggPluginPackage constructor should work for both *nix and Windows now
+
+ * mod/groups/groupprofile.php, .../views/default/groups/activity_latest.php,
+ .../views/default/groups/closedmembership.php,
+ mod/groups/views/default/groups/forum_latest.php,
+ mod/groups/views/default/groups/groupprofile.php,
+ mod/groups/views/default/groups/members.php,
+ .../default/groups/profile/activity_widget.php,
+ .../views/default/groups/profile/buttons.php,
+ .../default/groups/profile/closed_membership.php,
+ .../views/default/groups/profile/forum_widget.php,
+ .../views/default/groups/profile/profile_block.php,
+ .../views/default/groups/profile/widgets.php,
+ mod/groups/views/default/groups/profileitems.php,
+ .../views/default/groups/sidebar/members.php: cleaned up the group profile
+
+2011-01-06 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/views/default/object/blog.php,
+ mod/file/views/default/object/file.php,
+ views/default/css/elements/chrome.php, views/default/output/tags.php: changed
+ tag display to <ul>
+
+2011-01-06 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Fixes #1242: elgg_get_data() correctly returns an
+ empty array on no results. It still returns false on error, but I consider
+ that the correct behavior. Please reopen if it needs discussion.
+
+ * engine/lib/database.php, engine/tests/objects/entities.php: Refs #1242,
+ Fixes #2775. Pulled common code between get_data() and get_data_row() into
+ elgg_query_runner(). Caching is now done in the query runner instead of
+ spread across execute_query() and get_data*(). Namespacing cache for callback
+ and single row request. I'm open to better names for that function. Not
+ closing #1242 because the elgg_get_entities*() functions can still return
+ false.
+
+2011-01-06 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/notifications/start.php: moved action registration into init
+
+ * mod/sitepages/sitepages_functions.php: updating the site pages class to
+ use initializeAttributes
+
+ * mod/blog/languages/en.php, mod/blog/lib/blog.php, mod/blog/start.php,
+ mod/blog/views/default/blog/group_module.php, mod/file/index.php,
+ mod/file/languages/en.php, mod/file/start.php, mod/file/upload.php,
+ mod/file/views/default/file/group_module.php,
+ views/default/layout/shells/content/header.php: switched from new to add
+
+ * engine/lib/relationships.php: Fixes test for $relationship_guid in sql
+ generating function
+
+ * engine/classes/ElggPluginPackage.php, engine/lib/elgglib.php,
+ engine/lib/metadata.php, engine/lib/views.php, engine/lib/xml.php: Fixes
+ #2774 - fixes several E_NOTICE issues - mostly due to accessign array indexes
+
+
+ * engine/start.php: replaced deprecated load_plugins() - thanks to hellekin
+
+2011-01-05 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * js/lib/ajax.js: Refs #2770 - success messages are stoted is success array
+ now in json
+
+ * js/lib/ui.js, views/default/js/elgg.php: Refs #2676 removes old
+ elgg_system_messages() function
+
+2011-01-05 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * js/lib/elgglib.js: Fixes #2770: Corrected markup in JS to create system
+ messages.
+
+ * mod/profile/manifest.xml: Refs #2761. Removed test dep from profile
+ manifest. Profile no longer requires a version of Elgg from the year 3009.
+
+ * actions/admin/plugins/activate.php,
+ actions/admin/plugins/activate_all.php, actions/admin/plugins/deactivate.php,
+ actions/admin/plugins/deactivate_all.php, actions/admin/plugins/disable.php,
+ actions/admin/plugins/disableall.php, actions/admin/plugins/enable.php,
+ actions/admin/plugins/enableall.php, actions/admin/plugins/reorder.php,
+ actions/admin/plugins/set_priority.php,
+ actions/admin/plugins/simple_update_states.php,
+ engine/classes/ElggPlugin.php, engine/classes/ElggPluginManifest.php,
+ engine/classes/ElggPluginPackage.php, engine/lib/plugins.php,
+ languages/en.php, mod/profile/manifest.xml,
+ views/default/admin/components/plugin.php,
+ .../admin/components/plugin_dependencies.php,
+ views/default/admin/plugins/advanced.php,
+ views/default/admin/plugins/simple.php: Fixes #2760. Refs #2759. Updated
+ plugin admin actions to use the new system. Added plugin dependency views in
+ admin. ElggPluginPackage->checkDependencies() now returns the detected value.
+
+
+ * engine/classes/ElggPluginManifestParser17.php: Refs #2759: Added
+ elgg_version to 1.7 manifest parser.
+
+ * mod/oauth_lib/start.php: Fixes #2764. Corrected plugin path for oauth
+ vendor libs.
+
+2011-01-05 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * js/lib/ui.js, views/default/js/elgg.php: Fixes #2676 system messages fade
+ out again
+
+ * engine/lib/upgrades/2011010401.php, version.php: Fixes #2458 adds upgrade
+ to set default_access on old installs
+
+2011-01-04 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../page/elements/content_header_member.php,
+ views/default/page/shells/admin.php: Refs #7221: Removed CRLFs.
+
+ * views/default/js/languages.php, views/default/js/languages/en.php: Refs
+ #2771: Removed CRLFs.
+
+ * engine/lib/filestore.php: Refs #2771: Removed CRLF.
+
+ * js/lib/ajax.js, js/lib/elgglib.js, js/lib/languages.js,
+ js/lib/security.js, js/lib/session.js, js/lib/ui.js, js/lib/ui.widgets.js,
+ js/tests/ElggAjaxOptionsTest.js, js/tests/ElggAjaxTest.js,
+ js/tests/ElggLanguagesTest.js, js/tests/ElggLibTest.js,
+ js/tests/ElggSecurityTest.js, js/tests/ElggSessionTest.js,
+ js/tests/jsTestDriver.conf: Refs #2771: Removing CRLFs from javascript files.
+
+
+ * engine/lib/plugins.php: Fixed problem removing plugin entities if physical
+ files were deleted.
+
+2011-01-04 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/sitepages/start.php: Refs #2732 added link to site pages but tab menu
+ still does not work
+
+ * mod/captcha/backgrounds/bg1.jpg, mod/captcha/backgrounds/bg2.jpg,
+ mod/captcha/backgrounds/bg3.jpg, mod/captcha/backgrounds/bg4.jpg,
+ mod/captcha/backgrounds/bg5.jpg, mod/captcha/captcha.php,
+ mod/captcha/fonts/1.ttf, mod/captcha/fonts/Liberation_serif_License.txt,
+ mod/captcha/languages/en.php, mod/captcha/manifest.xml,
+ mod/captcha/start.php, mod/captcha/views/default/captcha/css.php,
+ mod/captcha/views/default/input/captcha.php, mod/crontrigger/manifest.xml,
+ mod/crontrigger/start.php: moving captcha and crontrigger plugins out of core
+
+
+2011-01-03 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggPluginPackage.php: Removed experimental duplicate code
+ from ElggPlugin.
+
+ * engine/classes/ElggPlugin.php: Added ElggPlugin::getPath().
+
+ * engine/lib/plugins.php: Reindexing plugin priorities after regenerating
+ entities.
+
+ * engine/classes/ElggPlugin.php, engine/classes/ElggPluginManifest.php,
+ engine/lib/plugins.php: Fixes #2763. Better normalizing for unused 1.7
+ manifest options. Added logging when ElggPluginPackage can't be loaded.
+ Better disabling of deleted physical plugins.
+
+ * mod/oauth_lib/manifest.xml: Removing unsupported requires in 1.7 manifest
+ for oauth lib.
+
+2011-01-02 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * documentation/examples/plugins/manifest.xml,
+ engine/classes/ElggPlugin.php, engine/classes/ElggPluginManifest.php,
+ engine/classes/ElggPluginManifestParser18.php,
+ engine/classes/ElggPluginPackage.php, engine/lib/plugins.php,
+ engine/lib/upgrades/2011010101.php, engine/tests/api/plugins.php,
+ engine/tests/test_files/plugin_18/manifest.xml, languages/en.php,
+ version.php: Fixes #1986, #2170, #2225, #2759. Integrated ElggPluginPackage
+ and ElggPluginManifest with ElggPlugin. System now uses ElggPlugin objects to
+ determin plugins. Order is stored in private settings. This absolutely
+ requires running upgrade.php.
+
+ * engine/lib/elgglib.php: Refs #7552, #2547. Autoloading functions return
+ bool.
+
+ * engine/classes/ElggEntity.php, engine/classes/ElggExtender.php,
+ engine/classes/ElggRelationship.php, engine/classes/ElggWidget.php: Refs
+ #2490. Using array_key_exists() instead of isset() in get() overrides to
+ prevent a check for (invalid) metadata with the name of an attribute if that
+ attribute evaluates to !isset().
+
+ * engine/lib/private_settings.php: Added checking for real entities to other
+ private setting functions.
+
+ * engine/lib/private_settings.php: set_private_setting() checks if the guid
+ is a real entity.
+
+ * upgrade.php: Upgrade invalidates simplecache instead of regenerating it.
+
+2011-01-02 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/widgets/save.php, mod/groups/languages/en.php,
+ mod/groups/views/default/groups/featured.php,
+ .../default/widgets/a_users_groups/content.php,
+ .../views/default/widgets/a_users_groups/edit.php: updated group widget
+
+ * engine/lib/admin.php, views/default/admin/plugins/advanced.php,
+ views/default/admin/plugins/plugins_advanced.php,
+ views/default/admin/plugins/plugins_simple.php,
+ views/default/admin/plugins/simple.php,
+ views/default/admin/site/advanced.php, views/default/admin/site/basic.php,
+ views/default/admin/site/site_advanced.php,
+ views/default/admin/site/site_basic.php: revert structure of admin views and
+ fixed uniqueness of admin page menu items
+
+2011-01-01 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/views.php, mod/blog/views/default/blog/sidebar/archives.php,
+ mod/blog/views/default/blog/sidebar/revisions.php,
+ mod/blog/views/default/forms/blog/save.php,
+ mod/embed/views/default/object/file/embedlist.php,
+ mod/groups/views/default/forms/groups/search.php,
+ mod/groups/views/default/groups/featured.php,
+ mod/groups/views/default/groups/find.php,
+ mod/groups/views/default/groups/members.php,
+ views/default/annotation/generic_comment.php,
+ views/default/core/likes/display.php, views/default/core/members/sidebar.php,
+ views/default/css/admin.php, views/default/css/elements/core.php,
+ views/default/css/elements/misc.php, views/default/output/tagcloud.php:
+ updating the sidebar boxes to use the new module classes
+
+ * engine/lib/languages.php, .../notifications/subscriptions/collections.php,
+ .../default/notifications/subscriptions/form.php,
+ .../notifications/subscriptions/forminternals.php,
+ .../notifications/subscriptions/groupsform.php,
+ .../notifications/subscriptions/personal.php,
+ views/default/core/dashboard/blurb.php,
+ .../core/settings/account/default_access.php,
+ views/default/core/settings/account/email.php,
+ views/default/core/settings/account/language.php,
+ .../core/settings/account/notifications.php,
+ views/default/core/settings/account/password.php,
+ .../core/settings/statistics/numentities.php,
+ views/default/core/settings/statistics/online.php,
+ views/default/core/settings/tools/plugin.php,
+ views/default/css/elements/forms.php, views/default/css/elements/misc.php,
+ views/default/css/screen.php: using the info module for user settings
+
+ * views/default/css/admin.php, views/default/css/elements/base.php,
+ views/default/css/elements/chrome.php, views/default/css/elements/core.php,
+ views/default/css/elements/icons.php, views/default/css/elements/layout.php,
+ views/default/css/elements/misc.php,
+ views/default/css/elements/navigation.php,
+ views/default/css/elements/skin.php, views/default/css/elements/spacing.php,
+ views/default/css/elements/typography.php, views/default/css/screen.php,
+ .../layout/shells/one_column_with_sidebar.php: Refs #2317 dividing css
+ element views into skin views and base views. The expectation is that the
+ base views would not be overridden in a theme so the admin css can depend on
+ them.
+
+ * mod/blog/views/default/object/blog.php,
+ views/default/css/elements/core.php, views/default/navigation/menu/site.php,
+ views/default/output/longtext.php: starting adding a .elgg-text class -
+ plugins need to use output/longtext when displaying text
+
+ * .../views/default/bookmarks/bookmarklet.php,
+ views/default/css/elements/core.php: added discoverable pattern to css
+
+ * mod/blog/views/default/widgets/blog/edit.php,
+ mod/file/actions/file/delete.php, mod/file/actions/file/download.php,
+ mod/file/actions/file/save.php, mod/file/actions/file/upload.php,
+ mod/file/classes/FilePluginFile.php, mod/file/edit.php, mod/file/friends.php,
+ mod/file/index.php, mod/file/languages/en.php, mod/file/lib/file.php,
+ mod/file/search.php, mod/file/start.php, mod/file/upload.php,
+ mod/file/views/default/file/css.php,
+ mod/file/views/default/file/group_module.php,
+ mod/file/views/default/file/groupprofile_files.php,
+ mod/file/views/default/file/icon.php,
+ mod/file/views/default/file/typecloud.php,
+ mod/file/views/default/file/upload.php,
+ mod/file/views/default/forms/file/upload.php,
+ .../views/default/widgets/filerepo/content.php,
+ mod/file/views/default/widgets/filerepo/edit.php, mod/file/world.php,
+ mod/groups/views/default/groups/css.php,
+ views/default/output/confirmlink.php: almost finished updating the file
+ plugin to work with new html/css
+
+ * engine/lib/elgglib.php: removed unnecessary variable from stick forms code
+
+
+ * engine/lib/views.php: fixed the function for viewing latest comments
+
+ * engine/lib/output.php: stop double encoding attributes in output/input
+ elements
+
+ * engine/lib/users.php, languages/en.php: Fixes #2740 - exception messages
+ using the value for minimum username and password length
+
+ * engine/lib/group.php: Fixes #2561 only triggering join, group event if the
+ add relationship succeeded
+
+ * engine/lib/metadata.php: Fixes #2698 not sanitizing strings to prevent
+ double encoding
+
+2010-12-31 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Refs #2684, #2754: Merged r7701 to trunk.
+
+2010-12-31 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: not caching js and css when not served through
+ simple cache right now
+
+2010-12-31 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/relationships.php: Refs #2583, #2754: Merged r7445-7446 to
+ trunk.
+
+2010-12-31 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * documentation/css/preview/grid.php: added borders to the css grid preview
+
+2010-12-31 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/cache.php, engine/lib/views.php: Refs #2752, #2635. Merged
+ r7299 to trunk.
+
+ * engine/lib/metadata.php: Merged r7272 from 1.7 to trunk.
+
+2010-12-31 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/lib/blog.php, mod/file/download.php, mod/file/index.php,
+ mod/file/start.php, mod/file/thumbnail.php, mod/file/upload.php,
+ mod/file/views/default/file/groupprofile_files.php: file index page now
+ working for both users and groups
+
+ * mod/file/download.php, mod/file/index.php, mod/file/search.php,
+ mod/file/thumbnail.php, mod/file/views/default/file/upload.php,
+ .../views/default/widgets/filerepo/content.php,
+ mod/file/views/rss/object/file.php: a little standardization of the file
+ plugin code
+
+ * mod/embed/views/default/embed/embed.php,
+ views/default/navigation/tabs.php: Fixes #2703 - added support for url ids in
+ navigation tabs
+
+ * mod/embed/views/default/embed/css.php,
+ .../views/default/groups/group_sort_menu.php,
+ mod/sitepages/views/default/sitepages/menu.php,
+ views/default/core/friends/collectiontabs.php, views/default/css/admin.php,
+ views/default/css/elements/navigation.php, views/default/css/ie.php,
+ views/default/navigation/tabs.php: switching to a better name for tabs in css
+
+
+ * views/default/css/admin.php, views/default/css/elements/heading.php,
+ views/default/css/screen.php: removed heading css elements view
+
+ * views/default/navigation/menu/site.php: site menu needs a clearfix
+
+ * engine/lib/elgglib.php, engine/lib/entities.php, engine/lib/views.php,
+ mod/file/search.php, mod/file/views/default/file/css.php,
+ views/default/layout/objects/gallery.php: added a proper gallery view - still
+ need to wire up the elgg_view_list_item functions and remove the use of
+ context
+
+ * mod/blog/views/default/object/blog.php: updated blog plugin due to change
+ in output/confirmlink
+
+2010-12-30 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/file/search.php, mod/file/views/default/file/css.php,
+ mod/file/views/default/object/file.php, views/default/css/elements/core.php,
+ views/default/layout/objects/list.php,
+ views/default/layout/objects/list/metadata.php: added gallery css for the
+ file plugin
+
+ * documentation/css/preview/forms.php,
+ documentation/css/preview/general.php, documentation/css/preview/grid.php,
+ documentation/css/preview/head.php, documentation/css/preview/index.php,
+ documentation/css/preview/nav.php, documentation/css/preview/objects.php,
+ documentation/css/preview/widgets.php: major addition - adding preview of CSS
+ for developers and themers
+
+ * views/default/css/elements/skin.php: added some base css for 4 different
+ modules
+
+ * views/default/layout/objects/widget.php,
+ views/default/layout/objects/widget/controls.php: allow anyone to minimize a
+ widget
+
+ * views/default/widgets/friends/content.php: put a catch in for a bad owner
+ in the friends widget
+
+ * engine/lib/entities.php: adding ability to override access regardless of
+ whether the entity is saved in the database yet
+
+ * views/default/graphics/icon.php, views/default/output/confirmlink.php,
+ views/default/profile/hover.php: more html validation cleanup
+
+ * mod/tinymce/views/default/input/longtext.php: supporting an id in tinycme
+ longtext and not using the invalid language attribute
+
+ * views/default/input/autocomplete.php, views/default/input/checkboxes.php,
+ views/default/input/datepicker.php, views/default/input/userpicker.php: fixed
+ a few minor issues in input views for validation
+
+ * views/default/layout/objects/list/metadata.php,
+ views/default/object/default.php, views/default/object/object.php,
+ views/default/output/access.php: updated the default object entity view
+
+ * views/default/layout/objects/list/metadata.php: let likes code handle
+ whether the user is logged in or not
+
+ * views/default/input/autocomplete.php: fixes documentation and url of
+ endpoint
+
+ * mod/file/view.php, mod/file/views/default/file/css.php,
+ mod/file/views/default/file/icon.php,
+ .../default/file/icon/application/default.php,
+ .../views/default/file/icon/application/excel.php,
+ .../views/default/file/icon/application/msword.php,
+ .../views/default/file/icon/application/pdf.php,
+ .../default/file/icon/application/powerpoint.php,
+ .../default/file/icon/application/vnd.ms-excel.php,
+ .../file/icon/application/vnd.ms-powerpoint.php,
+ .../application/vnd.oasis.opendocument.text.php,
+ .../views/default/file/icon/application/x-gzip.php,
+ .../file/icon/application/x-rar-compressed.php,
+ .../default/file/icon/application/x-stuffit.php,
+ .../views/default/file/icon/application/zip.php,
+ mod/file/views/default/file/icon/archive.php,
+ mod/file/views/default/file/icon/audio.php,
+ mod/file/views/default/file/icon/audio/default.php,
+ mod/file/views/default/file/icon/default.php,
+ mod/file/views/default/file/icon/document.php,
+ mod/file/views/default/file/icon/text/default.php,
+ .../views/default/file/icon/text/directory.php,
+ mod/file/views/default/file/icon/text/v-card.php,
+ mod/file/views/default/file/icon/video.php,
+ mod/file/views/default/file/icon/video/default.php,
+ .../default/file/specialcontent/audio/mid.php,
+ .../default/file/specialcontent/audio/mp3.php,
+ .../default/file/specialcontent/audio/mpeg.php,
+ .../default/file/specialcontent/audio/x-wav.php,
+ .../default/file/specialcontent/image/default.php,
+ mod/file/views/default/object/file.php: updated the object/file view
+
+ * actions/admin/user/resetpassword.php, actions/register.php,
+ actions/user/default_access.php, actions/useradd.php,
+ pages/account/register.php, pages/avatar/view.php,
+ views/default/admin/overview/statistics.php, views/default/profile/hover.php,
+ views/installation/input/button.php: Refs #2428 removed more CONFIG uses
+
+ * mod/groups/all.php, mod/groups/views/default/group/default.php: fixed new
+ groups listing
+
+ * engine/lib/views.php: required for river rss to work
+
+ * views/rss/canvas/default.php, views/rss/core/river/body.php,
+ views/rss/layout/objects/image_block.php, views/rss/output/url.php:
+ supporting river rss
+
+ * views/rss/entities/entity_list.php, views/rss/layout/objects/list.php,
+ views/rss/layout/shells/default.php: Fixes #2113 - updated rss view type to
+ use new view structure for lists
+
+ * engine/lib/plugins.php, views/default/admin/plugins/plugins_simple.php,
+ views/default/admin/plugins/simple.php,
+ views/default/admin/site/advanced.php, views/default/admin/site/basic.php,
+ views/default/admin/site/site_advanced.php,
+ views/default/admin/site/site_basic.php: fixed a few issues with the admin
+ backend - need to look into better ways to structure admin sub views
+
+2010-12-29 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/categories/views/default/categories/css.php,
+ mod/embed/views/default/embed/css.php,
+ .../views/default/invitefriends/formitems.php,
+ .../views/default/messageboard/forms/add.php,
+ .../views/default/widgets/messageboard/content.php,
+ .../views/default/messages/forms/reply.php,
+ mod/messages/views/default/messages/forms/send.php,
+ mod/messages/views/default/messages/messages.php,
+ .../default/sitepages/forms/editfrontsimple.php,
+ mod/tinymce/views/default/input/longtext.php,
+ .../uservalidationbyemail/unvalidated_user.php,
+ views/default/admin/components/plugin.php,
+ views/default/admin/plugins/advanced.php,
+ views/default/admin/plugins/plugins_advanced.php,
+ views/default/css/admin.php, views/default/css/elements/forms.php,
+ views/default/css/screen.php, views/default/input/access.php,
+ views/default/input/checkbox.php, views/default/input/checkboxes.php,
+ views/default/input/email.php, views/default/input/file.php,
+ views/default/input/longtext.php, views/default/input/password.php,
+ views/default/input/plaintext.php, views/default/input/pulldown.php,
+ views/default/input/radio.php, views/default/input/tags.php,
+ views/default/input/text.php, views/default/input/url.php,
+ views/default/page/shells/walled_garden.php,
+ views/installation/input/access.php, views/installation/input/checkboxes.php,
+ views/installation/input/longtext.php, views/installation/input/pulldown.php:
+ stage 1 in moving the forms markup to elgg- namespaced css - buttons still
+ need work
+
+ * mod/diagnostics/index.php, mod/diagnostics/start.php,
+ .../views/default/admin/utilities/diagnostics.php,
+ .../views/default/diagnostics/forms/download.php,
+ .../views/default/diagnostics/runalltests.php: updated the diagnostics plugin
+ to use the new admin backend
+
+ * engine/lib/admin.php, languages/en.php, mod/logbrowser/start.php,
+ mod/reportedcontent/start.php: added admin utilities menu item
+
+ * mod/diagnostics/index.php, mod/search/index.php,
+ mod/sitepages/sitepages_functions.php,
+ views/default/page/elements/content.php: Fixes #2241 - removed direct calls
+ to the content wrapper view and removed the view as it is been replaced by a
+ body view
+
+ * mod/blog/lib/blog.php: Refs #2077 added new blog post button to group blog
+ - can be used as module for remianing group tools
+
+ * mod/blog/lib/blog.php: Fixes #2169 - setting the blog edit page owner to
+ container
+
+ * mod/messages/views/default/messages/forms/send.php,
+ mod/messages/views/default/messages/messages.php,
+ views/foaf/user/default.php: Refs #1553 - removed all hard coded profile urls
+ from core
+
+ * engine/lib/river.php, engine/lib/views.php: Fixes #2221 - rewrite of rover
+ functions has been completed with moving elgg_view_river_item() into the
+ views library. The ugly C&P functions were moved into the riverdashboard
+ plugin and new 1.7 style get/list functions were introduced
+
+ * actions/profile/edit.php, actions/profile/fields/add.php,
+ actions/profile/fields/delete.php, actions/profile/fields/reorder.php,
+ actions/profile/fields/reset.php, engine/lib/users.php,
+ mod/profile/views/default/profile/details.php,
+ .../admin/appearance/profile_fields/list.php,
+ views/default/forms/profile/edit.php: Fixes #2751 profile custom fields uses
+ the config table now
+
+ * engine/lib/configuration.php: added a catch for configuration names longer
+ than 32 characters
+
+ * engine/lib/configuration.php: fixed bug where get_config was returning 0
+ because there is not not auto id on the config table
+
+ * mod/tabbed_profile/actions/addcomment.php,
+ mod/tabbed_profile/actions/deletecomment.php,
+ mod/tabbed_profile/graphics/defaultlarge.gif,
+ mod/tabbed_profile/graphics/defaultmaster.gif,
+ mod/tabbed_profile/graphics/defaultmedium.gif,
+ mod/tabbed_profile/graphics/defaultsmall.gif,
+ mod/tabbed_profile/graphics/defaulttiny.gif,
+ mod/tabbed_profile/graphics/defaulttopbar.gif,
+ mod/tabbed_profile/graphics/drag_handle.png,
+ mod/tabbed_profile/graphics/speech_bubble_tail.gif,
+ mod/tabbed_profile/graphics/twitter16px.png, mod/tabbed_profile/icon.php,
+ mod/tabbed_profile/icondirect.php, mod/tabbed_profile/languages/en.php,
+ mod/tabbed_profile/manifest.xml, mod/tabbed_profile/start.php,
+ .../views/default/icon/user/default/large.php,
+ .../views/default/icon/user/default/master.php,
+ .../views/default/icon/user/default/medium.php,
+ .../views/default/icon/user/default/small.php,
+ .../views/default/icon/user/default/tiny.php,
+ .../views/default/icon/user/default/topbar.php,
+ .../default/profile/commentwall/commentwall.php,
+ .../profile/commentwall/commentwall_content.php,
+ .../default/profile/commentwall/commentwalladd.php,
+ .../views/default/profile/content_wrapper.php,
+ mod/tabbed_profile/views/default/profile/css.php,
+ .../views/default/profile/listing.php,
+ .../views/default/profile/menu/adminlinks.php,
+ .../views/default/profile/menu/friendlinks.php,
+ .../views/default/profile/menu/links.php,
+ .../views/default/profile/metatags.php,
+ .../views/default/profile/ownerblock.php,
+ .../views/default/profile/sidebar.php,
+ .../views/default/profile/tab_navigation.php,
+ .../views/default/profile/tabs/activity.php,
+ .../views/default/profile/tabs/commentwall.php,
+ .../views/default/profile/tabs/details.php,
+ .../views/default/profile/tabs/friends.php,
+ .../views/default/profile/tabs/groups.php,
+ .../views/default/profile/tabs/twitter.php: Fixes #2481 - moving
+ tabbed_profile plugin into the plugins repo from core - it needs some updates
+ to reflect changes to the core profile plugin
+
+ * mod/riverdashboard/actions/add.php, mod/riverdashboard/actions/delete.php,
+ mod/riverdashboard/endpoint/get_comments.php,
+ mod/riverdashboard/endpoint/get_likes.php,
+ mod/riverdashboard/endpoint/ping.php,
+ mod/riverdashboard/graphics/follow_icon.png,
+ mod/riverdashboard/graphics/refresh.png, mod/riverdashboard/index.php,
+ mod/riverdashboard/languages/en.php, mod/riverdashboard/manifest.xml,
+ mod/riverdashboard/start.php, .../views/default/river/dashboard.php,
+ .../views/default/river/item/list.php,
+ .../views/default/river/item/wrapper.php,
+ .../views/default/river/item/wrapper_classic.php,
+ .../views/default/riverdashboard/container.php,
+ .../views/default/riverdashboard/css.php,
+ .../views/default/riverdashboard/ecml/activity.php,
+ .../views/default/riverdashboard/js.php,
+ .../views/default/riverdashboard/menu.php,
+ .../views/default/riverdashboard/nav.php,
+ .../views/default/riverdashboard/river/body.php,
+ .../views/default/riverdashboard/river/footer.php,
+ .../views/default/riverdashboard/rivercomment.php,
+ .../views/default/riverdashboard/sitemessage.php,
+ .../views/default/riverdashboard/welcome.php,
+ .../views/json/riverdashboard/container.php,
+ .../views/rss/riverdashboard/container.php: Fixes #2226 moves riverdashboard
+ into secondary plugins from core plugins - most of riverdashboard
+ functionality is now in core (except for displaying who has liked stuff and
+ ajax refreshes)
+
+ * views/default/css/screen.php, views/default/forms/likes/display.php,
+ views/default/forms/likes/link.php: cleaned up left over views and css from
+ likes
+
+ * actions/likes/add.php, actions/likes/delete.php, engine/lib/elgglib.php,
+ js/lib/ui.js, languages/en.php, views/default/annotation/likes.php,
+ views/default/core/likes/display.php, views/default/core/river/controls.php,
+ views/default/css/screen.php, views/default/forms/likes/edit.php,
+ views/default/js/elgg.php: rewrote the display of likes
+
+ * engine/lib/annotations.php: updated documentation on new
+ elgg_annotation_exists() function
+
+ * mod/blog/views/default/object/blog.php: let likes code handle logged in
+ user status
+
+ * views/default/css/elements/navigation.php: forgot to remove commented css
+ statement
+
+ * views/default/css/elements/navigation.php,
+ views/default/page/elements/footer.php: styled the footer menu
+
+ * views/default/css/elements/core.php, views/default/css/elements/skin.php,
+ views/default/layout/elements/page_links.php,
+ views/default/layout/elements/sidebar.php: created a new layout element -
+ page links - holds stuff like the rss link
+
+ * mod/file/classes/FilePluginFile.php, mod/file/start.php: moved the file
+ class into a class file
+
+ * mod/blog/lib/blog.php, mod/blog/start.php: more clean up of the blog
+ plugin - removed unused functions and moved the url forwarder into library
+
+ * mod/defaultwidgets/start.php, mod/diagnostics/start.php,
+ mod/logbrowser/start.php, mod/messages/start.php,
+ mod/reportedcontent/start.php, mod/uservalidationbyemail/start.php: updating
+ plugins to use new page menu
+
+ * mod/file/view.php: forgot to add this file for the fie plugin
+
+ * engine/classes/ElggMenuBuilder.php, engine/classes/ElggMenuItem.php,
+ engine/lib/admin.php, engine/lib/navigation.php, engine/lib/users.php,
+ js/lib/ui.js, views/default/admin/overview.php,
+ views/default/admin/overview/statistics.php, views/default/css/admin.php,
+ views/default/css/elements/navigation.php,
+ views/default/layout/elements/sidebar.php,
+ views/default/navigation/menu/elements/group.php,
+ views/default/navigation/menu/elements/item.php,
+ views/default/navigation/menu/page.php: page menu using the new menu system -
+ admin menu updated
+
+ * mod/file/actions/delete.php, mod/file/actions/download.php,
+ mod/file/actions/file/delete.php, mod/file/actions/file/download.php,
+ mod/file/actions/file/save.php, mod/file/actions/file/upload.php,
+ mod/file/actions/save.php, mod/file/actions/upload.php, mod/file/edit.php,
+ mod/file/friends.php, mod/file/index.php, mod/file/languages/en.php,
+ mod/file/start.php, mod/file/upload.php,
+ mod/file/views/default/object/file.php, mod/file/world.php: starting to
+ update the file plugin to use the new html/css
+
+ * mod/blog/languages/en.php, mod/blog/lib/blog.php, mod/blog/start.php,
+ mod/blog/views/default/blog/group_module.php,
+ views/default/layout/shells/content/header.php: new content link uses guid to
+ make supporting groups and users easier
+
+ * views/default/output/url.php: supporting anchors with a href in output/url
+
+
+ * views/default/layout/objects/list/metadata.php: added a general metadata
+ view for lists
+
+2010-12-29 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/languages.php: Cleaned up register_translations().
+
+2010-12-28 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/views.php: Added elgg_register_viewtype().
+
+2010-12-27 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php: merged the admin profile edit fields language strings
+ into core
+
+ * engine/lib/plugins.php: fixing merge of plugin code [7727] - it blew away
+ the plugin class loading code
+
+ * languages/en.php, mod/profile/views/default/profile/css.php,
+ mod/profile/views/default/profile/details.php: moved profile fields language
+ strings into core and update profile plugin details view
+
+ * engine/lib/users.php, views/default/navigation/menu/user_admin.php:
+ removed early version of admin context menu and fixed missing edit profile
+ button
+
+2010-12-27 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/plugins.php: Refs #2635, #2643. Merged cache changes into
+ trunk.
+
+2010-12-27 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/graphics/drag_handle.png,
+ mod/profile/graphics/twitter16px.png, mod/profile/languages/en.php,
+ mod/profile/start.php, mod/profile/views/default/profile/box.php,
+ mod/profile/views/default/profile/css.php,
+ mod/profile/views/default/profile/details.php,
+ mod/profile/views/default/profile/listing.php,
+ .../views/default/profile/menu/adminlinks.php,
+ .../views/default/profile/menu/friendlinks.php,
+ mod/profile/views/default/profile/menu/links.php,
+ mod/profile/views/default/profile/owner_block.php,
+ mod/profile/views/default/profile/ownerblock.php,
+ mod/profile/views/default/profile/sidebar.php,
+ mod/profile/views/default/profile/wrapper.php: more clean up of the basic
+ profile plugin - reorged views and removed a lot of cruft
+
+2010-12-27 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/configuration.php: Refs #2543: set_default_config() uses better
+ names. get_config() rewrites old names to new, but have to double up
+ assignments in $CONFIG for ppl not using get_config().
+
+2010-12-27 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggMenuBuilder.php, engine/classes/ElggMenuItem.php,
+ engine/lib/users.php, engine/lib/views.php, mod/blog/start.php,
+ mod/bookmarks/start.php, mod/file/start.php, mod/logbrowser/start.php,
+ mod/messages/start.php, mod/pages/start.php,
+ mod/profile/views/default/profile/css.php,
+ mod/profile/views/default/profile/ownerblock.php,
+ views/default/navigation/menu/user_hover.php: improved menu creation for on
+ demand menus and integrated hover menu items into profile owner block
+
+ * engine/classes/ElggUser.php, engine/lib/elgglib.php, engine/lib/users.php,
+ mod/logbrowser/start.php, mod/messages/start.php,
+ views/default/css/elements/navigation.php, views/default/js/elgg.php,
+ views/default/navigation/menu/user_hover.php,
+ views/default/profile/hover.php: moved most of the code for the user hover
+ menu into the new menu system
+
+2010-12-25 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/icons/user/defaultlarge.gif,
+ _graphics/icons/user/defaultmaster.gif,
+ _graphics/icons/user/defaultmedium.gif,
+ _graphics/icons/user/defaultsmall.gif, _graphics/icons/user/defaulttiny.gif,
+ _graphics/icons/user/defaulttopbar.gif,
+ mod/profile/graphics/defaultlarge.gif,
+ mod/profile/graphics/defaultmaster.gif,
+ mod/profile/graphics/defaultmedium.gif,
+ mod/profile/graphics/defaultsmall.gif, mod/profile/graphics/defaulttiny.gif,
+ mod/profile/graphics/defaulttopbar.gif,
+ mod/profile/graphics/speech_bubble_tail.gif,
+ .../views/default/icon/user/default/large.php,
+ .../views/default/icon/user/default/master.php,
+ .../views/default/icon/user/default/medium.php,
+ .../views/default/icon/user/default/small.php,
+ .../views/default/icon/user/default/tiny.php,
+ .../views/default/icon/user/default/topbar.php,
+ views/default/icon/user/default/large.php,
+ views/default/icon/user/default/master.php,
+ views/default/icon/user/default/medium.php,
+ views/default/icon/user/default/small.php,
+ views/default/icon/user/default/tiny.php,
+ views/default/icon/user/default/topbar.php: moved default profile icons into
+ core
+
+ * mod/blog/start.php, mod/blog/views/default/blog/group_module.php,
+ mod/bookmarks/languages/en.php, mod/bookmarks/start.php, mod/file/start.php,
+ mod/groups/views/default/group/default.php, mod/pages/start.php,
+ mod/profile/views/default/profile/ownerblock.php,
+ views/default/css/elements/navigation.php,
+ views/default/css/elements/skin.php,
+ views/default/layout/elements/owner_block.php,
+ views/default/layout/elements/sidebar.php,
+ views/default/layout/objects/list/body.php,
+ views/default/navigation/menu/default.php, views/default/user/default.php:
+ updated owner blocks for users and groups
+
+2010-12-24 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/views.php, mod/blog/views/default/object/blog.php,
+ views/default/layout/elements/comments.php: supporting id for comments for in
+ page links
+
+ * mod/blog/views/default/object/blog.php,
+ views/default/layout/objects/list/body.php: fixing a few display issues in
+ the object/blog view
+
+ * mod/blog/views/default/object/blog.php,
+ views/default/css/elements/core.php,
+ views/default/layout/objects/list/body.php: creating standard list body for
+ plugins
+
+2010-12-23 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/profile/tabs/friends.php,
+ .../views/default/profile/tabs/groups.php: using new list methods in tabbed
+ profile plugin
+
+ * engine/classes/ElggUser.php: Added list groups and list friends class
+ methods - will be handy for federation
+
+ * engine/classes/ElggUser.php: added a class math to get a user's groups and
+ cleaned up some documentation
+
+ * engine/lib/users.php: Refs #2283 using entity_row_to_elggstar() when
+ loading user from username or code so that ElggUser can be subclassed
+
+ * views/default/layout/objects/list.php: Fixes #2730 - passing the vars
+ array into elgg_view_list_item so that eventually plugins can pass additional
+ parameters into list views
+
+ * engine/lib/elgglib.php: Fixes #2741 skipping :// when replacing // in file
+ paths so that we support URIs
+
+ * mod/tabbed_profile/manifest.xml: do not enable tabbed profile by default -
+ also need to set a conflict key in its manifest for the profile plugin
+
+2010-12-22 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/defaultwidgets/editor.php,
+ .../views/default/embed/web_services/content.php,
+ mod/groups/views/default/forms/forums/addtopic.php,
+ .../views/default/forms/forums/edittopic.php,
+ mod/groups/views/default/forms/groups/edit.php,
+ mod/groups/views/default/groups/css.php,
+ .../views/default/groups/invitationrequests.php,
+ .../views/default/groups/membershiprequests.php,
+ .../views/default/messages/forms/reply.php,
+ mod/messages/views/default/messages/forms/send.php,
+ mod/messages/views/default/messages/forms/view.php,
+ mod/messages/views/default/messages/messages.php,
+ mod/pages/views/default/forms/pages/edit.php,
+ .../views/default/forms/pages/editwelcome.php,
+ .../views/default/riverdashboard/css.php,
+ views/default/admin/components/plugin.php,
+ views/default/core/account/login_walled_garden.php,
+ views/default/core/friends/picker.php, views/default/css/admin.php,
+ views/default/css/elements/forms.php, views/default/css/screen.php,
+ views/default/input/button.php, views/installation/input/button.php: adding
+ elgg namespace to button css classes
+
+2010-12-21 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/access.php: merged the fix to get access collection
+ documentation into trunk from 1.7 branch
+
+2010-12-20 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/views/default/object/blog.php, pages/entities/index.php,
+ views/default/css/elements/skin.php, views/default/css/screen.php,
+ views/default/forms/likes/edit.php, views/default/output/confirmlink.php:
+ added elgg-icon-delete and elgg-icon-likes
+
+ * engine/lib/views.php, mod/groups/views/default/groups/grouplisting.php,
+ .../views/default/profile/listing.php,
+ .../views/default/profile/tabs/activity.php,
+ .../views/default/profile/tabs/friends.php,
+ .../views/default/profile/tabs/groups.php: added the core river to the tabbed
+ profile plugin and fixed some deprecated function warnings
+
+2010-12-19 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css/admin.php: fixed a bug I introduced in the admin css
+
+ * mod/profile/views/default/profile/box.php,
+ mod/profile/views/default/profile/css.php,
+ mod/profile/views/default/profile/details.php,
+ mod/profile/views/default/profile/listing.php,
+ mod/profile/views/default/profile/ownerblock.php,
+ mod/profile/views/default/profile/sidebar.php,
+ views/default/css/elements/skin.php: an almost done widget-based profile
+ plugin
+
+ * actions/widgets/save.php, engine/classes/ElggDiskFilestore.php,
+ js/lib/ui.js, js/lib/ui.widgets.js,
+ mod/pages/views/default/pages/pagelisting.php,
+ mod/pages/views/default/pages/pageprofile.php, pages/dashboard.php,
+ views/default/css/screen.php, views/default/graphics/ajax_loader.php,
+ views/default/layout/objects/widget/controls.php,
+ views/default/layout/objects/widget/settings.php,
+ views/default/layout/shells/widgets.php: fixed a few bugs and updated the
+ widget code
+
+ * js/lib/ui.widgets.js, views/default/css/screen.php,
+ views/default/layout/objects/widget.php,
+ views/default/layout/objects/widget/controls.php,
+ views/default/layout/objects/widget/settings.php,
+ views/default/layout/shells/widgets.php,
+ views/default/layout/shells/widgets/add_button.php,
+ views/default/layout/shells/widgets/add_panel.php: namespaced the widget code
+
+
+ * js/lib/ui.widgets.js, pages/dashboard.php,
+ views/default/core/dashboard/blurb.php, views/default/css/screen.php,
+ views/default/layout/shells/widgets.php: starting to use the grid in the
+ widget code
+
+ * engine/lib/river.php: fixed missed variable name change in river's use of
+ image block pattern
+
+ * mod/profile/views/default/profile/javascript.php,
+ .../views/default/profile/javascript.php: moved avatar drop down javascript
+ into core
+
+ * mod/file/views/default/file/css.php,
+ mod/groups/views/default/groups/css.php,
+ mod/groups/views/default/groups/icon.php, mod/profile/start.php,
+ mod/profile/views/default/profile/css.php,
+ mod/profile/views/default/profile/javascript.php,
+ mod/tabbed_profile/start.php,
+ mod/tabbed_profile/views/default/profile/css.php,
+ .../views/default/profile/javascript.php, views/default/css/screen.php,
+ views/default/js/elgg.php, views/default/profile/icon.php: using
+ elgg-user-icon instead of usericon and moving the css into core
+
+ * mod/blog/views/default/forms/blog/save.php,
+ mod/file/views/default/object/file.php,
+ mod/groups/views/default/forms/groups/edit.php,
+ mod/groups/views/default/forum/topics.php,
+ mod/groups/views/default/groups/css.php,
+ mod/groups/views/default/groups/groupprofile.php,
+ .../views/default/groups/invitationrequests.php,
+ .../views/default/groups/membershiprequests.php, mod/messages/index.php,
+ mod/messages/sent.php, mod/messages/views/default/messages/messages.php,
+ mod/profile/views/default/profile/css.php,
+ mod/profile/views/default/profile/ownerblock.php,
+ .../views/default/object/reported_content.php,
+ mod/tabbed_profile/views/default/profile/css.php,
+ .../views/default/profile/ownerblock.php,
+ mod/thewire/views/default/object/thewire.php,
+ mod/thewire/views/default/thewire/css.php,
+ mod/thewire/views/default/thewire/forms/add.php,
+ .../views/default/thewire/profile_status.php,
+ views/default/admin/components/plugin_settings.php,
+ views/default/admin/plugins/advanced.php,
+ views/default/admin/plugins/simple.php,
+ views/default/core/account/login_walled_garden.php,
+ views/default/css/admin.php, views/default/css/elements/forms.php,
+ views/default/css/elements/skin.php, views/default/css/screen.php,
+ views/default/forms/profile/fields/reset.php,
+ views/default/layout/shells/content/header.php,
+ views/default/layout/shells/widgets/add_button.php,
+ views/default/page/elements/content_header.php: replacing action-button with
+ elgg-action-button
+
+ * views/default/css/elements/skin.php,
+ views/default/layout/shells/content/header.php: using more generic markup for
+ main header
+
+ * mod/search/views/default/search/css.php,
+ mod/search/views/default/search/search_box.php: updated search box css
+
+ * .../views/default/reportedcontent/css.php,
+ .../views/default/reportedcontent/footer_link.php, mod/sitepages/start.php,
+ .../views/default/sitepages/footer_menu.php,
+ views/default/css/elements/skin.php, views/default/page/elements/footer.php:
+ cleaning up the page footer - still need to add css for footer menu
+
+ * views/default/css/elements/skin.php,
+ views/default/layout/shells/two_sidebar.php,
+ views/default/page/elements/topbar.php: refining the elgg-alt pattern
+
+ * views/default/css/admin.php, views/default/css/elements/core.php,
+ views/default/css/elements/layout.php, views/default/css/screen.php,
+ views/default/layout/objects/image_block.php: completed the media to image
+ block switch
+
+ * engine/lib/river.php, engine/lib/views.php,
+ mod/blog/views/default/object/blog.php, mod/riverdashboard/start.php,
+ views/default/annotation/generic_comment.php,
+ views/default/css/elements/layout.php,
+ views/default/layout/objects/image_block.php,
+ views/default/layout/objects/media.php: changed name from media block to
+ image block
+
+ * views/default/css/admin.php,
+ views/default/layout/shells/administration.php,
+ views/default/page/shells/admin.php: started cleaning up the admin css
+
+ * engine/lib/admin.php, engine/lib/views.php, engine/start.php: added a
+ ready,system event so that plugins can do things after the init has finished
+ but before pages are created
+
+ * views/default/navigation/menu/user_admin.php,
+ views/default/profile/hover.php, views/default/profile/icon.php: cleaned up
+ profile hover menu
+
+ * engine/lib/users.php, mod/logbrowser/start.php,
+ .../views/default/logbrowser/adminlinks.php,
+ mod/profile/views/default/profile/admin_menu.php,
+ mod/profile/views/default/profile/hoverover.php,
+ mod/profile/views/default/profile/icon.php,
+ mod/profile/views/default/profile/ownerblock.php,
+ .../views/default/profile/admin_menu.php,
+ .../views/default/profile/hoverover.php,
+ mod/tabbed_profile/views/default/profile/icon.php,
+ .../views/default/profile/ownerblock.php,
+ views/default/navigation/menu/user_admin.php, views/default/profile/icon.php:
+ added a user admin menu that plugins can add menu items to
+
+ * mod/blog/start.php, mod/bookmarks/start.php, mod/file/start.php,
+ mod/pages/start.php, mod/profile/views/default/profile/ownerblock.php: pulled
+ user owner block menu out of profile plugin with new menu code
+
+ * mod/profile/actions/addcomment.php, mod/profile/actions/deletecomment.php,
+ mod/profile/start.php, mod/profile/views/default/profile/box.php,
+ .../default/profile/commentwall/commentwall.php,
+ .../profile/commentwall/commentwall_content.php,
+ .../default/profile/commentwall/commentwalladd.php,
+ .../views/default/profile/content_wrapper.php,
+ mod/profile/views/default/profile/css.php,
+ mod/profile/views/default/profile/details.php,
+ .../views/default/profile/tab_navigation.php,
+ .../views/default/profile/tabs/activity.php,
+ .../views/default/profile/tabs/commentwall.php,
+ mod/profile/views/default/profile/tabs/details.php,
+ mod/profile/views/default/profile/tabs/friends.php,
+ mod/profile/views/default/profile/tabs/groups.php,
+ mod/profile/views/default/profile/tabs/twitter.php,
+ mod/tabbed_profile/actions/addcomment.php,
+ mod/tabbed_profile/actions/deletecomment.php,
+ mod/tabbed_profile/graphics/defaultlarge.gif,
+ mod/tabbed_profile/graphics/defaultmaster.gif,
+ mod/tabbed_profile/graphics/defaultmedium.gif,
+ mod/tabbed_profile/graphics/defaultsmall.gif,
+ mod/tabbed_profile/graphics/defaulttiny.gif,
+ mod/tabbed_profile/graphics/defaulttopbar.gif,
+ mod/tabbed_profile/graphics/drag_handle.png,
+ mod/tabbed_profile/graphics/speech_bubble_tail.gif,
+ mod/tabbed_profile/graphics/twitter16px.png, mod/tabbed_profile/icon.php,
+ mod/tabbed_profile/icondirect.php, mod/tabbed_profile/languages/en.php,
+ mod/tabbed_profile/manifest.xml, mod/tabbed_profile/start.php,
+ .../views/default/icon/user/default/large.php,
+ .../views/default/icon/user/default/master.php,
+ .../views/default/icon/user/default/medium.php,
+ .../views/default/icon/user/default/small.php,
+ .../views/default/icon/user/default/tiny.php,
+ .../views/default/icon/user/default/topbar.php,
+ .../views/default/profile/admin_menu.php,
+ .../default/profile/commentwall/commentwall.php,
+ .../profile/commentwall/commentwall_content.php,
+ .../default/profile/commentwall/commentwalladd.php,
+ .../views/default/profile/content_wrapper.php,
+ mod/tabbed_profile/views/default/profile/css.php,
+ .../views/default/profile/hoverover.php,
+ mod/tabbed_profile/views/default/profile/icon.php,
+ .../views/default/profile/javascript.php,
+ .../views/default/profile/listing.php,
+ .../views/default/profile/menu/adminlinks.php,
+ .../views/default/profile/menu/friendlinks.php,
+ .../views/default/profile/menu/links.php,
+ .../views/default/profile/metatags.php,
+ .../views/default/profile/ownerblock.php,
+ .../views/default/profile/sidebar.php,
+ .../views/default/profile/tab_navigation.php,
+ .../views/default/profile/tabs/activity.php,
+ .../views/default/profile/tabs/commentwall.php,
+ .../views/default/profile/tabs/details.php,
+ .../views/default/profile/tabs/friends.php,
+ .../views/default/profile/tabs/groups.php,
+ .../views/default/profile/tabs/twitter.php: rough widget profile plugin
+
+ * mod/profile/javascript.php, mod/profile/start.php,
+ .../views/default/profile/content_wrapper.php,
+ mod/profile/views/default/profile/metatags.php,
+ mod/profile/views/default/profile/ownerblock.php,
+ .../views/default/profile/profile_content.php,
+ .../default/profile/profile_contents/activity.php,
+ .../profile/profile_contents/commentwall.php,
+ .../default/profile/profile_contents/details.php,
+ .../default/profile/profile_contents/friends.php,
+ .../default/profile/profile_contents/groups.php,
+ .../default/profile/profile_contents/twitter.php,
+ .../views/default/profile/profile_navigation.php,
+ .../views/default/profile/profile_ownerblock.php,
+ mod/profile/views/default/profile/sidebar.php,
+ .../views/default/profile/tab_navigation.php,
+ .../views/default/profile/tabs/activity.php,
+ .../views/default/profile/tabs/commentwall.php,
+ mod/profile/views/default/profile/tabs/details.php,
+ mod/profile/views/default/profile/tabs/friends.php,
+ mod/profile/views/default/profile/tabs/groups.php,
+ mod/profile/views/default/profile/tabs/twitter.php: more profile clean up
+
+ * mod/profile/edit.php, mod/profile/index.php, mod/profile/profile_lib.php,
+ mod/profile/start.php: more cleanup of the profile plugin from the
+ reorganization
+
+2010-12-18 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/profile/fields/add.php, actions/profile/fields/delete.php,
+ actions/profile/fields/reorder.php, actions/profile/fields/reset.php,
+ engine/lib/admin.php, mod/profile/actions/deletedefaultprofileitem.php,
+ mod/profile/actions/editdefault.php, mod/profile/actions/editfield.php,
+ mod/profile/actions/reorder.php, mod/profile/actions/resetdefaultprofile.php,
+ mod/profile/start.php, mod/profile/vendor/jquery.jeditable.mini.js,
+ .../default/admin/appearance/defaultprofile.php,
+ mod/profile/views/default/profile/edit.php,
+ .../views/default/profile/editdefaultprofile.php,
+ .../default/profile/editdefaultprofileitems.php,
+ vendors/jquery/jquery.jeditable.mini.js,
+ views/default/admin/appearance/profile_fields.php,
+ .../admin/appearance/profile_fields/list.php,
+ views/default/forms/profile/fields/add.php,
+ views/default/forms/profile/fields/reset.php: continuing to slash and burn
+ the profile plugin - moving the admin profile fields into core - last major
+ change
+
+ * actions/profile/edit.php, engine/lib/users.php, languages/en.php,
+ mod/profile/actions/edit.php, mod/profile/start.php, pages/avatar/edit.php,
+ pages/profile/edit.php, views/default/forms/profile/edit.php: moved profile
+ edit form into core
+
+ * engine/lib/users.php, languages/en.php, mod/profile/editicon.php,
+ .../views/default/js/jquery.imgareaselect-0.8.js,
+ .../default/js/jquery.imgareaselect-0.8.min.js,
+ mod/profile/views/default/profile/css.php,
+ mod/profile/views/default/profile/editicon.php,
+ .../views/default/profile/profile_ownerblock.php, pages/avatar/edit.php,
+ pages/avatar/view.php, vendors/jquery/jquery.imgareaselect-0.8.min.js,
+ views/default/core/avatar/crop.php, views/default/core/avatar/upload.php,
+ views/default/css/screen.php, views/default/forms/avatar/crop.php,
+ views/default/forms/avatar/upload.php: pulling the avatar code out of the
+ profile plugin - just some minor icon related code left
+
+ * actions/avatar/crop.php, actions/avatar/upload.php, engine/lib/users.php,
+ languages/en.php, mod/groups/start.php, mod/profile/actions/cropicon.php,
+ mod/profile/actions/iconupload.php,
+ mod/profile/views/default/profile/editicon.php,
+ views/default/forms/avatar/crop.php, views/default/forms/avatar/upload.php:
+ moved the avatar forms and actions into core from profile plugin
+
+ * engine/classes/ElggMenuItem.php, engine/lib/users.php,
+ engine/lib/usersettings.php, mod/invitefriends/index.php,
+ mod/invitefriends/start.php, .../views/default/invitefriends/formitems.php,
+ mod/notifications/groups.php, mod/notifications/index.php,
+ mod/notifications/start.php,
+ .../default/notifications/subscriptions/form.php,
+ .../notifications/subscriptions/groupsform.php,
+ views/default/css/elements/navigation.php,
+ views/default/layout/elements/sidebar.php,
+ views/default/navigation/menu/default.php: half way through converting
+ submenu to new menu code - pages and groups are the two major users left
+
+ * actions/admin/menu/save.php, actions/admin/menu_items.php,
+ engine/classes/ElggMenuItem.php, engine/lib/admin.php,
+ engine/lib/navigation.php, engine/lib/river.php, engine/lib/users.php,
+ mod/blog/start.php, mod/bookmarks/start.php, mod/file/start.php,
+ mod/groups/start.php, mod/pages/start.php, mod/riverdashboard/start.php,
+ mod/thewire/start.php, views/default/admin/appearance/menu_items.php,
+ views/default/navigation/menu/elements/item.php,
+ views/default/navigation/menu/site.php,
+ views/default/navigation/site_nav.php,
+ views/default/page/elements/header.php: site nav menu now uses new menu code
+ (and finished the implementation of custom menu items while I was at it - not
+ backward compatible with the previous half finished version)
+
+2010-12-17 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * pages/account/forgotten_password.php, pages/account/register.php,
+ pages/entities/list.php, pages/friends/index.php, pages/friends/of.php,
+ pages/settings/statistics.php: updated some of the core pages based on new
+ CSS and layouts
+
+ * mod/notifications/start.php: fixed another underline to dash conversion
+ issue
+
+ * engine/lib/upgrades/2010121702.php, languages/en.php, version.php,
+ views/default/annotation/annotatelike.php,
+ views/default/river/annotation/likes/create.php: last of the river updates I
+ hope - fixes the likes river view
+
+ * engine/lib/notification.php, engine/lib/statistics.php,
+ engine/lib/users.php, engine/lib/usersettings.php,
+ mod/notifications/start.php, pages/settings/account.php,
+ pages/settings/index.php, pages/settings/plugins.php,
+ pages/settings/statistics.php, pages/settings/tools.php,
+ pages/settings/user.php, views/default/core/settings/account.php,
+ .../core/settings/account/default_access.php,
+ views/default/core/settings/account/email.php,
+ views/default/core/settings/account/language.php,
+ views/default/core/settings/account/name.php,
+ .../core/settings/account/notifications.php,
+ views/default/core/settings/account/password.php,
+ views/default/core/settings/statistics.php,
+ .../core/settings/statistics/numentities.php,
+ views/default/core/settings/statistics/online.php,
+ views/default/core/settings/tools.php,
+ views/default/core/settings/tools/plugin.php,
+ views/default/forms/account/settings.php,
+ views/default/page/elements/topbar.php,
+ views/default/user/settings/default_access.php,
+ views/default/user/settings/email.php,
+ views/default/user/settings/language.php,
+ views/default/user/settings/name.php,
+ views/default/user/settings/password.php,
+ views/default/usersettings/form.php, views/default/usersettings/main.php,
+ views/default/usersettings/main_opt/plugins.php,
+ views/default/usersettings/main_opt/statistics.php,
+ views/default/usersettings/main_opt/user.php,
+ views/default/usersettings/notifications.php,
+ views/default/usersettings/plugins.php,
+ views/default/usersettings/plugins_opt/plugin.php,
+ views/default/usersettings/statistics.php,
+ .../usersettings/statistics_opt/numentities.php,
+ .../default/usersettings/statistics_opt/online.php,
+ views/default/usersettings/user.php: reorganized the settings views and
+ updated the pages to use new layout
+
+ * .../river/user/default/profileiconupdate.php,
+ .../default/river/user/default/profileupdate.php: removed old river code from
+ the profile plugin - profile updates no longer go to the river
+
+ * engine/lib/upgrades/2010121701.php, mod/groups/languages/en.php,
+ mod/groups/views/default/river/forum/create.php,
+ .../views/default/river/forum/topic/create.php,
+ .../river/object/groupforumtopic/annotate.php,
+ .../river/object/groupforumtopic/create.php,
+ .../river/object/groupforumtopic/update.php,
+ .../default/river/relationship/member/create.php, version.php: updated group
+ member and group forum topics to new river
+
+ * mod/pages/actions/pages/edit.php,
+ .../views/default/river/object/page/update.php,
+ .../views/default/river/object/page_top/update.php: removed pages update
+ river code
+
+ * mod/pages/languages/en.php,
+ .../views/default/river/object/page/create.php,
+ .../views/default/river/object/page_top/create.php,
+ mod/thewire/languages/en.php,
+ .../views/default/river/object/thewire/create.php: added pages and thewire
+ river entries
+
+ * languages/en.php, .../views/default/river/object/blog/create.php,
+ .../default/river/object/bookmarks/create.php, mod/file/languages/en.php,
+ .../views/default/river/object/file/create.php, mod/groups/languages/en.php,
+ mod/groups/views/default/river/group/create.php: supporting putting content
+ in groups in for river views and added file river code
+
+ * .../views/default/river/object/blog/create.php,
+ mod/bookmarks/languages/en.php,
+ .../default/river/object/bookmarks/create.php, views/default/css/screen.php,
+ .../river/annotation/generic_comment/create.php,
+ views/default/river/relationship/friend/create.php: updated river views for
+ content display and added new bookmarks river view code
+
+ * mod/bookmarks/start.php: bookmarks plugin needs to be rewritten - hacked
+ it to get the add functionality working
+
+ * engine/classes/ElggMenuBuilder.php, engine/classes/ElggMenuItem.php,
+ engine/lib/navigation.php, engine/lib/views.php: Refs #2320 added new menu
+ functions and classes
+
+ * mod/riverdashboard/start.php, .../views/default/riverdashboard/js.php,
+ .../views/default/riverdashboard/river/footer.php: fixed remaining javascript
+ for riverdashboard - it's ready to be moved out to plugins
+
+ * .../views/default/riverdashboard/css.php: fixed comment form toggle in
+ riverdashboard plugin
+
+ * mod/riverdashboard/index.php, mod/riverdashboard/start.php,
+ .../views/default/riverdashboard/css.php,
+ .../views/default/riverdashboard/js.php,
+ .../views/default/riverdashboard/river/body.php,
+ .../views/default/riverdashboard/river/footer.php,
+ .../views/default/riverdashboard/rivercomment.php,
+ views/default/forms/likes/display.php: updated riverdashboard plugin to use
+ new river display code - javascript code currently broken for comments and
+ likes
+
+ * .../views/default/river/object/blog/create.php,
+ mod/riverdashboard/endpoint/ping.php, mod/riverdashboard/index.php,
+ mod/riverdashboard/start.php, .../views/default/river/item/list.php: updated
+ riverdashboard to load the river item class
+
+ * actions/comments/add.php, engine/lib/upgrades/2010121602.php,
+ mod/blog/languages/en.php, mod/file/languages/en.php,
+ mod/pages/languages/en.php, .../views/default/river/object/page/annotate.php,
+ .../default/river/object/page_top/annotate.php, version.php,
+ views/default/annotation/annotate.php,
+ .../river/annotation/generic_comment/create.php: updated the river
+ generic_comment view for the new river code
+
+ * _graphics/elgg_sprites.png, engine/lib/upgrades/2010121601.php,
+ languages/en.php, .../default/river/relationship/friend/create.php,
+ version.php, views/default/core/river/controls.php,
+ views/default/css/elements/skin.php, views/default/css/screen.php,
+ views/default/friends/river/create.php, views/default/river/item/list.php,
+ views/default/river/item/wrapper.php,
+ views/default/river/relationship/friend/create.php: updated the river friend
+ view for the new river code
+
+2010-12-16 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/js/upload_js.php: Fixes #2313, #1106 removed the last of the
+ aborted multiple file uploading code
+
+ * engine/lib/elgglib.php, js/lib/languages.js: Fixes #2725 - js page handler
+ now handles javascript views with slashes in their names
+
+ * engine/lib/elgglib.php: fixed a lot of notices being generated by the new
+ plugin hook code
+
+ * pages/members/search.php: replaced deprecated function in members search
+ page
+
+ * views/default/css/elements/skin.php,
+ views/default/layout/shells/one_column.php,
+ views/default/layout/shells/one_sidebar.php,
+ views/default/layout/shells/two_sidebar.php: adjusted page body css to use
+ top level class to determine width and centering
+
+ * pages/members/search.php, views/default/layout/shells/one_sidebar.php:
+ added title parameter to one_sidebar layout
+
+ * pages/members/search.php, views/default/layout/shells/content.php,
+ views/default/layout/shells/one_sidebar.php: layout views should use
+ 'content' to support alternate viewtypes (like rss) - updated the one_sidebar
+ view
+
+ * engine/lib/users.php, languages/en.php, mod/friends/languages/en.php,
+ mod/friends/manifest.xml, mod/friends/start.php,
+ .../views/default/widgets/friends/content.php,
+ mod/friends/views/default/widgets/friends/edit.php,
+ views/default/widgets/friends/content.php,
+ views/default/widgets/friends/edit.php: moved friends widget into core
+
+2010-12-15 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/layout/objects/widget.php,
+ views/default/layout/objects/widget/controls.php,
+ views/default/layout/objects/widget/settings.php,
+ views/default/layout/shells/widgets.php,
+ views/default/layout/shells/widgets/add_button.php,
+ views/default/layout/shells/widgets/add_panel.php,
+ views/default/object/widget.php, views/default/widgets/add_button.php,
+ views/default/widgets/add_panel.php, views/default/widgets/controls.php,
+ views/default/widgets/settings.php, views/default/widgets/wrapper.php: moved
+ widget into layout/objects as one of our core css objects
+
+ * engine/classes/ElggPluginManifestParser17.php: Fixes #2728 creating a name
+ for plugins with 1.7 manifests based on hellekin's patch
+
+ * views/default/admin/plugins/advanced.php: checking if categories are set
+ before looping (hellekin)
+
+ * engine/lib/statistics.php, mod/diagnostics/start.php,
+ mod/groups/start.php: updated to removing more deprecation warnings (from
+ hellekin)
+
+ * mod/blog/start.php, mod/bookmarks/start.php, mod/file/start.php,
+ mod/friends/start.php, mod/groups/start.php, mod/messageboard/start.php,
+ mod/pages/start.php, mod/tagcloud/start.php, mod/thewire/start.php,
+ mod/twitter/start.php: replacing calls to add_widget_type() with
+ elgg_register_widget_type() (from hellekin)
+
+ * install/ElggRewriteTester.php: Ignore SSL certificate errors when testing
+ URL rewriting during installation (from hellekin)
+
+ * engine/lib/access.php, engine/lib/users.php,
+ mod/groups/views/default/forms/groups/invite.php,
+ pages/friends/pickercallback.php, views/default/core/friends/collection.php,
+ views/default/core/friends/collections.php,
+ views/default/core/friends/collectiontabs.php,
+ views/default/core/friends/picker.php,
+ views/default/core/friends/tablelist.php,
+ .../default/core/friends/tablelistcountupdate.php,
+ views/default/forms/friends/edit.php, views/default/friends/collection.php,
+ views/default/friends/collections.php,
+ views/default/friends/collectiontabs.php, views/default/friends/picker.php,
+ views/default/friends/tablelist.php,
+ views/default/friends/tablelistcountupdate.php: moving friends views into
+ core
+
+ * pages/friends/add.php, pages/friends/edit.php,
+ pages/friends/pickercallback.php,
+ views/default/forms/friends/collectionfields.php,
+ views/default/forms/friends/edit.php,
+ views/default/friends/forms/collectionfields.php,
+ views/default/friends/forms/edit.php: moved friend forms into forms directory
+
+
+ * engine/lib/elgglib.php, .../default/river/object/bookmarks/create.php,
+ mod/groups/views/default/river/forum/create.php,
+ .../views/default/river/forum/topic/create.php,
+ mod/groups/views/default/river/group/create.php,
+ .../views/default/river/object/page/create.php,
+ .../views/default/river/item/wrapper.php,
+ .../views/default/river/object/thewire/create.php,
+ views/default/annotation/annotate.php, views/default/core/river/controls.php,
+ views/default/forms/likes/display.php, views/default/forms/likes/edit.php,
+ views/default/forms/likes/link.php, views/default/likes/forms/display.php,
+ views/default/likes/forms/edit.php, views/default/likes/forms/link.php: moved
+ likes forms into forms directory
+
+ * mod/notifications/index.php: added friends picker js to notifications
+ plugin - is there a reason it isn't using the friends picker view?
+
+ * engine/lib/notification.php, mod/notifications/start.php,
+ .../notifications/settings/usersettings.php,
+ views/default/usersettings/notifications.php: moved default notification
+ settings into usersettings
+
+ * views/default/js/initialise_elgg.php,
+ views/default/js/initialize_elgg.php,
+ views/default/page/elements/html_begin.php,
+ views/default/scripts/initialize_elgg.php: last step in conversion to elgg.js
+
+
+ * engine/lib/views.php, mod/embed/start.php, mod/profile/start.php,
+ views/default/js/elgg.php, views/default/js/initialise_elgg.php: 1st step in
+ changing initialise_elgg.js to elgg.js
+
+ * views/default/upload/upload_form_content.php: upload form content view not
+ used anywhere
+
+ * views/default/settings/system.php: site settings were moved to admin views
+
+
+ * pages/account/forgotten_password.php, pages/account/register.php,
+ views/default/account/forms/forgotten_password.php,
+ views/default/account/forms/login.php,
+ views/default/account/forms/register.php,
+ views/default/account/forms/useradd.php, views/default/admin/users/add.php,
+ views/default/core/account/login_box.php,
+ views/default/core/account/login_dropdown.php,
+ views/default/core/account/login_walled_garden.php,
+ views/default/forms/login.php, views/default/forms/register.php,
+ views/default/forms/user/requestnewpassword.php,
+ views/default/forms/useradd.php: moved account forms into forms directory
+
+2010-12-14 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, engine/lib/users.php, index.php,
+ mod/sitepages/start.php, views/default/account/login_box.php,
+ views/default/account/login_dropdown.php,
+ views/default/account/login_walled_garden.php,
+ views/default/core/account/login_box.php,
+ views/default/core/account/login_dropdown.php,
+ views/default/core/account/login_walled_garden.php,
+ views/default/page/elements/header.php: moved account views into core views -
+ account forms are next
+
+ * views/default/css/elements/core.php,
+ views/default/css/elements/layout.php,
+ views/default/css/elements/page_layout.php,
+ views/default/css/elements/skin.php,
+ views/default/css/elements/typography.php, views/default/css/screen.php:
+ moving more css into the skin view - including page layout information
+
+ * upgrade.php, views/default/page/shells/upgrade.php,
+ views/default/settings/upgrading.php: Refs #2733 added explanation on the
+ security of the upgrade script
+
+ * engine/lib/users.php: Fixes #2103 adding a site nav entry for members
+
+ * mod/members/index.php, mod/members/languages/en.php,
+ mod/members/manifest.xml, mod/members/start.php,
+ mod/members/views/default/members/css.php,
+ .../views/default/members/members_navigation.php,
+ mod/members/views/default/members/search.php: Refs #2723 removing old members
+ plugin
+
+ * engine/lib/upgrades/2010121401.php, engine/lib/users.php,
+ languages/en.php, pages/members/index.php, pages/members/search.php,
+ version.php, views/default/core/members/nav.php,
+ views/default/core/members/sidebar.php,
+ views/default/forms/members/name_search.php,
+ views/default/forms/members/tag_search.php: Fixes #2723 members listing pages
+ now in core
+
+2010-12-14 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: sanitise_filepath() removes double /s.
+
+ * engine/classes/ElggPluginPackage.php: Cleaned up constructor logic for
+ ElggPluginPackage. Better detection of invalid paths.
+
+2010-12-13 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * index.php: front page code does not depend on riverdashboard plugin
+ anymore
+
+ * .../views/default/river/object/blog/create.php: Refs #2226 need a catch in
+ river views until riverdashboard plugin is updated
+
+ * mod/blog/languages/en.php, .../views/default/river/object/blog/create.php:
+ Refs #2226 updated blog plugin to use new river view
+
+ * engine/classes/ElggRiverItem.php, engine/lib/annotations.php,
+ engine/lib/river.php, engine/lib/views.php, languages/en.php,
+ mod/riverdashboard/index.php, mod/riverdashboard/start.php,
+ .../views/default/river/item/list.php, pages/river.php,
+ views/default/core/river/body.php, views/default/core/river/controls.php,
+ views/default/core/river/filter.php, views/default/core/river/footer.php,
+ views/default/core/river/image.php, views/default/css/screen.php,
+ views/default/forms/comments/inline.php: Refs #2226 moving riverdashboard
+ into core - need to clean up riverdashboard plugin and move to plugins
+ repository and update plugins with new river views
+
+2010-12-12 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/core/dashboard/blurb.php, views/default/dashboard/blurb.php:
+ adding a place to put views for core pages
+
+ * js/lib/ui.js: added toggler function
+
+ * views/default/page/shells/admin.php,
+ views/default/page/shells/default.php: removed unnecessary title code in page
+ shells
+
+ * pages/dashboard/latest.php: removed unused dashboard directory
+
+ * engine/lib/users.php, pages/dashboard.php, pages/dashboard/index.php:
+ moved dashboard handler into base pages directory
+
+ * views/default/layout/objects/media.php: fixed typo
+
+2010-12-11 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css/elements/page_layout.php,
+ views/default/layout/objects/media.php: added alternate picture block to css
+ media object
+
+ * views/default/layout/shells/content.php,
+ views/default/layout/shells/one_column.php,
+ views/default/layout/shells/one_sidebar.php,
+ views/default/layout/shells/two_sidebar.php: supporting an additional class
+ on primary layouts
+
+ * views/default/layout/objects/list.php: added better list id's based on
+ type
+
+ * views/default/css/elements/page_layout.php,
+ views/default/layout/objects/module.php, views/default/output/tagcloud.php:
+ updated module markup and fixed a bug with its footer code
+
+ * engine/lib/entities.php: fixed spelling error in documentation
+
+ * engine/lib/tags.php: updated tags library to use new sql functions
+
+ * engine/lib/relationships.php: provided more flexibility in creating the
+ relationships join sql
+
+ * views/default/page/elements/topbar.php: fixed copy and paste error in the
+ topbar view
+
+2010-12-10 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/lib/blog.php, views/default/layout/shells/content/filter.php:
+ using all rather than everyone to be more consistent
+
+ * views/default/css/elements/core.php,
+ views/default/css/elements/navigation.php,
+ views/default/css/elements/reset.php, views/default/css/elements/skin.php,
+ views/default/css/screen.php: Refs #2317 starting create a css skin sub view
+
+ * engine/lib/views.php, views/default/css/elements/page_layout.php,
+ views/default/layout/objects/media.php: changed name of icon block to picture
+ block in media object to avoid conflicts with elgg sprites
+
+ * mod/search/views/default/search/css.php,
+ views/default/css/elements/navigation.php,
+ views/default/css/elements/page_layout.php, views/default/css/screen.php,
+ views/default/navigation/site_nav.php: a few more adjustments to the page
+ header
+
+ * views/default/css/elements/navigation.php,
+ views/default/navigation/site_nav.php: finished clean up the header css
+ (except for search box in search plugin
+
+ * _graphics/elgg_toolbar_logo.gif, mod/search/views/default/search/css.php,
+ views/default/css/elements/navigation.php,
+ views/default/css/elements/page_layout.php,
+ views/default/navigation/site_nav.php,
+ views/default/page/elements/header_logo.php: stage 1 of cleaning up page
+ header css
+
+ * views/default/css/elements/page_layout.php, views/default/css/screen.php,
+ views/default/page/elements/topbar.php,
+ views/default/page/elements/topbar_logout.php: completed the topbar
+ modifications
+
+2010-12-09 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/riverdashboard/css.php: Fixes #2724 fixed typo in
+ riverdashboard CSS
+
+ * views/default/input/userpicker.php: Fixes #2726 applied zcho's patch to
+ fix more errors from the "_" to "-" CSS conversion
+
+2010-12-09 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Refs #1411: Can specify custom row callbacks with
+ 'row_callback' options in elgg_get_entities().
+
+2010-12-09 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/start.php, .../views/default/profile/topbar_extend.php: topbar
+ friends should be in core, not profile plugin
+
+ * mod/embed/views/default/embed/js.php,
+ views/default/settings/upgrading.php: Fixes #2717 - fixing more errors
+ introduced by my over aggressive renaming script - thanks to zcho and
+ hellekin
+
+ * engine/classes/ElggSite.php: Fixes #2721 fixed typo - thanks for the
+ report!
+
+2010-12-09 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php: Refs #1411: Documentation fix.
+
+ * engine/lib/annotations.php: Refs #1411. Added ability to specify
+ annotation time_created lower and upper. Deprecated remaining
+ get/list_entities_from/by_annotation*() functions.
+
+2010-12-09 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css/elements/page_layout.php,
+ views/default/page/elements/topbar.php,
+ views/default/page/elements/topbar_logout.php: cleaning up the topbar CSS
+
+2010-12-09 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php, engine/tests/api/entity_getter_functions.php:
+ Refs #1411. Added elgg_get_entities_from_annotation_calculation(). Deprecated
+ get_entities_from_annotations_count() and
+ get_entities_from_annotation_calculate_x().
+ elgg_get_entities_from_annotations() now runs through egef_metadata() for
+ backward compatibility support.
+
+2010-12-09 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/layout/objects/list.php: added ability to include pagination
+ before and after a list
+
+ * engine/lib/views.php, views/default/layout/objects/list.php,
+ views/default/navigation/pagination.php: fixed pagination for annotations due
+ to changes in views
+
+2010-12-09 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: DRY'd up elgg_get_entities() where clause helper
+ functions. Added ability to specify guids in elgg_get_entity*() functions.
+
+2010-12-09 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css/elements/core.php,
+ views/default/css/elements/navigation.php,
+ views/default/css/elements/page_layout.php, views/default/css/screen.php:
+ Refs #2317 pulled more css out into sub views
+
+ * views/default/css/screen.php, views/default/navigation/pagination.php:
+ Updated pagination to use semantic html
+
+2010-12-08 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Added ability to check for empty string in
+ elgg_get_array_value().
+
+ * engine/lib/entities.php: Fixed a bug that wouldn't let you specify
+ multiple selects in get_entities().
+
+ * engine/lib/relationships.php: Refs #1411. Deprecated
+ get_entities_by_relationship_count() and
+ list_entities_by_relationship_count().
+
+ * engine/tests/api/entity_getter_functions.php: Removed the silly things I
+ was doing in the egef_relationship_count() test.
+
+2010-12-08 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/ajax/loader.php, views/default/graphics/ajax_loader.php:
+ moved ajax loader into the graphics view directory
+
+ * views/default/css/components/OOCSS_LICENSE,
+ views/default/css/components/forms.php,
+ views/default/css/components/grid.php,
+ views/default/css/components/heading.php,
+ views/default/css/components/reset.php,
+ views/default/css/components/spacing.php,
+ views/default/css/components/typography.php,
+ views/default/css/elements/OOCSS_LICENSE,
+ views/default/css/elements/forms.php, views/default/css/elements/grid.php,
+ views/default/css/elements/heading.php, views/default/css/elements/reset.php,
+ views/default/css/elements/spacing.php,
+ views/default/css/elements/typography.php, views/default/css/screen.php:
+ changed css/components to css/elements
+
+ * views/default/css/screen.php, views/default/page/elements/footer.php,
+ views/default/page/elements/header.php,
+ views/default/page/elements/topbar.php,
+ views/default/page/shells/default.php: using the generic .elgg-inner class on
+ topbar, header, and footer
+
+2010-12-08 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/tests/api/entity_getter_functions.php: D'oh. Re-enabled the other
+ getter unit tests.
+
+ * engine/lib/relationships.php,
+ engine/tests/api/entity_getter_functions.php: Refs #1411: Added
+ elgg_get_entity_from_relationship_count().
+
+2010-12-08 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/comments/latest.php: comment views have been moved
+
+ * engine/lib/views.php, mod/blog/views/default/blog/sidebar_menu.php,
+ views/default/annotation/generic_comment.php,
+ views/default/annotation/list.php, views/default/comments/list.php,
+ views/default/layout/elements/comments.php,
+ views/default/layout/objects/list.php: Fixes #2189 created the object/list
+ view with semantic markup
+
+ * engine/lib/elgglib.php, engine/lib/views.php, mod/blog/lib/blog.php,
+ mod/bookmarks/bookmarklet.php, mod/bookmarks/start.php,
+ mod/diagnostics/index.php, mod/file/friends.php, mod/file/index.php,
+ mod/file/search.php, mod/file/world.php, mod/groups/all.php,
+ mod/groups/index.php, mod/groups/membership.php, mod/members/index.php,
+ mod/search/index.php, mod/sitepages/sitepages_functions.php,
+ views/default/layout/shells/two_sidebar.php,
+ views/default/page/elements/body.php,
+ views/default/page/elements/content.php,
+ views/default/page/elements/content_header.php,
+ .../page/elements/content_header_member.php,
+ views/default/page/elements/footer.php,
+ views/default/page/elements/header.php,
+ views/default/page/elements/header_logo.php,
+ views/default/page/elements/html_begin.php,
+ views/default/page/elements/html_end.php,
+ views/default/page/elements/messages.php,
+ views/default/page/elements/topbar.php,
+ views/default/page/elements/topbar_logout.php,
+ views/default/page/shells/admin.php, views/default/page/shells/default.php,
+ views/default/page/shells/walled_garden.php,
+ views/default/page_elements/body.php,
+ views/default/page_elements/content.php,
+ views/default/page_elements/content_header.php,
+ .../page_elements/content_header_member.php,
+ views/default/page_elements/footer.php,
+ views/default/page_elements/header.php,
+ views/default/page_elements/header_logo.php,
+ views/default/page_elements/html_begin.php,
+ views/default/page_elements/html_end.php,
+ views/default/page_elements/messages.php,
+ views/default/page_elements/topbar.php,
+ views/default/page_elements/topbar_logout.php,
+ views/default/page_shells/admin.php, views/default/page_shells/default.php,
+ views/default/page_shells/walled_garden.php,
+ views/failsafe/page/shells/default.php,
+ views/failsafe/page_shells/default.php, views/foaf/page/shells/default.php,
+ views/foaf/page_elements/contentwrapper.php,
+ views/foaf/page_shells/default.php, views/ical/page/shells/default.php,
+ views/ical/pageshells/pageshell.php,
+ views/installation/page/shells/default.php,
+ views/installation/page_shells/default.php,
+ views/json/page/shells/default.php,
+ views/json/page_elements/contentwrapper.php,
+ views/json/page_shells/default.php, views/opendd/page/shells/default.php,
+ views/opendd/pageshells/pageshell.php, views/php/page/shells/default.php,
+ views/php/page_shells/default.php, views/rss/page/shells/default.php,
+ views/rss/page_elements/contentwrapper.php,
+ views/rss/page_shells/default.php, views/xml/page/shells/default.php,
+ views/xml/page_shells/default.php: reorganized the page views as discussed
+
+ * views/js/object/default.php, views/js/pageshells/pageshell.php,
+ views/js/user/default.php: removed unused viewtype js
+
+ * views/default/layout/elements/owner_block.php,
+ views/default/layout/elements/sidebar.php,
+ views/default/layout/elements/title.php,
+ views/default/layout/shells/administration.php,
+ .../layout/shells/one_column_with_sidebar.php,
+ views/default/layout/shells/one_sidebar.php,
+ views/default/layout/shells/two_sidebar.php,
+ views/default/page_elements/owner_block.php,
+ views/default/page_elements/sidebar.php,
+ views/default/page_elements/title.php: moved a few elements to layout from
+ page
+
+ * views/default/content/filter.php, views/default/content/footer.php,
+ views/default/content/header.php, views/default/content/sidebar.php,
+ views/default/layout/shells/content.php,
+ views/default/layout/shells/content/filter.php,
+ views/default/layout/shells/content/footer.php,
+ views/default/layout/shells/content/header.php,
+ views/default/layout/shells/content/sidebar.php: moved content views into
+ layout/shells/content
+
+2010-12-07 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/river/item/wrapper.php: Refs #2700 fixed another stray
+ conversion in riverdashboard
+
+ * engine/lib/views.php, mod/blog/start.php,
+ mod/blog/views/default/blog/sidebar_menu.php,
+ mod/blog/views/default/blog/sidebar_revisions.php,
+ views/default/layout/objects/media.php,
+ views/default/layout/objects/module.php,
+ views/default/layout/shells/administration.php,
+ views/default/layout/shells/content.php,
+ views/default/layout/shells/default.php,
+ views/default/layout/shells/one_column.php,
+ .../layout/shells/one_column_with_sidebar.php,
+ views/default/layout/shells/one_sidebar.php,
+ views/default/layout/shells/two_sidebar.php,
+ views/default/layout/shells/widgets.php,
+ views/default/layout_elements/media.php,
+ views/default/layout_elements/module.php,
+ views/default/layouts/administration.php, views/default/layouts/default.php,
+ views/default/layouts/main_content.php, views/default/layouts/one_column.php,
+ views/default/layouts/one_column_with_sidebar.php,
+ views/default/layouts/one_sidebar.php, views/default/layouts/two_sidebar.php,
+ views/default/layouts/widgets.php, views/default/output/tagcloud.php:
+ reorganized the layout views
+
+2010-12-07 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Refs #2220. Check that an autoload class is
+ registered before trying to include it.
+
+ * engine/classes/ElggPluginManifest.php,
+ engine/classes/ElggPluginPackage.php: Removed leading _ in private var names.
+
+
+2010-12-06 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggPluginManifest.php,
+ engine/classes/ElggPluginManifestParser17.php,
+ engine/classes/ElggPluginManifestParser18.php,
+ engine/classes/ElggPluginPackage.php, engine/tests/api/plugins.php,
+ engine/tests/test_files/plugin_18/manifest.xml: Renamed 'elgg' manifest
+ requirment to 'elgg_version' to be more in line with the current options.
+ Removed assignments in if statements. 1.7 manifest files properly show
+ elgg_version as a requirement.
+
+2010-12-06 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, engine/lib/views.php,
+ views/default/messages/list.php, views/default/page_elements/messages.php,
+ views/default/page_shells/admin.php, views/default/page_shells/default.php,
+ views/default/page_shells/walled_garden.php: cleaned up system messages
+ display code
+
+ * views/default/friends/list.php,
+ views/default/messages/exceptions/exception.php: removing unused views
+
+ * views/default/css/screen.php, views/default/layout_elements/media.php,
+ views/default/layout_elements/module.php: added more options to module view
+
+ * engine/lib/views.php, languages/en.php,
+ views/default/annotation/generic_comment.php,
+ views/default/annotation/list.php, views/default/comments/forms/edit.php,
+ views/default/forms/comments/add.php,
+ views/default/layout_elements/media.php: comments use the media view now
+
+ * mod/blog/lib/blog.php, views/default/css/screen.php: Fixed minor display
+ issues with blog breadcrumbs and also the archives page
+
+2010-12-05 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css/screen.php, views/default/navigation/breadcrumbs.php:
+ rewrote breadcrumbs
+
+ * languages/en.php: changed "my friends" to "friends"
+
+ * engine/lib/views.php, mod/blog/languages/en.php, mod/blog/lib/blog.php,
+ mod/blog/views/default/blog/forms/edit.php,
+ mod/blog/views/default/forms/blog/save.php,
+ mod/blog/views/default/js/blog/save_draft.php, views/default/css/screen.php:
+ blog plugin now uses the new elgg_view_form() function
+
+ * engine/lib/views.php: Fixes #2616 Adds Evan's view form convenience
+ function
+
+ * engine/lib/views.php, mod/blog/views/default/object/blog.php,
+ views/default/annotation/generic_comment.php: added a convience function for
+ the media content pattern - is elgg_view_media() a good name?
+
+ * mod/blog/views/default/object/blog.php,
+ views/default/annotation/generic_comment.php, views/default/css/screen.php,
+ views/default/layout_elements/media.php: changed img | body media element to
+ icon | body
+
+ * engine/lib/views.php: moved the view functions around so that they are
+ grouped together by function
+
+ * engine/lib/views.php, languages/en.php, mod/blog/actions/blog/delete.php,
+ mod/blog/actions/blog/save.php, mod/blog/languages/en.php,
+ mod/blog/lib/blog.php, mod/blog/start.php,
+ mod/blog/views/default/blog/css.php,
+ mod/blog/views/default/blog/forms/edit.php,
+ mod/blog/views/default/blog/sidebar_menu.php,
+ mod/blog/views/default/blog/sidebar_revisions.php,
+ mod/blog/views/default/object/blog.php, views/default/content/filter.php,
+ views/default/content/header.php, views/default/css/components/forms.php:
+ Clean-up of the blog plugin - now using urls from 1.7.5
+
+ * mod/uservalidationbyemail/start.php: fixed bug in uservalidationbyemail
+ permissions hook handler - was giving everyone permission to everything
+
+2010-12-04 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, mod/blog/blog_lib.php, mod/blog/lib/blog.php,
+ mod/blog/start.php: Fixes #2519 we can now register and load php libraries -
+ blog plugin is using this
+
+ * engine/lib/views.php, mod/blog/views/default/object/blog.php,
+ views/default/annotation/generic_comment.php,
+ views/default/comments/latest.php, views/default/css/screen.php,
+ views/default/entities/list.php: object/blog view uses new media view - needs
+ some clean up
+
+ * engine/lib/views.php, mod/blog/views/default/blog/sidebar_menu.php,
+ mod/file/friends.php, mod/file/index.php, mod/file/world.php,
+ views/default/annotation/generic_comment.php,
+ views/default/annotation/latest_comments.php,
+ views/default/comments/latest.php, views/default/css/screen.php,
+ views/default/layout_elements/media.php,
+ views/default/layout_elements/module.php: welcome to the new media view - it
+ is being used in the new latest comments module
+
+ * views/default/css/screen.php, views/default/layouts/main_content.php,
+ views/default/layouts/one_sidebar.php, views/default/page_elements/body.php,
+ views/default/page_elements/content.php,
+ views/default/page_elements/footer.php,
+ views/default/page_elements/header.php,
+ views/default/page_elements/topbar.php,
+ views/default/page_shells/default.php: using classes for page level divs
+
+ * mod/blog/views/default/blog/css.php,
+ mod/blog/views/default/object/blog.php, views/default/content/header.php,
+ views/default/css/screen.php: fitting blog object display into new html
+ structure
+
+ * mod/blog/views/default/blog/sidebar_edit.php,
+ mod/blog/views/default/blog/sidebar_menu.php,
+ mod/blog/views/default/blog/sidebar_revisions.php,
+ views/default/annotation/latest_comments.php, views/default/css/screen.php,
+ views/default/layout_elements/module.php, views/default/layouts/module.php,
+ views/default/output/tagcloud.php: blog sidebar html updates finished - uses
+ modules!
+
+ * mod/blog/blog_lib.php, mod/blog/start.php,
+ views/default/content/filter.php, views/default/content/footer.php,
+ views/default/content/header.php, views/default/content/sidebar.php,
+ views/default/css/screen.php, views/default/layouts/main_content.php: a
+ better main content view structure
+
+ * views/default/css/screen.php,
+ views/default/layouts/one_column_with_sidebar.php,
+ views/default/page_elements/content.php,
+ views/default/page_elements/footer.php,
+ views/default/page_elements/header.php,
+ views/default/page_elements/topbar.php,
+ views/default/page_shells/default.php: striking balance between all classes
+ and giving ids on major layout elements for easy css-only theming
+
+ * engine/lib/views.php: check if there are any messages before grabbing them
+ in elgg_view_page()
+
+2010-12-03 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/views.php: Fixes #2706 simplecache url generator was not
+ handling an empty last cache date
+
+ * install/ElggInstaller.php, install/css/install.css,
+ views/installation/install/forms/template.php,
+ views/installation/install/nav.php,
+ views/installation/install/pages/complete.php,
+ views/installation/page_shells/default.php: Refs #2706 updates display of
+ installer due to switch to dashes in CSS elements
+
+2010-12-03 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/handlers/cache_handler.php: Cache handler needs to support _ in
+ views
+
+ * mod/riverdashboard/index.php,
+ .../views/default/riverdashboard/ecml/activity.php: Refs #2700: Removed a few
+ stray hyphens.
+
+ * install/ElggInstaller.php: Refs #2706. Added configuration.php to
+ installation engine bootstrapping. Required because of use of
+ elgg_get_site_url().
+
+2010-12-03 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css/components/grid.php: updated grid css - added sixths
+
+ * engine/lib/views.php, views/default/entities/list.php,
+ views/default/entities/list_item.php: Refs #2189 the beginning of semantic
+ markup for entity lists - needs some reorganization
+
+ * views/default/navigation/tabs.php: removed extra div in navigation/tabs
+
+2010-12-03 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/river/item/wrapper.php: Another stray hyphens
+
+ * .../views/default/river/item/list.php: hyphen snuck in to
+ elgg_view_river_item
+
+2010-12-03 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggPluginManifest.php,
+ engine/classes/ElggPluginManifestParser.php,
+ engine/classes/ElggPluginManifestParser17.php,
+ engine/classes/ElggPluginManifestParser18.php,
+ engine/classes/ElggPluginPackage.php, engine/lib/plugins.php,
+ engine/tests/api/plugins.php, engine/tests/test_files/plugin_17/manifest.xml,
+ engine/tests/test_files/plugin_18/manifest.xml, languages/en.php: Refs #1986
+ #2170 #2225. Added semantic manifest.xml support and unit tests. Also added
+ plugin dependencies system. See
+ engine/tests/test_files/plugin_18/manifest.xml for examples. Not closing
+ tickets pending discussion.
+
+ * mod/oauth_lib/manifest.xml, mod/sitepages/manifest.xml: Removed more
+ incompatible 1.8 attributes in 1.7-style manifests.
+
+ * mod/ecml/manifest.xml: Removing unsupported 1.7-style manifest elements.
+
+2010-12-03 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/blog_lib.php, mod/blog/start.php, views/default/css/screen.php,
+ views/default/layouts/module.php,
+ views/default/page_elements/main_header.php,
+ views/default/page_elements/main_module.php,
+ views/default/page_elements/main_nav.php: preview of what the main content
+ area looks like with a module approach
+
+ * views/default/css/screen.php, views/default/navigation/breadcrumbs.php:
+ updated breadcrumbs with elgg- namespace
+
+ * views/default/css/screen.php, views/default/layouts/one_column.php,
+ views/default/layouts/one_column_with_sidebar.php,
+ views/default/page_elements/footer.php: adjusted the one column and two
+ column layouts
+
+ * mod/blog/views/default/object/blog.php,
+ mod/groups/views/default/forum/topics.php,
+ mod/groups/views/default/groups/groupprofile.php, mod/messages/index.php,
+ mod/messages/sent.php, mod/messages/views/default/messages/messages.php,
+ views/default/annotation/generic_comment.php,
+ views/default/annotation/latest_comments.php, views/default/css/screen.php,
+ views/default/js/initialise_elgg.php, views/default/likes/forms/edit.php,
+ views/default/page_elements/content_header.php,
+ .../page_elements/content_header_member.php: Refs #2700 completed the _ to -
+ conversion for screen.css (leaves admin.css and plugin css)
+
+2010-12-02 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/riverdashboard/start.php: Refs #2700. Fixed a misplaced - in
+ riverdashboard from the css _ to - conversion.
+
+2010-12-02 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/layouts/module.php: adding a generic module layout
+
+ * views/default/css/screen.php, views/default/page_elements/header.php:
+ cleaned up header css for new layout
+
+2010-12-02 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Better code and docs or previous.
+
+ * engine/lib/elgglib.php: Added 'true' as a truthy value for ini_get_bool().
+
+
+2010-12-02 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css/screen.php,
+ views/default/layouts/one_column_with_sidebar.php,
+ views/default/page_elements/footer.php: more cleanup of the basic layout css
+
+ * views/default/css/screen.php, views/default/page_elements/topbar.php:
+ cleaned up the topbar css
+
+ * views/default/widgets/wrapper.php: fixed typo in widget display
+ deprecation
+
+ * views/default/page_elements/footer.php: restored the powered by badge
+ image
+
+ * js/lib/ui.js, views/default/css/components/reset.php,
+ views/default/css/screen.php,
+ views/default/layouts/one_column_with_sidebar.php,
+ views/default/messages/errors/list.php, views/default/messages/list.php,
+ views/default/messages/messages/list.php,
+ views/default/page_elements/content.php,
+ views/default/page_elements/footer.php,
+ views/default/page_elements/header.php,
+ views/default/page_elements/topbar.php,
+ views/default/page_shells/default.php: rough implementation of Evan's page
+ layout
+
+ * engine/lib/sites.php: added a function for getting current site entity
+
+ * js/lib/ui.js, js/lib/ui.widgets.js, views/default/js/initialise_elgg.php,
+ views/default/layouts/widgets.php, views/default/widgets/add_panel.php,
+ views/default/widgets/wrapper.php: Refs #2700 fixed the widget code with the
+ new CSS element names
+
+ * mod/blog/views/default/blog/css.php,
+ mod/blog/views/default/blog/forms/edit.php,
+ mod/blog/views/default/blog/sidebar_revisions.php,
+ mod/blog/views/default/object/blog.php,
+ .../views/default/river/object/blog/create.php,
+ .../views/default/bookmarks/bookmarklet.php,
+ mod/bookmarks/views/default/bookmarks/form.php,
+ .../views/default/bookmarks/group_bookmarks.php,
+ mod/bookmarks/views/default/object/bookmarks.php,
+ .../default/river/object/bookmarks/create.php,
+ .../views/default/widgets/bookmarks/view.php,
+ .../views/default/defaultwidgets/editor.php,
+ .../views/default/embed/web_services/content.php,
+ mod/ecml/views/default/settings/ecml/edit.php,
+ mod/embed/views/default/embed/css.php,
+ mod/embed/views/default/embed/embed.php,
+ mod/embed/views/default/embed/item/list.php,
+ mod/embed/views/default/embed/js.php,
+ mod/embed/views/default/object/file/embedlist.php, mod/file/friends.php,
+ mod/file/index.php, mod/file/views/default/file/css.php,
+ mod/file/views/default/file/groupprofile_files.php,
+ mod/file/views/default/file/upload.php,
+ mod/file/views/default/object/file.php,
+ .../views/default/widgets/filerepo/content.php, mod/groups/groupprofile.php,
+ mod/groups/views/default/forms/forums/addtopic.php,
+ mod/groups/views/default/forms/groups/edit.php,
+ mod/groups/views/default/forms/groups/invite.php,
+ mod/groups/views/default/forum/maintopic.php,
+ mod/groups/views/default/forum/topics.php,
+ .../views/default/groups/closedmembership.php,
+ mod/groups/views/default/groups/css.php,
+ mod/groups/views/default/groups/featured.php,
+ mod/groups/views/default/groups/find.php,
+ mod/groups/views/default/groups/forum_latest.php,
+ .../views/default/groups/group_sort_menu.php,
+ mod/groups/views/default/groups/grouplisting.php,
+ mod/groups/views/default/groups/groupprofile.php,
+ .../views/default/groups/invitationrequests.php,
+ .../views/default/groups/membershiprequests.php,
+ .../views/default/object/groupforumtopic.php,
+ mod/groups/views/default/river/forum/create.php,
+ .../views/default/river/forum/topic/create.php,
+ mod/groups/views/default/river/group/create.php,
+ .../default/river/relationship/member/create.php,
+ .../views/default/invitefriends/formitems.php, mod/members/index.php,
+ mod/members/views/default/members/css.php,
+ .../views/default/members/members_navigation.php,
+ mod/members/views/default/members/search.php,
+ .../views/default/widgets/messageboard/content.php, mod/messages/index.php,
+ mod/messages/sent.php, mod/messages/views/default/messages/css.php,
+ .../views/default/messages/forms/reply.php,
+ mod/messages/views/default/messages/forms/send.php,
+ mod/messages/views/default/messages/messages.php,
+ mod/messages/views/default/messages/view.php, mod/notifications/start.php,
+ .../notifications/subscriptions/collections.php,
+ .../default/notifications/subscriptions/form.php,
+ .../notifications/subscriptions/forminternals.php,
+ .../notifications/subscriptions/groupsform.php,
+ .../views/default/river/object/page/create.php,
+ .../default/profile/commentwall/commentwall.php,
+ .../profile/commentwall/commentwall_content.php,
+ mod/profile/views/default/profile/css.php,
+ mod/profile/views/default/profile/edit.php,
+ .../views/default/profile/editdefaultprofile.php,
+ .../default/profile/editdefaultprofileitems.php,
+ mod/profile/views/default/profile/editicon.php,
+ mod/profile/views/default/profile/listing.php,
+ .../views/default/profile/profile_navigation.php,
+ .../river/user/default/profileiconupdate.php,
+ .../default/river/user/default/profileupdate.php,
+ .../default/admin/overview/reportedcontent.php,
+ .../views/default/reportedcontent/form.php,
+ mod/riverdashboard/endpoint/get_comments.php,
+ mod/riverdashboard/endpoint/ping.php, mod/riverdashboard/index.php,
+ mod/riverdashboard/start.php, .../views/default/river/item/list.php,
+ .../views/default/river/item/wrapper.php,
+ .../views/default/river/item/wrapper_classic.php,
+ .../views/default/riverdashboard/container.php,
+ .../views/default/riverdashboard/css.php,
+ .../views/default/riverdashboard/ecml/activity.php,
+ .../views/default/riverdashboard/js.php,
+ .../views/default/riverdashboard/nav.php,
+ .../views/default/riverdashboard/rivercomment.php,
+ .../views/default/search/comments/entity.php,
+ mod/search/views/default/search/entity.php,
+ mod/search/views/default/search/search_box.php,
+ .../views/default/canvas/layouts/frontpage.php,
+ mod/sitepages/views/default/sitepages/css.php,
+ mod/sitepages/views/default/sitepages/members.php,
+ mod/sitepages/views/default/sitepages/menu.php,
+ mod/thewire/views/default/object/thewire.php,
+ .../views/default/river/object/thewire/create.php,
+ mod/thewire/views/default/thewire/css.php,
+ .../views/default/thewire/profile_status.php,
+ mod/tinymce/views/default/tinymce/css.php,
+ views/default/account/forms/forgotten_password.php,
+ views/default/account/forms/login.php,
+ views/default/account/forms/register.php,
+ views/default/account/forms/useradd.php,
+ views/default/account/login_dropdown.php,
+ views/default/account/login_walled_garden.php,
+ views/default/admin/appearance/menu_items.php,
+ views/default/admin/overview.php, views/default/admin/overview/online.php,
+ views/default/admin/plugins/advanced.php,
+ views/default/admin/plugins/simple.php,
+ views/default/admin/site/advanced.php, views/default/admin/site/basic.php,
+ views/default/admin/users/newest.php, views/default/admin/users/online.php,
+ views/default/ajax/loader.php, views/default/annotation/annotate.php,
+ views/default/annotation/annotatelike.php,
+ views/default/annotation/generic_comment.php,
+ views/default/annotation/latest_comments.php,
+ views/default/annotation/likes.php, views/default/comments/forms/edit.php,
+ views/default/css/admin.php, views/default/css/ie.php,
+ views/default/css/ie6.php, views/default/css/screen.php,
+ views/default/dashboard/blurb.php, views/default/entities/entity_listing.php,
+ views/default/export/entity.php, views/default/export/metadata.php,
+ views/default/export/relationship.php, views/default/friends/collection.php,
+ views/default/friends/collections.php,
+ views/default/friends/collectiontabs.php, views/default/friends/picker.php,
+ views/default/input/userpicker.php, views/default/js/friendsPickerv1.php,
+ views/default/js/initialise_elgg.php,
+ views/default/layouts/administration.php,
+ views/default/layouts/one_column.php,
+ views/default/layouts/one_column_with_sidebar.php,
+ views/default/layouts/widgets.php, views/default/likes/forms/edit.php,
+ views/default/likes/forms/link.php, views/default/messages/errors/list.php,
+ views/default/messages/list.php, views/default/messages/messages/list.php,
+ views/default/navigation/listtype.php,
+ views/default/navigation/pagination.php,
+ views/default/navigation/site_nav.php, views/default/navigation/tabs.php,
+ .../notifications/settings/usersettings.php,
+ views/default/output/rss_view.php,
+ views/default/page_elements/content_header.php,
+ .../page_elements/content_header_member.php,
+ views/default/page_elements/footer.php,
+ views/default/page_elements/header.php,
+ views/default/page_elements/header_logo.php,
+ views/default/page_elements/owner_block.php,
+ views/default/page_elements/topbar.php,
+ views/default/page_elements/topbar_logout.php,
+ views/default/page_shells/walled_garden.php,
+ views/default/river/item/wrapper.php, views/default/settings/system.php,
+ views/default/settings/upgrading.php,
+ views/default/user/settings/default_access.php,
+ views/default/user/settings/email.php,
+ views/default/user/settings/language.php,
+ views/default/user/settings/password.php,
+ views/default/usersettings/plugins.php,
+ views/default/usersettings/plugins_opt/plugin.php,
+ .../usersettings/statistics_opt/numentities.php,
+ .../default/usersettings/statistics_opt/online.php,
+ views/default/widgets/add_button.php, views/default/widgets/add_panel.php,
+ views/default/widgets/controls.php, views/default/widgets/settings.php,
+ views/default/widgets/wrapper.php,
+ views/installation/page_shells/default.php: Refs #2700 replaced most
+ underscores with hyphens - there may be broken display code due to extent of
+ this change
+
+ * mod/blog/views/default/blog/css.php,
+ mod/blog/views/default/blog/forms/edit.php,
+ mod/blog/views/default/object/blog.php,
+ mod/bookmarks/views/default/object/bookmarks.php,
+ .../views/default/defaultwidgets/editor.php,
+ mod/ecml/views/default/ecml/input_ext.php,
+ .../views/default/embed/web_services/content.php,
+ mod/embed/views/default/embed/css.php,
+ mod/embed/views/default/embed/link.php,
+ mod/file/views/default/object/file.php,
+ mod/groups/views/default/forms/forums/addtopic.php,
+ .../views/default/forms/forums/edittopic.php,
+ mod/groups/views/default/forms/groups/edit.php,
+ mod/groups/views/default/forum/maintopic.php,
+ mod/groups/views/default/forum/topics.php,
+ mod/groups/views/default/groups/css.php,
+ mod/groups/views/default/groups/groupprofile.php,
+ .../views/default/groups/invitationrequests.php,
+ .../views/default/groups/membershiprequests.php,
+ .../views/default/object/groupforumtopic.php,
+ .../views/default/invitefriends/formitems.php,
+ .../views/default/messageboard/forms/add.php,
+ .../views/default/widgets/messageboard/content.php, mod/messages/index.php,
+ mod/messages/sent.php, mod/messages/views/default/messages/css.php,
+ .../views/default/messages/forms/reply.php,
+ mod/messages/views/default/messages/forms/send.php,
+ mod/messages/views/default/messages/forms/view.php,
+ mod/messages/views/default/messages/messages.php,
+ mod/messages/views/default/messages/view.php,
+ mod/pages/views/default/forms/pages/edit.php,
+ .../views/default/forms/pages/editwelcome.php,
+ .../default/admin/appearance/defaultprofile.php,
+ .../profile/commentwall/commentwall_content.php,
+ mod/profile/views/default/profile/css.php,
+ mod/profile/views/default/profile/edit.php,
+ .../default/profile/editdefaultprofileitems.php,
+ mod/profile/views/default/profile/editicon.php,
+ .../views/default/profile/profile_ownerblock.php,
+ .../views/default/object/reported_content.php,
+ .../views/default/riverdashboard/css.php,
+ .../default/sitepages/forms/editfrontsimple.php,
+ mod/thewire/views/default/object/thewire.php,
+ mod/thewire/views/default/thewire/css.php,
+ mod/thewire/views/default/thewire/forms/add.php,
+ .../views/default/thewire/profile_status.php,
+ mod/tinymce/views/default/input/longtext.php,
+ mod/twitter/views/default/twitter/css.php,
+ .../uservalidationbyemail/unvalidated_user.php,
+ views/default/account/forms/register.php,
+ views/default/account/login_walled_garden.php,
+ views/default/admin/components/plugin.php,
+ views/default/admin/components/plugin_settings.php,
+ views/default/admin/plugins/advanced.php,
+ views/default/admin/plugins/simple.php,
+ views/default/annotation/generic_comment.php,
+ views/default/annotation/likes.php, views/default/css/admin.php,
+ views/default/css/components/forms.php, views/default/css/ie.php,
+ views/default/css/ie6.php, views/default/css/screen.php,
+ views/default/friends/picker.php, views/default/input/access.php,
+ views/default/input/button.php, views/default/input/checkbox.php,
+ views/default/input/checkboxes.php, views/default/input/email.php,
+ views/default/input/file.php, views/default/input/longtext.php,
+ views/default/input/password.php, views/default/input/plaintext.php,
+ views/default/input/pulldown.php, views/default/input/radio.php,
+ views/default/input/tags.php, views/default/input/text.php,
+ views/default/input/url.php, views/default/input/userpicker.php,
+ views/default/js/upload_js.php, views/default/layouts/widgets.php,
+ views/default/page_elements/content_header.php,
+ views/default/page_shells/walled_garden.php,
+ views/default/usersettings/form.php, views/default/widgets/add_button.php,
+ views/installation/input/access.php, views/installation/input/button.php,
+ views/installation/input/longtext.php, views/installation/input/password.php,
+ views/installation/input/text.php: Refs #2700 changed underscores to hyphens
+ for the forms css elements
+
+ * views/default/css/admin.php, views/default/css/components/OOCSS_LICENSE,
+ views/default/css/components/forms.php,
+ views/default/css/components/grid.php,
+ views/default/css/components/heading.php,
+ views/default/css/components/reset.php,
+ views/default/css/components/spacing.php,
+ views/default/css/components/typography.php, views/default/css/screen.php:
+ Refs #2317 pulled a few sections of CSS out to try out granular CSS
+
+ * views/default/css.php, views/default/css/screen.php: moved the CSS into
+ the new css/screen view and added a check for old themes
+
+2010-12-01 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/views.php, mod/blog/start.php, mod/bookmarks/start.php,
+ mod/captcha/start.php, mod/categories/start.php, mod/ecml/start.php,
+ mod/embed/start.php, mod/file/start.php, mod/groups/start.php,
+ mod/members/start.php, mod/messageboard/start.php, mod/messages/start.php,
+ mod/notifications/start.php, mod/pages/start.php, mod/profile/start.php,
+ mod/reportedcontent/start.php, mod/riverdashboard/start.php,
+ mod/search/start.php, mod/sitepages/start.php, mod/tagcloud/start.php,
+ mod/thewire/start.php, mod/tinymce/start.php, mod/twitter/start.php,
+ mod/zaudio/start.php, views/default/css/elgg.php,
+ views/default/css/screen.php: screen.css is a better name for our main css
+ file
+
+2010-12-01 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/plugins.php: Fixes #1457. Added optional status param for
+ get_installed_plugins()
+
+2010-12-01 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/configuration.php: Fixes #2461 unsetting CONFIG variable in
+ unset_config()
+
+ * engine/lib/access.php: Fixes #2430 adds
+ get_entities_from_access_collection() into trunk
+
+ * .../views/default/widgets/filerepo/content.php,
+ mod/file/views/default/widgets/filerepo/view.php,
+ .../views/default/widgets/friends/content.php,
+ mod/friends/views/default/widgets/friends/view.php,
+ .../default/widgets/a_users_groups/content.php,
+ .../views/default/widgets/a_users_groups/view.php,
+ .../default/widgets/group_entities_widget/edit.php,
+ .../default/widgets/group_entities_widget/view.php,
+ .../default/widgets/group_members_widget/edit.php,
+ .../default/widgets/group_members_widget/view.php,
+ .../views/default/widgets/messageboard/content.php,
+ .../views/default/widgets/messageboard/view.php,
+ mod/pages/views/default/widgets/pages/content.php,
+ mod/pages/views/default/widgets/pages/view.php,
+ .../views/default/widgets/tagcloud/content.php,
+ .../views/default/widgets/tagcloud/view.php,
+ .../views/default/widgets/thewire/content.php,
+ mod/thewire/views/default/widgets/thewire/view.php,
+ .../views/default/widgets/twitter/content.php,
+ mod/twitter/views/default/widgets/twitter/view.php,
+ views/default/widgets/wrapper.php: Fixes #2555 content.php is the new display
+ view for widgets
+
+2010-11-30 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/plugins.php: Fix typo in previous.
+
+ * engine/classes/ElggPlugin.php, engine/classes/ElggPluginManifest.php,
+ engine/classes/ElggPluginManifestParser.php,
+ engine/classes/ElggPluginManifestParser17.php,
+ engine/classes/ElggPluginManifestParser18.php, engine/lib/plugins.php,
+ engine/tests/api/plugins.php: Refs #1986 #2170 #2225 Added
+ ElggPluginManifest, ElggPluginManifestParser, and its parser classes for 1.7
+ and 1.8 style manifests. Changed load_plugin_manifest() to use new parser.
+ Added initial unit tests.
+
+2010-11-30 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/user/passwordreset.php, actions/usersettings/save.php,
+ pages/account/register.php, pages/dashboard/latest.php,
+ pages/friends/pickercallback.php: Refs #2428 removed a few CONFIG uses in
+ core
+
+ * mod/crontrigger/start.php, mod/garbagecollector/start.php,
+ mod/invitefriends/start.php, mod/logbrowser/start.php, mod/members/start.php,
+ mod/messageboard/start.php, mod/reportedcontent/start.php,
+ mod/sitepages/start.php, mod/thewire/start.php,
+ mod/uservalidationbyemail/lib/functions.php,
+ mod/uservalidationbyemail/start.php: Refs #2428 removed some global CONFIG
+ usage in plugins
+
+ * mod/uservalidationbyemail/start.php: fixed typo in uservalidationbyemail
+ plugin
+
+ * mod/messages/start.php, mod/messages/views/default/messages/topbar.php:
+ started cleaning up the messages plugin
+
+ * engine/lib/configuration.php, engine/lib/elgglib.php: Refs #2428 adds
+ elgg_get_plugin_path() and elgg_get_data_path()
+
+ * mod/zaudio/manifest.xml, mod/zaudio/readme.txt, mod/zaudio/start.php,
+ .../default/file/specialcontent/audio/mp3.php,
+ .../default/file/specialcontent/audio/mpeg.php,
+ .../default/file/specialcontent/audio/mpg.php,
+ mod/zaudio/views/default/zaudio/audioplayer.php,
+ mod/zaudio/views/default/zaudio/css.php: Updated the zaudio plugin for 1.8
+
+2010-11-29 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggEntity.php: Refs #2668: Deprecated
+ ElggEntity::setContainer() for setContainerGUID()
+
+2010-11-29 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/group.php: Fixes #2694 fixed typo in join_group()
+
+ * engine/handlers/export_handler.php: fixed typo in the code that I moved
+ from /services/export
+
+ * mod/reportedcontent/actions/archive.php,
+ mod/reportedcontent/actions/delete.php: Fixes #2508 Sending user to referrer
+ in reported content admin actions
+
+ * engine/lib/configuration.php, views/default/page_shells/admin.php,
+ views/default/page_shells/default.php,
+ views/default/page_shells/walled_garden.php: Refs #2428 added
+ elgg_get_config(), elgg_set_config(), and elgg_save_config()
+
+ * views/default/css/admin.php: removed reported content css in admin css
+ view
+
+ * mod/crontrigger/start.php, mod/garbagecollector/languages/en.php,
+ mod/garbagecollector/start.php,
+ .../default/settings/garbagecollector/edit.php,
+ mod/logrotate/languages/en.php, mod/logrotate/start.php,
+ .../views/default/settings/logrotate/edit.php, mod/tinymce/languages/en.php,
+ mod/tinymce/start.php: cleaned up some of the other smaller plugins
+
+ * mod/logbrowser/languages/en.php, mod/logbrowser/start.php,
+ .../views/default/admin/overview/logbrowser.php,
+ .../views/default/logbrowser/adminlinks.php,
+ mod/logbrowser/views/default/logbrowser/css.php,
+ mod/logbrowser/views/default/logbrowser/form.php,
+ mod/logbrowser/views/default/logbrowser/table.php,
+ mod/logbrowser/views/default/object/logwrapper.php: Cleaned up the log
+ browser plugin
+
+ * mod/reportedcontent/actions/add.php,
+ mod/reportedcontent/actions/archive.php,
+ mod/reportedcontent/actions/delete.php, mod/reportedcontent/add.php,
+ mod/reportedcontent/languages/en.php, mod/reportedcontent/start.php,
+ .../default/admin/overview/reportedcontent.php,
+ .../views/default/object/reported_content.php,
+ .../views/default/reportedcontent/admin_css.php,
+ .../views/default/reportedcontent/css.php,
+ .../views/default/reportedcontent/footer_link.php,
+ .../views/default/reportedcontent/listing.php,
+ .../views/default/reportedcontent/user_report.php: cleaned up the reported
+ content plugin
+
+2010-11-28 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/admin.php, engine/lib/elgglib.php, engine/lib/views.php,
+ views/default/friends/picker.php, views/default/page_elements/html_begin.php:
+ Fixes #2173 #2507 integrated the ie and admin stylesheets into the new
+ simplecache code
+
+ * _css/css.php, _css/js.php, engine/handlers/cache_handler.php,
+ engine/lib/views.php, engine/start.php, htaccess_dist, simplecache/view.php,
+ views/default/css/elgg.php, views/default/friends/picker.php: Fixes #2160
+ #2678 introducing a more flexible simplecache handler (this requires an
+ htaccess update)
+
+ * engine/lib/admin.php, engine/lib/elgglib.php, views/default/css/admin.php,
+ views/default/css/ie.php, views/default/css/ie6.php,
+ views/default/css_ie.php, views/default/css_ie6.php,
+ views/default/layouts/administration.php,
+ views/default/page_elements/html_begin.php,
+ views/default/page_elements/html_begin_admin.php,
+ views/default/page_shells/admin.php: Refs #2173 #2160 #2507 added a css page
+ handler to support multiple css files
+
+ * services/export/handler.php: Refs #2681 removes services directory
+
+ * engine/handlers/export_handler.php, htaccess_dist: Fixes #2681 moves
+ export handler into handler directory - current installs need to have
+ .htaccess updated
+
+ * engine/lib/web_services.php, services/api/rest_api.php: moved rest/rpc web
+ services handler into web_services library
+
+ * engine/lib/api.php, engine/lib/web_services.php, engine/start.php,
+ install/ElggInstaller.php: moved api.php to web_services.php as it is more
+ descriptive
+
+2010-11-27 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/ajax/loader.php: Fixes #2280: ajax/loader view starts out
+ with display set to none
+
+2010-11-26 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * CONTRIBUTORS.txt: Updated contrib file.
+
+ * CONTRIBUTORS.txt, README.txt: Cleaned up some typos and formatting issues
+ in README and CONTRIB files.
+
+ * CONTRIBUTORS.txt, COPYRIGHT.txt: Fixed typos and cleaned up copyright and
+ contrib file.
+
+2010-11-24 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/views.php: Fixes #2664: elgg_view_entity subtype defaults to
+ "default" rather than $type
+
+ * engine/handlers/page_handler.php, engine/handlers/pagehandler.php,
+ htaccess_dist: Fixes #2667: pagehandler.php => page_handler.php. You'll need
+ to update .htaccess to keep developing
+
+ * mod/sitepages/start.php: unnecessary declaration of global $CONFIG;
+
+ * mod/blog/blog_lib.php, mod/blog/start.php, mod/sitepages/start.php:
+ Removing superfluous calls to insert site root in urls
+
+ * engine/lib/metadata.php: Deprecated list_entities_from_metadata_multi
+
+ * languages/en.php: Added generic function deprecation translation
+
+ * mod/bookmarks/actions/add.php, mod/bookmarks/actions/edit.php,
+ mod/defaultwidgets/actions/update.php, mod/defaultwidgets/start.php,
+ mod/file/actions/upload.php, mod/groups/actions/addtogroup.php,
+ mod/groups/actions/featured.php, mod/groups/actions/groupskillinvitation.php,
+ mod/groups/actions/groupskillrequest.php, mod/groups/actions/invite.php,
+ mod/groups/actions/join.php, mod/groups/actions/joinrequest.php,
+ mod/groups/actions/leave.php, mod/groups/start.php,
+ mod/messages/actions/delete.php, mod/pages/actions/pages/edit.php,
+ mod/pages/actions/pages/editwelcome.php, mod/profile/actions/cropicon.php,
+ mod/profile/actions/deletedefaultprofileitem.php,
+ mod/profile/actions/edit.php, mod/profile/actions/editdefault.php,
+ mod/profile/actions/editfield.php, mod/profile/actions/iconupload.php,
+ mod/profile/actions/reorder.php, mod/profile/actions/resetdefaultprofile.php,
+ mod/reportedcontent/actions/archive.php,
+ mod/reportedcontent/actions/delete.php, mod/reportedcontent/start.php,
+ mod/riverdashboard/actions/add.php, mod/riverdashboard/actions/delete.php:
+ Refs #2451: Removes (admin_)gatekeeper() calls from core plugin actions
+
+ * actions/admin/plugins/disable.php, actions/admin/plugins/disableall.php,
+ actions/admin/plugins/enable.php, actions/admin/plugins/enableall.php,
+ actions/admin/plugins/reorder.php, actions/admin/site/update_advanced.php,
+ actions/admin/site/update_basic.php, actions/admin/user/ban.php,
+ actions/admin/user/delete.php, actions/admin/user/makeadmin.php,
+ actions/admin/user/removeadmin.php, actions/admin/user/resetpassword.php,
+ actions/admin/user/unban.php, actions/comments/add.php,
+ actions/email/save.php, actions/entities/delete.php, actions/friends/add.php,
+ actions/friends/addcollection.php, actions/friends/deletecollection.php,
+ actions/friends/remove.php, actions/import/opendd.php, actions/likes/add.php,
+ .../notifications/settings/usersettings/save.php,
+ actions/plugins/settings/save.php, actions/plugins/usersettings/save.php,
+ actions/user/default_access.php, actions/user/language.php,
+ actions/user/name.php, actions/user/password.php, actions/user/spotlight.php,
+ actions/useradd.php, actions/usersettings/save.php,
+ engine/lib/notification.php, engine/lib/users.php: Refs #2451: Removed
+ gatekeeper/admin_gatekeeper() calls from core actions
+
+ * engine/lib/actions.php, engine/lib/admin.php, engine/lib/elgglib.php,
+ engine/lib/export.php, engine/lib/plugins.php, engine/lib/sessions.php,
+ engine/lib/users.php, engine/lib/widgets.php, mod/blog/start.php,
+ mod/bookmarks/start.php, mod/categories/start.php,
+ mod/defaultwidgets/start.php, mod/diagnostics/start.php, mod/ecml/start.php,
+ mod/file/start.php, mod/groups/start.php, mod/invitefriends/start.php,
+ mod/messageboard/start.php, mod/messages/start.php,
+ mod/notifications/start.php, mod/pages/start.php, mod/profile/start.php,
+ mod/reportedcontent/start.php, mod/sitepages/start.php,
+ mod/thewire/start.php, mod/uservalidationbyemail/start.php: Fixes #2655:
+ Converted register_action to elgg_register_action throughout core
+
+ * engine/lib/actions.php: Refs #2655: Introducing elgg_register_action() + a
+ few fixes to typos in documentation
+
+2010-11-23 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/handlers/cron_handler.php, engine/lib/cron.php: Fixes #2472 move
+ cron_handler.php logic into cron page handler
+
+ * mod/groups/views/default/groups/groupprofile.php: Fixes #2638 - specifying
+ the full url on the urls so we don't have this problem anymore
+
+ * mod/embed/start.php, mod/pages/start.php, mod/profile/start.php,
+ mod/sitepages/start.php, views/default/page_elements/html_begin.php: Fixes
+ #2270 - deprecates metatags view and replaces with html_head/extend
+
+ * mod/profile/actions/cropicon.php, mod/profile/actions/iconupload.php:
+ Fixes #2418 and merges [7121] into trunk
+
+ * engine/lib/widgets.php: returning an empty array when there are no widgets
+ for a context
+
+ * views/default/css.php: adjusted text color of widget titles
+
+ * views/default/input/checkbox.php, views/default/input/checkboxes.php:
+ Fixes #397 and Refs #2396 Can suppress the default value for both
+ input/checkbox and input/checkboxes
+
+2010-11-22 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggSite.php, engine/lib/sites.php: Fixes #2488 "return"
+ was missing in ElggSite::getObjects() (also updated some documentation)
+
+ * engine/classes/ElggSite.php, engine/lib/sites.php: updated the deprecated
+ list_site_members() to use new ElggSite::listMembers() method and updated
+ ElggSite::getMembers() to accept all the arguments from elgg_get_entities()
+
+ * engine/lib/sites.php: deprecated some sites functions that were never
+ supported or fully implemented
+
+ * engine/lib/database.php, engine/lib/plugins.php: Refs #2673 moved changes
+ into trunk from 1.7 branch
+
+2010-11-22 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/group.php: Refs #2660: Merged r7409's
+ remove_group_tool_option() to trunk.
+
+ * mod/bookmarks/actions/add.php,
+ mod/bookmarks/views/default/object/bookmarks.php: Merged bookmarks XSS fixes
+ in r7406 to trunk.
+
+2010-11-22 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: widgets should not have content outside their
+ container
+
+ * engine/classes/ElggWidget.php, engine/lib/widgets.php: widget column ids
+ must be integers
+
+2010-11-21 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/user/password.php, languages/en.php,
+ views/default/user/settings/password.php: Refs #2669: Merged password change
+ fixes in 7404 to trunk.
+
+ * mod/members/views/default/members/search.php: Refs #2612: Merged r7402 to
+ trunk.
+
+ * mod/profile/views/default/profile/listing.php,
+ .../default/profile/profile_contents/details.php: Refs #2670: Merged XFN
+ fixes from 1.7 to 1.8. Removed unused code in profile_contents/details.php
+
+2010-11-21 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/file/index.php: removed call to deprecated page_owner()
+
+ * engine/lib/tags.php, mod/blog/views/default/blog/sidebar_menu.php,
+ mod/file/start.php, mod/tagcloud/tagcloud.php,
+ .../views/default/widgets/tagcloud/view.php: removed deprecated tag library
+ calls
+
+ * engine/classes/ElggSite.php, engine/lib/sites.php, engine/lib/views.php,
+ mod/thewire/index.php: deprecated two site functions and removed a use of
+ previously deprecated function in the wire plugin
+
+ * engine/lib/widgets.php: fix for previous
+
+ * engine/lib/widgets.php: use more Elgg standrad function names -
+ elgg_register_widget_type()
+
+2010-11-20 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * js/lib/ui.widgets.js: added hack for opera/jquery-ui bug
+
+ * js/lib/ui.widgets.js, languages/en.php, views/default/css.php,
+ views/default/widgets/controls.php, views/default/widgets/wrapper.php: added
+ collapsible state to widgets
+
+ * engine/classes/ElggData.php: Refs #2629 Brett is correct - don't need the
+ call to initializeAttributes() because all the first level subclasses call
+ initializeAttributes()
+
+ * engine/classes/ElggData.php, engine/classes/ElggFile.php,
+ engine/classes/ElggPlugin.php, engine/classes/ElggWidget.php: Refs #2629 This
+ should fix this ticket. Need to remove initialise_attributes from any class
+ updated with new method and add call to initializeAttributes in ElggData for
+ those that are not updated.
+
+ * engine/classes/ElggWidget.php, languages/en.php, views/default/css.php,
+ views/default/dashboard/blurb.php, views/default/widgets/controls.php,
+ views/default/widgets/wrapper.php: added some light styling to the widget
+ control buttons
+
+2010-11-20 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggData.php, engine/classes/ElggEntity.php,
+ engine/classes/ElggGroup.php, engine/classes/ElggObject.php,
+ engine/classes/ElggSite.php, engine/classes/ElggUser.php: Fixes #2629: Pulled
+ old initialise_attributes() into ElggData and added a default param to emit a
+ deprecation notice if called.
+
+2010-11-20 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/widgets/settings.php: Fixes #1342 dashboard widgets do not
+ have access controls
+
+ * js/lib/ui.widgets.js, mod/friends/start.php,
+ views/default/widgets/add_panel.php, views/default/widgets/wrapper.php: Fixes
+ #472 handling interactive adding/deleting of widgets with single/multiple
+ instance constraints
+
+ * js/lib/ui.widgets.js, languages/en.php, mod/friends/start.php,
+ views/default/css.php, views/default/layouts/widgets.php,
+ views/default/widgets/add_panel.php: Refs #472 not allowing widgets to be
+ added if only single instance allowed
+
+ * actions/widgets/add.php, actions/widgets/save.php,
+ engine/classes/ElggWidget.php, engine/lib/widgets.php: moved save widget
+ settings function to ElggWidget
+
+ * engine/lib/widgets.php, views/default/layouts/widgets.php: added a plugin
+ hook for determining who can edit a widget layout
+
+ * actions/widgets/add.php, engine/classes/ElggWidget.php,
+ js/lib/ui.widgets.js: fixed bug where widgets were not saving their new
+ position
+
+ * engine/classes/ElggWidget.php: do not need special canEdit method for
+ ElggWidget
+
+ * engine/classes/ElggEntity.php, engine/tests/objects/objects.php: Refs
+ #2668 adds getContainerGUID()
+
+ * engine/classes/ElggData.php, engine/classes/ElggEntity.php,
+ engine/classes/ElggExtender.php, engine/classes/ElggUser.php,
+ engine/classes/Loggable.php, engine/lib/entities.php,
+ engine/lib/extender.php, engine/tests/objects/entities.php,
+ mod/groups/actions/forums/edittopic.php, mod/groups/actions/leave.php,
+ mod/thewire/actions/delete.php: Fixes #2668 adding getOwnerGUID()
+
+2010-11-20 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/tests/api/helpers.php: Fixed typos in text expectations that were
+ cause elgg_normalize_url unit tests to fial
+
+ * engine/classes/ElggData.php, engine/classes/ElggEntity.php,
+ engine/classes/ElggExtender.php, engine/classes/ElggGroup.php,
+ engine/classes/ElggObject.php, engine/classes/ElggSite.php,
+ engine/classes/ElggUser.php, engine/tests/objects/entities.php,
+ engine/tests/objects/objects.php, engine/tests/objects/sites.php,
+ engine/tests/objects/users.php: Fixes #2490: attributes initialize to NULL
+ instead of empty string or 0. Updated tests to reflect this
+
+ * engine/classes/ElggPlugin.php: Marking initialise_attributes as deprecated
+ in ElggPlugin
+
+ * engine/classes/ElggGroup.php: Marking initialise_attributes as deprecated
+
+ * engine/handlers/pagehandler.php, engine/lib/actions.php,
+ engine/lib/elgglib.php, engine/lib/group.php, engine/lib/sessions.php: Fixes
+ #2237: Added 'reason' parameter to forward() to allow proper headers and more
+ flexible destinations via plugin hooks. Also made use of this in core
+ gatekeeper functions and in pagehandler.php
+
+ * views/default/input/checkbox.php, views/default/input/checkboxes.php:
+ Fixes #2396: Added a new input/checkbox view. input/checkboxes harnesses it
+
+ * engine/lib/views.php: Fixes #2615: Viewtype now included in views plugin
+ hooks
+
+ * mod/htmlawed/start.php: Fixes #2497: htmLawed now uses a plugin hook for
+ allowed_styles and htmlawed_config
+
+ * engine/lib/elgglib.php, engine/lib/users.php: Fixes #2468: Deprecated
+ call_gatekeeper() and callpath_gatekeeper()
+
+ * views/default/output/calendar.php, views/default/output/confirmlink.php,
+ views/default/output/email.php, views/default/output/friendlytime.php,
+ views/default/output/pulldown.php, views/default/output/tagcloud.php,
+ views/default/output/tags.php, views/default/output/text.php,
+ views/default/output/url.php: Fixes #2036: using htmlspecialchars in output
+ views
+
+ * js/lib/security.js: Fixes #2620: Security token refresh action is now
+ security/refreshtoken
+
+ * mod/messageboard/actions/add.php: Fixes #2357: messageboard post action
+ redirects to referrer
+
+ * engine/classes/ElggEntity.php, engine/lib/entities.php,
+ engine/tests/objects/entities.php: Fixes #2473: removes
+ initialise_entity_cache()
+
+ * mod/blog/views/default/blog/forms/edit.php, mod/diagnostics/index.php,
+ .../views/default/embed/web_services/content.php,
+ .../views/default/admin/users/unvalidated.php,
+ views/default/admin/plugins/advanced.php, views/default/input/button.php,
+ views/default/input/reset.php, views/default/input/submit.php,
+ views/installation/input/reset.php, views/installation/input/submit.php: Refs
+ #2143: DRY up button input views (button, reset, submit). Changed core uses
+ of button to reflect the fact that it no longer defaults to submit
+
+ * js/lib/security.js: Utilizing elgg.extend, rather than jQuery.extend
+
+ * js/lib/languages.js: Commented elgg.add_translation Utilizing elgg.extend
+ instead of $.extend
+
+ * js/lib/elgglib.js: Added an elgg.extend method for merging two or more
+ objects
+
+ * views/default/input/submit.php: Refs #2143: Cleaned up input/submit
+
+ * views/default/input/tags.php: Refs #2143: DRY up input/tags
+
+ * views/default/input/email.php: Refs #2143: DRY up input/email
+
+ * views/default/input/text.php: Refs #2143: DRY up input/text
+
+ * views/default/input/form.php: Refs #2143: DRYed up input/form
+
+ * engine/lib/output.php: Doesn't make any sense for elgg_format_attributes
+ to default to an empty array...
+
+ * engine/lib/output.php, views/default/input/url.php,
+ views/default/output/url.php: Refs #2143: Added elgg_format_attributes() for
+ generating an attribute string from an associative array. DRYed up
+ input/output url
+
+2010-11-19 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/widgets/add.php, engine/lib/widgets.php, js/lib/ui.widgets.js,
+ views/default/css.php, views/default/layouts/widgets.php,
+ views/default/widgets/add.php, views/default/widgets/add_panel.php,
+ views/default/widgets/editwrapper.php, views/default/widgets/settings.php,
+ views/default/widgets/wrapper.php: improving interactions of widgets -
+ containment wasn't working due to height issues
+
+ * engine/lib/widgets.php, views/default/widgets/add.php,
+ views/default/widgets/wrapper.php: finished updated the widgets library
+
+ * actions/widgets/save.php, js/lib/ui.widgets.js,
+ views/default/widgets/editwrapper.php, views/default/widgets/wrapper.php:
+ updating widget contents when settings are changed
+
+ * actions/widgets/save.php, engine/lib/widgets.php, js/lib/ui.widgets.js,
+ views/default/css.php, views/default/widgets/controls.php,
+ views/default/widgets/editwrapper.php, views/default/widgets/wrapper.php:
+ saving widget settings
+
+ * actions/widgets/delete.php, engine/classes/ElggWidget.php,
+ engine/lib/widgets.php, js/lib/ui.widgets.js, languages/en.php,
+ views/default/css.php, views/default/widgets/controls.php,
+ views/default/widgets/wrapper.php: users can delete widgets from layout
+
+ * actions/widgets/add.php, actions/widgets/move.php,
+ engine/classes/ElggWidget.php, engine/lib/widgets.php: moved some widget
+ functionality into ElggWidget class
+
+ * mod/file/views/default/widgets/filerepo/edit.php,
+ mod/file/views/default/widgets/filerepo/view.php: updated file widget to use
+ 1.7 elgg_get_entities* APIs
+
+ * .../views/default/widgets/bookmarks/edit.php,
+ .../views/default/widgets/bookmarks/view.php: updated bookmarks widget to use
+ 1.7 APIs
+
+ * actions/register.php: Closes #2653 users are logged in on registration and
+ login exceptions are caught for unvalidated users
+
+ * engine/lib/users.php, mod/uservalidationbyemail/actions/delete.php,
+ .../actions/resend_validation.php,
+ mod/uservalidationbyemail/actions/validate.php,
+ mod/uservalidationbyemail/lib/functions.php,
+ mod/uservalidationbyemail/start.php: Closes #617 core has get and set
+ functions for user validation status
+
+ * actions/widgets/add.php, actions/widgets/move.php, engine/lib/widgets.php,
+ js/lib/ui.widgets.js, languages/en.php, views/default/layouts/widgets.php,
+ views/default/widgets/wrapper.php: saving widget position after moving
+
+ * engine/lib/version.php: $CONFIG->path is not set up early enough for some
+ elgg deprecate notices
+
+ * engine/handlers/action_handler.php, engine/handlers/cron_handler.php,
+ engine/handlers/pagehandler.php, engine/handlers/service_handler.php,
+ engine/handlers/xml-rpc_handler.php: switching to using full paths in
+ handlers
+
+2010-11-18 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/widgets/add.php, engine/lib/widgets.php, js/lib/ui.widgets.js:
+ Added functions to support moving and adding widgets
+
+ * engine/lib/widgets.php, views/default/css.php,
+ views/default/layouts/widgets.php, views/default/widgets/wrapper.php: Refs
+ #1793 getting sorted widgets from elgg_get_widgets()
+
+ * actions/widgets/add.php, engine/lib/widgets.php, js/lib/ui.widgets.js,
+ languages/en.php, views/default/css.php, views/default/layouts/widgets.php,
+ views/default/widgets/add.php, views/default/widgets/add_button.php: Refs
+ #1793 added ability to add widgets to layout through ajax
+
+ * engine/lib/location.php: Refs #1411, #2165 added
+ elgg_list_entities_from_location() and deprecated in_area functions
+
+ * engine/lib/location.php, engine/tests/api/entity_getter_functions.php:
+ Refs #2165 adds elgg_get_entities_from_location()
+
+ * engine/lib/private_settings.php,
+ engine/tests/api/entity_getter_functions.php: Refs #2165 added
+ elgg_get_entities_from_private_settings()
+
+2010-11-17 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/output/url.php: Refs #2143 I need ids for urls for the
+ widget code right now
+
+ * engine/lib/widgets.php, js/lib/ui.widgets.js, languages/en.php,
+ views/default/css.php, views/default/layouts/widgets.php,
+ views/default/widgets/add.php, views/default/widgets/add_button.php: Refs
+ #1793 - added a basic add new widgets view - it is not wired up yet
+
+ * actions/admin/user/ban.php, actions/admin/user/delete.php,
+ actions/admin/user/removeadmin.php, actions/admin/user/resetpassword.php,
+ actions/admin/user/unban.php, languages/en.php: Closes #1422 admin user
+ actions now check for self before banning, deleting, or removing admin
+ privileges
+
+ * pages/friends/index.php, pages/friends/of.php: Refs #1411 using new
+ elgg_list* functions in friends page handlers
+
+ * pages/friends/index.php, pages/friends/of.php: Refs #2662 removed html
+ from friends page handlers
+
+ * js/lib/ui.widgets.js, views/default/css.php,
+ views/default/layouts/widgets.php, views/default/widgets/wrapper.php: Refs
+ #821 #1793 early widget layout - does not include edit settings, delete,
+ collapsing, reloading, or adding widgets
+
+2010-11-16 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/uservalidationbyemail/lib/functions.php,
+ .../views/default/admin/users/unvalidated.php,
+ .../uservalidationbyemail/unvalidated_user.php: Refs #2573 updates the
+ uservalidationbyemail plugin to work with new validation metadata approach
+
+ * engine/lib/upgrades/2010111501.php, version.php: Fixes #2573 upgrade
+ script for new user validation approach
+
+ * engine/lib/admin.php, engine/lib/elgglib.php, engine/lib/views.php,
+ install/ElggInstaller.php: Fixes #2642 changed elgg_view_page() to always
+ pick up page_shell views for the page_shells directory
+
+ * .gitignore, engine/lib/actions.php, engine/settings.example.php,
+ install/ElggInstaller.php: Pulled in hellekin's fixes from
+ https://github.com/Elgg/Elgg/pull/2
+
+ * engine/lib/views.php: made page_draw() backward compatible with 1.7
+
+ * engine/lib/install.php: just in case any plugin called the previous
+ install functions, I put them back as stubs
+
+2010-11-15 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php: Refs #617 put user validation functions back in
+ users lib
+
+ * mod/uservalidationbyemail/lib/functions.php,
+ mod/uservalidationbyemail/start.php: Refs #2573 fixes validation issue for
+ users registered with validation turned off. Still need to write an upgrade
+ script since validation status wasn't set on unvalidated users before this
+
+ * engine/classes/ElggPAM.php, engine/lib/pam.php,
+ mod/uservalidationbyemail/languages/en.php,
+ mod/uservalidationbyemail/start.php: Fixes #1417 Users get notified when
+ their accounts are not validated for any authentication attempt
+
+ * actions/register.php: #Refs 2653 removed login() call since it was
+ conflicting with user validation plugin
+
+2010-11-14 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/login.php, engine/classes/ElggPAM.php,
+ engine/classes/LoginException.php, engine/lib/api.php, engine/lib/pam.php,
+ engine/lib/sessions.php, engine/tests/services/api.php, languages/en.php:
+ Refs #1417 Elgg core now passes back useful messages to user when log in
+ fails - uservalidationbyemail is next
+
+ * index.php: fixed bug where the front page wasn't being populated with the
+ views
+
+ * engine/classes/ElggAccess.php: fixed typo in comment
+
+2010-11-14 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php, views/default/entities/entity_listing.php: Refs
+ #2391: Baby steps toward getting a unified css -- haven't landed on a name
+ yet, but listing/icon/info seems to make the most sense. Do we need to be
+ worried about naming collisions, since those names are so simple?
+
+ * js/classes/ElggPriorityList.js, js/lib/elgglib.js, js/lib/events.js,
+ js/lib/languages.js, js/lib/prototypes.js, js/tests/ElggEventsTest.js,
+ js/tests/ElggLibTest.js, js/tests/jsTestDriver.conf, vendors/sprintf.js,
+ views/default/js/initialise_elgg.php: Refs #2538: Added vsprintf support to
+ elgg.echo. Added unit tests for normalize_url, added prototype definitions
+ for Array#forEach for compatibility with IE.
+
+ * js/classes/ElggPriorityList.js, js/lib/events.js: Refs #2538: Refactored
+ and cleaned up ElggPriorityList and events
+
+ * engine/lib/access.php, engine/lib/annotations.php,
+ engine/lib/calendar.php, engine/lib/entities.php, engine/lib/group.php,
+ engine/lib/location.php, engine/lib/metadata.php,
+ engine/lib/relationships.php, engine/lib/users.php, engine/lib/views.php,
+ mod/ecml/ecml_functions.php, mod/file/search.php,
+ mod/file/views/default/file/typecloud.php,
+ mod/file/views/default/object/file.php,
+ mod/pages/views/default/object/page.php,
+ mod/pages/views/default/object/page_top.php,
+ mod/search/views/default/search/entity_list.php,
+ views/default/entities/entity_list.php,
+ views/default/navigation/listtype.php, views/default/navigation/viewtype.php,
+ views/default/object/default.php, views/default/user/default.php: Fixes
+ #2334: Converted viewtype => listtype and viewtypetoggle => listtypetoggle.
+ Did my best to maintain backwards compatibility but might have missed
+ something...
+
+ * engine/tests/api/helpers.php: Added some more unit tests for
+ elgg_normalize_url
+
+ * engine/classes/ElggEntity.php: No comment...
+
+ * engine/classes/ElggEntity.php: Wow, what a blunder -- what to go deleting
+ random methods, Winslow
+
+ * views/default/input/button.php: Refs #1208: Default input/button to
+ 'button' type (rather than 'submit' type).
+
+ * engine/classes/ElggEntity.php: Refs #2486: Added support for the
+ 'recursive' parameter to ElggEntity::delete()
+
+ * engine/classes/ElggAnnotation.php, engine/classes/ElggData.php,
+ engine/classes/ElggEntity.php: Added comments to several undocumented methods
+
+ * mod/pages/actions/pages/editwelcome.php: Fixes #2572: Removed last
+ @license tag from pages plugin
+
+2010-11-13 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/access.php: Fixes #2561 fixed typo - thanks for the report
+
+2010-11-13 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/tests/objects/entities.php: Cleaning up database after new
+ ElggEntity unit test.
+
+2010-11-12 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/metadata.php, engine/tests/objects/entities.php: Updating
+ ElggEntity::clearMetaData() to fail when passed a non-existent metadata name.
+ Fixes #2273
+
+2010-11-11 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Obliterated C&P in elgg_trigger_plugin_hook
+
+ * engine/lib/elgglib.php: Making CodeSniffer happy + reduced C&P in
+ elgg_trigger_event
+
+2010-11-11 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Refs #2641 merged [7295] into trunk
+
+ * mod/groups/views/default/groups/groupprofile.php: Fixes #2638 fixes group
+ action url problem
+
+ * mod/groups/all.php: Refs #2640 fixes a layout issue due to change in
+ elgg_view_layout()
+
+ * views/default/canvas/default.php,
+ views/default/canvas/layouts/administration.php,
+ views/default/canvas/layouts/one_column.php,
+ .../canvas/layouts/one_column_with_sidebar.php,
+ views/default/canvas/layouts/widgets.php: Closes #2637 good-bye canvas
+
+ * engine/lib/views.php, views/default/layouts/administration.php,
+ views/default/layouts/default.php, views/default/layouts/one_column.php,
+ views/default/layouts/one_column_with_sidebar.php,
+ views/default/layouts/widgets.php: Refs #2637 moved canvas/layouts to layouts
+
+
+ * engine/lib/admin.php, engine/lib/tags.php, engine/lib/users.php,
+ engine/lib/views.php, engine/tests/ui/submenu.php, index.php,
+ mod/blog/start.php, mod/bookmarks/bookmarklet.php, mod/bookmarks/start.php,
+ mod/diagnostics/index.php, mod/ecml/start.php, mod/file/edit.php,
+ mod/file/friends.php, mod/file/index.php, mod/file/search.php,
+ mod/file/upload.php, mod/file/world.php, mod/groups/activity.php,
+ mod/groups/addtopic.php, mod/groups/all.php, mod/groups/edit.php,
+ mod/groups/edittopic.php, mod/groups/forum.php, mod/groups/groupprofile.php,
+ mod/groups/index.php, mod/groups/invitations.php, mod/groups/invite.php,
+ mod/groups/membership.php, mod/groups/membershipreq.php, mod/groups/new.php,
+ mod/groups/topicposts.php, mod/invitefriends/index.php,
+ mod/members/index.php, mod/messages/index.php, mod/messages/read.php,
+ mod/messages/send.php, mod/messages/sent.php, mod/notifications/groups.php,
+ mod/notifications/index.php, mod/pages/edit.php, mod/pages/history.php,
+ mod/pages/index.php, mod/pages/new.php, mod/pages/view.php,
+ mod/pages/welcome.php, mod/pages/world.php, mod/profile/edit.php,
+ mod/profile/editicon.php, mod/profile/index.php, mod/profile/start.php,
+ mod/reportedcontent/add.php, mod/riverdashboard/index.php,
+ mod/search/index.php, mod/search/views/default/search/layout.php,
+ mod/sitepages/index.php, mod/sitepages/sitepages_functions.php,
+ mod/tagcloud/tagcloud.php, mod/thewire/add.php, mod/thewire/everyone.php,
+ mod/thewire/index.php, pages/account/forgotten_password.php,
+ pages/account/register.php, pages/dashboard/index.php,
+ pages/dashboard/latest.php, pages/entities/index.php, pages/friends/add.php,
+ pages/friends/collections.php, pages/friends/edit.php,
+ pages/friends/index.php, pages/friends/of.php, pages/settings/plugins.php,
+ pages/settings/statistics.php, pages/settings/user.php,
+ services/export/handler.php, views/default/canvas/default.php,
+ views/default/canvas/layouts/administration.php,
+ views/default/canvas/layouts/one_column.php,
+ .../canvas/layouts/one_column_with_sidebar.php,
+ views/default/js/initialise_elgg.php,
+ views/default/messages/exceptions/exception.php,
+ views/default/page_elements/sidebar.php: Fixes #2640 - elgg_view_layout now
+ uses a parameter array like all the other elgg_view* functions
+
+2010-11-11 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Fixes #2367: Corrected plugin hook documentation
+ to maintain that the default priority is 500
+
+2010-11-10 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php: Fixes #2306: deprecated get/count/list_user_objects
+ as well as get_user_objects_by_metadata
+
+ * engine/lib/elgglib.php: Using max() to give priority a minimum value of 0
+ for plugin hooks
+
+ * actions/login.php, actions/plugins/settings/save.php,
+ actions/register.php, actions/usersettings/save.php,
+ documentation/examples/events/advanced.php,
+ documentation/examples/events/all.php,
+ documentation/examples/events/basic.php,
+ documentation/examples/events/emit.php,
+ documentation/examples/hooks/basic.php,
+ documentation/examples/hooks/register/advanced.php,
+ documentation/examples/hooks/register/all.php,
+ documentation/examples/hooks/register/basic.php,
+ documentation/examples/hooks/register/emit.php,
+ documentation/examples/hooks/trigger/advanced.php,
+ documentation/examples/hooks/trigger/basic.php,
+ documentation/stubs/config.php, engine/classes/ElggSession.php,
+ engine/classes/ElggSite.php, engine/handlers/cron_handler.php,
+ engine/lib/access.php, engine/lib/actions.php, engine/lib/admin.php,
+ engine/lib/annotations.php, engine/lib/api.php, engine/lib/configuration.php,
+ engine/lib/cron.php, engine/lib/database.php, engine/lib/elgglib.php,
+ engine/lib/entities.php, engine/lib/export.php, engine/lib/extender.php,
+ engine/lib/filestore.php, engine/lib/group.php, engine/lib/input.php,
+ engine/lib/location.php, engine/lib/metadata.php,
+ engine/lib/notification.php, engine/lib/objects.php, engine/lib/output.php,
+ engine/lib/pageowner.php, engine/lib/plugins.php,
+ engine/lib/relationships.php, engine/lib/sessions.php, engine/lib/sites.php,
+ engine/lib/statistics.php, engine/lib/system_log.php, engine/lib/users.php,
+ engine/lib/usersettings.php, engine/lib/version.php, engine/lib/views.php,
+ engine/lib/widgets.php, engine/start.php, engine/tests/suite.php,
+ engine/tests/test_skeleton.php, index.php, install/ElggInstaller.php,
+ mod/blog/start.php, mod/bookmarks/start.php, mod/captcha/start.php,
+ mod/categories/start.php, mod/crontrigger/start.php,
+ mod/defaultwidgets/start.php, mod/diagnostics/actions/download.php,
+ mod/diagnostics/start.php, mod/ecml/ecml_functions.php, mod/ecml/start.php,
+ mod/embed/start.php, mod/embed/views/default/embed/embed.php,
+ mod/file/start.php, mod/friends/start.php, mod/garbagecollector/start.php,
+ mod/groups/start.php, mod/htmlawed/start.php, mod/invitefriends/start.php,
+ mod/logbrowser/start.php, mod/logrotate/start.php, mod/members/index.php,
+ mod/members/start.php, mod/messageboard/start.php, mod/messages/start.php,
+ mod/notifications/start.php, mod/pages/start.php,
+ mod/profile/actions/edit.php, mod/profile/actions/iconupload.php,
+ mod/profile/start.php, mod/reportedcontent/actions/add.php,
+ mod/reportedcontent/actions/archive.php,
+ mod/reportedcontent/actions/delete.php, mod/reportedcontent/start.php,
+ mod/riverdashboard/start.php, mod/search/index.php, mod/search/start.php,
+ mod/sitepages/start.php, mod/tagcloud/start.php, mod/thewire/start.php,
+ mod/tinymce/start.php, mod/twitter/start.php,
+ mod/uservalidationbyemail/start.php, mod/zaudio/start.php,
+ services/api/rest_api.php, services/export/handler.php,
+ views/default/page_elements/owner_block.php: Fixes #2367: With fear and
+ trepidation, converting events/plugin hooks to use elgg_ prefixed versions
+
+ * engine/lib/views.php: Refs #2124: Removed js, page_owner, and
+ page_owner_user variables from $vars
+
+ * engine/lib/views.php: Refs #2124: Removed session variables from $vars
+
+2010-11-10 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/account/forms/register.php: Refs #866 - registration uses
+ https if configured for login
+
+2010-11-10 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/account/login_box.php: No sense in calling
+ elgg_get_site_url() twice here...
+
+ * views/default/account/login_box.php: Prevent "https login" from breaking
+ login on sites that are https by default
+
+2010-11-09 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/actions/edit.php: Refs #2609 - added fix to trunk
+
+ * views/default/annotation/default.php: Refs #2626 - adds default annotation
+ view to trunk
+
+ * engine/lib/metadata.php: Refs #2632 - cleaned up documentation on metadata
+
+
+ * engine/lib/elgglib.php, engine/lib/users.php, index.php,
+ mod/sitepages/start.php, views/default/account/forms/login.php,
+ views/default/account/forms/login_dropdown.php,
+ .../default/account/forms/login_walled_garden.php,
+ views/default/account/login_box.php,
+ views/default/account/login_dropdown.php,
+ views/default/account/login_walled_garden.php, views/default/css.php,
+ views/default/css_ie.php, views/default/page_elements/header.php: Refs #2506
+ reorged the login box views - css still needs to be cleaned up
+
+ * mod/diagnostics/index.php, mod/members/index.php, mod/search/index.php,
+ mod/sitepages/sitepages_functions.php,
+ views/default/canvas/layouts/administration.php,
+ .../canvas/layouts/one_column_with_sidebar.php,
+ views/default/page_elements/content.php,
+ views/default/page_elements/elgg_content.php,
+ views/default/page_elements/elgg_footer.php,
+ views/default/page_elements/elgg_header.php,
+ views/default/page_elements/elgg_header_logo.php,
+ views/default/page_elements/elgg_sidebar.php,
+ views/default/page_elements/elgg_topbar.php,
+ views/default/page_elements/elgg_topbar_logout.php,
+ views/default/page_elements/footer.php,
+ views/default/page_elements/header.php,
+ views/default/page_elements/header_logo.php,
+ views/default/page_elements/sidebar.php,
+ views/default/page_elements/topbar.php,
+ views/default/page_elements/topbar_logout.php,
+ views/default/page_shells/admin.php, views/default/page_shells/default.php:
+ Fixes #2631 removes 'elgg_' namespace from the page element views - anyone
+ working with the trunk will need to update their theme and/or flush their
+ cache
+
+2010-11-09 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * js/tests/ElggLibTest.js: Renamed test method appropriately. jslinted
+ ElggLibTest.
+
+ * js/tests/ElggEventsTest.js: Using nullFunction + abstractMethod reference
+ instead of new closures in EventsTest
+
+ * js/tests/ElggAjaxOptionsTest.js: Using nullFunction reference instead of
+ new closure in ajaxOptionsTest
+
+ * js/lib/ui.widgets.js: jslinted ui.widgets.js
+
+ * js/lib/ui.js: jslinted ui.js
+
+ * js/lib/session.js: jsLinted session.js, employed elgg type-checker
+ functions, and did some more miscellaneous cleanup
+
+ * js/lib/elgglib.js: Added elgg.isNumber type-checking function
+
+ * js/lib/security.js: jsLinted security.js + using elgg typecheckers rather
+ than ===
+
+ * js/lib/languages.js: jslinted languages.js
+
+ * js/lib/elgglib.js: Abstract methods are no longer nameable
+
+2010-11-07 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * js/lib/ajax.js: Various tweaks to coding style + comments (apologies for
+ my schizo here... trying to experiment to see what works). Using
+ type-checking helpers rather than raw typeof and === checks
+
+ * js/lib/elgglib.js: Addition of type-checking helper functions, various
+ tweaks to comments, code style, etc.
+
+2010-11-06 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggDiskFilestore.php: replaced usages of
+ make_file_matrix() with new method makeFileMatrix()
+
+ * views/default/css.php: added text color for warnings otherwise warnings
+ that occur in topbar end up blank
+
+ * engine/lib/entities.php, engine/lib/private_settings.php,
+ engine/start.php, install/ElggInstaller.php: pulled private settings out into
+ a separate lib
+
+ * engine/lib/relationships.php: Refs #1411 fixes bug introduced in [7213]
+ that broke listing relationships
+
+2010-11-06 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * js/lib/ajax.js: jsLinted ajax.js, removed experimental functions
+
+2010-11-05 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/riverdashboard/index.php: removed hacked in link to conversations
+ plugin in riverdashboard
+
+2010-11-05 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * COPYRIGHT.txt, README.txt: small tweaks to the readme and copyright pages
+
+2010-11-04 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/output.php, engine/tests/api/helpers.php: Fixes #2463: Added
+ support for forwarding to root-level files, e.g. forward('install.php')
+
+2010-11-04 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/output.php: Refs #2463 Added trim for leading /s to avoid //s
+ when passing elgg_normalize_url('/mod/some/file.php').
+
+2010-11-04 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/output.php, engine/tests/api/helpers.php: Fixes #2463: Added ^
+ to beginning of normalize_url regex to prevent matching on urls like
+ mod/plugin/page.php. Added unit tests to verify functionality.
+
+ * engine/lib/elgglib.php: Added @deprecated docblock tag to events()
+
+ * engine/tests/objects/objects.php: Removed requirement that object
+ container be a group
+
+2010-11-04 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, engine/lib/views.php,
+ views/default/friends/picker.php, views/default/page_elements/html_begin.php:
+ Fixes #2210 - using new functions for registering the core js and css files
+
+2010-11-03 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, engine/lib/group.php: Moved list_entities_groups
+ to groups.php and deprecated in favor of elgg_list_entities
+
+2010-11-03 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/friends/river/create.php: Fixed missing ).
+
+ * COPYRIGHT.txt: Added myself to the copyright.
+
+ * mod/blog/start.php, mod/blog/views/default/blog/sidebar_menu.php,
+ mod/blog/views/default/object/blog.php,
+ .../views/default/river/object/blog/create.php, mod/bookmarks/start.php,
+ .../views/default/bookmarks/bookmarklet.php,
+ .../default/river/object/bookmarks/create.php, mod/categories/listing.php,
+ mod/categories/start.php, mod/diagnostics/actions/download.php,
+ mod/diagnostics/start.php, mod/file/friends.php, mod/file/index.php,
+ mod/file/search.php, mod/file/start.php,
+ mod/file/views/default/object/file.php,
+ .../views/default/river/object/file/create.php,
+ mod/garbagecollector/start.php, mod/groups/actions/addtogroup.php,
+ mod/groups/actions/invite.php, mod/groups/actions/joinrequest.php,
+ mod/groups/activity.php, mod/groups/all.php,
+ .../views/default/object/groupforumtopic.php,
+ mod/groups/views/default/river/forum/create.php,
+ .../views/default/river/forum/topic/create.php,
+ mod/groups/views/default/river/group/create.php,
+ .../river/object/groupforumtopic/annotate.php,
+ .../river/object/groupforumtopic/create.php,
+ .../river/object/groupforumtopic/update.php,
+ .../default/river/relationship/member/create.php,
+ mod/invitefriends/actions/invite.php,
+ .../views/default/invitefriends/formitems.php, mod/members/index.php,
+ mod/messageboard/index.php, mod/messageboard/start.php,
+ .../default/river/object/messageboard/create.php, mod/messages/index.php,
+ mod/messages/read.php, mod/messages/send.php, mod/messages/sent.php,
+ mod/messages/start.php, mod/pages/history.php, mod/pages/index.php,
+ mod/pages/new.php, mod/pages/start.php, mod/pages/view.php,
+ mod/pages/views/default/annotation/page.php,
+ mod/pages/views/default/pages/pagelisting.php,
+ mod/pages/views/default/pages/pageprofile.php,
+ mod/pages/views/default/pages/welcome.php,
+ .../views/default/river/object/page/annotate.php,
+ .../views/default/river/object/page/create.php,
+ .../views/default/river/object/page/update.php,
+ .../default/river/object/page_top/annotate.php,
+ .../views/default/river/object/page_top/create.php,
+ .../views/default/river/object/page_top/update.php, mod/pages/welcome.php,
+ mod/pages/world.php, mod/profile/actions/addcomment.php,
+ mod/profile/actions/edit.php,
+ .../views/default/profile/profile_navigation.php,
+ .../views/default/profile/profile_ownerblock.php,
+ .../river/user/default/profileiconupdate.php,
+ .../default/river/user/default/profileupdate.php,
+ .../views/default/river/item/wrapper.php,
+ .../views/default/riverdashboard/welcome.php, mod/search/index.php,
+ .../views/default/search/comments/entity.php,
+ mod/search/views/default/search/listing.php,
+ mod/search/views/default/search/startblurb.php,
+ mod/search/views/rss/search/comments/entity.php, mod/tagcloud/tagcloud.php,
+ mod/thewire/index.php, mod/thewire/views/default/object/thewire.php,
+ mod/thewire/views/rss/object/thewire.php,
+ .../views/rss/search/object/thewire/entity.php,
+ mod/uservalidationbyemail/lib/functions.php,
+ .../uservalidationbyemail/unvalidated_user.php: Fixes #1320: Bundled mods use
+ elgg_echo()'s internal string substitution.
+
+2010-11-03 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/group.php: Refs #2351: deprecated get_objects_in_group() in
+ favor of elgg_get_entities(). There's nothing the former can do that the
+ latter can't, so it's not worth maintaining.
+
+2010-11-03 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/plugins/disable.php, actions/admin/plugins/disableall.php,
+ actions/admin/plugins/enable.php, actions/admin/plugins/enableall.php,
+ actions/admin/plugins/reorder.php, actions/admin/user/delete.php,
+ actions/admin/user/resetpassword.php, actions/comments/add.php,
+ actions/entities/delete.php, actions/friends/add.php,
+ actions/friends/remove.php, actions/likes/add.php,
+ actions/plugins/settings/save.php, actions/plugins/usersettings/save.php,
+ actions/register.php, actions/user/requestnewpassword.php,
+ actions/useradd.php, engine/classes/ElggAnnotation.php,
+ engine/classes/ElggDiskFilestore.php, engine/classes/ElggExtender.php,
+ engine/classes/ElggFile.php, engine/classes/ElggFileCache.php,
+ engine/classes/ElggGroup.php, engine/classes/ElggMemcache.php,
+ engine/classes/ElggMetadata.php, engine/classes/ElggObject.php,
+ engine/classes/ElggRelationship.php, engine/classes/ElggSite.php,
+ engine/classes/ElggUser.php, engine/handlers/cron_handler.php,
+ engine/lib/actions.php, engine/lib/api.php, engine/lib/cron.php,
+ engine/lib/database.php, engine/lib/entities.php, engine/lib/export.php,
+ engine/lib/extender.php, engine/lib/group.php, engine/lib/notification.php,
+ engine/lib/objects.php, engine/lib/output.php, engine/lib/plugins.php,
+ engine/lib/relationships.php, engine/lib/sites.php, engine/lib/users.php,
+ engine/lib/xml-rpc.php, index.php, install/ElggInstaller.php,
+ install/ElggRewriteTester.php, languages/en.php, pages/friends/index.php,
+ pages/friends/of.php, services/export/handler.php,
+ views/default/admin/plugins/simple.php,
+ views/default/annotation/annotate.php,
+ views/default/friends/river/create.php,
+ views/default/group/search/startblurb.php, views/default/object/default.php,
+ views/default/user/search/startblurb.php: Refs #1320. Updated core to use
+ elgg_echo()'s native string replacement.
+
+ * engine/lib/languages.php: Refs #1320: elgg_echo() has built-in sprintf
+ support.
+
+ * mod/groups/views/default/groups/find.php: Refs #2582. Merged [7224] to
+ trunk.
+
+2010-11-03 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php, mod/blog/views/default/object/blog.php,
+ .../views/default/bookmarks/group_bookmarks.php,
+ .../views/default/embed/web_services/content.php,
+ mod/embed/views/default/object/file/embedlist.php,
+ mod/file/views/default/file/groupprofile_files.php,
+ mod/file/views/default/widgets/filerepo/view.php,
+ mod/groups/views/default/forum/maintopic.php,
+ mod/groups/views/default/forum/topics.php,
+ mod/groups/views/default/groups/featured.php,
+ mod/groups/views/default/groups/forum_latest.php,
+ mod/groups/views/default/groups/groupprofile.php,
+ .../views/default/groups/invitationrequests.php,
+ mod/groups/views/default/groups/members.php,
+ .../views/default/groups/membershiprequests.php,
+ mod/groups/views/default/groups/profileitems.php,
+ .../views/default/widgets/a_users_groups/view.php,
+ .../views/default/admin/overview/logbrowser.php,
+ mod/messages/views/default/messages/forms/send.php,
+ mod/messages/views/default/messages/messages.php,
+ mod/messages/views/default/messages/view.php,
+ mod/profile/views/default/profile/admin_menu.php,
+ .../profile/commentwall/commentwall_content.php,
+ .../views/default/profile/profile_ownerblock.php,
+ .../views/default/reportedcontent/listing.php,
+ mod/riverdashboard/endpoint/get_comments.php,
+ .../views/default/river/item/list.php,
+ .../views/default/river/item/wrapper.php,
+ .../views/default/river/item/wrapper_classic.php,
+ .../views/default/riverdashboard/nav.php,
+ .../views/default/search/comments/entity.php,
+ mod/search/views/default/search/entity.php,
+ .../views/default/canvas/layouts/frontpage.php,
+ mod/sitepages/views/default/sitepages/members.php,
+ mod/sitepages/views/default/sitepages/sidebar.php,
+ mod/sitepages/views/default/sitepages/welcome.php,
+ mod/thewire/views/default/object/thewire.php,
+ mod/thewire/views/default/thewire/forms/add.php,
+ .../views/default/thewire/profile_status.php,
+ .../default/account/forms/login_walled_garden.php,
+ views/default/admin/plugins/advanced.php,
+ views/default/admin/plugins/simple.php,
+ views/default/annotation/generic_comment.php,
+ views/default/annotation/latest_comments.php,
+ views/default/annotation/likes.php,
+ views/default/canvas/layouts/administration.php,
+ views/default/canvas/layouts/one_column.php,
+ .../canvas/layouts/one_column_with_sidebar.php, views/default/css.php,
+ views/default/css_ie.php, views/default/entities/entity_listing.php,
+ views/default/entities/gallery_listing.php, views/default/friends/picker.php,
+ views/default/likes/forms/edit.php, views/default/navigation/pagination.php,
+ views/default/navigation/site_nav.php, views/default/output/rss_view.php,
+ views/default/page_elements/content_header.php,
+ .../page_elements/content_header_member.php,
+ views/default/page_elements/elgg_footer.php,
+ views/default/page_elements/elgg_topbar.php,
+ views/default/page_elements/owner_block.php,
+ views/default/page_shells/walled_garden.php: Fixes #2440: Converted
+ clearfloat to clearfix, brought back orig clearfloat
+
+ * engine/lib/entities.php: Refs #2050: Added missing docblock parameters
+
+ * engine/classes/ElggEntity.php, engine/lib/entities.php: Fixes #2050: Added
+ subtype parameter to can_write_to_container functions and hooks
+
+ * engine/lib/entities.php: Set return type of get_entity to ElggEntity
+
+ * engine/classes/ElggEntity.php, engine/classes/ElggObject.php: Fixes #2134:
+ Moved getContainerEntity() method to ElggEntity
+
+ * engine/lib/group.php: Refs #1411: Deprecated
+ get_entities_from_metadata_groups(_multi) in favor of
+ elgg_get_entities_from_metadata. Added proper deprecation for
+ delete_group_entity. Unsure of version deprecated -- set to 1.6
+
+ * engine/lib/access.php: bah! forgot to rename all variables in
+ list_entities_from_access_id
+
+ * engine/lib/access.php: Fixed regression in deprecated
+ list_entities_from_access_id -- was passing access_id as 'collection_id'
+ instead of 'access_id'
+
+2010-11-03 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/admin.php, engine/lib/api.php, engine/lib/navigation.php,
+ engine/lib/users.php, engine/lib/usersettings.php, engine/lib/views.php,
+ engine/lib/widgets.php, engine/tests/ui/submenu.php, index.php,
+ mod/bookmarks/start.php, .../default/river/object/bookmarks/create.php,
+ mod/categories/listing.php, .../views/default/defaultwidgets/editor.php,
+ mod/diagnostics/index.php, mod/diagnostics/start.php, mod/ecml/start.php,
+ mod/file/friends.php, mod/file/index.php, mod/file/search.php,
+ mod/file/start.php, mod/file/views/default/object/file.php,
+ mod/file/world.php, mod/groups/all.php, mod/groups/forum.php,
+ mod/groups/groupprofile.php, mod/groups/index.php, mod/groups/membership.php,
+ mod/groups/start.php, .../views/default/object/groupforumtopic.php,
+ mod/invitefriends/index.php, mod/invitefriends/start.php,
+ mod/members/index.php, mod/messages/start.php, mod/notifications/groups.php,
+ mod/notifications/index.php, mod/notifications/start.php,
+ mod/pages/actions/pages/edit.php, mod/pages/index.php, mod/pages/start.php,
+ mod/pages/view.php, mod/pages/world.php, mod/profile/edit.php,
+ mod/profile/editicon.php, mod/profile/start.php,
+ mod/riverdashboard/index.php, .../views/default/river/item/wrapper.php,
+ mod/sitepages/index.php, mod/sitepages/start.php,
+ mod/sitepages/views/default/sitepages/metatags.php,
+ .../views/default/widgets/tagcloud/view.php, mod/thewire/start.php,
+ mod/uservalidationbyemail/start.php, pages/dashboard/index.php,
+ pages/dashboard/latest.php, pages/entities/index.php,
+ pages/entities/list.php, views/default/admin/overview/online.php,
+ views/default/admin/users/online.php, views/default/annotation/annotate.php,
+ views/default/canvas/layouts/widgets.php,
+ views/default/navigation/pagination.php,
+ views/default/navigation/site_nav.php, views/default/output/tagcloud.php,
+ views/default/page_elements/content_header.php,
+ views/default/widgets/editwrapper.php: Fixes #2128 - replaces all uses of
+ set_context() and get_context() in core and plugins
+
+2010-11-03 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/views.php: Fixes #2151: Replaced elgg_get_viewtype()
+ implementation with @cash's much simpler version
+
+ * engine/lib/annotations.php, engine/lib/relationships.php: Refs #1411:
+ Deprecated list_entities_from_relationship() in favor of
+ elgg_list_entities_from_relationship()
+
+ * engine/lib/access.php, engine/lib/annotations.php,
+ engine/lib/entities.php, engine/lib/metadata.php: Fixes #2606: Abstracted
+ elgg_list_entities. Takes an optional second argument for the 'getter',
+ defaulting to 'elgg_get_entities'. Converted current elgg_list_entities*
+ functions to use it
+
+ * README.txt: Added .txt for consistency
+
+2010-11-02 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/handlers/xml-rpc_handler.php, engine/lib/admin.php,
+ engine/lib/api.php, engine/lib/elgglib.php, engine/lib/tags.php,
+ engine/lib/users.php, engine/lib/xml-rpc.php, engine/tests/ui/submenu.php,
+ index.php, install/ElggInstaller.php, mod/blog/start.php,
+ mod/bookmarks/bookmarklet.php, mod/bookmarks/start.php,
+ mod/categories/listing.php, mod/diagnostics/index.php, mod/ecml/start.php,
+ mod/file/edit.php, mod/file/friends.php, mod/file/index.php,
+ mod/file/search.php, mod/file/upload.php, mod/file/world.php,
+ mod/groups/activity.php, mod/groups/addtopic.php, mod/groups/all.php,
+ mod/groups/edit.php, mod/groups/edittopic.php, mod/groups/forum.php,
+ mod/groups/groupprofile.php, mod/groups/index.php,
+ mod/groups/invitations.php, mod/groups/invite.php, mod/groups/membership.php,
+ mod/groups/membershipreq.php, mod/groups/new.php, mod/groups/topicposts.php,
+ mod/invitefriends/index.php, mod/members/index.php,
+ mod/messageboard/history.php, mod/messageboard/index.php,
+ mod/messages/index.php, mod/messages/read.php, mod/messages/send.php,
+ mod/messages/sent.php, mod/notifications/groups.php,
+ mod/notifications/index.php, mod/pages/edit.php, mod/pages/history.php,
+ mod/pages/index.php, mod/pages/new.php, mod/pages/view.php,
+ mod/pages/welcome.php, mod/pages/world.php, mod/profile/edit.php,
+ mod/profile/editicon.php, mod/profile/index.php, mod/profile/start.php,
+ mod/reportedcontent/add.php, mod/riverdashboard/index.php,
+ mod/search/index.php, mod/sitepages/index.php, mod/sitepages/start.php,
+ mod/tagcloud/tagcloud.php, mod/thewire/add.php, mod/thewire/everyone.php,
+ mod/thewire/index.php, pages/account/forgotten_password.php,
+ pages/account/register.php, pages/dashboard/index.php,
+ pages/dashboard/latest.php, pages/entities/index.php,
+ pages/entities/list.php, pages/friends/add.php,
+ pages/friends/collections.php, pages/friends/edit.php,
+ pages/friends/index.php, pages/friends/of.php, pages/settings/plugins.php,
+ pages/settings/statistics.php, pages/settings/user.php,
+ services/api/rest_api.php, services/export/handler.php: Fixes #2360:
+ page_draw => elgg_view_page in core + bundled plugins
+
+ * documentation/examples/hooks/basic.php,
+ documentation/examples/hooks/register/all.php,
+ documentation/examples/hooks/register/emit.php: Fixed several typos in
+ documentation examples
+
+ * documentation/examples/hooks/register/advanced.php, engine/lib/views.php:
+ Refs #2360: Deprecated page_draw in favor of elgg_view_page. Updated
+ packaged documentation to reflect this.
+
+ * engine/lib/elgglib.php, engine/tests/api/helpers.php: Added url
+ normalization support to elgg_register_js and elgg_register_css
+
+ * documentation/examples/hooks/register/advanced.php,
+ documentation/examples/hooks/register/basic.php: Corrected a couple typos in
+ the documentation that are being registered as php errors
+
+ * .../default/messageboard/messageboard_content.php, mod/messages/start.php,
+ mod/messages/views/default/messages/messages.php,
+ mod/messages/views/default/messages/view.php, mod/pages/history.php,
+ mod/pages/new.php, mod/pages/start.php, mod/pages/view.php,
+ mod/pages/welcome.php, mod/profile/start.php,
+ mod/profile/views/default/profile/admin_menu.php,
+ .../profile/commentwall/commentwall_content.php,
+ .../views/default/profile/menu/adminlinks.php,
+ .../views/default/profile/menu/friendlinks.php,
+ .../views/default/riverdashboard/sitemessage.php, mod/sitepages/start.php,
+ mod/thewire/start.php, mod/thewire/views/default/object/thewire.php,
+ .../uservalidationbyemail/unvalidated_user.php: Fixes #2463: Removed
+ gratuitous references to $CONFIG->url, etc. from the rest of the plugins.
+
+ * mod/blog/start.php, mod/blog/views/default/blog/forms/edit.php,
+ mod/blog/views/default/blog/sidebar_edit.php,
+ mod/blog/views/default/blog/sidebar_revisions.php,
+ mod/blog/views/default/object/blog.php, mod/bookmarks/start.php,
+ mod/bookmarks/views/default/object/bookmarks.php,
+ .../views/default/diagnostics/forms/download.php, mod/file/start.php,
+ mod/file/views/default/object/file.php, mod/groups/actions/addtogroup.php,
+ mod/groups/actions/join.php, mod/groups/all.php, mod/groups/index.php,
+ mod/groups/membership.php, mod/groups/start.php,
+ mod/groups/views/default/forum/maintopic.php,
+ .../views/default/groups/invitationrequests.php,
+ .../views/default/groups/membershiprequests.php,
+ .../views/default/object/groupforumtopic.php: Refs #2463: Removed gratuitous
+ references to $CONFIG->url, etc. in blog - groups plugins
+
+ * engine/lib/actions.php, engine/lib/entities.php, engine/lib/extender.php:
+ Refs #2463: Added URL normalization support for entity and extender url
+ handlers
+
+ * views/default/page_elements/content_header.php: Refs #2463:
+ page_elements/content_header normalizes 'new_link'
+
+ * engine/lib/entities.php: Refs #2463: Fixed typo of elgg_normalize_url
+ function
+
+ * engine/classes/ElggSite.php, engine/lib/actions.php,
+ engine/lib/elgglib.php, engine/lib/entities.php, engine/lib/navigation.php,
+ engine/lib/views.php, engine/tests/ui/submenu.php, install/ElggInstaller.php,
+ views/default/annotation/generic_comment.php,
+ views/default/annotation/likes.php, views/default/friends/collection.php,
+ views/default/object/default.php,
+ views/default/page_elements/content_header.php,
+ views/default/page_elements/elgg_topbar_logout.php: Refs #2463: Removed some
+ more instances of $CONFIG->url. Added support for elgg_normalize_url to
+ elgg_add_action_tokens_to_url
+
+ * engine/lib/output.php, views/default/output/confirmlink.php,
+ views/default/output/url.php: Refs #2463: Added url normalization support to
+ output/url, output/confirmlink. Enhanced normalization to take care of urls
+ like "example.com"
+
+ * engine/lib/admin.php, engine/lib/users.php, mod/bookmarks/start.php,
+ mod/diagnostics/start.php, .../views/default/diagnostics/runalltests.php,
+ mod/file/views/default/file/embed_upload.php, mod/groups/start.php,
+ mod/invitefriends/start.php, .../views/default/invitefriends/form.php,
+ mod/logbrowser/views/default/logbrowser/form.php, mod/messages/start.php,
+ mod/messages/views/default/messages/forms/view.php,
+ mod/notifications/groups.php, mod/notifications/start.php,
+ .../default/notifications/subscriptions/form.php, mod/pages/index.php,
+ mod/pages/start.php, mod/pages/view.php, mod/pages/world.php,
+ mod/profile/start.php, .../default/admin/appearance/defaultprofile.php,
+ .../views/default/profile/editdefaultprofile.php,
+ .../views/default/riverdashboard/rivercomment.php,
+ .../views/default/riverdashboard/sitemessage.php,
+ .../views/default/admin/users/unvalidated.php,
+ views/default/account/forms/forgotten_password.php,
+ .../default/account/forms/login_walled_garden.php,
+ views/default/account/forms/register.php,
+ views/default/account/forms/useradd.php,
+ views/default/admin/appearance/menu_items.php,
+ views/default/admin/components/plugin_settings.php,
+ views/default/admin/plugins/simple.php,
+ views/default/comments/forms/edit.php, views/default/friends/forms/edit.php,
+ views/default/object/plugin.php, views/default/usersettings/form.php,
+ views/default/widgets/editwrapper.php: Refs #2463: Removed many more
+ graituitous calls to elgg_get_site_url(), $CONFIG->url, etc.
+
+ * views/default/input/form.php: Refs #2463: input/form now normalizes the
+ action parameter
+
+ * engine/lib/output.php: Refs #2463: Removed stay { that was causing the
+ regexp to break
+
+ * mod/blog/start.php, mod/bookmarks/start.php, mod/file/start.php,
+ mod/groups/start.php, mod/pages/start.php, mod/riverdashboard/start.php,
+ mod/thewire/start.php: Refs #2463: Removed some gratuitous calls to
+ elgg_get_site_url() from core plugins
+
+ * engine/lib/elgglib.php, engine/lib/navigation.php, engine/lib/output.php:
+ Refs #2463: Added elgg_normalize_url. forward() and add_menu() make use of
+ it
+
+ * actions/admin/menu_items.php, actions/admin/plugins/disable.php,
+ actions/admin/plugins/disableall.php, actions/admin/plugins/enable.php,
+ actions/admin/plugins/enableall.php, actions/admin/plugins/reorder.php,
+ actions/admin/plugins/simple_update_states.php,
+ actions/admin/site/update_advanced.php, actions/admin/site/update_basic.php,
+ actions/admin/user/makeadmin.php, actions/admin/user/removeadmin.php,
+ actions/admin/user/resetpassword.php, actions/admin/user/unban.php,
+ actions/comments/add.php, actions/comments/delete.php,
+ actions/email/save.php, actions/entities/delete.php, actions/friends/add.php,
+ actions/friends/remove.php, actions/import/opendd.php, actions/likes/add.php,
+ actions/likes/delete.php, actions/login.php,
+ actions/plugins/settings/save.php, actions/plugins/usersettings/save.php,
+ actions/user/name.php, actions/useradd.php, actions/usersettings/save.php,
+ actions/widgets/add.php, actions/widgets/reorder.php,
+ actions/widgets/save.php, mod/blog/actions/blog/delete.php,
+ mod/blog/start.php, mod/bookmarks/actions/delete.php,
+ mod/categories/actions/save.php, mod/ecml/actions/save_permissions.php,
+ mod/file/actions/upload.php, mod/groups/actions/addtogroup.php,
+ mod/groups/actions/edit.php, mod/groups/actions/featured.php,
+ mod/groups/actions/groupskillinvitation.php,
+ mod/groups/actions/groupskillrequest.php, mod/groups/actions/invite.php,
+ mod/groups/actions/join.php, mod/groups/actions/joinrequest.php,
+ mod/groups/actions/leave.php, mod/invitefriends/actions/invite.php,
+ mod/messages/actions/delete.php, mod/notifications/actions/groupsave.php,
+ mod/notifications/actions/save.php, mod/pages/actions/pages/delete.php,
+ mod/pages/actions/pages/edit.php, mod/profile/actions/addcomment.php,
+ mod/profile/actions/cropicon.php, mod/profile/actions/deletecomment.php,
+ mod/profile/actions/deletedefaultprofileitem.php,
+ mod/profile/actions/edit.php, mod/profile/actions/editdefault.php,
+ mod/profile/actions/iconupload.php,
+ mod/profile/actions/resetdefaultprofile.php,
+ mod/sitepages/actions/edit_settings.php: Using REFERER shorthand throughout
+ core
+
+ * js/lib/elgglib.js: Refs #2538: Removed stray 'f' from elgg.system_messages
+ that was causing fatal error
+
+ * js/tests/jsTestDriver.conf, jsTestDriver.conf: Refs #2538: Moved
+ jsTestDriver.conf to js/tests/
+
+ * engine/js/classes/ElggEntity.js, engine/js/classes/ElggPriorityList.js,
+ engine/js/classes/ElggUser.js, engine/js/lib/ajax.js,
+ engine/js/lib/configuration.js, engine/js/lib/elgglib.js,
+ engine/js/lib/events.js, engine/js/lib/languages.js,
+ engine/js/lib/security.js, engine/js/lib/session.js, engine/js/lib/ui.js,
+ engine/js/lib/ui.widgets.js, engine/js/tests/ElggAjaxOptionsTest.js,
+ engine/js/tests/ElggAjaxTest.js, engine/js/tests/ElggEventsTest.js,
+ engine/js/tests/ElggLanguagesTest.js, engine/js/tests/ElggLibTest.js,
+ engine/js/tests/ElggPriorityListTest.js, engine/js/tests/ElggSecurityTest.js,
+ engine/js/tests/ElggSessionTest.js, js/classes/ElggEntity.js,
+ js/classes/ElggPriorityList.js, js/classes/ElggUser.js, js/lib/ajax.js,
+ js/lib/configuration.js, js/lib/elgglib.js, js/lib/events.js,
+ js/lib/languages.js, js/lib/security.js, js/lib/session.js, js/lib/ui.js,
+ js/lib/ui.widgets.js, js/tests/ElggAjaxOptionsTest.js,
+ js/tests/ElggAjaxTest.js, js/tests/ElggEventsTest.js,
+ js/tests/ElggLanguagesTest.js, js/tests/ElggLibTest.js,
+ js/tests/ElggPriorityListTest.js, js/tests/ElggSecurityTest.js,
+ js/tests/ElggSessionTest.js, jsTestDriver.conf,
+ views/default/js/initialise_elgg.php: Refs #2538: Moved js directory to elgg
+ root.
+
+ * engine/js/lib/ajax.js, engine/js/lib/elgglib.js,
+ engine/js/tests/ElggLibTest.js, engine/lib/entities.php: Refs #2538: Renamed
+ elgg.extendUrl to elgg.normalize_url
+
+ * engine/js/lib/elgglib.js: Refs #2538: Added type checking to some more
+ core functions
+
+ * engine/js/classes/ElggPriorityList.js, engine/js/lib/elgglib.js,
+ engine/js/lib/events.js, engine/js/lib/languages.js,
+ engine/js/lib/security.js, engine/js/lib/ui.js, engine/js/lib/ui.widgets.js,
+ engine/js/tests/ElggEventsTest.js, engine/js/tests/ElggLibTest.js,
+ engine/js/tests/ElggPriorityListTest.js,
+ views/default/js/initialise_elgg.php: Refs #2538: Added Elggy event system.
+ Javascript boot sequence mimics PHP.
+
+2010-11-01 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/js/lib/elgglib.js, views/default/css.php,
+ views/default/messages/errors/list.php, views/default/messages/list.php,
+ views/default/messages/messages/list.php: Fixes #2318: Adjusted system
+ message markup + styles to work with ajax actions
+
+ * engine/lib/actions.php: Refs #2538: Pulled in support for ajax actions
+
+ * actions/security/refreshtoken.php, engine/js/classes/ElggEntity.js,
+ engine/js/classes/ElggUser.js, engine/js/lib/elgglib.js,
+ engine/js/lib/languages.js, engine/js/tests/ElggLibTest.js,
+ jsTestDriver.conf, views/default/js/initialise_elgg.php,
+ views/default/js/languages.php, views/default/js/languages/en.php,
+ views/default/page_elements/html_begin.php,
+ views/default/page_elements/html_begin_admin.php,
+ views/default/scripts/initialize_elgg.php: Refs #2538: Added some models,
+ inheritance, more bootstrapping code
+
+ * engine/js/classes/ElggUser.js, engine/js/lib/elgglib.js,
+ engine/js/lib/languages.js, engine/js/lib/session.js,
+ engine/js/tests/ElggLanguagesTest.js: Refs #2538: Pulled in some i18n
+ features
+
+ * engine/js/tests/ElggAjaxOptionsTest.js, engine/js/tests/ElggLibTest.js,
+ engine/js/tests/ElggSecurityTest.js: Commented some js Test files
+
+ * jsTestDriver.conf: Switched jsTestDriver.conf to use default jsTestDriver
+ port
+
+2010-11-01 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggSite.php: Fixes #2603: Corrected is_public_page() to
+ isPublicPage().
+
+2010-11-01 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/js/lib/ajax.js, engine/js/lib/configuration.js,
+ engine/js/lib/elgglib.js, engine/js/lib/security.js,
+ engine/js/lib/session.js, engine/js/lib/ui.js, engine/js/lib/ui.widgets.js,
+ engine/js/tests/ElggAjaxOptionsTest.js, engine/js/tests/ElggAjaxTest.js,
+ engine/js/tests/ElggLibTest.js, engine/js/tests/ElggSecurityTest.js,
+ engine/js/tests/ElggSessionTest.js, jsTestDriver.conf: Refs #2538: Pulled in
+ elgg JS object and unit tests
+
+2010-10-31 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggData.php, engine/classes/ElggEntity.php,
+ engine/classes/ElggExtender.php: Refs #2597: Pushed getOwner and
+ getOwnerEntity into ElggEntity and ElggExtender
+
+ * engine/classes/ElggData.php, engine/classes/Loggable.php: Refs #2597:
+ Deprecated Loggable::getObjectOwnerGUID()
+
+2010-10-31 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Refs #2210 - added functions for managing
+ javascript and css files
+
+ * engine/tests/regression/trac_bugs.php: Fixed bug in regression test for
+ upscaling turned off
+
+ * engine/tests/objects/sites.php, engine/tests/objects/users.php: Fixes for
+ [7165] - entity variable changed
+
+ * engine/tests/objects/filestore.php: updated unit test for file matrix to
+ reflect updates in directory structure and class method names
+
+ * engine/tests/objects/entities.php, engine/tests/objects/objects.php,
+ engine/tests/objects/sites.php, engine/tests/objects/users.php: Refs #2597 -
+ fixes some unit tests broken in the reorg of the data models
+
+ * engine/tests/suite.php: Unit tests with the system log turned on are too
+ slow
+
+2010-10-31 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggAnnotation.php, engine/classes/ElggData.php,
+ engine/classes/ElggEntity.php, engine/classes/ElggMetadata.php,
+ engine/classes/ElggRelationship.php: Refs #2597: Pulled __set, __get, __isset
+ magic functions definitions into ElggData
+
+ * engine/classes/ElggEntity.php: Refs #2597: Auto-initialize temp_metadata,
+ temp_annotations, and volatile as arrays
+
+ * engine/classes/ElggData.php, engine/classes/ElggEntity.php,
+ engine/classes/ElggExtender.php, engine/classes/ElggRelationship.php: Refs
+ #2597: Moved "implements Exportable" up to {{{ElggData}}}
+
+ * engine/classes/ElggEntity.php: Refs #2597:
+ ElggEntity::initializeAttributes calls into parent initializeAttributes()
+
+ * engine/classes/ElggAnnotation.php, engine/classes/ElggData.php,
+ engine/classes/ElggEntity.php, engine/classes/ElggExtender.php,
+ engine/classes/ElggMetadata.php, engine/classes/ElggRelationship.php:
+ Improved initializeAttributes implementations
+
+ * engine/classes/ElggGroup.php, engine/classes/ElggObject.php,
+ engine/classes/ElggUser.php: Refs #2597: Entity type constructors all use
+ initializeAttributes now
+
+ * engine/classes/ElggData.php, engine/classes/ElggEntity.php,
+ engine/classes/ElggExtender.php: Refs #2597: Added getURL(),
+ getTimeCreated(), and initializeAttributes() to {{{ElggData}}}
+
+2010-10-30 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggData.php, engine/classes/ElggEntity.php,
+ engine/classes/ElggExtender.php: Refs #2597: pulled getOwner and
+ getOwnerEntity functions into ElggData I thought this would be OK even
+ though relationships don't technically have owners because ElggRelationship
+ implemented the getObectOwnerGUID method
+
+ * engine/classes/ElggData.php, engine/classes/ElggEntity.php,
+ engine/classes/ElggExtender.php, engine/classes/ElggRelationship.php: Refs
+ #2597: Pulled Loggable functions with identical implementations into ElggData
+
+ * engine/classes/ElggData.php, engine/classes/ElggEntity.php,
+ engine/classes/ElggExtender.php, engine/classes/ElggRelationship.php: Refs
+ #2597: Pulled identical {{{Iterator}}} and {{{ArrayAccess}}} implementations
+ into {{{ElggData}}}
+
+2010-10-30 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/pagehandler.php, engine/lib/pageowner.php: Refs #2128 - added
+ stack context functions
+
+2010-10-30 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggData.php, engine/classes/ElggEntity.php,
+ engine/classes/ElggExtender.php, engine/classes/ElggRelationship.php: Refs
+ #2597: Added a new ElggData class that ElggEntity, ElggExtender, and
+ ElggRelationship all extend
+
+ * views/default/page_elements/title.php: Changed get_submenu to
+ elgg_get_submenu
+
+ * mod/bookmarks/views/default/object/bookmarks.php,
+ mod/ecml/views/default/ecml/input_ext.php,
+ .../views/default/groups/activity_latest.php,
+ mod/groups/views/default/river/forum/create.php,
+ mod/profile/views/default/profile/admin_menu.php,
+ .../views/default/profile/menu/adminlinks.php,
+ views/default/admin/site/advanced.php, views/default/admin/site/basic.php:
+ Refs #2598: Converted the rest of the $vars['url'] references to
+ elgg_get_site_url()
+
+ * mod/blog/views/default/blog/forms/edit.php,
+ mod/blog/views/default/blog/sidebar_edit.php,
+ mod/blog/views/default/blog/sidebar_revisions.php,
+ mod/blog/views/default/object/blog.php,
+ .../views/default/bookmarks/bookmarklet.php,
+ mod/bookmarks/views/default/bookmarks/form.php,
+ .../views/default/bookmarks/group_bookmarks.php,
+ .../views/default/widgets/bookmarks/view.php,
+ mod/captcha/views/default/input/captcha.php,
+ mod/categories/views/default/categories/view.php,
+ .../views/default/defaultwidgets/editor.php,
+ .../views/default/diagnostics/runalltests.php,
+ mod/ecml/views/default/ecml/admin/css.php,
+ mod/ecml/views/default/ecml/help/blip.tv.php,
+ mod/ecml/views/default/ecml/help/dailymotion.php,
+ mod/ecml/views/default/ecml/help/googlemaps.php,
+ mod/ecml/views/default/ecml/help/livevideo.php,
+ mod/ecml/views/default/ecml/help/redlasso.php,
+ mod/ecml/views/default/ecml/help/slideshare.php,
+ mod/ecml/views/default/ecml/help/vimeo.php,
+ mod/ecml/views/default/ecml/help/youtube.php,
+ .../views/default/embed/web_services/content.php,
+ mod/embed/views/default/embed/css.php,
+ mod/embed/views/default/embed/embed.php,
+ mod/embed/views/default/embed/js.php, mod/embed/views/default/embed/link.php,
+ mod/embed/views/default/embed/upload/content.php,
+ mod/file/views/default/file/css.php,
+ mod/file/views/default/file/embed_upload.php,
+ mod/file/views/default/file/groupprofile_files.php,
+ mod/file/views/default/file/icon.php,
+ .../default/file/specialcontent/audio/mid.php,
+ .../default/file/specialcontent/audio/mp3.php,
+ .../default/file/specialcontent/audio/mpeg.php,
+ .../default/file/specialcontent/audio/x-wav.php,
+ .../default/file/specialcontent/image/default.php,
+ mod/file/views/default/file/typecloud.php,
+ mod/file/views/default/file/upload.php,
+ mod/file/views/default/object/file.php,
+ mod/file/views/default/widgets/filerepo/view.php,
+ mod/file/views/rss/object/file.php, mod/groups/actions/delete.php,
+ mod/groups/views/default/forms/forums/addtopic.php,
+ .../views/default/forms/forums/edittopic.php,
+ mod/groups/views/default/forms/groups/edit.php,
+ mod/groups/views/default/forms/groups/invite.php,
+ mod/groups/views/default/forum/maintopic.php,
+ mod/groups/views/default/forum/topics.php,
+ mod/groups/views/default/forum/viewposts.php,
+ mod/groups/views/default/groups/find.php,
+ mod/groups/views/default/groups/forum_latest.php,
+ .../views/default/groups/group_sort_menu.php,
+ mod/groups/views/default/groups/grouplisting.php,
+ mod/groups/views/default/groups/groupprofile.php,
+ .../views/default/groups/invitationrequests.php,
+ .../views/default/groups/membershiprequests.php,
+ mod/groups/views/default/groups/side_menu.php,
+ .../views/default/icon/group/default/large.php,
+ .../views/default/icon/group/default/medium.php,
+ .../views/default/icon/group/default/small.php,
+ .../views/default/icon/group/default/tiny.php,
+ .../views/default/object/groupforumtopic.php,
+ .../views/default/river/forum/topic/create.php,
+ .../views/default/invitefriends/form.php,
+ .../views/default/logbrowser/adminlinks.php,
+ mod/logbrowser/views/default/logbrowser/form.php,
+ .../views/default/members/members_navigation.php,
+ mod/members/views/default/members/search.php,
+ .../views/default/messageboard/css.php,
+ .../views/default/messageboard/forms/add.php,
+ .../default/messageboard/messageboard_content.php,
+ .../views/default/widgets/messageboard/view.php,
+ mod/messages/views/default/messages/css.php,
+ .../views/default/messages/forms/reply.php,
+ mod/messages/views/default/messages/forms/send.php,
+ mod/messages/views/default/messages/forms/view.php,
+ mod/messages/views/default/messages/menu.php,
+ mod/messages/views/default/messages/messages.php,
+ mod/messages/views/default/messages/topbar.php,
+ mod/messages/views/default/messages/view.php,
+ .../views/default/notifications/css.php,
+ .../default/notifications/subscriptions/form.php,
+ mod/pages/views/default/forms/pages/edit.php,
+ .../views/default/forms/pages/editwelcome.php,
+ .../views/default/icon/object/page/medium.php,
+ mod/pages/views/default/icon/object/page/small.php,
+ .../views/default/icon/object/page_top/medium.php,
+ .../views/default/icon/object/page_top/small.php,
+ mod/pages/views/default/pages/css.php,
+ mod/pages/views/default/pages/metatags.php,
+ mod/pages/views/default/widgets/pages/view.php,
+ mod/profile/actions/cropicon.php,
+ .../views/default/icon/user/default/large.php,
+ .../views/default/icon/user/default/master.php,
+ .../views/default/icon/user/default/medium.php,
+ .../views/default/icon/user/default/small.php,
+ .../views/default/icon/user/default/tiny.php,
+ .../views/default/icon/user/default/topbar.php,
+ .../profile/commentwall/commentwall_content.php,
+ .../default/profile/commentwall/commentwalladd.php,
+ mod/profile/views/default/profile/css.php,
+ mod/profile/views/default/profile/edit.php,
+ .../views/default/profile/editdefaultprofile.php,
+ .../default/profile/editdefaultprofileitems.php,
+ mod/profile/views/default/profile/editicon.php,
+ mod/profile/views/default/profile/icon.php,
+ .../views/default/profile/menu/adminlinks.php,
+ .../views/default/profile/menu/friendlinks.php,
+ .../views/default/profile/profile_ownerblock.php,
+ .../views/default/profile/topbar_extend.php,
+ .../views/default/reportedcontent/css.php,
+ .../views/default/reportedcontent/footer_link.php,
+ .../views/default/reportedcontent/form.php,
+ .../views/default/reportedcontent/listing.php,
+ .../views/default/riverdashboard/container.php,
+ .../views/default/riverdashboard/css.php,
+ .../views/default/riverdashboard/js.php,
+ .../views/default/riverdashboard/menu.php,
+ .../views/default/riverdashboard/rivercomment.php,
+ .../views/default/riverdashboard/sitemessage.php,
+ mod/search/views/default/search/listing.php,
+ mod/search/views/default/search/search_box.php,
+ .../views/default/sitepages/footer_menu.php,
+ mod/sitepages/views/default/sitepages/menu.php,
+ mod/thewire/views/default/object/thewire.php,
+ .../views/default/river/object/thewire/create.php,
+ mod/thewire/views/default/thewire/css.php,
+ mod/thewire/views/default/thewire/forms/add.php,
+ .../views/default/thewire/profile_status.php,
+ mod/thewire/views/default/widgets/thewire/view.php,
+ mod/tinymce/views/default/input/longtext.php,
+ mod/twitter/views/default/twitter/css.php,
+ .../views/default/admin/users/unvalidated.php,
+ .../uservalidationbyemail/unvalidated_user.php,
+ .../default/file/specialcontent/audio/mp3.php,
+ .../default/file/specialcontent/audio/mpeg.php,
+ .../default/file/specialcontent/audio/mpg.php,
+ views/default/account/forms/forgotten_password.php,
+ views/default/account/forms/login.php,
+ views/default/account/forms/login_dropdown.php,
+ .../default/account/forms/login_walled_garden.php,
+ views/default/account/forms/register.php,
+ views/default/account/forms/useradd.php,
+ views/default/admin/appearance/menu_items.php,
+ views/default/admin/components/plugin.php,
+ views/default/admin/components/plugin_settings.php,
+ views/default/admin/plugins/simple.php,
+ views/default/annotation/generic_comment.php,
+ views/default/annotation/latest_comments.php,
+ views/default/annotation/likes.php,
+ views/default/canvas/layouts/administration.php,
+ views/default/canvas/layouts/widgets.php,
+ views/default/comments/forms/edit.php, views/default/css.php,
+ views/default/dashboard/blurb.php, views/default/friends/collection.php,
+ views/default/friends/collectiontabs.php,
+ views/default/friends/forms/edit.php,
+ views/default/group/search/finishblurb.php,
+ views/default/input/autocomplete.php, views/default/input/userpicker.php,
+ views/default/likes/forms/edit.php, views/default/likes/forms/link.php,
+ views/default/object/default.php, views/default/object/plugin.php,
+ views/default/output/tagcloud.php, views/default/output/tags.php,
+ views/default/page_elements/content_header.php,
+ views/default/page_elements/elgg_footer.php,
+ views/default/page_elements/elgg_header_logo.php,
+ views/default/page_elements/elgg_topbar.php,
+ views/default/page_elements/elgg_topbar_logout.php,
+ views/default/page_elements/html_begin.php,
+ views/default/page_elements/html_begin_admin.php,
+ views/default/page_shells/walled_garden.php,
+ views/default/settings/system.php, views/default/settings/upgrading.php,
+ views/default/user/search/finishblurb.php,
+ views/default/usersettings/form.php, views/default/widgets/editwrapper.php,
+ views/default/widgets/wrapper.php, views/foaf/page_shells/default.php,
+ views/foaf/user/default.php, views/installation/install/header.php,
+ views/installation/install/nav.php,
+ views/installation/install/pages/complete.php,
+ views/installation/page_shells/default.php: Refs #2598: Converted most
+ $vars['url'] to elgg_get_site_url()
+
+2010-10-30 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/start.php: checking if the site has any groups before looping
+ over them
+
+ * mod/blog/blog_lib.php: fixed minor issue where blog code wasn't setting
+ type when getting subtype
+
+2010-10-30 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/export.php, engine/lib/relationships.php,
+ install/ElggInstaller.php, mod/blog/start.php,
+ mod/blog/views/default/blog/sidebar_menu.php, mod/bookmarks/start.php,
+ mod/diagnostics/index.php, mod/diagnostics/start.php,
+ mod/file/actions/save.php, mod/file/actions/upload.php, mod/file/start.php,
+ .../default/file/icon/application/default.php,
+ .../views/default/file/icon/application/excel.php,
+ .../views/default/file/icon/application/msword.php,
+ .../views/default/file/icon/application/pdf.php,
+ .../default/file/icon/application/powerpoint.php,
+ .../default/file/icon/application/vnd.ms-excel.php,
+ .../file/icon/application/vnd.ms-powerpoint.php,
+ .../application/vnd.oasis.opendocument.text.php,
+ mod/file/views/default/file/icon/archive.php,
+ mod/file/views/default/file/icon/audio.php,
+ mod/file/views/default/file/icon/default.php,
+ mod/file/views/default/file/icon/document.php,
+ .../views/default/file/icon/text/directory.php,
+ mod/file/views/default/file/icon/video.php,
+ mod/groups/actions/forums/addtopic.php,
+ mod/groups/actions/forums/deletetopic.php,
+ mod/groups/actions/forums/edittopic.php, mod/groups/activity.php,
+ mod/groups/forum.php, mod/groups/start.php,
+ mod/groups/views/default/forms/forums/addtopic.php,
+ mod/groups/views/default/forum/viewposts.php,
+ mod/invitefriends/actions/invite.php, mod/invitefriends/start.php,
+ mod/members/index.php, mod/messageboard/start.php, mod/messages/index.php,
+ mod/messages/sent.php, mod/messages/start.php, mod/notifications/groups.php,
+ mod/notifications/start.php, mod/pages/index.php, mod/pages/start.php,
+ mod/pages/view.php, mod/pages/views/default/pages/breadcrumbs.php,
+ mod/pages/world.php, mod/profile/actions/addcomment.php,
+ mod/profile/start.php, .../default/admin/appearance/defaultprofile.php,
+ .../views/default/reportedcontent/user_report.php,
+ mod/riverdashboard/start.php, mod/search/index.php, mod/thewire/start.php,
+ views/default/page_elements/content_header.php,
+ views/default/usersettings/main_opt/plugins.php,
+ views/default/usersettings/main_opt/statistics.php,
+ views/default/usersettings/main_opt/user.php,
+ views/installation/input/button.php: Refs #2598: Converted virtually all uses
+ of $CONFIG->wwwroot to elgg_get_site_url()
+
+2010-10-30 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggFile.php, engine/tests/objects/entities.php,
+ mod/blog/classes/ElggBlog.php: finished the conversion to
+ initializeAttributes()
+
+2010-10-30 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Fixes #2598: Added elgg_get_site_url()
+
+ * .../admin/appearance/default_dashboard_widgets.php,
+ .../admin/appearance/default_profile_widgets.php, mod/file/search.php,
+ mod/file/world.php, mod/groups/actions/leave.php, mod/pages/world.php,
+ mod/profile/views/default/profile/admin_menu.php,
+ .../default/profile/commentwall/commentwalladd.php,
+ mod/profile/views/default/profile/icon.php,
+ .../views/default/profile/menu/adminlinks.php, mod/thewire/index.php,
+ mod/thewire/start.php: Replaced $_SESSION['id'] and $_SESSION['guid'] with
+ get_loggedin_userid()
+
+2010-10-30 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: fixed php exception and error handlers not being
+ next to each other in elgglib
+
+ * documentation/stubs/config.php, engine/lib/configuration.php,
+ engine/lib/database.php, engine/lib/install.php, engine/lib/sessions.php,
+ engine/lib/sites.php, engine/lib/version.php, engine/start.php: ripped out
+ last remaining tentacles of old installer from core - just needs removal of
+ install.php when elgg_view() is updated
+
+2010-10-30 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/group.php, engine/lib/users.php, engine/lib/views.php,
+ mod/blog/views/default/blog/sidebar_menu.php, mod/bookmarks/bookmarklet.php,
+ mod/bookmarks/start.php, .../views/default/bookmarks/bookmarklet.php,
+ mod/bookmarks/views/default/bookmarks/form.php,
+ .../views/default/bookmarks/group_bookmarks.php,
+ .../views/default/widgets/bookmarks/view.php,
+ .../views/default/defaultwidgets/editor.php, mod/file/edit.php,
+ mod/file/friends.php, mod/file/index.php, mod/file/search.php,
+ mod/file/start.php, mod/file/upload.php,
+ mod/file/views/default/file/groupprofile_files.php,
+ mod/file/views/default/file/typecloud.php,
+ mod/file/views/default/file/upload.php, mod/file/world.php,
+ mod/groups/activity.php, mod/groups/addtopic.php, mod/groups/all.php,
+ mod/groups/edittopic.php, mod/groups/forum.php, mod/groups/index.php,
+ mod/groups/membership.php, mod/groups/start.php, mod/groups/topicposts.php,
+ mod/groups/views/default/forum/maintopic.php,
+ mod/groups/views/default/forum/topics.php,
+ mod/groups/views/default/forum/viewposts.php,
+ .../views/default/groups/activity_latest.php,
+ mod/groups/views/default/groups/forum_latest.php,
+ .../views/default/object/groupforumtopic.php, mod/members/index.php,
+ mod/messageboard/index.php, .../views/default/messageboard/forms/add.php,
+ .../views/default/widgets/messageboard/view.php, mod/messages/send.php,
+ mod/pages/edit.php, mod/pages/history.php, mod/pages/index.php,
+ mod/pages/new.php, mod/pages/start.php, mod/pages/view.php,
+ mod/pages/views/default/forms/pages/edit.php,
+ .../views/default/pages/groupprofile_pages.php,
+ mod/pages/views/default/widgets/pages/view.php, mod/pages/welcome.php,
+ mod/pages/world.php, mod/profile/edit.php, mod/profile/editicon.php,
+ mod/profile/icon.php, mod/profile/start.php,
+ mod/profile/views/default/profile/admin_menu.php,
+ .../default/profile/commentwall/commentwalladd.php,
+ mod/profile/views/default/profile/edit.php,
+ mod/profile/views/default/profile/listing.php,
+ mod/profile/views/default/profile/metatags.php,
+ .../default/profile/profile_contents/details.php,
+ .../views/default/profile/profile_ownerblock.php,
+ mod/reportedcontent/add.php, .../views/default/widgets/tagcloud/view.php,
+ mod/thewire/index.php, mod/thewire/start.php,
+ mod/thewire/views/default/widgets/thewire/view.php, pages/friends/index.php,
+ pages/friends/of.php, pages/friends/pickercallback.php,
+ pages/settings/index.php, pages/settings/plugins.php,
+ pages/settings/statistics.php, pages/settings/user.php,
+ views/default/canvas/layouts/widgets.php,
+ .../notifications/settings/usersettings.php,
+ views/default/page_elements/content_header.php,
+ .../page_elements/content_header_member.php,
+ views/default/page_elements/owner_block.php,
+ views/default/page_elements/title.php,
+ views/default/user/settings/default_access.php,
+ views/default/user/settings/email.php,
+ views/default/user/settings/language.php,
+ views/default/user/settings/name.php,
+ views/default/user/settings/password.php,
+ views/default/widgets/editwrapper.php, views/default/widgets/wrapper.php,
+ views/foaf/page_shells/default.php: Refs #1439: Using new page_owner
+ functions in all of core
+
+ * engine/lib/filestore.php, mod/bookmarks/actions/add.php,
+ mod/bookmarks/bookmarklet.php,
+ mod/bookmarks/views/default/bookmarks/form.php,
+ mod/diagnostics/actions/download.php, mod/file/actions/delete.php,
+ mod/file/actions/save.php, mod/file/world.php, mod/groups/actions/delete.php,
+ mod/groups/actions/forums/addtopic.php,
+ mod/groups/actions/forums/edittopic.php, mod/groups/actions/leave.php,
+ mod/groups/groupprofile.php, mod/groups/membership.php, mod/groups/start.php,
+ mod/groups/views/default/forum/topics.php,
+ mod/groups/views/default/forum/viewposts.php,
+ mod/groups/views/default/groups/forum_latest.php,
+ mod/groups/views/default/groups/side_menu.php, mod/members/index.php,
+ mod/messages/actions/delete.php, mod/messages/send.php,
+ mod/messages/sent.php, mod/messages/start.php,
+ mod/messages/views/default/messages/messages.php,
+ mod/messages/views/default/messages/topbar.php,
+ mod/messages/views/default/messages/view.php,
+ .../notifications/subscriptions/collections.php,
+ .../notifications/subscriptions/forminternals.php,
+ .../notifications/subscriptions/groupsform.php,
+ .../notifications/subscriptions/personal.php,
+ mod/pages/actions/pages/edit.php, mod/pages/edit.php, mod/pages/new.php,
+ mod/pages/start.php, mod/pages/welcome.php, mod/pages/world.php,
+ mod/profile/actions/addcomment.php, mod/profile/actions/edit.php,
+ mod/profile/start.php, mod/profile/views/default/profile/editicon.php,
+ mod/profile/views/default/profile/icon.php,
+ .../views/default/profile/profile_ownerblock.php,
+ mod/reportedcontent/actions/add.php, mod/reportedcontent/add.php,
+ .../views/default/reportedcontent/form.php,
+ mod/riverdashboard/actions/add.php, mod/riverdashboard/index.php,
+ .../views/default/riverdashboard/ecml/activity.php,
+ .../views/default/riverdashboard/welcome.php, mod/thewire/index.php,
+ mod/thewire/start.php, views/default/canvas/layouts/widgets.php,
+ views/foaf/page_shells/default.php: Refs #2124: Using get_loggedin_user
+ functions instead of $vars['user'], $_SESSION['user'], etc.
+
+ * mod/pages/views/default/pages/breadcrumbs.php,
+ views/foaf/user/default.php: Refs #2124: Using elgg_get_page_owner instead of
+ $vars['page_owner'] in views
+
+2010-10-30 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: organized functions in elgglib by purpose - more
+ or less
+
+ * engine/lib/elgglib.php, engine/lib/navigation.php, engine/start.php,
+ install/ElggInstaller.php: pulled navigation functions out of elgglib.php
+
+ * engine/lib/configuration.php, engine/lib/elgglib.php: moved datalist code
+ into the configuration lib
+
+ * engine/lib/plugins.php: fixed spelling mistake in comment
+
+ * engine/lib/cache.php, engine/lib/elgglib.php: moved file path cache
+ functions into the cache lib which seems to be a more logical location for
+ them
+
+2010-10-30 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/useradd.php, engine/lib/entities.php: Fixed an invalid call to
+ get_pageowner_guid() in can_edit_entity(). Removed the call to the validation
+ function in useradd.
+
+2010-10-29 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * CONTRIBUTORS.txt, README.txt: Language changes for contributors and
+ readme.
+
+2010-10-29 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * README.txt: small readme tweak.
+
+2010-10-28 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/mb_wrapper.php: Fixes #2544. Pulled out the eval() for creating
+ elgg_*() mb wrapper functions.
+
+ * engine/lib/filestore.php: Fixes #2150: Removed old code in filestore.
+
+ * CHANGES.txt, _css/css.php, _css/js.php, actions/admin/menu_items.php,
+ actions/admin/plugins/simple_update_states.php, actions/comments/add.php,
+ actions/email/save.php, actions/friends/add.php,
+ actions/friends/addcollection.php, actions/friends/deletecollection.php,
+ actions/friends/editcollection.php, actions/friends/remove.php,
+ actions/likes/add.php, actions/likes/delete.php, actions/login.php,
+ actions/register.php, actions/user/language.php, actions/user/password.php,
+ actions/user/spotlight.php, actions/useradd.php,
+ actions/usersettings/save.php, actions/widgets/add.php,
+ actions/widgets/reorder.php, actions/widgets/save.php,
+ engine/classes/APIException.php, engine/classes/CallException.php,
+ engine/classes/ClassException.php, engine/classes/ClassNotFoundException.php,
+ engine/classes/ConfigurationException.php, engine/classes/CronException.php,
+ engine/classes/DataFormatException.php, engine/classes/DatabaseException.php,
+ engine/classes/ElggAccess.php, engine/classes/ElggAnnotation.php,
+ engine/classes/ElggCache.php, engine/classes/ElggDiskFilestore.php,
+ engine/classes/ElggEntity.php, engine/classes/ElggExtender.php,
+ engine/classes/ElggFile.php, engine/classes/ElggFileCache.php,
+ engine/classes/ElggFilestore.php, engine/classes/ElggGroup.php,
+ engine/classes/ElggHMACCache.php, engine/classes/ElggMemcache.php,
+ engine/classes/ElggMetadata.php, engine/classes/ElggObject.php,
+ engine/classes/ElggPlugin.php, engine/classes/ElggRelationship.php,
+ engine/classes/ElggSession.php, engine/classes/ElggSharedMemoryCache.php,
+ engine/classes/ElggSite.php, engine/classes/ElggStaticVariableCache.php,
+ engine/classes/ElggUser.php, engine/classes/ElggWidget.php,
+ engine/classes/ErrorResult.php, engine/classes/ExportException.php,
+ engine/classes/Exportable.php, engine/classes/Friendable.php,
+ engine/classes/GenericResult.php, engine/classes/IOException.php,
+ engine/classes/ImportException.php, engine/classes/Importable.php,
+ engine/classes/InstallationException.php,
+ engine/classes/InvalidClassException.php,
+ engine/classes/InvalidParameterException.php, engine/classes/Locatable.php,
+ engine/classes/Loggable.php, engine/classes/NotImplementedException.php,
+ engine/classes/Notable.php, engine/classes/NotificationException.php,
+ engine/classes/ODD.php, engine/classes/ODDDocument.php,
+ engine/classes/ODDEntity.php, engine/classes/PluginException.php,
+ engine/classes/RegistrationException.php,
+ engine/classes/SecurityException.php, engine/classes/SuccessResult.php,
+ engine/classes/XMLRPCArrayParameter.php,
+ engine/classes/XMLRPCBase64Parameter.php,
+ engine/classes/XMLRPCBoolParameter.php, engine/classes/XMLRPCCall.php,
+ engine/classes/XMLRPCDateParameter.php,
+ engine/classes/XMLRPCDoubleParameter.php,
+ engine/classes/XMLRPCErrorResponse.php,
+ engine/classes/XMLRPCIntParameter.php, engine/classes/XMLRPCParameter.php,
+ engine/classes/XMLRPCResponse.php, engine/classes/XMLRPCStringParameter.php,
+ engine/classes/XMLRPCStructParameter.php,
+ engine/classes/XMLRPCSuccessResponse.php, engine/classes/XmlElement.php,
+ engine/handlers/xml-rpc_handler.php, engine/lib/access.php,
+ engine/lib/actions.php, engine/lib/admin.php, engine/lib/annotations.php,
+ engine/lib/api.php, engine/lib/calendar.php, engine/lib/configuration.php,
+ engine/lib/cron.php, engine/lib/database.php, engine/lib/elgglib.php,
+ engine/lib/entities.php, engine/lib/export.php, engine/lib/extender.php,
+ engine/lib/filestore.php, engine/lib/group.php, engine/lib/input.php,
+ engine/lib/install.php, engine/lib/languages.php, engine/lib/location.php,
+ engine/lib/memcache.php, engine/lib/metadata.php, engine/lib/metastrings.php,
+ engine/lib/notification.php, engine/lib/objects.php, engine/lib/opendd.php,
+ engine/lib/output.php, engine/lib/pagehandler.php, engine/lib/pageowner.php,
+ engine/lib/pam.php, engine/lib/plugins.php, engine/lib/relationships.php,
+ engine/lib/river.php, engine/lib/sessions.php, engine/lib/sites.php,
+ engine/lib/statistics.php, engine/lib/system_log.php, engine/lib/tags.php,
+ engine/lib/upgrades/2008100701.php, engine/lib/upgrades/2008101303.php,
+ engine/lib/upgrades/2009022701.php, engine/lib/upgrades/2009041701.php,
+ engine/lib/upgrades/2009070101.php, engine/lib/upgrades/2009102801.php,
+ engine/lib/upgrades/2010033101.php, engine/lib/upgrades/2010060401.php,
+ engine/lib/upgrades/2010061501.php, engine/lib/upgrades/2010062301.php,
+ engine/lib/upgrades/2010071001.php, engine/lib/upgrades/2010071002.php,
+ engine/lib/users.php, engine/lib/usersettings.php, engine/lib/version.php,
+ engine/lib/views.php, engine/lib/widgets.php, engine/lib/xml-rpc.php,
+ engine/lib/xml.php, engine/settings.example.php, engine/start.php, index.php,
+ install.php, install/ElggInstaller.php, install/ElggRewriteTester.php,
+ .../views/default/profile/profile_ownerblock.php,
+ pages/account/forgotten_password.php, pages/account/register.php,
+ pages/dashboard/index.php, pages/dashboard/latest.php,
+ pages/entities/index.php, pages/friends/add.php, pages/friends/edit.php,
+ pages/friends/index.php, pages/friends/of.php,
+ pages/friends/pickercallback.php, pages/settings/plugins.php,
+ services/api/rest_api.php, services/export/handler.php, simplecache/view.php,
+ upgrade.php: Standardized code in all of core, not including language files,
+ tests, or core mods.
+
+2010-10-27 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * CONTRIBUTORS.txt, README.txt: Updated readme to reflect the MIT license.
+ Updated contributors to be a flat list.
+
+2010-10-27 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * simplecache/view.php: Fixes #2590 - simplecache now works when simplecache
+ turned off
+
+2010-10-25 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/AccessControlQueryComponent.php,
+ engine/classes/DeleteQueryTypeQueryComponent.php,
+ engine/classes/InsertQueryTypeQueryComponent.php,
+ engine/classes/JoinQueryComponent.php,
+ engine/classes/LimitOffsetQueryComponent.php,
+ engine/classes/OrderQueryComponent.php, engine/classes/Query.php,
+ engine/classes/QueryComponent.php,
+ engine/classes/QueryTypeQueryComponent.php,
+ engine/classes/SelectFieldQueryComponent.php,
+ engine/classes/SelectQueryTypeQueryComponent.php,
+ engine/classes/SetQueryComponent.php, engine/classes/SimpleQuery.php,
+ engine/classes/TableQueryComponent.php,
+ engine/classes/UpdateQueryTypeQueryComponent.php,
+ engine/classes/WhereQueryComponent.php,
+ engine/classes/WhereSetQueryComponent.php,
+ engine/classes/WhereStaticQueryComponent.php: Closes #2511. Query object
+ classes removed.
+
+2010-10-22 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * COPYRIGHT.txt, actions/admin/menu_items.php, actions/comments/add.php,
+ actions/comments/delete.php, actions/entities/delete.php,
+ actions/friends/add.php, actions/friends/addcollection.php,
+ actions/friends/deletecollection.php, actions/friends/editcollection.php,
+ actions/friends/remove.php, actions/import/opendd.php, actions/likes/add.php,
+ actions/likes/delete.php, actions/logout.php,
+ .../notifications/settings/usersettings/save.php,
+ actions/plugins/settings/save.php, actions/plugins/usersettings/save.php,
+ actions/user/default_access.php, actions/user/language.php,
+ actions/user/name.php, actions/user/password.php,
+ actions/user/passwordreset.php, actions/user/spotlight.php,
+ actions/useradd.php, actions/usersettings/save.php, actions/widgets/add.php,
+ actions/widgets/reorder.php, actions/widgets/save.php,
+ engine/classes/ElggFile.php, engine/classes/ElggFileCache.php,
+ engine/classes/ElggFilestore.php, engine/classes/ElggGroup.php,
+ engine/classes/ElggHMACCache.php, engine/classes/ElggMemcache.php,
+ engine/classes/ElggMetadata.php, engine/classes/ElggStaticVariableCache.php,
+ engine/classes/ErrorResult.php, engine/classes/Exportable.php,
+ engine/classes/GenericResult.php, engine/classes/IOException.php,
+ engine/classes/Importable.php,
+ engine/classes/InsertQueryTypeQueryComponent.php,
+ engine/classes/InstallationException.php,
+ engine/classes/InvalidClassException.php,
+ engine/classes/InvalidParameterException.php,
+ engine/classes/JoinQueryComponent.php,
+ engine/classes/LimitOffsetQueryComponent.php, engine/classes/Loggable.php,
+ engine/classes/NotImplementedException.php,
+ engine/classes/NotificationException.php, engine/classes/ODD.php,
+ engine/classes/ODDDocument.php, engine/classes/ODDEntity.php,
+ engine/classes/OrderQueryComponent.php, engine/classes/Query.php,
+ engine/classes/QueryComponent.php,
+ engine/classes/QueryTypeQueryComponent.php,
+ engine/classes/RegistrationException.php,
+ engine/classes/SecurityException.php,
+ engine/classes/SelectFieldQueryComponent.php,
+ engine/classes/SelectQueryTypeQueryComponent.php,
+ engine/classes/SetQueryComponent.php, engine/classes/SimpleQuery.php,
+ engine/classes/SuccessResult.php, engine/classes/TableQueryComponent.php,
+ engine/classes/UpdateQueryTypeQueryComponent.php,
+ engine/classes/WhereQueryComponent.php,
+ engine/classes/WhereSetQueryComponent.php,
+ engine/classes/WhereStaticQueryComponent.php,
+ engine/classes/XMLRPCArrayParameter.php,
+ engine/classes/XMLRPCBase64Parameter.php,
+ engine/classes/XMLRPCBoolParameter.php, engine/classes/XMLRPCCall.php,
+ engine/classes/XMLRPCDateParameter.php,
+ engine/classes/XMLRPCDoubleParameter.php,
+ engine/classes/XMLRPCErrorResponse.php,
+ engine/classes/XMLRPCIntParameter.php, engine/classes/XMLRPCParameter.php,
+ engine/classes/XMLRPCResponse.php, engine/classes/XMLRPCStringParameter.php,
+ engine/classes/XMLRPCStructParameter.php,
+ engine/classes/XMLRPCSuccessResponse.php, engine/lib/admin.php,
+ engine/lib/annotations.php, engine/lib/api.php, engine/lib/cache.php,
+ engine/lib/calendar.php, engine/lib/cron.php, engine/lib/export.php,
+ engine/lib/extender.php, engine/lib/filestore.php, engine/lib/group.php,
+ engine/lib/input.php, engine/lib/install.php, engine/lib/languages.php,
+ engine/lib/location.php, engine/lib/memcache.php, engine/lib/metadata.php,
+ engine/lib/metastrings.php, engine/lib/notification.php,
+ engine/lib/objects.php, engine/lib/opendd.php, engine/lib/output.php,
+ engine/lib/pagehandler.php, engine/lib/pageowner.php, engine/lib/pam.php,
+ engine/lib/plugins.php, engine/lib/relationships.php, engine/lib/river.php,
+ engine/lib/sessions.php, engine/lib/sites.php, engine/lib/statistics.php,
+ engine/lib/system_log.php, engine/lib/tags.php, engine/lib/users.php,
+ engine/lib/usersettings.php, engine/lib/version.php, engine/lib/widgets.php,
+ engine/lib/xml-rpc.php, engine/lib/xml.php,
+ engine/tests/api/entity_getter_functions.php, engine/tests/api/helpers.php,
+ engine/tests/objects/entities.php, engine/tests/objects/filestore.php,
+ engine/tests/objects/metadata.php, engine/tests/objects/objects.php,
+ engine/tests/objects/sites.php, engine/tests/objects/users.php,
+ engine/tests/regression/trac_bugs.php, engine/tests/services/api.php,
+ engine/tests/suite.php, engine/tests/test_skeleton.php, index.php,
+ install.php, install/ElggInstaller.php, languages/en.php,
+ mod/blog/actions/blog/auto_save_revision.php,
+ mod/blog/actions/blog/delete.php, mod/blog/actions/blog/save.php,
+ mod/blog/blog_lib.php, mod/blog/start.php,
+ mod/blog/views/default/blog/css.php,
+ mod/blog/views/default/blog/forms/edit.php,
+ mod/blog/views/default/blog/sidebar_edit.php,
+ mod/blog/views/default/blog/sidebar_menu.php,
+ mod/blog/views/default/blog/sidebar_revisions.php,
+ mod/blog/views/default/object/blog.php, mod/bookmarks/actions/add.php,
+ mod/bookmarks/actions/delete.php, mod/bookmarks/bookmarklet.php,
+ mod/bookmarks/start.php, .../views/default/bookmarks/bookmarklet.php,
+ mod/bookmarks/views/default/bookmarks/css.php,
+ mod/bookmarks/views/default/bookmarks/form.php,
+ mod/bookmarks/views/default/object/bookmarks.php,
+ .../views/default/widgets/bookmarks/edit.php,
+ .../views/default/widgets/bookmarks/view.php,
+ mod/bookmarks/views/rss/object/bookmarks.php, mod/captcha/captcha.php,
+ mod/captcha/languages/en.php, mod/captcha/start.php,
+ mod/captcha/views/default/captcha/css.php,
+ mod/captcha/views/default/input/captcha.php, mod/categories/actions/save.php,
+ mod/categories/listing.php, mod/categories/start.php,
+ mod/categories/views/default/categories.php,
+ mod/categories/views/default/categories/css.php,
+ .../views/default/settings/categories/edit.php, mod/crontrigger/start.php,
+ mod/defaultwidgets/actions/update.php, mod/defaultwidgets/start.php,
+ .../admin/appearance/default_dashboard_widgets.php,
+ .../admin/appearance/default_profile_widgets.php,
+ .../views/default/defaultwidgets/editor.php,
+ mod/diagnostics/actions/download.php, mod/diagnostics/index.php,
+ mod/diagnostics/languages/en.php, mod/diagnostics/start.php,
+ .../views/default/diagnostics/forms/download.php,
+ .../views/default/diagnostics/runalltests.php,
+ mod/ecml/actions/save_permissions.php, mod/ecml/ecml_functions.php,
+ mod/ecml/languages/en.php, mod/ecml/start.php,
+ mod/ecml/views/default/ecml/help.php,
+ mod/ecml/views/default/ecml/input_ext.php,
+ mod/ecml/views/default/ecml/keywords/blip.tv.php,
+ .../views/default/ecml/keywords/dailymotion.php,
+ mod/ecml/views/default/ecml/keywords/entity.php,
+ .../views/default/ecml/keywords/googlemaps.php,
+ mod/ecml/views/default/ecml/keywords/livevideo.php,
+ mod/ecml/views/default/ecml/keywords/redlasso.php,
+ .../views/default/ecml/keywords/slideshare.php,
+ mod/ecml/views/default/ecml/keywords/user_list.php,
+ mod/ecml/views/default/ecml/keywords/vimeo.php,
+ mod/ecml/views/default/ecml/keywords/youtube.php,
+ mod/ecml/views/default/settings/ecml/edit.php, mod/embed/start.php,
+ mod/embed/views/default/embed/css.php, mod/file/actions/delete.php,
+ mod/file/actions/download.php, mod/file/actions/save.php,
+ mod/file/actions/upload.php, mod/file/download.php, mod/file/edit.php,
+ mod/file/friends.php, mod/file/index.php, mod/file/languages/en.php,
+ mod/file/search.php, mod/file/start.php, mod/file/thumbnail.php,
+ mod/file/upload.php, mod/file/views/default/file/css.php,
+ mod/file/views/default/file/icon.php, mod/file/views/default/file/upload.php,
+ mod/file/views/default/object/file.php, mod/file/views/rss/object/file.php,
+ mod/file/world.php, mod/friends/start.php,
+ mod/friends/views/default/widgets/friends/edit.php,
+ mod/friends/views/default/widgets/friends/view.php,
+ mod/garbagecollector/languages/en.php, mod/garbagecollector/start.php,
+ mod/groups/actions/addtogroup.php, mod/groups/actions/edit.php,
+ mod/groups/actions/featured.php, mod/groups/actions/forums/addtopic.php,
+ mod/groups/actions/forums/deletetopic.php,
+ mod/groups/actions/groupskillinvitation.php,
+ mod/groups/actions/groupskillrequest.php, mod/groups/actions/invite.php,
+ mod/groups/actions/join.php, mod/groups/actions/joinrequest.php,
+ mod/groups/actions/leave.php, mod/groups/all.php, mod/groups/edit.php,
+ mod/groups/graphics/icon.php, mod/groups/groupprofile.php,
+ mod/groups/index.php, mod/groups/invitations.php, mod/groups/invite.php,
+ mod/groups/languages/en.php, mod/groups/membership.php,
+ mod/groups/membershipreq.php, mod/groups/new.php, mod/groups/start.php,
+ mod/groups/topicposts.php,
+ mod/groups/views/default/forms/forums/addtopic.php,
+ .../views/default/forms/forums/edittopic.php,
+ mod/groups/views/default/forms/groups/edit.php,
+ mod/groups/views/default/forms/groups/invite.php,
+ mod/groups/views/default/forum/maintopic.php,
+ mod/groups/views/default/group/default.php,
+ .../views/default/groups/activity_latest.php,
+ .../views/default/groups/closedmembership.php,
+ mod/groups/views/default/groups/css.php,
+ mod/groups/views/default/groups/grouplisting.php,
+ mod/groups/views/default/groups/groupprofile.php,
+ mod/groups/views/default/groups/icon.php,
+ mod/groups/views/default/groups/members.php,
+ mod/groups/views/default/groups/profileitems.php,
+ .../views/default/object/groupforumtopic.php,
+ .../default/widgets/group_entities_widget/edit.php,
+ .../default/widgets/group_entities_widget/view.php,
+ .../default/widgets/group_members_widget/edit.php,
+ .../default/widgets/group_members_widget/view.php,
+ mod/groups/views/rss/forum/topics.php,
+ mod/groups/views/rss/forum/viewposts.php,
+ mod/groups/views/rss/groups/profileitems.php,
+ mod/groups/views/rss/object/groupforumtopic.php, mod/htmlawed/start.php,
+ mod/invitefriends/actions/invite.php, mod/invitefriends/index.php,
+ mod/invitefriends/languages/en.php, mod/invitefriends/start.php,
+ .../views/default/invitefriends/form.php,
+ .../views/default/invitefriends/formitems.php,
+ mod/logbrowser/languages/en.php, mod/logbrowser/start.php,
+ .../views/default/admin/overview/logbrowser.php,
+ mod/logbrowser/views/default/logbrowser/css.php,
+ mod/logbrowser/views/default/object/logwrapper.php,
+ mod/logrotate/languages/en.php, mod/logrotate/start.php,
+ mod/members/index.php, mod/members/languages/en.php, mod/members/start.php,
+ mod/members/views/default/members/css.php,
+ mod/members/views/default/members/search.php,
+ mod/messageboard/actions/add.php, mod/messageboard/actions/delete.php,
+ mod/messageboard/ajax_endpoint/load.php, mod/messageboard/history.php,
+ mod/messageboard/index.php, mod/messageboard/start.php,
+ .../views/default/messageboard/css.php,
+ .../views/default/messageboard/forms/add.php,
+ .../views/default/messageboard/messageboard.php,
+ .../default/messageboard/messageboard_content.php,
+ .../views/default/widgets/messageboard/edit.php,
+ .../views/default/widgets/messageboard/view.php,
+ mod/messages/actions/delete.php, mod/messages/actions/send.php,
+ mod/messages/index.php, mod/messages/languages/en.php, mod/messages/read.php,
+ mod/messages/send.php, mod/messages/sent.php, mod/messages/start.php,
+ mod/messages/views/default/messages/css.php,
+ mod/messages/views/default/messages/forms/send.php,
+ mod/messages/views/default/messages/forms/view.php,
+ mod/messages/views/default/messages/menu.php,
+ mod/messages/views/default/messages/messages.php,
+ mod/messages/views/default/messages/topbar.php,
+ mod/messages/views/default/messages/view.php,
+ mod/notifications/actions/groupsave.php, mod/notifications/actions/save.php,
+ mod/notifications/groups.php, mod/notifications/index.php,
+ mod/notifications/start.php, .../views/default/notifications/css.php,
+ .../default/notifications/subscriptions/form.php,
+ .../notifications/subscriptions/groupsform.php,
+ mod/pages/actions/pages/editwelcome.php, mod/pages/history.php,
+ mod/pages/views/default/widgets/pages/view.php,
+ mod/profile/actions/cropicon.php,
+ mod/profile/actions/deletedefaultprofileitem.php,
+ mod/profile/actions/edit.php, mod/profile/actions/editdefault.php,
+ mod/profile/actions/editfield.php, mod/profile/actions/iconupload.php,
+ mod/profile/actions/reorder.php, mod/profile/actions/resetdefaultprofile.php,
+ mod/profile/edit.php, mod/profile/editicon.php, mod/profile/icon.php,
+ mod/profile/icondirect.php, mod/profile/index.php,
+ mod/profile/javascript.php, mod/profile/profile_lib.php,
+ mod/profile/start.php, .../default/admin/appearance/defaultprofile.php,
+ mod/profile/views/default/profile/css.php,
+ mod/profile/views/default/profile/edit.php,
+ .../views/default/profile/editdefaultprofile.php,
+ mod/profile/views/default/profile/editicon.php,
+ mod/profile/views/default/profile/hoverover.php,
+ mod/profile/views/default/profile/icon.php,
+ mod/profile/views/default/profile/javascript.php,
+ mod/profile/views/default/profile/listing.php,
+ .../views/default/profile/menu/adminlinks.php,
+ .../views/default/profile/menu/friendlinks.php,
+ mod/profile/views/default/profile/menu/links.php,
+ mod/profile/views/default/profile/metatags.php,
+ mod/profile/views/default/profile/sidebar.php,
+ mod/reportedcontent/actions/add.php, mod/reportedcontent/actions/archive.php,
+ mod/reportedcontent/actions/delete.php, mod/reportedcontent/add.php,
+ mod/reportedcontent/languages/en.php, mod/reportedcontent/start.php,
+ .../default/admin/overview/reportedcontent.php,
+ .../views/default/reportedcontent/css.php,
+ .../views/default/reportedcontent/footer_link.php,
+ .../views/default/reportedcontent/form.php,
+ .../views/default/reportedcontent/listing.php,
+ mod/riverdashboard/actions/add.php, mod/riverdashboard/actions/delete.php,
+ .../views/default/river/item/wrapper_classic.php,
+ .../views/default/riverdashboard/ecml/activity.php,
+ .../views/default/riverdashboard/sitemessage.php, mod/search/index.php,
+ mod/search/search_hooks.php, mod/search/start.php,
+ .../views/default/search/comments/entity.php,
+ mod/search/views/default/search/css.php,
+ mod/search/views/default/search/entity.php,
+ mod/search/views/default/search/gallery.php,
+ .../views/default/search/gallery_listing.php,
+ mod/search/views/default/search/layout.php,
+ mod/search/views/default/search/listing.php,
+ mod/search/views/default/search/startblurb.php,
+ mod/search/views/rss/search/comments/entity.php,
+ mod/search/views/rss/search/entity.php,
+ mod/search/views/rss/search/listing.php, mod/sitepages/languages/en.php,
+ mod/sitepages/sitepages_functions.php, mod/sitepages/start.php,
+ .../views/default/admin/site/sitepages.php,
+ .../views/default/sitepages/custom_frontpage.php,
+ .../views/default/sitepages/footer_menu.php,
+ .../views/default/sitepages/forms/edit.php,
+ .../default/sitepages/forms/editfrontsimple.php,
+ .../views/default/sitepages/forms/editmeta.php,
+ .../views/default/sitepages/keywords/sitestats.php,
+ .../views/default/sitepages/keywords/userlist.php,
+ mod/sitepages/views/default/sitepages/menu.php,
+ mod/sitepages/views/default/sitepages/metatags.php,
+ mod/thewire/actions/add.php, mod/thewire/actions/delete.php,
+ mod/thewire/add.php, mod/thewire/everyone.php, mod/thewire/index.php,
+ mod/thewire/start.php, mod/thewire/views/default/object/thewire.php,
+ mod/thewire/views/default/thewire/css.php,
+ mod/thewire/views/default/thewire/forms/add.php,
+ mod/thewire/views/default/thewire/notfound.php,
+ mod/thewire/views/default/thewire/view.php,
+ mod/thewire/views/rss/object/thewire.php,
+ .../views/rss/search/object/thewire/entity.php, mod/tinymce/start.php,
+ mod/tinymce/views/default/input/longtext.php, mod/twitter/start.php,
+ mod/twitter/views/default/twitter/css.php,
+ mod/twitter/views/default/widgets/twitter/edit.php,
+ mod/twitter/views/default/widgets/twitter/view.php, mod/zaudio/start.php,
+ .../default/file/specialcontent/audio/mp3.php,
+ .../default/file/specialcontent/audio/mpeg.php,
+ .../default/file/specialcontent/audio/mpg.php, pages/dashboard/index.php,
+ pages/dashboard/latest.php, pages/entities/index.php,
+ pages/entities/list.php, pages/friends/add.php,
+ pages/friends/collections.php, pages/friends/edit.php,
+ pages/friends/index.php, pages/friends/of.php,
+ pages/friends/pickercallback.php, pages/settings/index.php,
+ pages/settings/plugins.php, pages/settings/statistics.php,
+ pages/settings/user.php, services/api/rest_api.php,
+ services/export/handler.php, simplecache/view.php, upgrade.php, version.php,
+ views/default/account/forms/forgotten_password.php,
+ views/default/account/forms/login.php,
+ views/default/account/forms/register.php,
+ views/default/account/forms/useradd.php,
+ views/default/admin/appearance/menu_items.php,
+ views/default/admin/components/plugin.php,
+ views/default/admin/components/plugin_settings.php,
+ views/default/admin/overview.php,
+ views/default/admin/overview/numentities.php,
+ views/default/admin/overview/online.php,
+ views/default/admin/plugins/advanced.php,
+ views/default/admin/plugins/simple.php,
+ views/default/admin/site/advanced.php, views/default/admin/site/basic.php,
+ views/default/ajax/loader.php, views/default/api/output.php,
+ views/default/canvas/default.php,
+ views/default/canvas/layouts/administration.php,
+ views/default/canvas/layouts/one_column.php,
+ .../canvas/layouts/one_column_with_sidebar.php,
+ views/default/comments/forms/edit.php, views/default/dashboard/blurb.php,
+ views/default/entities/entity_list.php,
+ views/default/entities/entity_listing.php,
+ views/default/entities/gallery.php,
+ views/default/entities/gallery_listing.php, views/default/export/entity.php,
+ views/default/export/metadata.php, views/default/export/relationship.php,
+ views/default/friends/collection.php, views/default/friends/collections.php,
+ views/default/friends/collectiontabs.php,
+ views/default/friends/forms/collectionfields.php,
+ views/default/friends/forms/edit.php, views/default/friends/list.php,
+ views/default/friends/picker.php, views/default/friends/river/create.php,
+ views/default/friends/tablelist.php,
+ views/default/friends/tablelistcountupdate.php,
+ views/default/graphics/icon.php, views/default/group/default.php,
+ views/default/group/search/finishblurb.php,
+ views/default/group/search/startblurb.php, views/default/input/access.php,
+ views/default/input/autocomplete.php, views/default/input/button.php,
+ views/default/input/captcha.php, views/default/input/checkboxes.php,
+ views/default/input/datepicker.php, views/default/input/email.php,
+ views/default/input/file.php, views/default/input/form.php,
+ views/default/input/hidden.php, views/default/input/longtext.php,
+ views/default/input/password.php, views/default/input/plaintext.php,
+ views/default/input/pulldown.php, views/default/input/radio.php,
+ views/default/input/reset.php, views/default/input/securitytoken.php,
+ views/default/input/submit.php, views/default/input/tags.php,
+ views/default/input/text.php, views/default/input/url.php,
+ views/default/input/urlshortener.php, views/default/input/userpicker.php,
+ views/default/likes/forms/display.php, views/default/likes/forms/edit.php,
+ views/default/likes/forms/link.php, views/default/messages/errors/error.php,
+ views/default/messages/errors/list.php,
+ views/default/messages/exceptions/exception.php,
+ views/default/messages/list.php, views/default/messages/messages/list.php,
+ views/default/messages/messages/message.php,
+ views/default/navigation/breadcrumbs.php,
+ views/default/navigation/pagination.php,
+ views/default/navigation/sidebar_menu.php,
+ views/default/navigation/submenu_group.php,
+ views/default/navigation/submenu_item.php,
+ views/default/navigation/submenu_js.php,
+ views/default/navigation/topbar_tools.php,
+ views/default/navigation/viewtype.php,
+ .../notifications/settings/usersettings.php,
+ views/default/object/default.php, views/default/object/object.php,
+ views/default/object/plugin.php, views/default/object/widget.php,
+ views/default/output/calendar.php, views/default/output/checkboxes.php,
+ views/default/output/confirmlink.php, views/default/output/date.php,
+ views/default/output/email.php, views/default/output/iframe.php,
+ views/default/output/longtext.php, views/default/output/pulldown.php,
+ views/default/output/radio.php, views/default/output/tagcloud.php,
+ views/default/output/tags.php, views/default/output/text.php,
+ views/default/output/url.php, views/default/page_elements/content_header.php,
+ .../page_elements/content_header_member.php,
+ views/default/page_elements/elgg_footer.php,
+ views/default/page_elements/owner_block.php,
+ views/default/page_shells/admin.php, views/default/page_shells/default.php,
+ views/default/river/item/list.php, views/default/river/item/wrapper.php,
+ views/default/settings/system.php, views/default/settings/upgrading.php,
+ views/default/site/default.php, views/default/spotlight/default.php,
+ views/default/upload/upload_form_content.php, views/default/user/default.php,
+ views/default/user/search/finishblurb.php,
+ views/default/user/search/startblurb.php,
+ views/default/user/settings/default_access.php,
+ views/default/user/settings/email.php,
+ views/default/user/settings/language.php,
+ views/default/user/settings/name.php,
+ views/default/user/settings/password.php,
+ views/default/usersettings/form.php, views/default/usersettings/main.php,
+ views/default/usersettings/main_opt/plugins.php,
+ views/default/usersettings/main_opt/statistics.php,
+ views/default/usersettings/main_opt/user.php,
+ views/default/usersettings/plugins.php,
+ views/default/usersettings/plugins_opt/plugin.php,
+ views/default/usersettings/statistics.php,
+ .../usersettings/statistics_opt/numentities.php,
+ .../default/usersettings/statistics_opt/online.php,
+ views/default/usersettings/user.php, views/default/widgets/editwrapper.php,
+ views/default/widgets/wrapper.php, views/failsafe/canvas/default.php,
+ views/failsafe/messages/exceptions/exception.php,
+ views/failsafe/page_shells/default.php, views/foaf/canvas/default.php,
+ views/foaf/page_elements/contentwrapper.php,
+ views/foaf/page_shells/default.php, views/foaf/search/entity_list.php,
+ views/foaf/user/default.php, views/ical/canvas/default.php,
+ views/ical/export/entity.php, views/ical/object/default.php,
+ views/ical/pageshells/pageshell.php, views/ical/search/entity_list.php,
+ views/installation/canvas/default.php, views/installation/input/access.php,
+ views/installation/input/button.php, views/installation/input/checkboxes.php,
+ views/installation/input/form.php, views/installation/input/hidden.php,
+ views/installation/input/longtext.php, views/installation/input/password.php,
+ views/installation/input/pulldown.php, views/installation/input/reset.php,
+ views/installation/input/securitytoken.php,
+ views/installation/input/submit.php, views/installation/input/text.php,
+ views/installation/messages/errors/error.php,
+ views/installation/messages/errors/list.php,
+ views/installation/messages/list.php,
+ views/installation/messages/messages/list.php,
+ views/installation/messages/messages/message.php,
+ views/installation/page_shells/default.php, views/js/object/default.php,
+ views/js/pageshells/pageshell.php, views/js/user/default.php,
+ views/json/api/output.php, views/json/canvas/default.php,
+ views/json/export/entity.php, views/json/export/metadata.php,
+ views/json/export/relationship.php, views/json/group/default.php,
+ views/json/messages/exceptions/exception.php, views/json/object/default.php,
+ views/json/page_elements/contentwrapper.php,
+ views/json/page_shells/default.php, views/json/river/item/list.php,
+ views/json/search/entity_list.php, views/json/site/default.php,
+ views/json/user/default.php, views/opendd/canvas/default.php,
+ views/opendd/export/entity.php, views/opendd/export/metadata.php,
+ views/opendd/export/relationship.php, views/opendd/group/default.php,
+ views/opendd/messages/exceptions/exception.php,
+ views/opendd/object/default.php, views/opendd/pageshells/pageshell.php,
+ views/opendd/search/entity_list.php, views/opendd/site/default.php,
+ views/opendd/user/default.php, views/php/api/output.php,
+ views/php/canvas/default.php, views/php/export/entity.php,
+ views/php/export/metadata.php, views/php/export/relationship.php,
+ views/php/group/default.php, views/php/messages/exceptions/exception.php,
+ views/php/object/default.php, views/php/page_shells/default.php,
+ views/php/search/entity_list.php, views/php/site/default.php,
+ views/php/user/default.php, views/rss/annotation/default.php,
+ views/rss/canvas/default.php, views/rss/group/default.php,
+ views/rss/object/default.php, views/rss/page_elements/contentwrapper.php,
+ views/rss/page_shells/default.php, views/rss/river/item/list.php,
+ views/rss/search/entity_list.php, views/rss/user/default.php,
+ views/xml/api/output.php, views/xml/messages/exceptions/exception.php,
+ views/xml/page_shells/default.php, views/xml/xml-rpc/output.php: Removed
+ @license, @copyright, and @author from files. License and copyright are
+ covered by LICENSE.txt and COPYRIGHT.txt in root.
+
+2010-10-22 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * pages/entities/index.php, pages/entities/list.php: Fixes #2578 - entity
+ pages should not need call to load engine
+
+ * engine/lib/elgglib.php: Fixes #733 - events now support PHP callbacks
+
+ * engine/lib/elgglib.php: better creation of event and plugin hook
+ registration arrays
+
+ * engine/lib/elgglib.php: Fixes #2466 - split events() into register and
+ trigger functions
+
+2010-10-21 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Refs #733 - plugin hooks now support PHP callbacks
+
+
+2010-10-20 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/bookmarks/actions/add.php, mod/bookmarks/languages/en.php,
+ mod/bookmarks/views/default/object/bookmarks.php: Refs #2551 - merged [7051]
+ and [7053] into trunk
+
+ * views/default/annotation/annotate.php: Merges [7038] into trunk from 1.7
+ branch
+
+ * actions/admin/plugins/disable.php, actions/admin/plugins/disableall.php,
+ actions/admin/plugins/enable.php, actions/admin/plugins/enableall.php,
+ actions/admin/plugins/reorder.php,
+ actions/admin/plugins/simple_update_states.php: Refs #2537 - merged [7026]
+ into trunk from 1.7 branch
+
+ * engine/lib/views.php, simplecache/view.php: Refs #2410 - merged [7024]
+ into trunk from 1.7 branch
+
+ * mod/profile/views/default/profile/javascript.php,
+ .../views/default/riverdashboard/js.php: Refs #2493 - merged [7008] into
+ trunk from 1.7 branch
+
+ * engine/classes/ElggMemcache.php: Refs #2465 - merged [7007] into trunk
+ from 1.7 branch
+
+ * engine/lib/filestore.php, mod/profile/actions/iconupload.php: Refs #2499 -
+ merged [6990] into trunk from 1.7 branch
+
+ * mod/groups/views/default/river/forum/create.php: Refs #2498 - merged
+ [6982] into trunk from 1.7 branch
+
+ * mod/file/start.php, mod/file/views/default/file/groupprofile_files.php:
+ Refs #2489 - manually merged [6981] from 1.7 branch to keep group file option
+ consistent across Elgg versions
+
+ * engine/lib/database.php: Refs #2474 - merged [6976] from 1.7 branch into
+ trunk
+
+ * mod/pages/actions/pages/editwelcome.php, mod/pages/index.php,
+ mod/pages/start.php, .../views/default/forms/pages/editwelcome.php,
+ mod/pages/welcome.php, mod/pages/world.php: Refs #2496 - manually merged
+ [6975] from 1.7 branch
+
+ * mod/pages/actions/pages/edit.php: fixed stray character that got into
+ pages edit action
+
+ * install/ElggInstaller.php, views/installation/install/forms/admin.php,
+ views/installation/install/forms/database.php,
+ views/installation/install/forms/settings.php: Fixes #2570 - cannot replicate
+ issue with current_page_url() so returned to using it - fixes subdirectory
+ install issue
+
+2010-10-19 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * install/ElggInstaller.php, install/languages/en.php: added a check for
+ register globals
+
+2010-10-17 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, engine/lib/input.php, engine/start.php: Fixes
+ #2563 - setup autoload in elgglib.php so exceptions and other classes are
+ available as soon as possible
+
+ * install/ElggInstaller.php: removed exceptions.php from install boot
+ loading
+
+2010-10-14 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/actions.php, languages/en.php: Fixes #2514 - separating action
+ error messages for undefined and no action script file
+
+ * engine/lib/query.php, engine/start.php, install/ElggInstaller.php: Refs
+ #2511 - removed empty query.php lib file
+
+ * engine/lib/elgglib.php, engine/lib/output.php, engine/lib/social.php,
+ engine/start.php, install/ElggInstaller.php: Fixes #2339 - removes social
+ library and deprecates its two functions (put it output.php for lack of a
+ better location)
+
+ * engine/lib/entities.php: Fixes #2403 - adds unregister_entity_type()
+
+2010-10-14 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/exceptions.php, engine/start.php: Deprecated unnecessary
+ exceptions.php lib file
+
+ * mod/blog/classes/ElggBlog.php: Switching blog over to autoload method for
+ plugin classes
+
+ * engine/lib/elgglib.php, engine/lib/plugins.php, engine/start.php,
+ mod/blog/blog_lib.php: Fixes #2547: Plugins can now participate in
+ autoloading classes.
+
+2010-10-13 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * install/ElggInstaller.php, install/ElggRewriteTester.php,
+ install/cli/sample_installer.php, install/languages/en.php: Fixes #2556 - one
+ step install now supported with sample cli script
+
+2010-10-12 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * install/ElggInstaller.php, install/languages/en.php: Fixes #2312 -
+ checking value of arg_separator.output during install
+
+ * views/installation/input/button.php, views/installation/input/form.php,
+ views/installation/install/forms/admin.php,
+ views/installation/install/forms/database.php,
+ views/installation/install/forms/settings.php,
+ views/installation/install/forms/template.php,
+ views/installation/install/nav.php: Fixes #2522 - disabling form submission
+ after first submission
+
+ * install/ElggRewriteTester.php, install/languages/en.php: Fixes #2521 -
+ added check for old .htaccess
+
+ * install/ElggInstaller.php, install/languages/en.php, languages/en.php:
+ better handling of settings file issues during installation
+
+ * htaccess_dist: forgot to update rewrite rule in htaccess_dist
+
+2010-10-11 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * install/ElggInstaller.php, install/ElggRewriteTester.php,
+ install/languages/en.php: Pulled rewrite test out into separate class
+
+2010-10-10 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/uservalidationbyemail/start.php: Fixes #2529 for real this time. Not
+ using made up ElggEntity attributes. Added canEdit() override for user
+ validation so it can disable users after they register.
+
+ * engine/lib/entities.php: Checking for a valid entity before using its
+ methods in update_entity().
+
+ * engine/classes/ElggEntity.php: ElggEntity::disable() and enable() now
+ update the attributes so you don't have stale data on the object.
+
+2010-10-10 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php, engine/settings.example.php,
+ install/ElggInstaller.php, install/languages/en.php: using config variable
+ for minimum password length
+
+ * install/ElggInstaller.php, install/languages/en.php: better error messages
+ when there are already Elgg database tables in the database
+
+ * install/ElggInstaller.php: fixed issue when settings.php is filled out
+ before installation is started
+
+ * engine/lib/elgglib.php, engine/lib/install.php, languages/en.php,
+ views/failsafe/messages/exceptions/exception.php,
+ views/failsafe/page_shells/default.php: handling the database being down/busy
+ or an incomplete install. Also cleaned up failsafe views
+
+ * engine/lib/database.php: removed function replaced by installer
+
+ * install/ElggInstaller.php, views/installation/install/pages/complete.php:
+ finished the auto login option for the installer
+
+2010-10-09 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/register.php, install/ElggInstaller.php, install/languages/en.php:
+ pulled out special exception in registration action for first admin login
+
+2010-10-09 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/uservalidationbyemail/start.php: Fixes #2529. User validation only
+ prevents logins for disabled users to avoid conflicting with alternative
+ login plugins.
+
+2010-10-08 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * install/ElggInstaller.php, install/languages/en.php: using new email
+ validation in installer
+
+ * engine/lib/input.php, engine/lib/users.php: Fixes #2161 - using
+ filter_var() in email validation and moved is_email_address() to the input
+ library
+
+2010-10-07 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * install/ElggInstaller.php, install/languages/en.php,
+ views/installation/install/pages/complete.php: pulled more language strings
+ out of installer into language file
+
+ * _graphics/elgg_logo.png, install/css/install.css, install/install.css,
+ views/installation/install/header.php,
+ views/installation/page_shells/default.php: added Elgg logo to installer
+ screens
+
+2010-10-06 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/uservalidationbyemail/actions/validate.php,
+ mod/uservalidationbyemail/lib/functions.php,
+ .../views/default/admin/users/unvalidated.php,
+ .../uservalidationbyemail/unvalidated_user.php: Merged some fixes from the
+ 1.7.4 backport of uservalidationbyemail.
+
+2010-10-06 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/systemsettings/install.php, engine/lib/actions.php,
+ engine/lib/elgglib.php, engine/lib/install.php, engine/start.php,
+ install/languages/en.php, languages/en.php,
+ views/default/settings/install.php: removed several parts of the old
+ installer from the core
+
+ * .../messages/sanitisation/dbsettings_error.php,
+ views/failsafe/messages/sanitisation/htaccess.php,
+ views/failsafe/messages/sanitisation/settings.php,
+ views/failsafe/settings/install.php, views/failsafe/settings/system.php:
+ removed old views used in previous installer
+
+ * install/ElggInstaller.php, install/languages/en.php, languages/en.php,
+ views/installation/install/forms/template.php,
+ views/installation/page_shells/default.php: Pulled installation language
+ strings out of core language file into installer
+
+2010-10-05 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/upgrades/2010100500.php, version.php: Creating an upgrade to
+ migrate users from old oauth to new oauth_lib plugin. Closes #2532
+
+ * mod/oauth/manifest.xml, mod/oauth/start.php,
+ mod/oauth/vendors/oauth/LICENSE,
+ mod/oauth/vendors/oauth/example/server/INSTALL,
+ .../vendors/oauth/example/server/core/init.php,
+ .../example/server/core/templates/inc/footer.tpl,
+ .../example/server/core/templates/inc/header.tpl,
+ .../oauth/example/server/core/templates/index.tpl,
+ .../oauth/example/server/core/templates/logon.tpl,
+ .../example/server/core/templates/register.tpl,
+ .../vendors/oauth/example/server/www/hello.php,
+ .../vendors/oauth/example/server/www/index.php,
+ .../vendors/oauth/example/server/www/logon.php,
+ .../vendors/oauth/example/server/www/oauth.php,
+ .../vendors/oauth/example/server/www/register.php,
+ .../oauth/example/server/www/services.xrds.php,
+ mod/oauth/vendors/oauth/library/OAuthDiscovery.php,
+ mod/oauth/vendors/oauth/library/OAuthException.php,
+ mod/oauth/vendors/oauth/library/OAuthRequest.php,
+ .../vendors/oauth/library/OAuthRequestLogger.php,
+ .../vendors/oauth/library/OAuthRequestSigner.php,
+ .../vendors/oauth/library/OAuthRequestVerifier.php,
+ mod/oauth/vendors/oauth/library/OAuthRequester.php,
+ mod/oauth/vendors/oauth/library/OAuthServer.php,
+ mod/oauth/vendors/oauth/library/OAuthStore.php,
+ .../library/body/OAuthBodyContentDisposition.php,
+ .../library/body/OAuthBodyMultipartFormdata.php,
+ .../vendors/oauth/library/discovery/xrds_parse.php,
+ .../vendors/oauth/library/discovery/xrds_parse.txt,
+ .../OAuthSignatureMethod.class.php, .../OAuthSignatureMethod_HMAC_SHA1.php,
+ .../signature_method/OAuthSignatureMethod_MD5.php,
+ .../OAuthSignatureMethod_PLAINTEXT.php,
+ .../OAuthSignatureMethod_RSA_SHA1.php,
+ .../library/store/OAuthStoreAbstract.class.php,
+ .../oauth/library/store/OAuthStoreAnyMeta.php,
+ .../oauth/library/store/OAuthStoreMySQL.php,
+ .../vendors/oauth/library/store/mysql/install.php,
+ .../vendors/oauth/library/store/mysql/mysql.sql,
+ .../oauth/test/discovery/xrds-fireeagle.xrds,
+ .../oauth/test/discovery/xrds-getsatisfaction.xrds,
+ .../oauth/test/discovery/xrds-magnolia.xrds,
+ mod/oauth/vendors/oauth/test/oauth_test.php, mod/oauth_lib/manifest.xml,
+ mod/oauth_lib/start.php, mod/oauth_lib/vendors/oauth/LICENSE,
+ mod/oauth_lib/vendors/oauth/example/server/INSTALL,
+ .../vendors/oauth/example/server/core/init.php,
+ .../example/server/core/templates/inc/footer.tpl,
+ .../example/server/core/templates/inc/header.tpl,
+ .../oauth/example/server/core/templates/index.tpl,
+ .../oauth/example/server/core/templates/logon.tpl,
+ .../example/server/core/templates/register.tpl,
+ .../vendors/oauth/example/server/www/hello.php,
+ .../vendors/oauth/example/server/www/index.php,
+ .../vendors/oauth/example/server/www/logon.php,
+ .../vendors/oauth/example/server/www/oauth.php,
+ .../vendors/oauth/example/server/www/register.php,
+ .../oauth/example/server/www/services.xrds.php,
+ .../vendors/oauth/library/OAuthDiscovery.php,
+ .../vendors/oauth/library/OAuthException.php,
+ .../vendors/oauth/library/OAuthRequest.php,
+ .../vendors/oauth/library/OAuthRequestLogger.php,
+ .../vendors/oauth/library/OAuthRequestSigner.php,
+ .../vendors/oauth/library/OAuthRequestVerifier.php,
+ .../vendors/oauth/library/OAuthRequester.php,
+ .../vendors/oauth/library/OAuthServer.php,
+ mod/oauth_lib/vendors/oauth/library/OAuthStore.php,
+ .../library/body/OAuthBodyContentDisposition.php,
+ .../library/body/OAuthBodyMultipartFormdata.php,
+ .../vendors/oauth/library/discovery/xrds_parse.php,
+ .../vendors/oauth/library/discovery/xrds_parse.txt,
+ .../OAuthSignatureMethod.class.php, .../OAuthSignatureMethod_HMAC_SHA1.php,
+ .../signature_method/OAuthSignatureMethod_MD5.php,
+ .../OAuthSignatureMethod_PLAINTEXT.php,
+ .../OAuthSignatureMethod_RSA_SHA1.php,
+ .../library/store/OAuthStoreAbstract.class.php,
+ .../oauth/library/store/OAuthStoreAnyMeta.php,
+ .../oauth/library/store/OAuthStoreMySQL.php,
+ .../vendors/oauth/library/store/mysql/install.php,
+ .../vendors/oauth/library/store/mysql/mysql.sql,
+ .../oauth/test/discovery/xrds-fireeagle.xrds,
+ .../oauth/test/discovery/xrds-getsatisfaction.xrds,
+ .../oauth/test/discovery/xrds-magnolia.xrds,
+ mod/oauth_lib/vendors/oauth/test/oauth_test.php: Changing the name of the
+ oAuth plugin to reflect it's library service status. Refs #2532
+
+2010-10-05 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * install/install.css, views/installation/messages/errors/list.php,
+ views/installation/messages/messages/list.php: Fixes #2523 - errors get red
+ box during install
+
+ * install/ElggInstaller.php, views/failsafe/input/access.php,
+ views/failsafe/input/button.php, views/failsafe/input/checkboxes.php,
+ views/failsafe/input/form.php, views/failsafe/input/hidden.php,
+ views/failsafe/input/longtext.php, views/failsafe/input/password.php,
+ views/failsafe/input/pulldown.php, views/failsafe/input/reset.php,
+ views/failsafe/input/securitytoken.php, views/failsafe/input/submit.php,
+ views/failsafe/input/text.php, views/failsafe/install/footer.php,
+ views/failsafe/install/forms/admin.php,
+ views/failsafe/install/forms/database.php,
+ views/failsafe/install/forms/settings.php,
+ views/failsafe/install/forms/template.php, views/failsafe/install/header.php,
+ views/failsafe/install/nav.php, views/failsafe/install/pages/admin.php,
+ views/failsafe/install/pages/complete.php,
+ views/failsafe/install/pages/database.php,
+ views/failsafe/install/pages/requirements.php,
+ views/failsafe/install/pages/settings.php,
+ views/failsafe/install/pages/welcome.php, views/failsafe/install/sidebar.php,
+ views/failsafe/messages/errors/error.php,
+ views/failsafe/messages/errors/list.php, views/failsafe/messages/list.php,
+ views/failsafe/messages/messages/list.php,
+ views/failsafe/messages/messages/message.php,
+ views/failsafe/page_shells/install.php, views/installation/input/access.php,
+ views/installation/input/button.php, views/installation/input/checkboxes.php,
+ views/installation/input/form.php, views/installation/input/hidden.php,
+ views/installation/input/longtext.php, views/installation/input/password.php,
+ views/installation/input/pulldown.php, views/installation/input/reset.php,
+ views/installation/input/securitytoken.php,
+ views/installation/input/submit.php, views/installation/input/text.php,
+ views/installation/install/footer.php,
+ views/installation/install/forms/admin.php,
+ views/installation/install/forms/database.php,
+ views/installation/install/forms/settings.php,
+ views/installation/install/forms/template.php,
+ views/installation/install/header.php, views/installation/install/nav.php,
+ views/installation/install/pages/admin.php,
+ views/installation/install/pages/complete.php,
+ views/installation/install/pages/database.php,
+ views/installation/install/pages/requirements.php,
+ views/installation/install/pages/settings.php,
+ views/installation/install/pages/welcome.php,
+ views/installation/install/sidebar.php,
+ views/installation/messages/errors/error.php,
+ views/installation/messages/errors/list.php,
+ views/installation/messages/list.php,
+ views/installation/messages/messages/list.php,
+ views/installation/messages/messages/message.php,
+ views/installation/page_shells/default.php: moved installation to its own
+ viewtype
+
+2010-10-05 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/uservalidationbyemail/lib/functions.php,
+ .../views/default/admin/users/unvalidated.php: Using more built-in API to get
+ unvalidated users.
+
+2010-10-04 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * install/ElggInstaller.php, languages/en.php: Refs #2129: Pulls some
+ strings out into elgg_echo() in new installation.
+
+ * languages/en.php: Refs #2129: Added missing language string for new
+ installer.
+
+ * htaccess_dist: Cleaned up tabs vs spaces in htaccess_dist.
+
+ * languages/en.php: Refs #2129: Added missing language string for new
+ installer.
+
+2010-10-03 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * install/ElggInstaller.php: uservalidationbyemail is turned on by default
+ so we need to have the first admin user validated
+
+2010-10-02 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * install/ElggInstaller.php, languages/en.php: Closes #2129 - installer now
+ works with web servers besides Apache - tested with nginx
+
+2010-10-01 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/uservalidationbyemail/actions/bulk_action.php,
+ mod/uservalidationbyemail/actions/delete.php,
+ .../actions/resend_validation.php,
+ mod/uservalidationbyemail/actions/validate.php,
+ mod/uservalidationbyemail/languages/en.php,
+ mod/uservalidationbyemail/lib/functions.php,
+ mod/uservalidationbyemail/start.php,
+ .../views/default/admin/users/unvalidated.php,
+ .../uservalidationbyemail/unvalidated_user.php: Added admin page to validate,
+ resend validation requests, or delete unvalidated users.
+
+2010-10-01 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/account/forms/login_dropdown.php: Fixes #2505 - fixes the
+ registration link on the drop down login form
+
+2010-10-01 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/reportedcontent/start.php,
+ .../default/admin/overview/reportedcontent.php: Fixed incorrect echoing of an
+ array.
+
+ * simplecache/view.php: Fixes #2504. Renamed $view to $view_name in
+ simplecache/view.php to avoid var name collision with engine/start.php's
+ $view.
+
+2010-10-01 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/start.php: replacing more documentation lost in integration of
+ installer
+
+ * engine/settings.example.php, engine/start.php, htaccess_dist, install.php,
+ install/ElggInstaller.php, install/install.css, languages/en.php,
+ views/failsafe/input/password.php, views/failsafe/install/footer.php,
+ views/failsafe/install/forms/admin.php,
+ views/failsafe/install/forms/database.php,
+ views/failsafe/install/forms/settings.php,
+ views/failsafe/install/forms/template.php, views/failsafe/install/header.php,
+ views/failsafe/install/nav.php, views/failsafe/install/pages/admin.php,
+ views/failsafe/install/pages/complete.php,
+ views/failsafe/install/pages/database.php,
+ views/failsafe/install/pages/requirements.php,
+ views/failsafe/install/pages/settings.php,
+ views/failsafe/install/pages/welcome.php, views/failsafe/install/sidebar.php,
+ views/failsafe/page_shells/install.php: Refs #2129 - integrates new installer
+ code from http://github.com/cash/Elgg - does not work yet with non-Apache web
+ servers
+
+2010-09-30 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php, friends/add.php, friends/collections.php,
+ friends/edit.php, friends/index.php, friends/of.php,
+ friends/pickercallback.php, pages/friends/add.php,
+ pages/friends/collections.php, pages/friends/edit.php,
+ pages/friends/index.php, pages/friends/of.php,
+ pages/friends/pickercallback.php, views/default/friends/collection.php,
+ views/default/friends/collectiontabs.php: Closes #2344 - moves friends pages
+ into pages directory
+
+ * engine/lib/entities.php, entities/index.php, entities/list.php,
+ mod/file/start.php, pages/entities/index.php, pages/entities/list.php: Refs
+ #2344 - moves entities directory to pages directory
+
+ * admin/index.php, engine/lib/admin.php, search/index.php: Refs #2344 -
+ removed unused search and admin directories
+
+ * engine/lib/usersettings.php, pages/settings/index.php,
+ pages/settings/plugins.php, pages/settings/statistics.php,
+ pages/settings/user.php, settings/index.php, settings/plugins.php,
+ settings/statistics.php, settings/user.php: Refs #2344 - moves settings pages
+ into the pages directory
+
+ * dashboard/index.php, dashboard/latest.php, engine/lib/users.php,
+ pages/dashboard/index.php, pages/dashboard/latest.php,
+ views/default/dashboard/blurb.php: Refs #2344 - moves dashboard pages into
+ pages directory
+
+ * account/forgotten_password.php, account/register.php,
+ engine/classes/ElggSite.php, engine/lib/users.php,
+ pages/account/forgotten_password.php, pages/account/register.php,
+ views/default/account/forms/login.php,
+ views/default/account/forms/login_dropdown.php,
+ .../default/account/forms/login_walled_garden.php: Refs #2344 - move account
+ pages into pages directory
+
+2010-09-30 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/login.php, actions/register.php,
+ actions/user/requestnewpassword.php, engine/lib/sessions.php,
+ engine/lib/users.php, languages/en.php,
+ mod/uservalidationbyemail/languages/en.php,
+ mod/uservalidationbyemail/lib/functions.php,
+ mod/uservalidationbyemail/start.php: Fixes #617, #2271 User validation
+ removed from core to UserValidationByEmail plugin. Without a validation
+ plugin, users can login immediately. Fixes #2243 Removed "You have validated
+ your email" email. Users are logged in immediately after registration or
+ validating email. Refs #2409 Added register, user plugin hook that is called
+ only on self registration. Can be used to halt registration.
+
+2010-09-29 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Checking for menu items before doing a foreach().
+
+ * engine/lib/views.php: Checking for $CONFIG->view_type before accessing it
+ in elgg_is_valid_viewtype(). Prevents a notice during installation.
+
+2010-09-25 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/email/save.php: Cleaned up the file docblock.
+
+2010-09-24 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/email/save.php: Fixes #1418: Changing an email address no longer
+ invalidates an account.
+
+ * engine/classes/ElggUser.php: Refs #617: ElggUser:makeAdmin() and
+ removeAdmin() correctly set the attribute for unsaved users.
+
+ * engine/lib/api.php: Refs #2459: Merged [6927] to trunk.
+
+ * views/json/entities/entity_list.php: Merged [6923] to trunk.
+
+ * actions/register.php, languages/en.php: Refs #2454: Merged [6920] to
+ trunk.
+
+ * mod/profile/start.php: Merged [6905] to trunk.
+
+ * engine/lib/group.php: Refs #2437: Merged [6707] to trunk.
+
+ * mod/profile/start.php: Refs #2429: Merged [6889] to trunk.
+
+2010-09-23 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/ElggObject.php, engine/classes/ElggSite.php: Refs #2450:
+ Documented ElggSite, clarified some docs on ElggObject.
+
+2010-09-22 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/APIException.php,
+ engine/classes/AccessControlQueryComponent.php,
+ engine/classes/CallException.php, engine/classes/ClassException.php,
+ engine/classes/ClassNotFoundException.php,
+ engine/classes/ConfigurationException.php, engine/classes/CronException.php,
+ engine/classes/DataFormatException.php, engine/classes/DatabaseException.php,
+ engine/classes/DeleteQueryTypeQueryComponent.php,
+ engine/classes/ElggAccess.php, engine/classes/ElggAnnotation.php,
+ engine/classes/ElggCache.php, engine/classes/ElggEntity.php,
+ engine/classes/ElggExtender.php, engine/classes/ElggFileCache.php,
+ engine/classes/ElggFilestore.php, engine/classes/ElggGroup.php,
+ engine/classes/ElggHMACCache.php, engine/classes/ElggMemcache.php,
+ engine/classes/ElggMetadata.php, engine/classes/ElggObject.php,
+ engine/classes/ElggPlugin.php, engine/classes/ElggRelationship.php,
+ engine/classes/ElggSession.php, engine/classes/ElggSharedMemoryCache.php,
+ engine/classes/ElggSite.php, engine/classes/ElggStaticVariableCache.php,
+ engine/classes/ElggUser.php, engine/classes/ElggWidget.php,
+ engine/classes/ErrorResult.php, engine/classes/ExportException.php,
+ engine/classes/Exportable.php, engine/classes/GenericResult.php,
+ engine/classes/IOException.php, engine/classes/ImportException.php,
+ engine/classes/Importable.php,
+ engine/classes/InsertQueryTypeQueryComponent.php,
+ engine/classes/InstallationException.php,
+ engine/classes/InvalidClassException.php,
+ engine/classes/InvalidParameterException.php,
+ engine/classes/JoinQueryComponent.php,
+ engine/classes/LimitOffsetQueryComponent.php, engine/classes/Locatable.php,
+ engine/classes/Loggable.php, engine/classes/NotImplementedException.php,
+ engine/classes/Notable.php, engine/classes/NotificationException.php,
+ engine/classes/ODD.php, engine/classes/ODDDocument.php,
+ engine/classes/ODDEntity.php, engine/classes/OrderQueryComponent.php,
+ engine/classes/PluginException.php, engine/classes/Query.php,
+ engine/classes/QueryComponent.php,
+ engine/classes/QueryTypeQueryComponent.php,
+ engine/classes/RegistrationException.php,
+ engine/classes/SecurityException.php,
+ engine/classes/SelectFieldQueryComponent.php,
+ engine/classes/SelectQueryTypeQueryComponent.php,
+ engine/classes/SetQueryComponent.php, engine/classes/SimpleQuery.php,
+ engine/classes/SuccessResult.php, engine/classes/TableQueryComponent.php,
+ engine/classes/UpdateQueryTypeQueryComponent.php,
+ engine/classes/WhereQueryComponent.php,
+ engine/classes/WhereSetQueryComponent.php,
+ engine/classes/WhereStaticQueryComponent.php,
+ engine/classes/XMLRPCArrayParameter.php,
+ engine/classes/XMLRPCBase64Parameter.php,
+ engine/classes/XMLRPCBoolParameter.php, engine/classes/XMLRPCCall.php,
+ engine/classes/XMLRPCDateParameter.php,
+ engine/classes/XMLRPCDoubleParameter.php,
+ engine/classes/XMLRPCErrorResponse.php,
+ engine/classes/XMLRPCIntParameter.php, engine/classes/XMLRPCParameter.php,
+ engine/classes/XMLRPCResponse.php, engine/classes/XMLRPCStringParameter.php,
+ engine/classes/XMLRPCStructParameter.php,
+ engine/classes/XMLRPCSuccessResponse.php, engine/classes/XmlElement.php:
+ Converted line endings to unix.
+
+ * engine/classes/ElggAnnotation.php, engine/classes/ElggExtender.php,
+ engine/classes/ElggPlugin.php, engine/classes/ElggRelationship.php: Refs
+ #2450: Documented a few more classes.
+
+ * engine/classes/ElggObject.php: Refs #2450: Documented ElggObject.
+
+ * engine/classes/ElggEntity.php: Refs #2450: ElggEntity documented.
+
+ * engine/lib/entities.php: Refs #2450: Changed the subpackage and fixed a
+ typo.
+
+2010-09-20 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/APIException.php,
+ engine/classes/AccessControlQueryComponent.php,
+ engine/classes/CallException.php, engine/classes/ClassException.php,
+ engine/classes/ClassNotFoundException.php,
+ engine/classes/ConfigurationException.php, engine/classes/CronException.php,
+ engine/classes/DataFormatException.php, engine/classes/DatabaseException.php,
+ engine/classes/DeleteQueryTypeQueryComponent.php,
+ engine/classes/ElggAccess.php, engine/classes/ElggAnnotation.php,
+ engine/classes/ElggCache.php, engine/classes/ElggDiskFilestore.php: Refs
+ #2450: Documented first bit of the classes.
+
+ * engine/lib/views.php: Refs #2450: Documented views.php.
+
+2010-09-17 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/actions.php: Fixes #2479: Removed unused actions init and
+ registered "error" action.
+
+ * documentation/examples/actions/manual_tokens.php,
+ engine/handlers/action_handler.php, engine/lib/actions.php: Refs #2450: Added
+ documentation for actions.
+
+2010-09-16 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/access.php: Refs #2450: Documented access.php.
+
+ * engine/lib/elgglib.php: Refs #2450: Changed preferred syntax for talking
+ about hooks / events because :s are used in some names. Now using $name,
+ $type.
+
+ * engine/lib/elgglib.php: Correct spaces issue in autoload function.
+
+ * engine/lib/entities.php: Refs #2450: Documented entities.php
+
+2010-09-15 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/handlers/action_handler.php, engine/handlers/cron_handler.php,
+ engine/handlers/pagehandler.php, engine/handlers/service_handler.php,
+ engine/handlers/xml-rpc_handler.php: Refs #2450: Documented handler files.
+
+2010-09-15 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/classes/APIException.php,
+ engine/classes/AccessControlQueryComponent.php,
+ engine/classes/CallException.php, engine/classes/ClassException.php,
+ engine/classes/ClassNotFoundException.php,
+ engine/classes/ConfigurationException.php,
+ engine/classes/DataFormatException.php, engine/classes/DatabaseException.php,
+ engine/classes/DeleteQueryTypeQueryComponent.php,
+ engine/classes/IOException.php,
+ engine/classes/InsertQueryTypeQueryComponent.php,
+ engine/classes/InstallationException.php,
+ engine/classes/InvalidClassException.php,
+ engine/classes/InvalidParameterException.php,
+ engine/classes/JoinQueryComponent.php,
+ engine/classes/LimitOffsetQueryComponent.php,
+ engine/classes/NotImplementedException.php,
+ engine/classes/NotificationException.php,
+ engine/classes/OrderQueryComponent.php, engine/classes/PluginException.php,
+ engine/classes/Query.php, engine/classes/QueryComponent.php,
+ engine/classes/QueryTypeQueryComponent.php,
+ engine/classes/RegistrationException.php,
+ engine/classes/SecurityException.php,
+ engine/classes/SelectFieldQueryComponent.php,
+ engine/classes/SelectQueryTypeQueryComponent.php,
+ engine/classes/SetQueryComponent.php, engine/classes/SimpleQuery.php,
+ engine/classes/TableQueryComponent.php,
+ engine/classes/UpdateQueryTypeQueryComponent.php,
+ engine/classes/WhereQueryComponent.php,
+ engine/classes/WhereSetQueryComponent.php,
+ engine/classes/WhereStaticQueryComponent.php, engine/lib/access.php,
+ engine/lib/actions.php, engine/lib/admin.php, engine/lib/annotations.php,
+ engine/lib/api.php, engine/lib/cache.php, engine/lib/calendar.php,
+ engine/lib/cron.php, engine/lib/elgglib.php, engine/lib/entities.php,
+ engine/lib/exceptions.php, engine/lib/export.php, engine/lib/extender.php,
+ engine/lib/filestore.php, engine/lib/group.php, engine/lib/input.php,
+ engine/lib/install.php, engine/lib/location.php, engine/lib/memcache.php,
+ engine/lib/metadata.php, engine/lib/notification.php, engine/lib/objects.php,
+ engine/lib/opendd.php, engine/lib/plugins.php, engine/lib/query.php,
+ engine/lib/relationships.php, engine/lib/river.php, engine/lib/sessions.php,
+ engine/lib/sites.php, engine/lib/system_log.php, engine/lib/tags.php,
+ engine/lib/users.php, engine/lib/widgets.php, engine/lib/xml-rpc.php,
+ engine/lib/xml.php: Refs #2220: Pulled remaining classes out of lib files.
+ Core classes now autoloaded via __autoload().
+
+2010-09-15 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * account/forgotten_password.php, account/register.php: Refs #2450: Updated
+ docs for account/*
+
+ * engine/start.php: Refs #2450: Documented engine/start.php
+
+ * documentation/stubs/config.php: Refs @2450: Better documentation for
+ $CONFIG->view.
+
+ * documentation/stubs/config.php: Refs #2450: Added config.php stub to
+ document many of the $CONFIG vars.
+
+ * actions/admin/menu_items.php: Removing unused
+ 'menu_items_hide_toolbar_entries' config value.
+
+ * engine/lib/elgglib.php: Fixes #2366: Deprecated test_ip() and
+ is_ip_in_array().
+
+ * documentation/examples/events/advanced.php,
+ documentation/examples/events/all.php,
+ documentation/examples/events/basic.php,
+ documentation/examples/events/emit.php,
+ documentation/examples/hooks/basic.php,
+ documentation/examples/hooks/register/advanced.php,
+ documentation/examples/hooks/register/all.php,
+ documentation/examples/hooks/register/basic.php,
+ documentation/examples/hooks/register/emit.php,
+ documentation/examples/hooks/trigger/advanced.php,
+ documentation/examples/hooks/trigger/basic.php: Adding documentation and
+ examples.
+
+ * engine/lib/elgglib.php: Refs #2450: Added documentation to elgglib.
+
+2010-09-13 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/database.php: Refs #2450: Really removed the @uses and @see
+ tags.
+
+ * engine/lib/database.php: Refs #2450: Removing @see and @uses. Replaced NB:
+ with English phrases.
+
+ * actions/admin/plugins/disable.php, actions/admin/plugins/disableall.php,
+ actions/admin/plugins/enable.php, actions/admin/plugins/enableall.php,
+ actions/admin/plugins/reorder.php,
+ actions/admin/plugins/simple_update_states.php,
+ actions/admin/site/update_advanced.php, actions/admin/site/update_basic.php,
+ actions/admin/user/ban.php, actions/admin/user/delete.php,
+ actions/admin/user/makeadmin.php, actions/admin/user/removeadmin.php,
+ actions/admin/user/resetpassword.php, actions/admin/user/unban.php: Refs
+ #2450: Updated docs for core admin actions.
+
+2010-09-11 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Merged get_entities() is_numeric() fix to trunk.
+
+2010-09-09 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/settings.example.php: Updated settings.example.php docs
+
+ * engine/lib/configuration.php: Refs #2450: Documented configuration.php.
+
+2010-09-08 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/database.php: Refs #2450: Documentation added for database
+ functions.
+
+ * engine/settings.example.php: Refs #2450: Added db_disable_query_cache to
+ settings.example.php.
+
+ * engine/settings.example.php: Refs #2450: Documented db-related $CONFIG
+ vars.
+
+2010-09-07 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _css/css.php, _css/js.php: Refs #2450: Fixed some typos in css/js
+ simplecache documentation
+
+2010-09-06 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * account/forgotten_password.php, account/register.php: Refs #2450:
+ Documentation for account/ files added.
+
+ * _css/js.php: Refs #2450: Added the default viewtype in the views dir for
+ the @see tag.
+
+ * _css/css.php, _css/js.php: Refs #2450: Added documentation for js and css
+ files.
+
+2010-08-31 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php, .../views/default/profile/profile_navigation.php,
+ .../views/default/profile/profile_ownerblock.php: Refs #2434 - make view
+ failures spectacular rather than silent since they should only happen during
+ development
+
+ * mod/profile/start.php, .../views/default/profile/profile_navigation.php,
+ .../views/default/profile/profile_ownerblock.php: Refs #2434 - made the
+ profile plugin more robust to bad urls and did a little code standardization
+
+2010-08-30 ewinslow <ewinslow@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/groupprofile.php, mod/groups/views/default/group/default.php,
+ mod/groups/views/default/group/group.php: Fixes #2289: grouprofile.php now
+ uses elgg_view_entity and group/group has been renamed to group/default
+
+ * views/default/css.php, views/default/navigation/breadcrumbs.php: Refs
+ #2394: changed breadcrumbs from an id to a class
+
+2010-08-29 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/access.php, engine/lib/annotations.php,
+ engine/lib/metadata.php: Merged [6875] from 1.7 branch into trunk
+
+ * mod/captcha/start.php: merged [6876] into trunk from 1.7 branch
+
+2010-08-28 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: added escaping to
+ get_entities_from_private_setting_multi()
+
+2010-08-28 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * README.txt: Closes #2420 Trac seems to work.
+
+ * README.txt: Refs #2420 trac test.
+
+ * README.txt: Refs #2420: Trac test.
+
+ * README.txt: Refs #2420 trac test.
+
+2010-08-28 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/pageowner.php: Refs #1439 added better page owner functions
+
+2010-08-26 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/upgrades/2010062301.php: Merged [6857] into trunk
+
+2010-08-25 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/captcha/captcha.php, mod/captcha/languages/en.php,
+ mod/captcha/manifest.xml, mod/captcha/start.php,
+ mod/captcha/views/default/input/captcha.php: standardized captcha plugin
+
+2010-08-23 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/languages/en.php, .../views/default/object/groupforumtopic.php:
+ Merged [6819] into trunk from 1.7 branch
+
+ * mod/thewire/languages/en.php,
+ .../views/default/thewire/profile_status.php: merged [6828] into trunk from
+ 1.7 branch
+
+ * mod/thewire/languages/en.php,
+ mod/thewire/views/default/object/thewire.php: merged [6829] from 1.7 branch
+ into trunk
+
+ * .../views/default/object/groupforumtopic.php: merged [6832] into trunk
+ from 1.7 branch
+
+2010-08-23 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: Fixed text overflow and word-breaking for base
+ theme
+
+2010-08-22 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * CHANGES.txt, CONTRIBUTORS.txt, engine/lib/annotations.php,
+ engine/lib/entities.php, engine/lib/plugins.php,
+ engine/schema/upgrades/2009100701.sql, engine/start.php, languages/en.php,
+ mod/embed/views/default/object/default/embed.php,
+ mod/reportedcontent/languages/en.php, mod/search/start.php,
+ mod/twitter/views/default/widgets/twitter/view.php,
+ views/default/messages/errors/error.php,
+ views/default/messages/messages/message.php,
+ views/default/output/longtext.php: Merged r6812:6837 from 1.7 branch to trunk
+ (skipping some changes to plugins like groups and wire)
+
+ * CHANGES.txt, .../notifications/settings/usersettings/save.php,
+ actions/user/default_access.php, engine/lib/access.php,
+ engine/lib/actions.php, engine/lib/annotations.php, engine/lib/api.php,
+ engine/lib/cache.php, engine/lib/database.php, engine/lib/elgglib.php,
+ engine/lib/entities.php, engine/lib/extender.php, engine/lib/filestore.php,
+ engine/lib/group.php, engine/lib/install.php, engine/lib/languages.php,
+ engine/lib/location.php, engine/lib/mb_wrapper.php, engine/lib/memcache.php,
+ engine/lib/metadata.php, engine/lib/notification.php, engine/lib/objects.php,
+ engine/lib/pam.php, engine/lib/plugins.php, engine/lib/query.php,
+ engine/lib/relationships.php, engine/lib/river.php, engine/lib/sessions.php,
+ engine/lib/sites.php, engine/lib/tags.php, engine/lib/users.php,
+ engine/lib/views.php, engine/lib/widgets.php, engine/lib/xml-rpc.php,
+ languages/en.php, mod/friends/add.php, mod/friends/collections.php,
+ mod/friends/edit.php, mod/friends/languages/en.php, mod/friends/start.php,
+ mod/friends/views/default/widgets/friends/edit.php,
+ mod/friends/views/default/widgets/friends/view.php, mod/htmlawed/start.php,
+ mod/messages/start.php, .../views/default/widgets/messages/view.php,
+ mod/pages/languages/en.php, mod/riverdashboard/languages/en.php,
+ services/export/handler.php, views/default/account/forms/useradd.php,
+ views/default/friends/collection.php,
+ views/default/friends/collectiontabs.php,
+ views/default/input/autocomplete.php, views/default/page_elements/title.php,
+ views/default/usersettings/plugins_opt/plugin.php,
+ .../usersettings/statistics_opt/numentities.php,
+ .../default/usersettings/statistics_opt/online.php: Merged r6757:6810 from
+ 1.7 branch into trunk
+
+ * actions/email/save.php, actions/friends/add.php,
+ actions/friends/addcollection.php, actions/friends/deletecollection.php,
+ actions/friends/remove.php, actions/plugins/usersettings/save.php,
+ actions/user/default_access.php, actions/user/language.php,
+ actions/user/name.php, actions/user/password.php, actions/user/spotlight.php,
+ engine/lib/annotations.php, engine/lib/database.php,
+ engine/lib/filestore.php, engine/lib/group.php, engine/lib/metadata.php,
+ engine/lib/plugins.php, engine/lib/relationships.php, engine/lib/tags.php,
+ engine/tests/regression/trac_bugs.php, entities/list.php,
+ mod/categories/listing.php, mod/categories/views/default/categories/list.php,
+ mod/file/search.php, mod/groups/actions/addtogroup.php,
+ mod/groups/languages/en.php, .../views/default/groups/closedmembership.php,
+ settings/index.php, settings/plugins.php, settings/statistics.php,
+ settings/user.php, views/json/river/item/list.php: Merged r6701:6756 from 1.7
+ branch into trunk
+
+ * engine/lib/entities.php, engine/lib/relationships.php,
+ engine/lib/upgrades/2010071001.php, engine/lib/upgrades/2010071002.php,
+ mod/notifications/start.php, mod/pages/actions/pages/edit.php,
+ mod/pages/start.php, mod/pages/views/default/forms/pages/edit.php,
+ mod/profile/actions/cropicon.php, mod/profile/actions/iconupload.php,
+ mod/profile/icon.php, mod/profile/icondirect.php, mod/profile/start.php,
+ version.php: Merged r6684:6694 from 1.7 branch to trunk (pages plugin was
+ manually merged due to standardization of code in trunk but not branch)
+
+ * engine/lib/entities.php, engine/lib/metadata.php, engine/lib/output.php,
+ engine/lib/views.php, engine/lib/widgets.php, mod/file/world.php: Merged
+ r6671:6683 from 1.7 branch to trunk
+
+ * actions/admin/user/delete.php, engine/lib/elgglib.php,
+ engine/lib/entities.php, engine/lib/filestore.php, engine/lib/metadata.php,
+ engine/lib/output.php, engine/lib/version.php, engine/lib/views.php,
+ htaccess_dist, languages/en.php,
+ mod/blog/actions/blog/auto_save_revision.php,
+ mod/blog/actions/blog/delete.php, mod/blog/actions/blog/save.php,
+ mod/bookmarks/actions/delete.php,
+ mod/embed/views/default/embed/item/gallery.php,
+ mod/embed/views/default/embed/item/list.php, mod/file/actions/delete.php,
+ mod/groups/views/default/river/forum/create.php,
+ .../views/default/river/forum/topic/create.php,
+ mod/pages/actions/pages/delete.php,
+ .../views/default/river/object/page/create.php,
+ .../default/admin/overview/reportedcontent.php,
+ mod/riverdashboard/endpoint/get_comments.php,
+ .../views/default/river/item/wrapper.php, views/default/input/checkboxes.php,
+ views/default/input/radio.php, views/failsafe/input/checkboxes.php: Merged
+ r6620:6653 from 1.7 branch into trunk
+
+ * engine/lib/annotations.php, engine/lib/api.php, mod/file/start.php,
+ mod/groups/views/default/forms/groups/invite.php,
+ mod/groups/views/default/groups/groupprofile.php,
+ mod/groups/views/default/sharing/invite.php: Merged r6614:6617 from 1.7
+ branch into trunk
+
+ * engine/lib/upgrades/2010070301.php, mod/groups/actions/edit.php,
+ mod/groups/actions/join.php, mod/groups/languages/en.php,
+ mod/groups/views/default/river/group/create.php,
+ .../default/river/relationship/member/create.php, version.php: Merged [6612]
+ from 1.7 into the trunk
+
+ * engine/lib/entities.php, engine/lib/export.php, engine/lib/input.php,
+ engine/lib/metadata.php, engine/tests/objects/metadata.php,
+ .../views/default/forms/forums/edittopic.php,
+ .../views/default/object/groupforumtopic.php,
+ .../views/default/river/object/group/create.php,
+ views/foaf/page_elements/title.php, views/json/page_elements/title.php,
+ views/rss/navigation/pagination.php, views/rss/page_elements/title.php:
+ Merged [6600],[6601],[6605],[6606],[6607],[6608],[6611] from 1.7 branch into
+ trunk
+
+2010-08-21 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/output.php, mod/blog/start.php,
+ mod/blog/views/default/blog/sidebar_edit.php,
+ mod/blog/views/default/blog/sidebar_revisions.php,
+ mod/blog/views/default/object/blog.php,
+ .../views/default/river/object/blog/create.php, mod/bookmarks/start.php,
+ .../views/default/bookmarks/group_bookmarks.php,
+ mod/bookmarks/views/default/object/bookmarks.php,
+ .../default/river/object/bookmarks/create.php,
+ .../views/default/widgets/bookmarks/view.php,
+ mod/embed/views/default/embed/item/list.php,
+ mod/embed/views/default/object/file/embedlist.php, mod/file/start.php,
+ mod/file/views/default/file/groupprofile_files.php,
+ mod/file/views/default/object/file.php,
+ mod/file/views/default/widgets/filerepo/view.php, mod/groups/start.php,
+ mod/groups/views/default/forum/maintopic.php,
+ .../views/default/object/groupforumtopic.php,
+ mod/groups/views/default/river/forum/create.php,
+ .../views/default/river/forum/topic/create.php,
+ mod/groups/views/default/river/group/create.php,
+ .../default/messageboard/messageboard_content.php,
+ mod/messages/views/default/messages/messages.php,
+ mod/messages/views/default/messages/view.php,
+ mod/pages/views/default/annotation/page.php,
+ mod/pages/views/default/pages/pagelisting.php,
+ mod/pages/views/default/pages/pageprofile.php,
+ .../views/default/river/object/page/create.php,
+ .../profile/commentwall/commentwall_content.php,
+ .../river/user/default/profileiconupdate.php,
+ .../default/river/user/default/profileupdate.php,
+ .../views/default/reportedcontent/listing.php,
+ mod/riverdashboard/endpoint/get_comments.php,
+ .../views/default/river/item/wrapper.php,
+ .../views/default/riverdashboard/sitemessage.php,
+ .../views/default/search/comments/entity.php,
+ mod/search/views/default/search/entity.php,
+ mod/thewire/views/default/object/thewire.php,
+ .../views/default/river/object/thewire/create.php,
+ .../views/default/thewire/profile_status.php,
+ views/default/annotation/annotate.php,
+ views/default/annotation/annotatelike.php,
+ views/default/annotation/generic_comment.php,
+ views/default/annotation/latest_comments.php,
+ views/default/annotation/likes.php, views/default/object/default.php,
+ views/default/output/friendlytime.php, views/default/river/item/wrapper.php:
+ Merged r6586:6587 from 1.7 branch into trunk
+
+ * engine/lib/actions.php, mod/groups/views/default/forms/groups/edit.php,
+ views/default/graphics/icon.php: Merged [6573],[6574],[6582] from 1.7 branch
+ into trunk
+
+ * CODING.txt, INSTALL.txt, engine/lib/elgglib.php, engine/lib/metadata.php,
+ engine/lib/output.php, engine/lib/relationships.php,
+ engine/lib/upgrades/2010062301.php, engine/lib/upgrades/2010062302.php,
+ engine/schema/mysql.sql, engine/schema/upgrades/2010062401.sql,
+ engine/tests/api/entity_getter_functions.php, mod/groups/languages/en.php,
+ mod/groups/start.php, mod/groups/views/default/groups/grouplisting.php,
+ mod/search/search_hooks.php, mod/search/start.php, version.php,
+ views/default/friends/picker.php, views/default/input/checkboxes.php: Merged
+ r6534-6559 from 1.7 branch to trunk
+
+ * engine/lib/metadata.php, engine/lib/upgrades/2010061501.php,
+ engine/tests/api/entity_getter_functions.php, mod/search/start.php: Merging
+ r6517:6520 from 1.7 branch
+
+2010-08-09 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_shells/walled_garden.php: Updated walledgarden login to
+ wraplongnames
+
+2010-08-06 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * LICENCE.txt: cleaned up the included license, GPL v2
+
+2010-08-06 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/html_begin.php: Removed additional
+ header/extend view from HTML head. Moved metatags view to right before
+ </html>. Removed the check if the view exists since it's done in elgg_view()
+ anyway.
+
+2010-08-04 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/input.php: Added optional param to sanitise_filepath() that
+ appends a / or not. Also removes any ../s
+
+2010-08-04 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/embed/views/default/embed/css.php: Updated embed css
+
+ * views/default/page_shells/walled_garden.php: Updated walled-garden login
+ input boxes - so they are not effected by any theme running
+
+2010-08-03 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/html_begin.php: a view inserted for plugins to
+ extend
+
+2010-08-02 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/admin/overview.php: inserted a view for plugins to extend
+
+2010-07-29 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * README.txt: correcting a mistake in the readme file.
+
+2010-07-19 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/riverdashboard/nav.php: small nav tweak to the filter
+
+2010-07-19 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/riverdashboard/index.php, .../views/default/riverdashboard/nav.php:
+ Moved start conversation button
+
+2010-07-19 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/languages/en.php: missing string added
+
+ * mod/profile/index.php, .../default/profile/profile_contents/groups.php,
+ .../views/default/profile/profile_navigation.php: groups tab added to profile
+
+ * .../views/default/riverdashboard/nav.php: lang tweak on activity filter
+
+2010-07-19 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/activity.php, mod/riverdashboard/index.php,
+ .../views/default/riverdashboard/nav.php: Added interface elements for inline
+ conversation posting from activity stream
+
+2010-07-19 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/riverdashboard/index.php: added a view for plugins to extend the
+ activity view if required
+
+2010-07-18 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/activity.php: view for plugins to extend on group activity
+
+2010-07-16 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/pages/actions/pages/edit.php, mod/pages/history.php: More code cleanup
+ to pages.
+
+ * engine/lib/views.php: Added display:page plugin hook in page_draw
+ function.
+
+ * engine/lib/output.php, mod/ecml/start.php: plugin hook output:strip_tags
+ is now format:strip_tags.
+
+ * engine/lib/output.php: elgg_make_excerpt() uses elgg_strip_tags().
+
+2010-07-15 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/notification.php: Using elgg_strip_tags() for notification
+ body.
+
+ * mod/ecml/start.php: ECML removes keywords for elgg_strip_tags().
+
+ * engine/lib/output.php: Fixes #2099. Added elgg_strip_tags() to allow
+ plugins to remove extra formatting.
+
+ * mod/pages/start.php: Parsing pages views for ecml.
+
+ * mod/pages/actions/pages/delete.php, mod/pages/actions/pages/edit.php,
+ mod/pages/actions/pages/editwelcome.php, mod/pages/edit.php,
+ mod/pages/history.php, mod/pages/index.php, mod/pages/languages/en.php,
+ mod/pages/new.php, mod/pages/pagesTree.php, mod/pages/start.php,
+ mod/pages/view.php, mod/pages/views/default/annotation/icon.php,
+ mod/pages/views/default/annotation/page.php,
+ mod/pages/views/default/forms/pages/edit.php,
+ .../views/default/forms/pages/editwelcome.php,
+ .../views/default/icon/object/page/medium.php,
+ mod/pages/views/default/icon/object/page/small.php,
+ .../views/default/icon/object/page_top/medium.php,
+ .../views/default/icon/object/page_top/small.php,
+ mod/pages/views/default/object/page.php,
+ mod/pages/views/default/object/page_top.php,
+ mod/pages/views/default/pages/breadcrumbs.php,
+ mod/pages/views/default/pages/css.php,
+ .../views/default/pages/groupprofile_pages.php,
+ mod/pages/views/default/pages/metatags.php,
+ mod/pages/views/default/pages/pagegallery.php,
+ mod/pages/views/default/pages/pagelisting.php,
+ mod/pages/views/default/pages/pageprofile.php,
+ .../views/default/pages/sidebar/sidebarthis.php,
+ mod/pages/views/default/pages/sidebar/starter.php,
+ mod/pages/views/default/pages/sidebar/tree.php,
+ mod/pages/views/default/pages/sidebar/wrapper.php,
+ mod/pages/views/default/pages/welcome.php,
+ .../views/default/river/object/page/annotate.php,
+ .../views/default/river/object/page/create.php,
+ .../views/default/river/object/page/update.php,
+ .../default/river/object/page_top/annotate.php,
+ .../views/default/river/object/page_top/create.php,
+ .../views/default/river/object/page_top/update.php,
+ mod/pages/views/default/widgets/pages/edit.php,
+ mod/pages/views/default/widgets/pages/view.php, mod/pages/welcome.php,
+ mod/pages/world.php: First pass at standardizing pages.
+
+ * mod/pages/actions/pages/editwelcome.php, mod/pages/history.php,
+ mod/pages/index.php, mod/pages/languages/en.php, mod/pages/new.php,
+ mod/pages/start.php, .../views/default/forms/pages/editwelcome.php,
+ mod/pages/views/default/pages/breadcrumbs.php,
+ mod/pages/views/default/pages/css.php,
+ .../views/default/pages/groupprofile_pages.php,
+ mod/pages/views/default/pages/pageprofile.php,
+ mod/pages/views/default/pages/sidebar/tree.php,
+ mod/pages/views/default/pages/welcome.php,
+ .../views/default/river/object/page/update.php,
+ .../views/default/river/object/page_top/update.php,
+ mod/pages/views/default/widgets/pages/edit.php,
+ mod/pages/views/default/widgets/pages/view.php, mod/pages/welcome.php,
+ mod/pages/world.php: Converting spaces to tabs without the stray t.
+
+ * mod/pages/actions/pages/editwelcome.php, mod/pages/history.php,
+ mod/pages/index.php, mod/pages/languages/en.php, mod/pages/new.php,
+ mod/pages/start.php, .../views/default/forms/pages/editwelcome.php,
+ mod/pages/views/default/pages/breadcrumbs.php,
+ mod/pages/views/default/pages/css.php,
+ .../views/default/pages/groupprofile_pages.php,
+ mod/pages/views/default/pages/pageprofile.php,
+ mod/pages/views/default/pages/sidebar/tree.php,
+ mod/pages/views/default/pages/welcome.php,
+ .../views/default/river/object/page/update.php,
+ .../views/default/river/object/page_top/update.php,
+ mod/pages/views/default/widgets/pages/edit.php,
+ mod/pages/views/default/widgets/pages/view.php, mod/pages/welcome.php,
+ mod/pages/world.php: Convert spaces to tabs.
+
+ * views/default/page_elements/elgg_header.php,
+ views/default/page_elements/elgg_header_logo.php: Fixes #2284. Pulled out
+ home link in elgg_header to page_elements/elgg_header_logo. Removed
+ csseditor-specific code that caused custom logos to still appear even when
+ csseditor was disabled.
+
+2010-07-14 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../default/account/forms/login_walled_garden.php: Added ugly hack to get
+ around problems with sliding in hidden images in visual captcha on login
+ pages in webkit browsers.
+
+ * engine/lib/admin.php, engine/lib/elgglib.php, engine/lib/views.php: Fixes
+ #2195. 3rd and 4th params of page_draw() are now $page_shell and $vars.
+
+2010-07-14 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/invitefriends/languages/en.php,
+ .../views/default/invitefriends/formitems.php: Disabling friend invite form
+ when site has disabled new user registration.
+
+2010-07-14 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/actions/join.php,
+ mod/groups/views/default/groups/groupprofile.php: Admin users can join
+ groups. Don't request to join a closed group if admin.
+
+2010-07-12 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css_ie.php: Added clearfloat improvement to IE7/8 CSS
+
+ * mod/ecml/graphics/logo_bliptv.gif, mod/ecml/graphics/logo_dailymotion.gif,
+ mod/ecml/graphics/logo_googlemaps.gif, mod/ecml/graphics/logo_livevideo.gif,
+ mod/ecml/graphics/logo_redlasso.gif, mod/ecml/graphics/logo_slideshare.gif,
+ mod/ecml/graphics/logo_vimeo.gif, mod/ecml/graphics/logo_youtube.gif,
+ mod/ecml/views/default/ecml/admin/css.php,
+ .../views/default/embed/web_services/content.php,
+ mod/embed/views/default/embed/css.php: Added logos to embed web services
+ pane, and made embed nav-bar theme agnostic
+
+ * mod/embed/views/default/embed/css.php: Removed old classes from embed
+
+ * mod/ecml/graphics/url_status.png,
+ mod/ecml/views/default/ecml/admin/css.php,
+ .../views/default/embed/web_services/content.php,
+ mod/embed/views/default/embed/css.php: Updated ecml and embed with new
+ success/failure for input code.
+
+ * views/default/css.php: Added disabled class to submit_button
+
+ * .../views/default/embed/web_services/content.php: Corrected js for preview
+ pane toggleclass
+
+2010-07-10 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/messages/start.php: messages now parse ecml
+
+ * mod/groups/languages/en.php, mod/groups/start.php: ecml added to group
+ profiles
+
+2010-07-09 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/embed/views/default/embed/link.php: Web services tab now default embed
+ tab if ecml is enabled.
+
+2010-07-09 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/ecml/views/default/ecml/admin/css.php,
+ .../views/default/embed/web_services/content.php,
+ mod/embed/views/default/embed/css.php: Updated embed/ecml modal interface
+
+2010-07-09 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/sitepages/start.php: keep sitepages settings in their normal location
+
+2010-07-09 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/elgg_sprites.png: Updated elgg sprites graphic with embed modal
+ arrows
+
+ * mod/ecml/languages/en.php, mod/ecml/views/default/ecml/admin/css.php,
+ .../views/default/embed/web_services/content.php: continuation of embed modal
+ interfacing
+
+ * mod/ecml/views/default/ecml/admin/css.php,
+ .../views/default/embed/web_services/content.php,
+ mod/embed/views/default/embed/css.php: Beginnings of embed modal web-services
+ interfacing improvements.
+
+2010-07-09 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/river/group/create.php: river view tweaked
+
+ * mod/ecml/languages/en.php: missing string added
+
+2010-07-09 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/embed/languages/en.php: Added instructions.
+
+ * mod/ecml/graphics/dailymotion.png, mod/ecml/graphics/googlemaps.png,
+ mod/ecml/graphics/redlasso_1.png, mod/ecml/graphics/redlasso_2.png,
+ mod/ecml/graphics/slideshare.png, mod/ecml/graphics/vimeo.png,
+ mod/ecml/graphics/youtube.png, mod/ecml/languages/en.php, mod/ecml/start.php,
+ mod/ecml/views/default/ecml/help/blip.tv.php,
+ mod/ecml/views/default/ecml/help/dailymotion.php,
+ mod/ecml/views/default/ecml/help/googlemaps.php,
+ mod/ecml/views/default/ecml/help/livevideo.php,
+ mod/ecml/views/default/ecml/help/redlasso.php,
+ mod/ecml/views/default/ecml/help/slideshare.php,
+ mod/ecml/views/default/ecml/help/vimeo.php,
+ mod/ecml/views/default/ecml/help/youtube.php,
+ mod/ecml/views/default/ecml/keyword_help.php,
+ .../views/default/ecml/keywords/googlemaps.php,
+ mod/ecml/views/default/ecml/keywords/livevideo.php,
+ .../views/default/embed/web_services/content.php: Added ecml help for web
+ service embeds.
+
+2010-07-08 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/oauth/start.php: Adding a missed oauth library file.
+
+2010-07-08 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/embed/README.txt, mod/embed/start.php,
+ mod/embed/views/default/embed/css.php,
+ mod/embed/views/default/embed/embed.php: Sorting tabs and upload sections
+ correctly by name instead of id. Passing internal_name to all views related
+ to embedding to allow correct calls to elggEmbedInsertContent() in overrided
+ layouts.
+
+ * .../views/default/embed/web_services/content.php: Added missing important
+ view for ECML web services embed.
+
+ * mod/ecml/README.txt, mod/ecml/ecml_functions.php, mod/ecml/start.php:
+ Pulled ECML regex into a constant. Added ecml_get_keywords(),
+ ecml_parse_string(), ecml_extract_keywords(), ecml_get_keyword_info(). Added
+ callback for resolving ECML given an embed code / URL. Removed unused page
+ setup hook. Added web services support. Updated docs.
+
+2010-07-08 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/forms/forums/addtopic.php,
+ .../views/default/forms/forums/edittopic.php,
+ .../views/default/groups/invitationrequests.php: Updated group forum forms
+ with an id
+
+ * .../views/default/river/object/blog/create.php,
+ .../default/river/object/bookmarks/create.php,
+ mod/groups/views/default/river/forum/create.php,
+ .../views/default/river/forum/topic/create.php,
+ .../views/default/river/item/wrapper.php,
+ .../views/default/riverdashboard/css.php,
+ .../views/default/river/object/thewire/create.php,
+ views/default/annotation/annotate.php: Moved all river entry metadata inside
+ span (pushed onto new line) and added relevant css
+
+2010-07-07 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Added elgg_sort_3d_array_by_value(). I do this
+ often enough that a helper function will be nice.
+
+2010-07-07 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/sitepages/manifest.xml: undo the last sitepages manifest change
+
+ * mod/sitepages/manifest.xml: site pages enabled
+
+ * mod/bookmarks/views/default/bookmarks/form.php: bookmark edit form access
+ options fixed for groups
+
+2010-07-06 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/embed/languages/en.php,
+ mod/embed/views/default/embed/upload/content.php: Pulled out some language
+ strings.
+
+ * mod/file/views/default/file/embed_upload.php: Removed stray </div> that
+ broke IE and wasted 3 hours.
+
+ * mod/file/actions/upload.php, mod/file/start.php,
+ mod/file/views/default/file/embed_upload.php: Added upload support to file
+ embed.
+
+ * mod/file/start.php: Added embed support to file plugin.
+
+ * mod/embed/README.txt, mod/embed/languages/en.php, mod/embed/start.php,
+ mod/embed/views/default/embed/embed.php,
+ mod/embed/views/default/embed/link.php: Updated documentation for embed.
+ Fixed bug passing internal_name for elggEmbedInsertContent(). Showing a
+ message if no embedable items are returned.
+
+2010-07-06 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/sites.php: Adding javascript and CSS to the walled garden
+ whitelist.
+
+2010-07-06 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/embed/upload.php: Removed unused upload.php file in embed.
+
+2010-07-06 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: Updated small button hover text
+
+ * mod/groups/activity.php: Added js to groups riverdashboard view
+
+2010-07-05 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/embed/languages/en.php: small lang tweak
+
+2010-07-03 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/river/item/noaccess.php: removing stopgap from [3082] since
+ denied river items are now skipped
+
+ * views/default/river/dashboard.php: Removing another pre-1.5 view that is
+ no longer used
+
+ * views/default/river/relationship/friend/create.php: Closes #2121 - removed
+ view from pre-1.5 days
+
+ * views/default/river/wrapper.php: leftover view from pre-Elgg 1.5 days
+
+2010-07-03 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/bookmarks/start.php: removing navigation when editing for consistency
+
+2010-07-01 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/oauth/manifest.xml, mod/oauth/start.php,
+ mod/oauth/vendors/oauth/LICENSE,
+ mod/oauth/vendors/oauth/example/server/INSTALL,
+ .../vendors/oauth/example/server/core/init.php,
+ .../example/server/core/templates/inc/footer.tpl,
+ .../example/server/core/templates/inc/header.tpl,
+ .../oauth/example/server/core/templates/index.tpl,
+ .../oauth/example/server/core/templates/logon.tpl,
+ .../example/server/core/templates/register.tpl,
+ .../vendors/oauth/example/server/www/hello.php,
+ .../vendors/oauth/example/server/www/index.php,
+ .../vendors/oauth/example/server/www/logon.php,
+ .../vendors/oauth/example/server/www/oauth.php,
+ .../vendors/oauth/example/server/www/register.php,
+ .../oauth/example/server/www/services.xrds.php,
+ mod/oauth/vendors/oauth/library/OAuthDiscovery.php,
+ mod/oauth/vendors/oauth/library/OAuthException.php,
+ mod/oauth/vendors/oauth/library/OAuthRequest.php,
+ .../vendors/oauth/library/OAuthRequestLogger.php,
+ .../vendors/oauth/library/OAuthRequestSigner.php,
+ .../vendors/oauth/library/OAuthRequestVerifier.php,
+ mod/oauth/vendors/oauth/library/OAuthRequester.php,
+ mod/oauth/vendors/oauth/library/OAuthServer.php,
+ mod/oauth/vendors/oauth/library/OAuthStore.php,
+ .../library/body/OAuthBodyContentDisposition.php,
+ .../library/body/OAuthBodyMultipartFormdata.php,
+ .../vendors/oauth/library/discovery/xrds_parse.php,
+ .../vendors/oauth/library/discovery/xrds_parse.txt,
+ .../OAuthSignatureMethod.class.php, .../OAuthSignatureMethod_HMAC_SHA1.php,
+ .../signature_method/OAuthSignatureMethod_MD5.php,
+ .../OAuthSignatureMethod_PLAINTEXT.php,
+ .../OAuthSignatureMethod_RSA_SHA1.php,
+ .../library/store/OAuthStoreAbstract.class.php,
+ .../oauth/library/store/OAuthStoreAnyMeta.php,
+ .../oauth/library/store/OAuthStoreMySQL.php,
+ .../vendors/oauth/library/store/mysql/install.php,
+ .../vendors/oauth/library/store/mysql/mysql.sql,
+ .../oauth/test/discovery/xrds-fireeagle.xrds,
+ .../oauth/test/discovery/xrds-getsatisfaction.xrds,
+ .../oauth/test/discovery/xrds-magnolia.xrds,
+ mod/oauth/vendors/oauth/test/oauth_test.php: Moved oauth from plugins to core
+ since twitterservices is core and it depends upon oauth.
+
+2010-07-01 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/canvas/layouts/administration.php,
+ views/default/page_elements/html_begin_admin.php,
+ views/default/page_shells/admin.php: Updated admin area to be stand-alone.
+ Added a new html begin for admin area.
+
+2010-07-01 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/admin.php, engine/lib/views.php,
+ views/default/page_shells/admin.php: added a parameter to the page_draw
+ function so you can specify a different page shell if required.
+
+2010-06-30 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/sitepages/README.txt, mod/sitepages/start.php,
+ .../views/default/admin/site/sitepages.php,
+ .../views/default/settings/sitepages/edit.php: Updated README.txt for new
+ ECML. Moved settings to site category.
+
+ * mod/tinymce/views/default/embed/addcontentjs.php,
+ .../default/tinymce/embed_custom_insert_js.php: Embed's
+ elggEmbedInsertContent() now supports multiple losely coupled views to handle
+ custom insertion js. Plugin order no longer matters.
+
+ * mod/embed/start.php, mod/embed/views/default/embed/embed.php: Embed
+ correctly passes text area name to elggEmbedInsertContent().
+
+2010-06-30 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/sitepages/views/default/sitepages/members.php,
+ mod/sitepages/views/default/sitepages/sidebar.php,
+ mod/sitepages/views/default/sitepages/welcome.php: Interface updates to
+ sitepages
+
+ * views/default/canvas/layouts/administration.php: Added more stand-alone
+ admin area rules
+
+ * mod/groups/start.php, mod/groups/views/default/forms/groups/edit.php,
+ views/default/page_elements/elgg_sidebar.php: Added classname to group edit
+ form, divider before edit buttons, and moved the invalid number preceding the
+ classname.
+
+ * mod/members/views/default/members/search.php: Added classnames to members
+ search form
+
+ * mod/members/views/default/members/search.php: Updated wrapper with new
+ naming
+
+2010-06-29 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/output/tagcloud.php: Added wrapper to tagcloud + title (for
+ theming)
+
+ * mod/profile/views/default/profile/admin_menu.php: Added float-clear on
+ owner-block links (for theming)
+
+2010-06-28 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: Updated sidebar comments text overflow
+
+ * mod/blog/views/default/blog/css.php,
+ mod/blog/views/default/object/blog.php: Updated the layout of a blogpost
+
+ * mod/groups/views/default/forum/maintopic.php,
+ mod/groups/views/default/groups/css.php: Updated the layout of a topic post
+ within group discussions
+
+2010-06-27 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/html_begin.php,
+ views/default/page_elements/owner_block.php: Closes #2307 #2308 - encoding
+ ampersands in RSS links
+
+2010-06-26 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/river/object/thewire/create.php: The wire can now have
+ comments and likes via the activity stream.
+
+2010-06-25 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css_ie.php: Updates to IE7 css
+
+ * views/default/admin/components/plugin.php: Updated advanced plugin strings
+ markup/classes
+
+2010-06-25 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/notifications/manifest.xml: notfications default state enabled
+
+ * mod/bookmarks/manifest.xml: changed the default state for bookmarks
+
+2010-06-23 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Added optional 4th argument 'class' for
+ elgg_instanceof().
+
+ * views/default/page_elements/content_header.php: Updated documentation for
+ page_elements/content_header
+
+2010-06-23 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/navigation/tabs.php: Only displaying navigation div when
+ tabs are present.
+
+2010-06-22 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/content_header.php: Allowing plugin authors to
+ override the default tabs (filters) displayed on the content header.
+
+2010-06-22 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php, .../views/default/bookmarks/group_bookmarks.php,
+ .../views/default/groups/activity_latest.php,
+ mod/groups/views/default/groups/css.php,
+ mod/groups/views/default/groups/forum_latest.php: Added a 'view all' link to
+ group tools widgets.
+
+ * mod/groups/views/default/forum/maintopic.php,
+ mod/groups/views/default/groups/css.php: Updated groups/forums topic css
+
+2010-06-19 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/actions/forums/edittopic.php: forward to the correct place
+ after editing a topic
+
+ * mod/groups/actions/forums/addpost.php,
+ mod/groups/actions/forums/addtopic.php,
+ mod/groups/actions/forums/deletepost.php,
+ mod/groups/actions/forums/editpost.php,
+ mod/groups/actions/forums/edittopic.php, mod/groups/activity.php,
+ mod/groups/addtopic.php, mod/groups/all.php, mod/groups/discussions.php,
+ mod/groups/edit.php, mod/groups/edittopic.php, mod/groups/forum.php,
+ mod/groups/languages/en.php, mod/groups/start.php,
+ mod/groups/views/default/forms/forums/addpost.php,
+ .../views/default/forms/forums/edittopic.php,
+ mod/groups/views/default/forum/maintopic.php,
+ mod/groups/views/default/forum/topicposts.php,
+ mod/groups/views/default/forum/topics.php,
+ mod/groups/views/default/forum/viewposts.php,
+ mod/groups/views/default/groups/css.php,
+ mod/groups/views/default/groups/forum_latest.php,
+ .../views/default/object/groupforumtopic.php,
+ .../views/default/river/forum/topic/create.php: There was no point in group
+ discussions having their own comment annotations, better to use the generic
+ comments so this has been changed and a full group activity stream added. For
+ v1.8, there will need to be an upgrade script which will change
+ 'group_topic_post' -> 'generic_comment' and on all existing topics, take the
+ first annotation of type 'group_topic_post' and populate the topic's
+ description.
+
+ * .../views/default/river/item/wrapper.php: group discussion no longer needs
+ this check as it will be possible to comment on discussions from the activity
+ stream.
+
+2010-06-19 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/actions/edit.php: Ref #2294 - group creation action also needs
+ page owner set
+
+ * mod/groups/actions/addtogroup.php, mod/groups/actions/join.php,
+ mod/groups/actions/joinrequest.php, mod/groups/actions/leave.php: Refs #2294
+ - groups_write_acl_plugin_hook depends on page owner since [5782] and group
+ actions seem to end up with the group owner as the page owner. This is a
+ temporary fix for this issue. All group activity since [5782] on installs now
+ have corrupted group access lists. I have a script to fix this that I can
+ share.
+
+ * mod/groups/actions/join.php: Fixed broken join action for closed groups -
+ encoding issue on forward URL
+
+2010-06-19 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/views.php: included an option to display existing comments but
+ not show the new comment form.
+
+2010-06-18 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/upgrades/2010061501.php, engine/lib/views.php,
+ engine/start.php, mod/groups/actions/invite.php, version.php: Merged
+ r6506:6509 from 1.7 to trunk.
+
+ * engine/lib/pagehandler.php, friends/add.php, friends/collections.php,
+ friends/edit.php, friends/index.php, friends/of.php: Merged friends pages
+ fixes in r6501:6503 from 1.7 to trunk.
+
+ * engine/lib/notification.php, engine/lib/users.php, languages/en.php:
+ Merging r6497:6499 to trunk.
+
+ * mod/groups/actions/featured.php,
+ mod/groups/views/default/groups/grouplisting.php: Merged group featuring
+ fixes to trunk [6493]
+
+2010-06-16 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/pages/views/default/pages/breadcrumbs.php: Updated Pages breadcrumbs
+ markup to use generic breadcrumb style
+
+2010-06-15 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/pages/start.php: Making menu link for pages go to all pages instead of
+ owned pages.
+
+ * mod/pages/actions/pages/delete.php,
+ mod/pages/actions/pages/editwelcome.php, mod/pages/edit.php,
+ mod/pages/history.php, mod/pages/index.php, mod/pages/languages/en.php,
+ mod/pages/new.php, mod/pages/pagesTree.php, mod/pages/start.php,
+ mod/pages/view.php, mod/pages/views/default/annotation/icon.php,
+ mod/pages/views/default/annotation/page.php,
+ .../views/default/icon/object/page/medium.php,
+ mod/pages/views/default/icon/object/page/small.php,
+ .../views/default/icon/object/page_top/medium.php,
+ .../views/default/icon/object/page_top/small.php,
+ mod/pages/views/default/object/page.php,
+ mod/pages/views/default/object/page_top.php,
+ mod/pages/views/default/pages/breadcrumbs.php,
+ .../views/default/pages/groupprofile_pages.php,
+ mod/pages/views/default/pages/pagegallery.php,
+ mod/pages/views/default/pages/pagelisting.php,
+ mod/pages/views/default/pages/pageprofile.php,
+ .../views/default/pages/sidebar/sidebarthis.php,
+ mod/pages/views/default/pages/sidebar/starter.php,
+ mod/pages/views/default/pages/sidebar/tree.php,
+ mod/pages/views/default/pages/sidebar/wrapper.php,
+ mod/pages/views/default/pages/welcome.php,
+ .../views/default/river/object/page/annotate.php,
+ .../views/default/river/object/page/create.php,
+ .../views/default/river/object/page/update.php,
+ .../default/river/object/page_top/annotate.php,
+ .../views/default/river/object/page_top/create.php,
+ .../views/default/river/object/page_top/update.php,
+ mod/pages/views/default/widgets/pages/edit.php,
+ mod/pages/views/default/widgets/pages/view.php, mod/pages/welcome.php,
+ mod/pages/world.php: Quick and dirty changes to pages to work on 1.8's
+ layout.
+
+ * engine/start.php: Making sure to load all plugins when doing an upgrade to
+ pull in CSS changes and allow plugins to register for the upgrade hook.
+
+2010-06-14 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, engine/lib/views.php, engine/start.php: Merged
+ [6485] and [6486] to trunk.
+
+2010-06-13 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/navigation/pagination.php: Merged 6482 to trunk.
+
+2010-06-12 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/register.php, engine/lib/access.php, engine/lib/users.php,
+ mod/invitefriends/actions/invite.php, mod/invitefriends/languages/en.php:
+ Merged r6362:6366 from 1.7 to trunk.
+
+2010-06-11 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/views/default/object/blog.php: Always show access level when
+ listing blogs, regardless of canEdit().
+
+ * mod/blog/blog_lib.php: Removing old time_created fudging for blogs. It
+ doens't work right.
+
+ * mod/profile/languages/en.php, mod/profile/profile_lib.php,
+ mod/profile/start.php, .../views/default/profile/profile_content.php,
+ .../default/profile/profile_contents/activity.php,
+ .../profile/profile_contents/commentwall.php,
+ .../default/profile/profile_contents/details.php,
+ .../default/profile/profile_contents/friends.php,
+ .../default/profile/profile_contents/sidebar.php,
+ .../default/profile/profile_contents/twitter.php,
+ mod/profile/views/default/profile/sidebar.php: Some code cleanup on profile
+ plugin. Added wrapper view for profile content. Added ECML for profile
+ content. Moved profile/profile_content/sidebar to profile/sidebar
+
+ * mod/groups/languages/en.php: Slight code cleanup in groups langauges.
+ Added string for group discussion ECML option.
+
+ * mod/groups/start.php: Added group forums to ECML views to parse.
+
+ * mod/bookmarks/languages/en.php, mod/bookmarks/start.php,
+ .../views/default/bookmarks/bookmarklet.php: Small code cleanup on bookmarks.
+ Added better logic for determining the username to use when adding a bookmark
+ via the bookmarklet.
+
+ * .../views/default/river/item/wrapper.php: Activity stream comments now
+ show up outside of the hidden div so you can see them when on the like tab.
+
+ * mod/riverdashboard/languages/en.php,
+ .../views/default/river/item/wrapper.php,
+ .../views/default/riverdashboard/css.php,
+ .../views/default/riverdashboard/js.php: Added "Show less" on activity stream
+ comments.
+
+2010-06-11 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/embed/views/default/embed/css.php: Updates to embed interface to make
+ it theme agnostic in core
+
+ * .../views/default/riverdashboard/css.php: Updated inline comment form css
+ height - for a smoother slide animation
+
+2010-06-10 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/canvas/layouts/administration.php: Updated administration
+ area css with more styles to make it totally stand-alone
+
+ * mod/profile/views/default/profile/css.php: Small update to latest tweets
+ list style
+
+ * .../profile/profile_contents/commentwall.php: Added wrapper to profile
+ page commentwall
+
+2010-06-10 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/riverdashboard/languages/en.php, mod/riverdashboard/start.php: ECML is
+ parsed on the activity stream.
+
+2010-06-10 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/riverdashboard/endpoint/get_comments.php,
+ .../views/default/river/item/wrapper.php: Adding "twitter_anywhere" class to
+ Conversation and Riverdashboard posts.
+
+ * views/default/input/userpicker.php: Performing checks to handle empty
+ arrays and malformed values.
+
+2010-06-10 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/bookmarks/views/default/object/bookmarks.php: Moved view extend call -
+ so it's for all users (not just object owner), and added likes to bookmarks
+ (as we already have it in the activity stream)
+
+2010-06-10 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/bookmarks/group_bookmarks.php: group bookmarks now
+ provides a link to create if none exist
+
+ * mod/groups/views/default/groups/forum_latest.php: if there is no group
+ discussion, provide a link to create a topic
+
+2010-06-10 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/riverdashboard/languages/en.php,
+ .../views/default/river/item/wrapper.php,
+ .../views/default/riverdashboard/js.php: Added a 'show less' link. [Still
+ needs a js function adding to collapse list]
+
+ * mod/groups/all.php, .../views/default/groups/group_sort_menu.php: Updated
+ Groups tabs so you land on newest groups tab rather than discussion.
+
+2010-06-09 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/input/userpicker.php: Pre-populating userpicker list when
+ values are passed.
+
+2010-06-09 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/embed/views/default/embed/css.php,
+ mod/embed/views/default/embed/item/list.php,
+ mod/embed/views/default/embed/upload/content.php: Updated embed modal
+ listings with timestamp and text formatting / css.
+
+2010-06-09 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/embed/views/default/embed/embed.php,
+ mod/embed/views/default/embed/item/gallery.php,
+ mod/embed/views/default/embed/item/list.php: Embed hooks now accept icon_size
+ for displaying items in gallery / list view.
+
+ * .../views/default/riverdashboard/js.php: Removing debug call...I've been
+ very bad about this!
+
+ * mod/embed/views/default/embed/item/gallery.php: Embed default gallery list
+ uses medium icon instead of tiny.
+
+2010-06-09 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/ecml/views/default/ecml/keywords/entity.php,
+ mod/embed/views/default/embed/css.php: Updated embeded object links ui
+
+ * mod/embed/views/default/embed/css.php,
+ mod/embed/views/default/embed/layouts/gallery.php,
+ mod/embed/views/default/embed/layouts/list.php,
+ mod/embed/views/default/embed/upload/content.php: Updated embed modal with
+ wrappers & classnames for each pane
+
+ * mod/embed/views/default/embed/css.php: Updated embed modal with an initial
+ default size
+
+ * mod/ecml/views/default/ecml/keywords/entity.php: Updated ecml inline
+ embeded document links to have a classname
+
+ * views/default/css.php: Updated core css download button
+
+2010-06-08 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/profile/profile_navigation.php: Properly extending
+ profile navigation view.
+
+2010-06-08 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/riverdashboard/endpoint/get_likes.php,
+ .../views/default/river/item/wrapper.php,
+ .../views/default/riverdashboard/js.php: Ajaxified likes view on activity
+ stream.
+
+2010-06-08 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/languages/en.php, mod/groups/start.php,
+ mod/groups/views/default/groups/groupprofile.php: Cleaning up groups
+ navigation. Action buttons have replaced the submenu links, helping to
+ standardize user experience. Added a membership-dependent breadcrumb trail.
+
+2010-06-08 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/profile/profile_ownerblock.php: profile owmer block link
+ fixed
+
+2010-06-08 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/embed/views/default/embed/embed.php: removing debug output.
+
+ * mod/embed/views/default/embed/embed.php: Fixed invalid variable name that
+ caused embed to ignore custom views for embed content.
+
+ * mod/embed/views/default/embed/embed.php: Upload tab selected when
+ uploading in embed modal.
+
+2010-06-08 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: Updated documents. Removed large and small document
+ icons - we now just use the very small ones from the old filter directory.
+ Updated doc's list view and download button.
+
+ * .../views/default/riverdashboard/css.php: Updated riverdashboard more
+ comments link
+
+ * views/default/css.php, views/default/css_ie.php,
+ views/default/js/initialise_elgg.php: Updated display and position of likes
+ lists. Added js to dismiss list if a click outside the list is detected, also
+ added js so only one list is viewable at a time.
+
+2010-06-08 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/elgg_sidebar.php: Reverting [6371] because
+ Cash already took care of it.
+
+ * engine/lib/annotations.php, engine/lib/metadata.php:
+ elgg_get_entities_from_metadata() and egef_annotations() support singular ->
+ plural rewrites. (Why didn't I add this originally?!)
+
+2010-06-07 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/riverdashboard/endpoint/get_comments.php,
+ mod/riverdashboard/index.php, mod/riverdashboard/languages/en.php,
+ mod/riverdashboard/start.php, .../views/default/river/item/list.php,
+ .../views/default/river/item/wrapper.php,
+ .../views/default/riverdashboard/js.php: Minimal attempt to bring
+ riverdashboard up to code standards. Fixed comment count in +N more string.
+ Added ajax grabbing of all comments when clicking more link.
+
+ * mod/blog/actions/blog/auto_save_revision.php,
+ mod/blog/actions/blog/save.php: Added metadata 'new_post' when autosaving
+ blogs to help with river / revision logic. Autosaved blogs now correctly
+ show up in river and don't create a revision upon initial save.
+
+ * mod/blog/views/default/blog/forms/edit.php: Fix for displaying auto save
+ time. HTML was changed without relevent JS updates.
+
+2010-06-07 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/river.php, .../views/default/widgets/a_users_groups/view.php:
+ Removing deprecated calls to get_entities_from_relationship() from core code.
+
+
+2010-06-07 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/actions/edit.php: group create river action moved
+
+2010-06-07 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/forum/topicposts.php,
+ views/default/annotation/generic_comment.php: Added a classname to generic
+ comment and forum topic posts anchors - for theming purposes.
+
+2010-06-07 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/notifications/languages/en.php,
+ .../notifications/subscriptions/collections.php: Updating Notifications
+ language file.
+
+2010-06-07 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/actions/edit.php, mod/groups/languages/en.php,
+ mod/groups/views/default/river/group/create.php: creating a new group now
+ displays in the activity stream.
+
+ * mod/groups/languages/en.php,
+ .../views/default/river/forum/topic/create.php: updated the group discussion
+ river view
+
+2010-06-07 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/admin.php, views/default/admin/users/find.php: Removing user
+ "Find" from Admin area. Closes #2216
+
+2010-06-07 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php: lang tweak
+
+ * mod/groups/actions/featured.php, mod/groups/languages/en.php,
+ mod/groups/views/default/groups/grouplisting.php: featuring and unfeaturing
+ groups now works
+
+ * mod/ecml/start.php: don't extend input fields yet with ecml as it is not
+ designed as an end user tool
+
+ * mod/blog/views/default/object/blog.php: blog tags will not display the
+ class if no tags exist
+
+ * actions/likes/add.php, languages/en.php: missing lang strings added
+
+2010-06-06 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/elgg_sidebar.php: Added elgg_sidebar/extend
+ view.
+
+2010-06-04 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/embed/languages/en.php: Added missing language string for embed.
+
+ * mod/embed/start.php, mod/embed/views/default/embed/embed.php,
+ mod/embed/views/default/embed/upload/content.php: Added first loosely coupled
+ upload support to embed.
+
+2010-06-04 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/admin/users/find.php, views/default/css.php: Updating Find
+ User in the Admin section to use the livesearch user picker.
+
+2010-06-04 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/upgrades/2010060401.php,
+ .../views/default/widgets/a_users_groups/view.php, version.php: Merged
+ r6349:6351 from 1.7 to trunk.
+
+ * engine/lib/elgglib.php, engine/lib/upgrades/2010060101.php,
+ engine/start.php, .../views/default/defaultwidgets/editor.php,
+ mod/notifications/start.php, mod/profile/views/default/profile/icon.php,
+ simplecache/view.php, upgrade.php, version.php,
+ views/default/canvas/layouts/widgets.php: Merge r6301:6338 from 1.7 to trunk.
+
+
+2010-06-04 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/uservalidationbyemail/start.php: Allowing new users to validate email
+ addresses if the site is a Private Network.
+
+2010-06-04 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/forms/groups/invite.php: Added id to invite
+ friends to group form (for theming purposes).
+
+ * .../profile/profile_contents/commentwall.php: Removed profile content
+ wrapper from comment wall content.
+
+2010-06-03 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/thewire/views/default/object/thewire.php,
+ .../views/default/river/object/thewire/create.php: Updating views to no
+ longer parse usernames as this is handled directly thru Mentions.
+
+2010-06-03 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/ecml/README.txt, mod/ecml/ecml_functions.php, mod/ecml/start.php,
+ mod/ecml/views/default/ecml/keywords/entity.php: Added entity keyword to
+ ECML. (NB: The old entity keyword is now entity_list)
+
+2010-06-03 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/tinymce/views/default/input/longtext.php: Removed one unused and one
+ absent plugin from tinyMCE init that was causing problems in some browsers.
+
+2010-06-03 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * CODING.txt: Updated CODING.txt with more best practices. Simplified some
+ of the examples.
+
+ * mod/embed/views/default/embed/embed.php,
+ mod/embed/views/default/embed/item/gallery.php,
+ mod/embed/views/default/embed/layouts/gallery.php,
+ mod/embed/views/default/embed/layouts/list.php: Moved most of the logic for
+ embed viewing into a single place. Added gallery support (still no CSS).
+
+2010-06-02 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php: Mathematical calculations on annotations will
+ return false for non-existent entity subtypes.
+
+ * engine/lib/tags.php, languages/en.php, mod/tagcloud/start.php,
+ mod/tagcloud/views/default/tagcloud/extend.php,
+ views/default/output/tagcloud.php: Moving new Site Tags functionality out of
+ Tagcloud plugin and into core.
+
+ * engine/lib/annotations.php, engine/tests/objects/entities.php: Requesting
+ annotations from a non-existent subtype will now return nothing instead of
+ everything. This functionality is required to preserve context.
+
+2010-06-02 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/languages/en.php: Added missing language strings for blog.
+
+ * views/default/entities/entity_listing.php,
+ views/default/entities/gallery_listing.php: Updated documentation for
+ entity_listing and gallery_listing.
+
+ * views/default/entities/gallery_listing.php: Updated gallery_listing.php
+ view. No CSS yet.
+
+ * mod/embed/embed.php, mod/embed/views/default/embed/link.php,
+ mod/embed/views/default/embed/media.php,
+ mod/embed/views/default/embed/pagination.php,
+ mod/embed/views/default/embed/simpletype.php,
+ mod/embed/views/default/embed/tabs.php,
+ mod/embed/views/default/embed/upload.php: Removing unused views and files
+ from embed.
+
+2010-06-02 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/tagcloud/views/default/tagcloud/extend.php: Updated tagcloud link
+
+2010-06-02 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/embed/languages/en.php, mod/embed/views/default/embed/embed.php:
+ Standardized embed language file. Fixed title language string for embed
+ modal.
+
+2010-06-02 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/walled_garden_background_top.gif,
+ _graphics/walled_garden_backgroundfull_bottom.gif,
+ _graphics/walled_garden_backgroundfull_top.gif,
+ .../default/account/forms/login_walled_garden.php,
+ views/default/account/forms/register.php, views/default/css.php,
+ views/default/page_shells/walled_garden.php: Updated private network
+ (previously walled garden) login/register/lost password to be independent of
+ any theme running, and improved interface.
+
+2010-06-02 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/all.php: removed redundant view call
+
+2010-06-02 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/tagcloud/start.php: Updated all site Tagcloud to use 2column canvas.
+
+2010-06-01 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/embed/README.txt, mod/embed/embed.php, mod/embed/manifest.xml,
+ mod/embed/start.php, mod/embed/views/default/embed/addcontentjs.php,
+ mod/embed/views/default/embed/embed.php,
+ mod/embed/views/default/embed/item/list.php,
+ mod/embed/views/default/embed/js.php,
+ mod/embed/views/default/embed/layouts/gallery.php,
+ mod/embed/views/default/embed/layouts/list.php,
+ mod/embed/views/default/embed/link.php,
+ mod/embed/views/default/embed/media.php,
+ mod/embed/views/default/embed/upload.php: First pass at a loosely couple
+ embed plugin using ECML.
+
+2010-06-01 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../default/account/forms/login_walled_garden.php, views/default/css.php:
+ Adding a "Register" link to the Walled Garden login screen. This mimics
+ pre-existing "Lost Password" functionality without redirecting to an internal
+ page.
+
+2010-06-01 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/navigation/pagination.php: Pagination uses
+ elgg_get_array_value().
+
+ * engine/lib/elgglib.php: Added elgg_get_array_value() helper function.
+
+ * views/default/navigation/pagination.php: Fixed bug in detecting and using
+ baseurl in navingation/pagination view.
+
+ * views/default/entities/entity_listing.php: Updated documentation for
+ entities/entity_listing view.
+
+2010-06-01 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/tagcloud/start.php, mod/tagcloud/views/default/tagcloud/extend.php,
+ views/default/output/tagcloud.php: Extending default tagcloud view to include
+ a link to all site tags. Also fixing a bug where errant "," was prepended to
+ tag list.
+
+2010-06-01 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/input.php: get_input() defaults to NULL instead of empty
+ string. Works better with isset().
+
+ * mod/tinymce/tinymce_content.css,
+ .../themes/advanced/skins/default/content.css: Forgot to svn add
+ tinymce_content.css. Added tinymce_content.css and reverted [6300] to allow
+ for easier tinymce upgrades.
+
+2010-06-01 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/start.php, mod/blog/views/default/blog/sidebar_menu.php:
+ Restricting tag cloud display on Blogs and Documents by context.
+
+2010-06-01 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/sitepages/start.php, mod/sitepages/views/default/sitepages/css.php,
+ mod/sitepages/views/default/sitepages/sidebar.php,
+ mod/sitepages/views/default/sitepages/welcome.php: Updated Sitepages homepage
+ markup with clearfloat, content wrappers and associated css.
+
+ * mod/profile/views/default/profile/css.php: Updated avatar user-menu
+ font-style.
+
+ * .../themes/advanced/skins/default/content.css: TinyMCE default editor
+ font-size increased to match preview and publish size.
+
+2010-05-31 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/all.php: remove the filter header if not logged in
+
+ * mod/bookmarks/languages/en.php,
+ .../default/river/object/bookmarks/create.php: bookmarks river view altered
+ for groups
+
+2010-05-29 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * index.php: make sure logged out river display correctly
+
+ * engine/lib/river.php: small tweak to the new river
+
+ * mod/bookmarks/start.php: removed a breadcrumb view when on the all page
+
+ * mod/bookmarks/languages/en.php: change community to group for consistency
+
+2010-05-29 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, engine/lib/output.php: Merged r6263:6271 to trunk.
+
+
+ * engine/handlers/pagehandler.php, engine/lib/pagehandler.php,
+ mod/tinymce/views/default/input/longtext.php,
+ views/default/input/pulldown.php: Merged [6248] - [6252] into trunk.
+
+ * engine/lib/upgrades/2010052601.php, mod/groups/actions/edit.php,
+ mod/groups/views/default/forms/groups/edit.php, version.php: Merged [6223] -
+ [6228] into trunk.
+
+ * mod/groups/actions/forums/deletetopic.php,
+ mod/groups/actions/forums/editpost.php: Merging [6091] to trunk.
+
+ * mod/tinymce/languages/en.php, mod/tinymce/readme.txt,
+ mod/tinymce/start.php, mod/tinymce/tinymce/changelog.txt,
+ mod/tinymce/tinymce/jscripts/tiny_mce/langs/en.js,
+ mod/tinymce/tinymce/jscripts/tiny_mce/license.txt,
+ .../jscripts/tiny_mce/plugins/advhr/css/advhr.css,
+ .../tiny_mce/plugins/advhr/editor_plugin.js,
+ .../tiny_mce/plugins/advhr/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/advhr/js/rule.js,
+ .../tiny_mce/plugins/advhr/langs/en_dlg.js,
+ .../jscripts/tiny_mce/plugins/advhr/rule.htm,
+ .../tiny_mce/plugins/advimage/css/advimage.css,
+ .../tiny_mce/plugins/advimage/editor_plugin.js,
+ .../tiny_mce/plugins/advimage/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/advimage/image.htm,
+ .../tiny_mce/plugins/advimage/img/sample.gif,
+ .../jscripts/tiny_mce/plugins/advimage/js/image.js,
+ .../tiny_mce/plugins/advimage/langs/en_dlg.js,
+ .../tiny_mce/plugins/advlink/css/advlink.css,
+ .../tiny_mce/plugins/advlink/editor_plugin.js,
+ .../tiny_mce/plugins/advlink/editor_plugin_src.js,
+ .../tiny_mce/plugins/advlink/js/advlink.js,
+ .../tiny_mce/plugins/advlink/langs/en_dlg.js,
+ .../jscripts/tiny_mce/plugins/advlink/link.htm,
+ .../tiny_mce/plugins/autosave/editor_plugin.js,
+ .../tiny_mce/plugins/autosave/editor_plugin_src.js,
+ .../tiny_mce/plugins/bbcode/editor_plugin.js,
+ .../tiny_mce/plugins/bbcode/editor_plugin_src.js,
+ .../tiny_mce/plugins/compat2x/editor_plugin.js,
+ .../tiny_mce/plugins/compat2x/editor_plugin_src.js,
+ .../tiny_mce/plugins/contextmenu/editor_plugin.js,
+ .../plugins/contextmenu/editor_plugin_src.js,
+ .../plugins/directionality/editor_plugin.js,
+ .../plugins/directionality/editor_plugin_src.js,
+ .../tiny_mce/plugins/emotions/editor_plugin.js,
+ .../tiny_mce/plugins/emotions/editor_plugin_src.js,
+ .../tiny_mce/plugins/emotions/emotions.htm,
+ .../tiny_mce/plugins/emotions/img/smiley-cool.gif,
+ .../tiny_mce/plugins/emotions/img/smiley-cry.gif,
+ .../plugins/emotions/img/smiley-embarassed.gif,
+ .../plugins/emotions/img/smiley-foot-in-mouth.gif,
+ .../tiny_mce/plugins/emotions/img/smiley-frown.gif,
+ .../plugins/emotions/img/smiley-innocent.gif,
+ .../tiny_mce/plugins/emotions/img/smiley-kiss.gif,
+ .../plugins/emotions/img/smiley-laughing.gif,
+ .../plugins/emotions/img/smiley-money-mouth.gif,
+ .../plugins/emotions/img/smiley-sealed.gif,
+ .../tiny_mce/plugins/emotions/img/smiley-smile.gif,
+ .../plugins/emotions/img/smiley-surprised.gif,
+ .../plugins/emotions/img/smiley-tongue-out.gif,
+ .../plugins/emotions/img/smiley-undecided.gif,
+ .../tiny_mce/plugins/emotions/img/smiley-wink.gif,
+ .../tiny_mce/plugins/emotions/img/smiley-yell.gif,
+ .../tiny_mce/plugins/emotions/js/emotions.js,
+ .../tiny_mce/plugins/emotions/langs/en_dlg.js,
+ .../jscripts/tiny_mce/plugins/example/dialog.htm,
+ .../tiny_mce/plugins/example/editor_plugin.js,
+ .../tiny_mce/plugins/example/editor_plugin_src.js,
+ .../tiny_mce/plugins/example/img/example.gif,
+ .../jscripts/tiny_mce/plugins/example/js/dialog.js,
+ .../jscripts/tiny_mce/plugins/example/langs/en.js,
+ .../tiny_mce/plugins/example/langs/en_dlg.js,
+ .../tiny_mce/plugins/fullpage/css/fullpage.css,
+ .../tiny_mce/plugins/fullpage/editor_plugin.js,
+ .../tiny_mce/plugins/fullpage/editor_plugin_src.js,
+ .../tiny_mce/plugins/fullpage/fullpage.htm,
+ .../tiny_mce/plugins/fullpage/js/fullpage.js,
+ .../tiny_mce/plugins/fullpage/langs/en_dlg.js,
+ .../tiny_mce/plugins/fullscreen/editor_plugin.js,
+ .../plugins/fullscreen/editor_plugin_src.js,
+ .../tiny_mce/plugins/fullscreen/fullscreen.htm,
+ .../tiny_mce/plugins/iespell/editor_plugin.js,
+ .../tiny_mce/plugins/iespell/editor_plugin_src.js,
+ .../tiny_mce/plugins/inlinepopups/editor_plugin.js,
+ .../plugins/inlinepopups/editor_plugin_src.js,
+ .../inlinepopups/skins/clearlooks2/img/alert.gif,
+ .../inlinepopups/skins/clearlooks2/img/button.gif,
+ .../inlinepopups/skins/clearlooks2/img/buttons.gif,
+ .../inlinepopups/skins/clearlooks2/img/confirm.gif,
+ .../inlinepopups/skins/clearlooks2/img/corners.gif,
+ .../skins/clearlooks2/img/horizontal.gif,
+ .../skins/clearlooks2/img/vertical.gif,
+ .../inlinepopups/skins/clearlooks2/window.css,
+ .../tiny_mce/plugins/inlinepopups/template.htm,
+ .../plugins/insertdatetime/editor_plugin.js,
+ .../plugins/insertdatetime/editor_plugin_src.js,
+ .../tiny_mce/plugins/layer/editor_plugin.js,
+ .../tiny_mce/plugins/layer/editor_plugin_src.js,
+ .../tiny_mce/plugins/media/css/content.css,
+ .../jscripts/tiny_mce/plugins/media/css/media.css,
+ .../tiny_mce/plugins/media/editor_plugin.js,
+ .../tiny_mce/plugins/media/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/media/img/flash.gif,
+ .../tiny_mce/plugins/media/img/flv_player.swf,
+ .../tiny_mce/plugins/media/img/quicktime.gif,
+ .../tiny_mce/plugins/media/img/realmedia.gif,
+ .../tiny_mce/plugins/media/img/shockwave.gif,
+ .../jscripts/tiny_mce/plugins/media/img/trans.gif,
+ .../tiny_mce/plugins/media/img/windowsmedia.gif,
+ .../jscripts/tiny_mce/plugins/media/js/embed.js,
+ .../jscripts/tiny_mce/plugins/media/js/media.js,
+ .../tiny_mce/plugins/media/langs/en_dlg.js,
+ .../jscripts/tiny_mce/plugins/media/media.htm,
+ .../tiny_mce/plugins/nonbreaking/editor_plugin.js,
+ .../plugins/nonbreaking/editor_plugin_src.js,
+ .../tiny_mce/plugins/noneditable/editor_plugin.js,
+ .../plugins/noneditable/editor_plugin_src.js,
+ .../tiny_mce/plugins/pagebreak/css/content.css,
+ .../tiny_mce/plugins/pagebreak/editor_plugin.js,
+ .../plugins/pagebreak/editor_plugin_src.js,
+ .../tiny_mce/plugins/pagebreak/img/pagebreak.gif,
+ .../tiny_mce/plugins/pagebreak/img/trans.gif,
+ .../jscripts/tiny_mce/plugins/paste/blank.htm,
+ .../jscripts/tiny_mce/plugins/paste/css/blank.css,
+ .../tiny_mce/plugins/paste/css/pasteword.css,
+ .../tiny_mce/plugins/paste/editor_plugin.js,
+ .../tiny_mce/plugins/paste/editor_plugin_src.js,
+ .../tiny_mce/plugins/paste/js/pastetext.js,
+ .../tiny_mce/plugins/paste/js/pasteword.js,
+ .../tiny_mce/plugins/paste/langs/en_dlg.js,
+ .../jscripts/tiny_mce/plugins/paste/pastetext.htm,
+ .../jscripts/tiny_mce/plugins/paste/pasteword.htm,
+ .../tiny_mce/plugins/preview/editor_plugin.js,
+ .../tiny_mce/plugins/preview/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/preview/example.html,
+ .../tiny_mce/plugins/preview/jscripts/embed.js,
+ .../tiny_mce/plugins/print/editor_plugin.js,
+ .../tiny_mce/plugins/print/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/safari/blank.htm,
+ .../tiny_mce/plugins/safari/editor_plugin.js,
+ .../tiny_mce/plugins/safari/editor_plugin_src.js,
+ .../tiny_mce/plugins/save/editor_plugin.js,
+ .../tiny_mce/plugins/save/editor_plugin_src.js,
+ .../plugins/searchreplace/css/searchreplace.css,
+ .../plugins/searchreplace/editor_plugin.js,
+ .../plugins/searchreplace/editor_plugin_src.js,
+ .../plugins/searchreplace/js/searchreplace.js,
+ .../tiny_mce/plugins/searchreplace/langs/en_dlg.js,
+ .../plugins/searchreplace/searchreplace.htm,
+ .../tiny_mce/plugins/spellchecker/css/content.css,
+ .../tiny_mce/plugins/spellchecker/editor_plugin.js,
+ .../plugins/spellchecker/editor_plugin_src.js,
+ .../tiny_mce/plugins/spellchecker/img/wline.gif,
+ .../jscripts/tiny_mce/plugins/style/css/props.css,
+ .../tiny_mce/plugins/style/editor_plugin.js,
+ .../tiny_mce/plugins/style/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/style/js/props.js,
+ .../tiny_mce/plugins/style/langs/en_dlg.js,
+ .../jscripts/tiny_mce/plugins/style/props.htm,
+ .../jscripts/tiny_mce/plugins/table/cell.htm,
+ .../jscripts/tiny_mce/plugins/table/css/cell.css,
+ .../jscripts/tiny_mce/plugins/table/css/row.css,
+ .../jscripts/tiny_mce/plugins/table/css/table.css,
+ .../tiny_mce/plugins/table/editor_plugin.js,
+ .../tiny_mce/plugins/table/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/table/js/cell.js,
+ .../tiny_mce/plugins/table/js/merge_cells.js,
+ .../jscripts/tiny_mce/plugins/table/js/row.js,
+ .../jscripts/tiny_mce/plugins/table/js/table.js,
+ .../tiny_mce/plugins/table/langs/en_dlg.js,
+ .../tiny_mce/plugins/table/merge_cells.htm,
+ .../jscripts/tiny_mce/plugins/table/row.htm,
+ .../jscripts/tiny_mce/plugins/table/table.htm,
+ .../jscripts/tiny_mce/plugins/template/blank.htm,
+ .../tiny_mce/plugins/template/css/template.css,
+ .../tiny_mce/plugins/template/editor_plugin.js,
+ .../tiny_mce/plugins/template/editor_plugin_src.js,
+ .../tiny_mce/plugins/template/js/template.js,
+ .../tiny_mce/plugins/template/langs/en_dlg.js,
+ .../tiny_mce/plugins/template/template.htm,
+ .../tiny_mce/plugins/visualchars/editor_plugin.js,
+ .../plugins/visualchars/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/xhtmlxtras/abbr.htm,
+ .../tiny_mce/plugins/xhtmlxtras/acronym.htm,
+ .../tiny_mce/plugins/xhtmlxtras/attributes.htm,
+ .../jscripts/tiny_mce/plugins/xhtmlxtras/cite.htm,
+ .../tiny_mce/plugins/xhtmlxtras/css/attributes.css,
+ .../tiny_mce/plugins/xhtmlxtras/css/popup.css,
+ .../jscripts/tiny_mce/plugins/xhtmlxtras/del.htm,
+ .../tiny_mce/plugins/xhtmlxtras/editor_plugin.js,
+ .../plugins/xhtmlxtras/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/xhtmlxtras/ins.htm,
+ .../tiny_mce/plugins/xhtmlxtras/js/abbr.js,
+ .../tiny_mce/plugins/xhtmlxtras/js/acronym.js,
+ .../tiny_mce/plugins/xhtmlxtras/js/attributes.js,
+ .../tiny_mce/plugins/xhtmlxtras/js/cite.js,
+ .../jscripts/tiny_mce/plugins/xhtmlxtras/js/del.js,
+ .../plugins/xhtmlxtras/js/element_common.js,
+ .../jscripts/tiny_mce/plugins/xhtmlxtras/js/ins.js,
+ .../tiny_mce/plugins/xhtmlxtras/langs/en_dlg.js,
+ .../jscripts/tiny_mce/themes/advanced/about.htm,
+ .../jscripts/tiny_mce/themes/advanced/anchor.htm,
+ .../jscripts/tiny_mce/themes/advanced/charmap.htm,
+ .../tiny_mce/themes/advanced/color_picker.htm,
+ .../tiny_mce/themes/advanced/editor_template.js,
+ .../themes/advanced/editor_template_src.js,
+ .../jscripts/tiny_mce/themes/advanced/image.htm,
+ .../tiny_mce/themes/advanced/img/colorpicker.jpg,
+ .../tiny_mce/themes/advanced/img/icons.gif,
+ .../jscripts/tiny_mce/themes/advanced/js/about.js,
+ .../jscripts/tiny_mce/themes/advanced/js/anchor.js,
+ .../tiny_mce/themes/advanced/js/charmap.js,
+ .../tiny_mce/themes/advanced/js/color_picker.js,
+ .../jscripts/tiny_mce/themes/advanced/js/image.js,
+ .../jscripts/tiny_mce/themes/advanced/js/link.js,
+ .../tiny_mce/themes/advanced/js/source_editor.js,
+ .../jscripts/tiny_mce/themes/advanced/langs/en.js,
+ .../tiny_mce/themes/advanced/langs/en_dlg.js,
+ .../jscripts/tiny_mce/themes/advanced/link.htm,
+ .../themes/advanced/skins/default/content.css,
+ .../themes/advanced/skins/default/dialog.css,
+ .../themes/advanced/skins/default/img/buttons.png,
+ .../themes/advanced/skins/default/img/items.gif,
+ .../advanced/skins/default/img/menu_arrow.gif,
+ .../advanced/skins/default/img/menu_check.gif,
+ .../themes/advanced/skins/default/img/progress.gif,
+ .../themes/advanced/skins/default/img/tabs.gif,
+ .../tiny_mce/themes/advanced/skins/default/ui.css,
+ .../themes/advanced/skins/o2k7/content.css,
+ .../tiny_mce/themes/advanced/skins/o2k7/dialog.css,
+ .../themes/advanced/skins/o2k7/img/button_bg.png,
+ .../advanced/skins/o2k7/img/button_bg_black.png,
+ .../advanced/skins/o2k7/img/button_bg_silver.png,
+ .../tiny_mce/themes/advanced/skins/o2k7/ui.css,
+ .../themes/advanced/skins/o2k7/ui_black.css,
+ .../themes/advanced/skins/o2k7/ui_silver.css,
+ .../tiny_mce/themes/advanced/source_editor.htm,
+ .../tiny_mce/themes/simple/editor_template.js,
+ .../tiny_mce/themes/simple/editor_template_src.js,
+ .../jscripts/tiny_mce/themes/simple/img/icons.gif,
+ .../jscripts/tiny_mce/themes/simple/langs/en.js,
+ .../themes/simple/skins/default/content.css,
+ .../tiny_mce/themes/simple/skins/default/ui.css,
+ .../tiny_mce/themes/simple/skins/o2k7/content.css,
+ .../themes/simple/skins/o2k7/img/button_bg.png,
+ .../tiny_mce/themes/simple/skins/o2k7/ui.css,
+ mod/tinymce/tinymce/jscripts/tiny_mce/tiny_mce.js,
+ .../tinymce/jscripts/tiny_mce/tiny_mce_popup.js,
+ .../jscripts/tiny_mce/utils/editable_selects.js,
+ .../tinymce/jscripts/tiny_mce/utils/form_utils.js,
+ .../tinymce/jscripts/tiny_mce/utils/mctabs.js,
+ .../tinymce/jscripts/tiny_mce/utils/validate.js,
+ mod/tinymce/vendor/tinymce/changelog.txt,
+ .../vendor/tinymce/jscripts/tiny_mce/langs/en.js,
+ .../vendor/tinymce/jscripts/tiny_mce/license.txt,
+ .../jscripts/tiny_mce/plugins/advhr/css/advhr.css,
+ .../tiny_mce/plugins/advhr/editor_plugin.js,
+ .../tiny_mce/plugins/advhr/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/advhr/js/rule.js,
+ .../tiny_mce/plugins/advhr/langs/en_dlg.js,
+ .../jscripts/tiny_mce/plugins/advhr/rule.htm,
+ .../tiny_mce/plugins/advimage/css/advimage.css,
+ .../tiny_mce/plugins/advimage/editor_plugin.js,
+ .../tiny_mce/plugins/advimage/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/advimage/image.htm,
+ .../tiny_mce/plugins/advimage/img/sample.gif,
+ .../jscripts/tiny_mce/plugins/advimage/js/image.js,
+ .../tiny_mce/plugins/advimage/langs/en_dlg.js,
+ .../tiny_mce/plugins/advlink/css/advlink.css,
+ .../tiny_mce/plugins/advlink/editor_plugin.js,
+ .../tiny_mce/plugins/advlink/editor_plugin_src.js,
+ .../tiny_mce/plugins/advlink/js/advlink.js,
+ .../tiny_mce/plugins/advlink/langs/en_dlg.js,
+ .../jscripts/tiny_mce/plugins/advlink/link.htm,
+ .../tiny_mce/plugins/advlist/editor_plugin.js,
+ .../tiny_mce/plugins/advlist/editor_plugin_src.js,
+ .../tiny_mce/plugins/autoresize/editor_plugin.js,
+ .../plugins/autoresize/editor_plugin_src.js,
+ .../tiny_mce/plugins/autosave/editor_plugin.js,
+ .../tiny_mce/plugins/autosave/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/autosave/langs/en.js,
+ .../tiny_mce/plugins/bbcode/editor_plugin.js,
+ .../tiny_mce/plugins/bbcode/editor_plugin_src.js,
+ .../tiny_mce/plugins/contextmenu/editor_plugin.js,
+ .../plugins/contextmenu/editor_plugin_src.js,
+ .../plugins/directionality/editor_plugin.js,
+ .../plugins/directionality/editor_plugin_src.js,
+ .../tiny_mce/plugins/emotions/editor_plugin.js,
+ .../tiny_mce/plugins/emotions/editor_plugin_src.js,
+ .../tiny_mce/plugins/emotions/emotions.htm,
+ .../tiny_mce/plugins/emotions/img/smiley-cool.gif,
+ .../tiny_mce/plugins/emotions/img/smiley-cry.gif,
+ .../plugins/emotions/img/smiley-embarassed.gif,
+ .../plugins/emotions/img/smiley-foot-in-mouth.gif,
+ .../tiny_mce/plugins/emotions/img/smiley-frown.gif,
+ .../plugins/emotions/img/smiley-innocent.gif,
+ .../tiny_mce/plugins/emotions/img/smiley-kiss.gif,
+ .../plugins/emotions/img/smiley-laughing.gif,
+ .../plugins/emotions/img/smiley-money-mouth.gif,
+ .../plugins/emotions/img/smiley-sealed.gif,
+ .../tiny_mce/plugins/emotions/img/smiley-smile.gif,
+ .../plugins/emotions/img/smiley-surprised.gif,
+ .../plugins/emotions/img/smiley-tongue-out.gif,
+ .../plugins/emotions/img/smiley-undecided.gif,
+ .../tiny_mce/plugins/emotions/img/smiley-wink.gif,
+ .../tiny_mce/plugins/emotions/img/smiley-yell.gif,
+ .../tiny_mce/plugins/emotions/js/emotions.js,
+ .../tiny_mce/plugins/emotions/langs/en_dlg.js,
+ .../jscripts/tiny_mce/plugins/example/dialog.htm,
+ .../tiny_mce/plugins/example/editor_plugin.js,
+ .../tiny_mce/plugins/example/editor_plugin_src.js,
+ .../tiny_mce/plugins/example/img/example.gif,
+ .../jscripts/tiny_mce/plugins/example/js/dialog.js,
+ .../jscripts/tiny_mce/plugins/example/langs/en.js,
+ .../tiny_mce/plugins/example/langs/en_dlg.js,
+ .../tiny_mce/plugins/fullpage/css/fullpage.css,
+ .../tiny_mce/plugins/fullpage/editor_plugin.js,
+ .../tiny_mce/plugins/fullpage/editor_plugin_src.js,
+ .../tiny_mce/plugins/fullpage/fullpage.htm,
+ .../tiny_mce/plugins/fullpage/js/fullpage.js,
+ .../tiny_mce/plugins/fullpage/langs/en_dlg.js,
+ .../tiny_mce/plugins/fullscreen/editor_plugin.js,
+ .../plugins/fullscreen/editor_plugin_src.js,
+ .../tiny_mce/plugins/fullscreen/fullscreen.htm,
+ .../tiny_mce/plugins/iespell/editor_plugin.js,
+ .../tiny_mce/plugins/iespell/editor_plugin_src.js,
+ .../tiny_mce/plugins/inlinepopups/editor_plugin.js,
+ .../plugins/inlinepopups/editor_plugin_src.js,
+ .../inlinepopups/skins/clearlooks2/img/alert.gif,
+ .../inlinepopups/skins/clearlooks2/img/button.gif,
+ .../inlinepopups/skins/clearlooks2/img/buttons.gif,
+ .../inlinepopups/skins/clearlooks2/img/confirm.gif,
+ .../inlinepopups/skins/clearlooks2/img/corners.gif,
+ .../skins/clearlooks2/img/horizontal.gif,
+ .../skins/clearlooks2/img/vertical.gif,
+ .../inlinepopups/skins/clearlooks2/window.css,
+ .../tiny_mce/plugins/inlinepopups/template.htm,
+ .../plugins/insertdatetime/editor_plugin.js,
+ .../plugins/insertdatetime/editor_plugin_src.js,
+ .../tiny_mce/plugins/layer/editor_plugin.js,
+ .../tiny_mce/plugins/layer/editor_plugin_src.js,
+ .../tiny_mce/plugins/legacyoutput/editor_plugin.js,
+ .../plugins/legacyoutput/editor_plugin_src.js,
+ .../tiny_mce/plugins/media/css/content.css,
+ .../jscripts/tiny_mce/plugins/media/css/media.css,
+ .../tiny_mce/plugins/media/editor_plugin.js,
+ .../tiny_mce/plugins/media/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/media/img/flash.gif,
+ .../tiny_mce/plugins/media/img/flv_player.swf,
+ .../tiny_mce/plugins/media/img/quicktime.gif,
+ .../tiny_mce/plugins/media/img/realmedia.gif,
+ .../tiny_mce/plugins/media/img/shockwave.gif,
+ .../jscripts/tiny_mce/plugins/media/img/trans.gif,
+ .../tiny_mce/plugins/media/img/windowsmedia.gif,
+ .../jscripts/tiny_mce/plugins/media/js/embed.js,
+ .../jscripts/tiny_mce/plugins/media/js/media.js,
+ .../tiny_mce/plugins/media/langs/en_dlg.js,
+ .../jscripts/tiny_mce/plugins/media/media.htm,
+ .../tiny_mce/plugins/nonbreaking/editor_plugin.js,
+ .../plugins/nonbreaking/editor_plugin_src.js,
+ .../tiny_mce/plugins/noneditable/editor_plugin.js,
+ .../plugins/noneditable/editor_plugin_src.js,
+ .../tiny_mce/plugins/pagebreak/css/content.css,
+ .../tiny_mce/plugins/pagebreak/editor_plugin.js,
+ .../plugins/pagebreak/editor_plugin_src.js,
+ .../tiny_mce/plugins/pagebreak/img/pagebreak.gif,
+ .../tiny_mce/plugins/pagebreak/img/trans.gif,
+ .../tiny_mce/plugins/paste/editor_plugin.js,
+ .../tiny_mce/plugins/paste/editor_plugin_src.js,
+ .../tiny_mce/plugins/paste/js/pastetext.js,
+ .../tiny_mce/plugins/paste/js/pasteword.js,
+ .../tiny_mce/plugins/paste/langs/en_dlg.js,
+ .../jscripts/tiny_mce/plugins/paste/pastetext.htm,
+ .../jscripts/tiny_mce/plugins/paste/pasteword.htm,
+ .../tiny_mce/plugins/preview/editor_plugin.js,
+ .../tiny_mce/plugins/preview/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/preview/example.html,
+ .../tiny_mce/plugins/preview/jscripts/embed.js,
+ .../jscripts/tiny_mce/plugins/preview/preview.html,
+ .../tiny_mce/plugins/print/editor_plugin.js,
+ .../tiny_mce/plugins/print/editor_plugin_src.js,
+ .../tiny_mce/plugins/save/editor_plugin.js,
+ .../tiny_mce/plugins/save/editor_plugin_src.js,
+ .../plugins/searchreplace/css/searchreplace.css,
+ .../plugins/searchreplace/editor_plugin.js,
+ .../plugins/searchreplace/editor_plugin_src.js,
+ .../plugins/searchreplace/js/searchreplace.js,
+ .../tiny_mce/plugins/searchreplace/langs/en_dlg.js,
+ .../plugins/searchreplace/searchreplace.htm,
+ .../tiny_mce/plugins/spellchecker/css/content.css,
+ .../tiny_mce/plugins/spellchecker/editor_plugin.js,
+ .../plugins/spellchecker/editor_plugin_src.js,
+ .../tiny_mce/plugins/spellchecker/img/wline.gif,
+ .../jscripts/tiny_mce/plugins/style/css/props.css,
+ .../tiny_mce/plugins/style/editor_plugin.js,
+ .../tiny_mce/plugins/style/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/style/js/props.js,
+ .../tiny_mce/plugins/style/langs/en_dlg.js,
+ .../jscripts/tiny_mce/plugins/style/props.htm,
+ .../tiny_mce/plugins/tabfocus/editor_plugin.js,
+ .../tiny_mce/plugins/tabfocus/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/table/cell.htm,
+ .../jscripts/tiny_mce/plugins/table/css/cell.css,
+ .../jscripts/tiny_mce/plugins/table/css/row.css,
+ .../jscripts/tiny_mce/plugins/table/css/table.css,
+ .../tiny_mce/plugins/table/editor_plugin.js,
+ .../tiny_mce/plugins/table/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/table/js/cell.js,
+ .../tiny_mce/plugins/table/js/merge_cells.js,
+ .../jscripts/tiny_mce/plugins/table/js/row.js,
+ .../jscripts/tiny_mce/plugins/table/js/table.js,
+ .../tiny_mce/plugins/table/langs/en_dlg.js,
+ .../tiny_mce/plugins/table/merge_cells.htm,
+ .../jscripts/tiny_mce/plugins/table/row.htm,
+ .../jscripts/tiny_mce/plugins/table/table.htm,
+ .../jscripts/tiny_mce/plugins/template/blank.htm,
+ .../tiny_mce/plugins/template/css/template.css,
+ .../tiny_mce/plugins/template/editor_plugin.js,
+ .../tiny_mce/plugins/template/editor_plugin_src.js,
+ .../tiny_mce/plugins/template/js/template.js,
+ .../tiny_mce/plugins/template/langs/en_dlg.js,
+ .../tiny_mce/plugins/template/template.htm,
+ .../tiny_mce/plugins/visualchars/editor_plugin.js,
+ .../plugins/visualchars/editor_plugin_src.js,
+ .../tiny_mce/plugins/wordcount/editor_plugin.js,
+ .../plugins/wordcount/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/xhtmlxtras/abbr.htm,
+ .../tiny_mce/plugins/xhtmlxtras/acronym.htm,
+ .../tiny_mce/plugins/xhtmlxtras/attributes.htm,
+ .../jscripts/tiny_mce/plugins/xhtmlxtras/cite.htm,
+ .../tiny_mce/plugins/xhtmlxtras/css/attributes.css,
+ .../tiny_mce/plugins/xhtmlxtras/css/popup.css,
+ .../jscripts/tiny_mce/plugins/xhtmlxtras/del.htm,
+ .../tiny_mce/plugins/xhtmlxtras/editor_plugin.js,
+ .../plugins/xhtmlxtras/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/xhtmlxtras/ins.htm,
+ .../tiny_mce/plugins/xhtmlxtras/js/abbr.js,
+ .../tiny_mce/plugins/xhtmlxtras/js/acronym.js,
+ .../tiny_mce/plugins/xhtmlxtras/js/attributes.js,
+ .../tiny_mce/plugins/xhtmlxtras/js/cite.js,
+ .../jscripts/tiny_mce/plugins/xhtmlxtras/js/del.js,
+ .../plugins/xhtmlxtras/js/element_common.js,
+ .../jscripts/tiny_mce/plugins/xhtmlxtras/js/ins.js,
+ .../tiny_mce/plugins/xhtmlxtras/langs/en_dlg.js,
+ .../jscripts/tiny_mce/themes/advanced/about.htm,
+ .../jscripts/tiny_mce/themes/advanced/anchor.htm,
+ .../jscripts/tiny_mce/themes/advanced/charmap.htm,
+ .../tiny_mce/themes/advanced/color_picker.htm,
+ .../tiny_mce/themes/advanced/editor_template.js,
+ .../themes/advanced/editor_template_src.js,
+ .../jscripts/tiny_mce/themes/advanced/image.htm,
+ .../tiny_mce/themes/advanced/img/colorpicker.jpg,
+ .../tiny_mce/themes/advanced/img/icons.gif,
+ .../jscripts/tiny_mce/themes/advanced/js/about.js,
+ .../jscripts/tiny_mce/themes/advanced/js/anchor.js,
+ .../tiny_mce/themes/advanced/js/charmap.js,
+ .../tiny_mce/themes/advanced/js/color_picker.js,
+ .../jscripts/tiny_mce/themes/advanced/js/image.js,
+ .../jscripts/tiny_mce/themes/advanced/js/link.js,
+ .../tiny_mce/themes/advanced/js/source_editor.js,
+ .../jscripts/tiny_mce/themes/advanced/langs/en.js,
+ .../tiny_mce/themes/advanced/langs/en_dlg.js,
+ .../jscripts/tiny_mce/themes/advanced/link.htm,
+ .../themes/advanced/skins/default/content.css,
+ .../themes/advanced/skins/default/dialog.css,
+ .../themes/advanced/skins/default/img/buttons.png,
+ .../themes/advanced/skins/default/img/items.gif,
+ .../advanced/skins/default/img/menu_arrow.gif,
+ .../advanced/skins/default/img/menu_check.gif,
+ .../themes/advanced/skins/default/img/progress.gif,
+ .../themes/advanced/skins/default/img/tabs.gif,
+ .../tiny_mce/themes/advanced/skins/default/ui.css,
+ .../themes/advanced/skins/o2k7/content.css,
+ .../tiny_mce/themes/advanced/skins/o2k7/dialog.css,
+ .../themes/advanced/skins/o2k7/img/button_bg.png,
+ .../advanced/skins/o2k7/img/button_bg_black.png,
+ .../advanced/skins/o2k7/img/button_bg_silver.png,
+ .../tiny_mce/themes/advanced/skins/o2k7/ui.css,
+ .../themes/advanced/skins/o2k7/ui_black.css,
+ .../themes/advanced/skins/o2k7/ui_silver.css,
+ .../tiny_mce/themes/advanced/source_editor.htm,
+ .../tiny_mce/themes/simple/editor_template.js,
+ .../tiny_mce/themes/simple/editor_template_src.js,
+ .../jscripts/tiny_mce/themes/simple/img/icons.gif,
+ .../jscripts/tiny_mce/themes/simple/langs/en.js,
+ .../themes/simple/skins/default/content.css,
+ .../tiny_mce/themes/simple/skins/default/ui.css,
+ .../tiny_mce/themes/simple/skins/o2k7/content.css,
+ .../themes/simple/skins/o2k7/img/button_bg.png,
+ .../tiny_mce/themes/simple/skins/o2k7/ui.css,
+ .../vendor/tinymce/jscripts/tiny_mce/tiny_mce.js,
+ .../tinymce/jscripts/tiny_mce/tiny_mce_popup.js,
+ .../jscripts/tiny_mce/utils/editable_selects.js,
+ .../tinymce/jscripts/tiny_mce/utils/form_utils.js,
+ .../tinymce/jscripts/tiny_mce/utils/mctabs.js,
+ .../tinymce/jscripts/tiny_mce/utils/validate.js,
+ mod/tinymce/views/default/input/longtext.php,
+ mod/tinymce/views/default/tinymce/css.php: Upgraded TinyMCE to 3.3.6.
+
+2010-05-29 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/navigation/breadcrumbs.php: added a hint on breadcrumb usage
+
+ * views/default/page_elements/owner_block.php: removed some code made
+ unnecessary by the changes to layout in 1.8
+
+ * views/default/page_elements/elgg_sidebar.php: updated documentation on
+ optional parameters
+
+ * views/default/canvas/layouts/administration.php: updated administration
+ layout to work with new sidebar view
+
+2010-05-28 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/navigation/sidebar_menu.php,
+ views/default/page_elements/elgg_sidebar.php,
+ views/default/page_elements/owner_block.php: sidebar menu deserves its own
+ view
+
+2010-05-28 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, engine/lib/plugins.php: Refs #2115: Added
+ elgg_is_valid_view_type(). Currently calculated at each load but will want to
+ cache like view paths. Cleaned up autoregister_views() and load_plugins()
+ code. Added spaces between function params in numerous places. C'mon
+ guys...spaces are free.
+
+2010-05-28 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../default/profile/editdefaultprofileitems.php: Removed debug log to
+ console as it was preventing FF from executing the rest of the callback
+ function.
+
+2010-05-28 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/actions/edit.php: when editing details, return to the details
+ tab when done.
+
+2010-05-28 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/admin/appearance/menu_items.php,
+ views/default/canvas/layouts/administration.php: Updated interface for custom
+ menu items
+
+ * mod/profile/views/default/profile/css.php,
+ .../default/profile/editdefaultprofileitems.php: Updated interface for edit
+ profile fields
+
+ * mod/blog/views/default/blog/css.php,
+ mod/blog/views/default/blog/sidebar_menu.php: Updated archive list-style
+
+2010-05-27 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/views/default/object/blog.php: Fixed mismatched <span></a> tags
+ in blog list status display.
+
+ * mod/blog/views/default/blog/sidebar_menu.php: Fixed typo in blog sidebar
+ menu that caused an extra LI item in archives.
+
+2010-05-27 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php: Registering user password request/reset as public
+ actions.
+
+2010-05-27 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/input/userpicker.php: Fixing code for JS userpicker remove
+ function to cope with recent structure changes.
+
+2010-05-27 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/cron.php, engine/lib/sites.php, mod/sitepages/start.php:
+ Updating walled garden to register public pages as regular expressions.
+
+2010-05-27 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/languages/en.php,
+ .../views/default/profile/profile_navigation.php: removed hard coded lang in
+ profile tabs
+
+2010-05-26 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/notifications/start.php, .../notifications/settings/usersettings.php:
+ Un-extending core notification view in favor of Notifications plugin.
+
+ * mod/sitepages/actions/add.php, mod/sitepages/actions/addfront.php,
+ mod/sitepages/actions/addfrontsimple.php, mod/sitepages/actions/addmeta.php,
+ mod/sitepages/actions/edit_settings.php,
+ mod/sitepages/sitepages_functions.php, mod/sitepages/start.php,
+ .../views/default/settings/sitepages/edit.php,
+ .../views/default/sitepages/forms/edit.php,
+ .../views/default/sitepages/forms/editfront.php,
+ .../default/sitepages/forms/editfrontsimple.php,
+ .../views/default/sitepages/forms/editmeta.php,
+ mod/sitepages/views/default/sitepages/menu.php: Updating Sitepages plugin
+ settings for new administration layout.
+
+2010-05-26 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/start.php: Gatekeeper() added to blog edit/create pagehandler.
+
+ * engine/lib/entities.php: Fix problem rewriting type_subtype_pair ->
+ type_subtype_pairs in elgg_get_entities().
+
+2010-05-26 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/forms/forums/addtopic.php: group discussion
+ access defaults to the group
+
+2010-05-26 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/start.php, mod/groups/start.php: Ensuring Blogs and Forum links
+ display properly in the group ownerblock.
+
+2010-05-26 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/content_header.php: small tweak to header
+ contents
+
+ * mod/bookmarks/start.php: bookmark header bug fixed
+
+2010-05-26 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../page_elements/content_header_member.php: Updated content area title
+ when viewing a users objects
+
+ * .../views/default/profile/profile_ownerblock.php: Additional links to
+ tools added to profile pages ownerblock (hardcoded for phase1)
+
+2010-05-25 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/defaultwidgets/actions/update.php, mod/defaultwidgets/dashboard.php,
+ mod/defaultwidgets/profile.php, mod/defaultwidgets/start.php,
+ .../admin/appearance/default_dashboard_widgets.php,
+ .../admin/appearance/default_profile_widgets.php, mod/logbrowser/index.php,
+ mod/logbrowser/start.php, .../views/default/admin/overview/logbrowser.php,
+ mod/logbrowser/views/default/logbrowser/form.php,
+ mod/profile/defaultprofile.php, mod/profile/start.php,
+ .../default/admin/appearance/defaultprofile.php,
+ mod/reportedcontent/actions/archive.php,
+ mod/reportedcontent/actions/delete.php, mod/reportedcontent/index.php,
+ mod/reportedcontent/start.php,
+ .../default/admin/overview/reportedcontent.php: Updating administration
+ plugins with admin submenu views.
+
+2010-05-25 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/navigation/tabs.php: Navigation/tabs uses output/url for the
+ links.
+
+ * views/default/output/url.php: Added title to output/url. Fixed typo
+ breaking js support in output/url.
+
+ * CHANGES.txt: Added changes to CHANGES.txt.
+
+ * views/default/output/url.php: Fixed documentation for output/url view.
+
+ * views/default/output/url.php: Added title to url output.
+
+2010-05-25 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/bookmarks/start.php: change the bookmark header depending on whose
+ bookmarks are being viewed
+
+2010-05-25 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Updated documentation for register_plugin_hook().
+
+ * engine/lib/elgglib.php: Updated documentation and cleaned up code for
+ trigger_plugin_hook().
+
+2010-05-25 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/walled_garden_background_bottom.gif,
+ _graphics/walled_garden_background_extend.gif,
+ _graphics/walled_garden_background_top.gif, engine/lib/elgglib.php,
+ .../default/account/forms/login_walled_garden.php, views/default/css.php,
+ views/default/page_shells/walled_garden.php: Walled Garden login page is no
+ longer tied to the default page shell.
+
+2010-05-25 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/views/default/object/blog.php: only display comment count if
+ there are comments
+
+ * mod/blog/blog_lib.php: check to see if comments are on or off
+
+ * mod/blog/views/default/blog/sidebar_revisions.php: blog revisions tweaked
+
+2010-05-25 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/defaultwidgets/dashboard.php, mod/defaultwidgets/profile.php,
+ mod/defaultwidgets/start.php, .../views/default/defaultwidgets/editor.php:
+ Updating Default Widgets administration to use new canvas layout.
+
+2010-05-25 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/views/default/blog/css.php: Fix for blogs list view interface in
+ some browsers
+
+2010-05-25 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/languages/en.php: comment wall notification message tweaked
+
+2010-05-25 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/views/default/blog/css.php,
+ mod/blog/views/default/blog/forms/edit.php,
+ mod/blog/views/default/blog/sidebar_revisions.php: Updates to Blogs edit UI.
+
+2010-05-25 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../page_elements/content_header_member.php: content header added for use
+ when viewing another user
+
+ * mod/tagcloud/all.php, mod/tagcloud/tagcloud.php: added an all site
+ tagcloud view, tagcloud.php will be customised to run in the sidebar.
+
+2010-05-25 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/css.php: UI update to avatar menu
+ list-style.
+
+2010-05-25 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../canvas/layouts/one_column_with_sidebar.php,
+ views/default/page_elements/elgg_sidebar.php: Refs #2114 - added elgg_sidebar
+ view
+
+2010-05-24 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/languages/en.php, mod/blog/views/default/object/blog.php: Added
+ blog author link by line on blog list.
+
+ * mod/blog/views/default/object/blog.php: Added access level in blog list.
+
+ * views/default/output/access.php: Added output/access view for displaying
+ entity access levels.
+
+2010-05-24 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/logbrowser/index.php, mod/logbrowser/start.php, mod/profile/start.php,
+ mod/reportedcontent/start.php, mod/sitepages/start.php: Updating multiple
+ plugins to no longer register admin menus with an event hook.
+
+2010-05-24 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/actions/blog/auto_save_revision.php,
+ mod/blog/actions/blog/save.php, mod/blog/blog_lib.php,
+ mod/blog/views/default/object/blog.php: Blog uses elgg_make_excerpt() now
+ instead of its own.
+
+ * engine/lib/output.php: Replacing '...' with html elipse character (&#8230)
+ in elgg_make_excerpt().
+
+ * engine/lib/river.php: Copied explaination for weird str_replace() in
+ get_river_items() to the same call in elgg_get_river_items_new() so I stay
+ sane.
+
+ * mod/blog/actions/blog/save.php, mod/blog/blog_lib.php,
+ mod/blog/views/default/blog/forms/edit.php: Removing publish_date interface
+ for blogs pending better l10n for dates.
+
+ * mod/blog/start.php: Removing blogs from groups, part 2.
+
+ * mod/blog/start.php: Removing blog options from groups, part 1.
+
+2010-05-24 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/actions/edit.php: Only notifying name change when it actually
+ changed.
+
+ * views/default/output/url.php: Ensuring text displays on URL view for both
+ "value" and "html" sent as property.
+
+2010-05-24 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/start.php: Moved blog edit sidebar revisions to the top of the
+ sidebar.
+
+2010-05-24 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/river/object/blog/create.php,
+ .../default/river/object/bookmarks/create.php: you can no longer comment on
+ river item while logged out
+
+2010-05-24 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/views/default/blog/forms/edit.php: Blog edit/create form displays
+ correct title.
+
+ * mod/blog/actions/blog/save.php: Blogs only show up in the river if in
+ published state.
+
+ * mod/riverdashboard/endpoint/ping.php: Added access controls to the
+ activity stream update count.
+
+ * mod/riverdashboard/endpoint/ping.php, mod/riverdashboard/index.php,
+ .../views/default/river/item/list.php,
+ .../views/default/river/item/wrapper.php,
+ .../views/default/riverdashboard/container.php,
+ .../views/default/riverdashboard/js.php: The activity stream's count uses the
+ river table instead of trying to combine entities + annotations based up on
+ time_created. Also brought small bits of code up to standards.
+
+2010-05-24 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/css.php, views/default/css.php: UI
+ updates to users links and admin menu on profile pages
+
+2010-05-24 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/sitepages/views/default/sitepages/menu.php: the correct tab now
+ highlights
+
+2010-05-24 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/blog_lib.php: Saving a blog post no longer rewrites all entity
+ time_created and time_updated fields. Yikes.
+
+2010-05-24 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/canvas/layouts/administration.php, views/default/css.php:
+ Updated css for admin area/plugins and submenu list style
+
+ * mod/profile/views/default/profile/css.php, views/default/css.php: Added
+ missing formatting to user-generated content
+
+2010-05-24 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/messages/start.php: messages now display the correct number unread
+
+2010-05-24 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/start.php: Updated group forum link to only appear in group
+ ownerblock, and when group forum is enabled.
+
+ * mod/messages/views/default/messages/forms/send.php: Updated 'Send a
+ message to' form to display users display name rather than username.
+
+2010-05-24 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/forms/groups/invite.php: Small tweak to group
+ invite friends so it will show your friends correctly
+
+2010-05-24 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/languages/en.php, mod/groups/start.php: Group forum link added
+ to group ownerblock
+
+2010-05-24 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/sitepages/index.php: sitepages river tweak
+
+ * mod/sitepages/index.php: sitepages now displays the correct river
+
+ * mod/riverdashboard/index.php,
+ .../views/default/settings/riverdashboard/edit.php: activity type toggle
+ removed as it was poorly thought out and implemented.
+
+ * mod/sitepages/actions/addfrontsimple.php, mod/sitepages/index.php,
+ mod/sitepages/languages/en.php, mod/sitepages/sitepages_functions.php,
+ mod/sitepages/start.php, .../views/default/canvas/layouts/frontpage.php,
+ mod/sitepages/views/default/sitepages/css.php,
+ .../default/sitepages/forms/editfrontsimple.php,
+ mod/sitepages/views/default/sitepages/members.php,
+ mod/sitepages/views/default/sitepages/sidebar.php,
+ mod/sitepages/views/default/sitepages/welcome.php: a simple version of the
+ sitepages index - we will need to turn this into a basic and advance
+ selection for admins.
+
+2010-05-24 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/css.php,
+ views/default/page_elements/owner_block.php: Ownerblock links to users
+ objects interface updated.
+
+ * mod/groups/start.php: Group link to forum removed from sidebar submenu
+
+ * mod/blog/start.php, mod/bookmarks/start.php: Group blog and bookmarks
+ submenu removed from sidebar (links are now in the group ownerblock)
+
+2010-05-23 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/foaf/page_shells/default.php, views/foaf/pageshells/pageshell.php,
+ views/php/page_shells/default.php, views/php/pageshells/pageshell.php,
+ views/xml/page_shells/default.php, views/xml/pageshells/pageshell.php: fixed
+ page shells for xml, php, and foaf
+
+2010-05-22 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/reportedcontent/languages/en.php,
+ .../views/default/reportedcontent/footer_link.php: added title attribute to
+ report this footer link
+
+ * mod/reportedcontent/start.php,
+ .../views/default/reportedcontent/footer_link.php,
+ views/default/page_elements/elgg_footer.php: removing reported content
+ dependency from core code...again
+
+ * views/default/page_elements/elgg_footer.php: Fixes #2122 - footer
+ analytics view added
+
+2010-05-21 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/owner_block.php: Using a lambda function to
+ sort the profile menu.
+
+ * mod/blog/start.php, mod/bookmarks/start.php, mod/file/start.php,
+ views/default/page_elements/owner_block.php: Registering new plugin hooks to
+ extend the owner block profile menu.
+
+ * views/default/page_elements/owner_block.php: Fixing a typo. Works this
+ time; no, really.
+
+ * mod/pages/start.php, mod/pages/views/default/pages/profile_extend.php:
+ Updating Pages plugin to extend profile owner block directly with
+ user-specific links.
+
+ * views/default/page_elements/owner_block.php: Cleaning up profile owner
+ blocks to allow for view extensions.
+
+2010-05-20 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/start.php, mod/bookmarks/start.php, mod/file/start.php,
+ mod/pages/start.php: Adding submenu items for standard plugins to owner
+ block.
+
+2010-05-20 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/tests/api/entity_getter_functions.php: Fixes #2159: Updated entity
+ getter test to order by guid instead of time_created.
+
+ * engine/tests/objects/entities.php, engine/tests/objects/objects.php,
+ engine/tests/objects/sites.php, engine/tests/objects/users.php: Update unit
+ tests for last_action column.
+
+ * engine/lib/entities.php, engine/lib/river.php: create_entity() now adds a
+ default last_action set to the same as time_created. This solves a problem of
+ having to specify an order by of last_action desc, time_created desc on each
+ call. Standardized update_entity_last_action() and moved it from river.php to
+ entities.php.
+
+ * engine/lib/river.php: Properly merged old 1.8 river2.php with river.php to
+ fix last_action-based bubble ups.
+
+2010-05-20 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/content_header.php: small edit to header
+ contents, will need to find a better way to extend this view in the required
+ fashion.
+
+ * mod/bookmarks/start.php: small bookmark add bug fixed.
+
+2010-05-20 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/rss/annotation/default.php, views/rss/object/creator.php,
+ views/rss/object/default.php, views/rss/object/georss.php: Updating RSS views
+ to allow for object creator and geolocation.
+
+2010-05-20 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * account/forgotten_password.php, account/register.php,
+ views/default/account/forms/forgotten_password.php,
+ views/default/account/forms/register.php,
+ views/default/account/forms/useradd.php: Updated Register and ForgottenLogin
+ forms to use default canvases.
+
+ * views/default/canvas/layouts/administration.php: Added a link to 'return
+ to network' from admin area.
+
+2010-05-19 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/admin.php, languages/en.php,
+ views/default/admin/users/newest.php: Added "Newest Users" admin section.
+
+ * mod/groups/all.php, mod/groups/discussions.php, mod/groups/forum.php,
+ mod/groups/views/default/forum/topics.php: Removed legacy calls from groups.
+
+ * engine/lib/annotations.php: Refs #2165: Added
+ elgg_list_entities_from_annotations() to deprecate
+ list_entities_from_annotations().
+
+ * mod/groups/forum.php: Missed passing group_guid to forum topics.
+
+ * mod/groups/views/default/forum/topics.php: Fixed incorrect call for $vars
+ in group forum topics.
+
+ * mod/riverdashboard/manifest.xml: Riverdashboard enabled on default
+ installs.
+
+ * mod/custom_index/index.php, mod/custom_index/languages/en.php,
+ mod/custom_index/manifest.xml, mod/custom_index/start.php,
+ .../views/default/canvas/layouts/new_index.php,
+ .../views/default/custom_index/css.php: Custom index is deprecated by Site
+ pages. These two provide the same functionality but in different ways, so
+ just moving Custom Index to the plugins repo.
+
+ * mod/externalpages/actions/add.php, mod/externalpages/index.php,
+ mod/externalpages/languages/en.php, mod/externalpages/manifest.xml,
+ mod/externalpages/read.php, mod/externalpages/start.php,
+ .../views/default/expages/analytics.php,
+ mod/externalpages/views/default/expages/css.php,
+ .../views/default/expages/footer_menu.php,
+ .../views/default/expages/forms/edit.php,
+ mod/externalpages/views/default/expages/menu.php,
+ mod/externalpages/views/default/object/expages.php: The Externalpages plugin
+ is now Site Pages. Removing old plugin.
+
+2010-05-19 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * vendors/jquery/jquery-1.4.2.min.js, vendors/jquery/jquery-1.4.min.js,
+ views/default/page_elements/html_begin.php: jQuery updated to v1.4.2
+
+ * mod/groups/views/default/groups/css.php: Small UI update to Group activity
+ widget.
+
+ * views/default/annotation/annotatelike.php: Likes river entry timestamp
+ wrapped in span.
+
+2010-05-18 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/start.php: Moved output.php into the pre-installation required lib
+ list to fix trunk installation.
+
+2010-05-18 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/walledgarden/graphics/background_bottom.gif,
+ mod/walledgarden/graphics/background_extend.gif,
+ mod/walledgarden/graphics/background_top.gif, mod/walledgarden/index.php,
+ mod/walledgarden/languages/en.php, mod/walledgarden/manifest.xml,
+ mod/walledgarden/readme.txt, mod/walledgarden/start.php,
+ .../views/default/account/forms/login.php,
+ .../default/page_shells/walled_garden_index.php,
+ .../views/default/walledgarden/css.php,
+ .../views/default/walledgarden/walledgarden.php: Removing Walled Garden
+ plugin from trunk.
+
+ * engine/lib/elgglib.php, engine/lib/sites.php: Ensuring the Walled Garden
+ hook properly exits before plugins can continue to extend view.
+
+ * views/json/canvas/default.php, views/json/page_shells/default.php,
+ views/json/pageshells/pageshell.php: Updating the core JSON views to allow
+ for proper object export.
+
+2010-05-18 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/members/index.php: browse members now working for 1.8
+
+ * languages/en.php, views/default/account/forms/login.php,
+ views/default/account/forms/login_dropdown.php: as you can login with either
+ username or email, reflect this in the lang.
+
+2010-05-18 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/admin/components/plugin_settings.php,
+ views/default/canvas/layouts/administration.php: Interface updates to plugins
+ settings form.
+
+2010-05-18 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php: small lang changes
+
+2010-05-18 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/canvas/layouts/administration.php: Admin area long-text
+ fields width updated.
+
+2010-05-17 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/rss/river/item/list.php: Defaulting RSS view to use Elgg Entity
+ view.
+
+ * views/rss/object/default.php, views/rss/page_shells/default.php,
+ views/rss/pageshells/pageshell.php, views/rss/river/item/list.php: Updating
+ RSS feeds to use proper views called with page_shells.
+
+2010-05-17 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/views/default/blog/css.php: Another UI update to individual blog
+ page.
+
+ * mod/blog/views/default/blog/css.php,
+ mod/profile/views/default/profile/css.php: ..a couple more ui tweaks.
+
+ * mod/messages/views/default/messages/forms/view.php,
+ mod/profile/graphics/speech_bubble_tail.gif,
+ mod/profile/graphics/twitter16px.png,
+ views/default/canvas/layouts/administration.php: A few UI updates.
+
+2010-05-15 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/riverdashboard/css.php, views/default/css.php: Updates
+ to core css UI and riverdashboard mod.
+
+2010-05-14 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/start.php: Fixed loading of activity and database files.
+
+ * engine/lib/actions.php, engine/lib/activity.php, engine/lib/api.php,
+ engine/lib/elgglib.php, engine/lib/entities.php, engine/lib/input.php,
+ engine/lib/output.php, engine/lib/pagehandler.php, engine/lib/river.php,
+ engine/lib/river2.php, engine/lib/sessions.php, engine/start.php,
+ htaccess_dist, languages/en.php, mod/groups/invitations.php,
+ mod/groups/new.php, mod/groups/views/default/river/forum/create.php,
+ .../views/default/river/forum/topic/create.php,
+ .../views/default/river/object/page/create.php, mod/profile/actions/edit.php:
+ Merged 5928-6908 from 1.7 branch to trunk.
+
+ * actions/widgets/save.php, engine/lib/access.php, engine/lib/elgglib.php,
+ engine/lib/entities.php, engine/lib/notification.php, engine/lib/river2.php,
+ engine/lib/sessions.php, engine/lib/system_log.php, engine/lib/tags.php,
+ engine/lib/users.php, mod/groups/forum.php,
+ mod/groups/views/default/forum/topics.php,
+ mod/invitefriends/actions/invite.php, mod/invitefriends/index.php,
+ mod/invitefriends/languages/en.php, mod/invitefriends/start.php,
+ .../views/default/invitefriends/form.php,
+ .../views/default/invitefriends/formitems.php, mod/profile/icon.php,
+ views/default/friends/river/create.php, views/rss/entities/entity_list.php:
+ merge -r5898:5928 (not excluding riverdashboard, notifications, and
+ messageboard) from 1.7 to trunk.
+
+2010-05-14 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/canvas/layouts/administration.php: Updated admin area css
+
+2010-05-14 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * CHANGES.txt, engine/lib/actions.php, engine/lib/api.php,
+ engine/lib/elgglib.php, engine/lib/notification.php, engine/lib/river2.php,
+ mod/invitefriends/actions/invite.php, services/api/rest_api.php: merge
+ -r5832:5898 from 1.7 to trunk.
+
+ * views/foaf/pageshells/pageshell.php, views/foaf/user/default.php: merge
+ -r5822:5825 from 1.7 to trunk.
+
+ * engine/lib/xml.php, mod/file/actions/upload.php,
+ mod/groups/actions/forums/deletepost.php: Merge r5761:5788 from 1.7 to trunk.
+
+
+2010-05-14 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/account/forms/login.php: Moving login/extend view to call
+ after the login button (consistent with login dropdown).
+
+ * engine/lib/sites.php, languages/en.php: Updating language files for new
+ Walled Garden support.
+
+ * _css/css.php, _css/js.php, engine/handlers/action_handler.php,
+ engine/handlers/cron_handler.php, engine/handlers/pagehandler.php,
+ engine/handlers/service_handler.php, engine/handlers/xml-rpc_handler.php,
+ engine/lib/cron.php, engine/lib/sites.php, index.php,
+ mod/externalpages/read.php, upgrade.php: Updating core code to allow public
+ pages using new Walled Garden functionality.
+
+2010-05-14 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/views/default/blog/sidebar_menu.php, mod/tagcloud/tagcloud.php,
+ mod/tagcloud/views/default/tagcloud/css.php, views/default/css.php,
+ views/default/output/tagcloud.php: Updated core tagcloud view markup/css.
+
+2010-05-13 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/tagcloud/views/default/output/tagcloud.php: Removing tagcloud output
+ view from tagcloud mod--it's in core now.
+
+2010-05-13 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/canvas/layouts/administration.php, views/default/css.php:
+ Update to submenu items css.
+
+ * mod/profile/defaultprofile.php, mod/reportedcontent/index.php,
+ mod/sitepages/sitepages_functions.php: Updated plugins to use new admin area
+ canvas.
+
+2010-05-13 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: To detect if a submenu item is selected, using
+ output from full_url() instead of $_SERVER['REQUEST_URI'] because the host
+ isn't set in REQUEST_URI.
+
+2010-05-13 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/admin.php, views/default/canvas/layouts/administration.php,
+ views/default/css.php: Beginnings of a stand-alone admin area.
+
+ * mod/profile/views/default/profile/icon.php: Fix for @mentions mod creating
+ multiple hrefs in avatar submenu.
+
+ * views/default/admin/overview.php, views/default/admin/site/advanced.php,
+ views/default/admin/site/basic.php, views/default/admin/users/add.php,
+ views/default/admin/users/find.php, views/default/admin/users/online.php:
+ Added content titles to admin area pages.
+
+2010-05-13 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/riverdashboard/index.php: removed extra div from riverdashboard index
+ page
+
+ * mod/riverdashboard/start.php, .../views/default/riverdashboard/menu.php,
+ .../views/default/riverdashboard/nav.php: merged [5959] from 1.7 branch into
+ trunk for riverdashboard plugin
+
+ * mod/riverdashboard/actions/add.php, mod/riverdashboard/actions/delete.php,
+ mod/riverdashboard/endpoint/ping.php, mod/riverdashboard/index.php,
+ mod/riverdashboard/languages/en.php, mod/riverdashboard/start.php,
+ .../views/default/river/item/list.php,
+ .../views/default/river/item/wrapper.php,
+ .../views/default/river/item/wrapper_classic.php,
+ .../views/default/riverdashboard/container.php,
+ .../views/default/riverdashboard/ecml/activity.php,
+ .../views/default/riverdashboard/menu.php,
+ .../views/default/riverdashboard/nav.php,
+ .../views/default/riverdashboard/sitemessage.php,
+ .../views/default/riverdashboard/welcome.php,
+ .../views/default/settings/riverdashboard/edit.php: attempting to standardize
+ riverdashboard plugin in similar manner as 1.7 branch revision [5943]
+
+ * mod/notifications/actions/groupsave.php,
+ mod/notifications/actions/save.php, mod/notifications/groups.php,
+ mod/notifications/index.php, mod/notifications/languages/en.php,
+ mod/notifications/start.php, .../notifications/subscriptions/collections.php,
+ .../default/notifications/subscriptions/form.php,
+ .../notifications/subscriptions/groupsform.php,
+ .../notifications/subscriptions/jsfuncs.php,
+ .../notifications/subscriptions/personal.php: merged in notifications plugin
+ cleanup from 1.7 branch: [5962], [5978] - [5980], [6000]
+
+2010-05-12 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/messageboard/actions/add.php, mod/messageboard/actions/delete.php,
+ mod/messageboard/ajax_endpoint/load.php, mod/messageboard/history.php,
+ mod/messageboard/index.php, mod/messageboard/languages/en.php,
+ mod/messageboard/readme.txt, mod/messageboard/start.php,
+ .../views/default/messageboard/css.php,
+ .../views/default/messageboard/forms/add.php,
+ .../default/messageboard/group_messageboard.php,
+ .../views/default/messageboard/messageboard.php,
+ .../default/messageboard/messageboard_content.php,
+ .../default/river/object/messageboard/create.php,
+ .../views/default/widgets/messageboard/edit.php,
+ .../views/default/widgets/messageboard/view.php: merging messageboard cleanup
+ from 1.7 branch [5908],[5909],[5917]
+
+2010-05-12 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * account/register.php, engine/lib/elgglib.php, engine/lib/sites.php,
+ mod/sitepages/start.php: Creating a hook for plugin authors to register
+ Walled Garden public sites.
+
+2010-05-12 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/bookmarks/languages/en.php: removed a duplicate lang string
+
+ * .../views/default/bookmarks/group_bookmarks.php: fix so group bookmarks
+ display on group frontpage
+
+2010-05-11 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/site/update_advanced.php,
+ actions/systemsettings/install.php, engine/lib/elgglib.php,
+ engine/lib/sites.php, engine/lib/upgrades/2010050701.php,
+ views/default/admin/site/advanced.php: Do not display site pages when in
+ Walled Garden mode, excepting system-defined whitelist.
+
+ * account/register.php: Not allowing new user registration to continue
+ action when disabled.
+
+2010-05-11 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/systemsettings/install.php: Fixed a typo.
+
+ * engine/lib/admin.php, engine/lib/elgglib.php,
+ views/default/navigation/submenu_item.php: Fix admin area for overview and
+ appearance.
+
+2010-05-10 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/elgg_topbar.php,
+ views/default/page_elements/elgg_topbar_logout.php: Breaking logout link into
+ a separate view.
+
+2010-05-10 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/admin.php, engine/lib/elgglib.php, engine/tests/ui/submenu.php,
+ .../default/admin/components/admin_page_layout.php,
+ views/default/admin/components/sidemenu.php,
+ views/default/navigation/submenu_item.php, views/default/output/url.php,
+ views/default/page_elements/owner_block.php: Admin area now uses standard
+ submenu tools.
+
+2010-05-09 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/basic_elements/welcome.php, views/default/welcome.php,
+ views/default/welcome/logged_in.php, views/default/welcome/logged_out.php:
+ removing more views that haven't been used/touched in almost 2 years
+
+ * views/default/text/about.php, views/default/text/privacy_view.php,
+ views/default/text/tos_view.php: removing some chuff that's been just sitting
+ there for a long time - these views ended up in the external pages/site pages
+ plugins
+
+2010-05-08 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Not setting $item->selected on a submenu item acts
+ the same as setting it to NULL for selected state guessing. Removed unused
+ code in submenu system.
+
+ * engine/lib/elgglib.php, engine/tests/ui/submenu.php,
+ views/default/canvas_header/submenu_group.php,
+ views/default/canvas_header/submenu_template.php, views/default/css.php,
+ views/default/navigation/submenu_group.php,
+ views/default/navigation/submenu_item.php,
+ views/default/navigation/submenu_js.php: Added new submenu system. Added
+ elgg_http_url_is_identical(). Moved canvas_header/submenu* to
+ navigation/submenu*. Added UI test for submenu.
+
+2010-05-07 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/site/update_advanced.php, actions/register.php,
+ actions/systemsettings/install.php, engine/lib/upgrades/2010050701.php,
+ version.php, views/default/account/forms/login.php,
+ views/default/account/forms/login_dropdown.php,
+ views/default/admin/site/advanced.php: Adding an option to restrict new user
+ registration in advanced site settings. This is the first step in fully
+ merging "Walled Garden" plugin into core Elgg engine.
+
+2010-05-05 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/plugins/disable.php, actions/admin/plugins/disableall.php,
+ actions/admin/plugins/enable.php, actions/admin/plugins/enableall.php,
+ actions/admin/plugins/reorder.php,
+ actions/admin/plugins/simple_update_states.php,
+ actions/admin/site/update_advanced.php, actions/admin/site/update_basic.php,
+ actions/login.php, actions/plugins/settings/save.php, actions/register.php,
+ actions/systemsettings/install.php, admin/index.php, admin/menu_items.php,
+ admin/plugins.php, admin/site.php, admin/statistics.php, admin/user.php,
+ engine/lib/actions.php, engine/lib/admin.php, engine/lib/plugins.php,
+ engine/lib/sessions.php, languages/en.php, mod/blog/manifest.xml,
+ mod/blog/views/default/blog/forms/edit.php, mod/bookmarks/manifest.xml,
+ mod/captcha/manifest.xml, mod/categories/actions/save.php,
+ mod/categories/languages/en.php, mod/categories/manifest.xml,
+ mod/categories/settings.php, mod/categories/start.php,
+ mod/categories/views/default/categories/css.php,
+ .../views/default/categories/settings.php,
+ .../views/default/categories/settingsform.php,
+ .../views/default/settings/categories/edit.php, mod/crontrigger/manifest.xml,
+ mod/custom_index/manifest.xml, mod/defaultwidgets/manifest.xml,
+ mod/diagnostics/manifest.xml, mod/ecml/manifest.xml, mod/ecml/start.php,
+ mod/ecml/views/default/ecml/admin/ecml_admin.php,
+ mod/ecml/views/default/settings/ecml/edit.php, mod/embed/manifest.xml,
+ mod/externalpages/manifest.xml, mod/file/manifest.xml,
+ mod/friends/manifest.xml, mod/garbagecollector/manifest.xml,
+ mod/groups/manifest.xml, mod/htmlawed/manifest.xml,
+ mod/invitefriends/manifest.xml, mod/logbrowser/manifest.xml,
+ mod/logrotate/manifest.xml, mod/members/manifest.xml,
+ mod/messageboard/manifest.xml, mod/messages/manifest.xml,
+ mod/notifications/manifest.xml, mod/pages/manifest.xml,
+ mod/profile/manifest.xml, mod/reportedcontent/manifest.xml,
+ mod/riverdashboard/manifest.xml, mod/search/manifest.xml,
+ mod/sitepages/manifest.xml, mod/tagcloud/manifest.xml,
+ mod/thewire/manifest.xml, mod/tinymce/manifest.xml, mod/twitter/manifest.xml,
+ mod/uservalidationbyemail/manifest.xml, mod/walledgarden/manifest.xml,
+ mod/zaudio/manifest.xml, views/default/admin/appearance/menu_items.php,
+ .../default/admin/components/admin_page_layout.php,
+ views/default/admin/components/plugin.php,
+ views/default/admin/components/plugin_settings.php,
+ views/default/admin/components/sidemenu.php, views/default/admin/main.php,
+ views/default/admin/main_opt/plugins.php,
+ views/default/admin/main_opt/site.php,
+ views/default/admin/main_opt/statistics.php,
+ views/default/admin/main_opt/user.php, views/default/admin/menu_items.php,
+ views/default/admin/overview.php,
+ views/default/admin/overview/numentities.php,
+ views/default/admin/overview/online.php, views/default/admin/plugins.php,
+ views/default/admin/plugins/advanced.php,
+ views/default/admin/plugins/simple.php,
+ views/default/admin/plugins_opt/plugin.php, views/default/admin/site.php,
+ views/default/admin/site/advanced.php, views/default/admin/site/basic.php,
+ views/default/admin/statistics.php,
+ views/default/admin/statistics_opt/basic.php,
+ views/default/admin/statistics_opt/numentities.php,
+ views/default/admin/statistics_opt/online.php, views/default/admin/user.php,
+ views/default/admin/user_opt/search.php, views/default/admin/users/add.php,
+ views/default/admin/users/find.php, views/default/admin/users/online.php,
+ views/default/css.php, views/default/object/admin_notice.php: Merged
+ 18_new_admin branch to trunk.
+
+2010-05-05 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/usersettings/form.php: Added ID to submit button on user
+ settings form.
+
+ * views/default/canvas_header/submenu_group.php: Updated submenu with
+ missing groupname.
+
+ * mod/profile/views/default/profile/editicon.php: Addition of a wrapper on
+ edit avatar page for theming purposes.
+
+2010-05-04 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/elgg_topbar.php: Returning extended view to
+ original location in code (to preserve CSS rendering).
+
+2010-05-04 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/css.php: Updated avatar sub-menu font
+ sizes.
+
+2010-05-04 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/start.php, .../views/default/profile/topbar_extend.php:
+ Extending topbar view to include My Friends link.
+
+ * views/default/page_elements/elgg_topbar.php: Removing hard-coded plugin
+ extensions from core topbar.
+
+2010-05-04 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/bookmarks/views/default/bookmarks/form.php: Added id to bookmark edit
+ form (for use theming).
+
+ * .../default/profile/commentwall/commentwall.php: Minor update to the
+ comment wall wrapper div.
+
+2010-05-02 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/pagehandler.php: Fixes #1480 - not passing empty array element
+ from page_handler()
+
+2010-04-30 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../notifications/subscriptions/groupsform.php,
+ views/default/usersettings/plugins.php: Minor updates to User Settings
+ interface.
+
+ * mod/search/views/default/search/entity.php: Search results float cleared.
+
+2010-04-28 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../default/profile/profile_contents/activity.php,
+ .../views/default/riverdashboard/css.php: Updated activity stream on users
+ Profile to include riverdashboard js. Added extra css rules for inline
+ comments and likes on profile activity.
+
+ * mod/profile/views/default/profile/edit.php, views/default/css_ie.php,
+ views/default/js/initialise_elgg.php: Updates to Profile edit, likes js, and
+ tools css for IE7 rendering.
+
+2010-04-27 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/navigation/tabs.php: Added navigation/tabs view for tabbed
+ navigation.
+
+2010-04-27 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/walledgarden/start.php: Restricting external user creation from
+ Facebook Services.
+
+2010-04-27 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * index.php: if the activity stream is enabled show on the frontpage, if
+ not, list entities.
+
+2010-04-27 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php, views/default/css_ie.php: More fixes for IE
+ rendering (entity listings, private messages, topbar, profile, activity)
+
+2010-04-26 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/sitepages/languages/en.php, mod/sitepages/start.php: Updated language
+ strings for site page ecml
+
+ * mod/ecml/languages/en.php, mod/ecml/start.php: Removed view and entity
+ ECML. Updated language strings.
+
+ * mod/sitepages/start.php, .../views/default/sitepages/forms/editfront.php:
+ Only admin users can view site pages. Corrected ECML default for site pages.
+ Moved view and entity ECML keywords to sitepages and restricted them.
+
+ * engine/lib/users.php, mod/blog/manifest.xml, mod/riverdashboard/index.php,
+ mod/riverdashboard/manifest.xml, mod/riverdashboard/start.php,
+ .../views/default/river/item/list.php,
+ views/default/account/forms/login_dropdown.php: Graceful degrading for non-JS
+ users on the dropdown login box.
+
+ * mod/ecml/views/default/ecml/admin/ecml_admin.php: Fixed admin area
+ language string.
+
+ * .../views/default/river/object/blog/create.php: Fixes #2098: River view
+ for blog pulls correct time.
+
+ * engine/lib/plugins.php: Plugins are *required* to have a manifest.xml
+ file.
+
+2010-04-26 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/river/item/wrapper.php, views/default/css_ie.php:
+ Updates to riverdashboard wrapper for IE rendering. Temporary IE rules for
+ riverdashboard added to css_ie.php.
+
+2010-04-26 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/all.php, mod/groups/index.php, mod/groups/membership.php:
+ Updated calls to content_header to point to correct new link in groups.
+
+ * mod/bookmarks/add.php, mod/bookmarks/all.php, mod/bookmarks/friends.php,
+ mod/bookmarks/index.php, mod/bookmarks/start.php: Bookmarks work with groups
+ and use new style content header filter.
+
+2010-04-26 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/account/forms/login_dropdown.php, views/default/css.php:
+ Updates to homepage layout and drop-down login for IE.
+
+ * mod/groups/views/default/forms/groups/edit.php: Update to edit Groups page
+ for ECML and longtext controls positioning.
+
+ * .../views/default/sitepages/forms/editfront.php: Updated SitePages with
+ example homepage markup/ECML.
+
+ * .../views/default/sitepages/forms/editfront.php, views/default/css.php:
+ Update to SitePages and CSSEditor code input fields, code input (monospaced
+ style) added to base css file.
+
+ * mod/profile/views/default/profile/css.php,
+ mod/profile/views/default/profile/icon.php: Update to site user avatars to
+ use CSS3 rounding for modern browsers. Older browsers will display as square
+ avatars.
+
+2010-04-26 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * index.php: Fixes #2084 - layout issue with front page
+
+2010-04-26 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/account/forms/login.php,
+ .../default/page_shells/walled_garden_index.php,
+ .../views/default/walledgarden/css.php: Updated WalledGarden login page for
+ correct rendering in IE.
+
+ * languages/en.php, .../views/default/account/forms/login.php,
+ .../default/page_shells/walled_garden_index.php: Updates to WalledGarden
+ login. Lost password text simplified/shortened, text spacing adjusted, rule
+ added to prevent custom css page background from showing on walledgarden
+ login page.
+
+2010-04-23 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/walledgarden/graphics/background_bottom.gif,
+ mod/walledgarden/graphics/background_extend.gif,
+ mod/walledgarden/graphics/background_top.gif,
+ .../views/default/account/forms/login.php,
+ .../default/page_shells/walled_garden_index.php,
+ .../views/default/walledgarden/css.php: Updated walledgarden login.
+
+ * mod/groups/views/default/forms/forums/addtopic.php: Completed breadcrumb
+ for addtopic (missed in 5855)
+
+ * mod/ecml/start.php, mod/ecml/views/default/ecml/admin/css.php,
+ mod/ecml/views/default/ecml/input_ext.php, mod/embed/languages/en.php,
+ mod/embed/start.php, mod/embed/views/default/embed/css.php,
+ mod/embed/views/default/embed/link.php,
+ .../views/default/expages/forms/edit.php,
+ mod/externalpages/views/default/expages/menu.php,
+ mod/file/views/default/file/upload.php,
+ mod/groups/views/default/forms/forums/addpost.php,
+ mod/groups/views/default/forms/forums/addtopic.php,
+ .../views/default/forms/forums/edittopic.php,
+ mod/groups/views/default/forum/topicposts.php,
+ mod/messages/views/default/messages/forms/send.php,
+ mod/messages/views/default/messages/messages.php,
+ .../views/default/forms/pages/editwelcome.php,
+ .../views/default/reportedcontent/form.php, mod/tinymce/languages/en.php,
+ mod/tinymce/views/default/input/longtext.php,
+ mod/tinymce/views/default/tinymce/css.php,
+ views/default/comments/forms/edit.php, views/default/css.php,
+ views/default/upload/upload_form_content.php: Updated longtext/tinymce area
+ extra controls. Moved and updated ecml help link, 'edit/remove editor' link
+ and 'add media' links both moved and improved. Redundant css removed.
+
+2010-04-23 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/walledgarden/index.php, .../views/default/account/forms/login.php,
+ .../default/account/forms/login_walledgarden.php: small walled garden tweak
+
+ * mod/sitepages/start.php: frontpage creation respects walledgarden
+
+ * .../default/account/forms/login_walledgarden.php: included a view for
+ plugins to extend
+
+ * mod/walledgarden/index.php, mod/walledgarden/start.php,
+ .../default/account/forms/login_walledgarden.php,
+ .../default/page_shells/walled_garden_index.php: The start of a new walled
+ garden index page
+
+2010-04-23 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/forum.php, mod/groups/views/default/forum/viewposts.php:
+ Completed breadcrumbs for Groups Forums.
+
+2010-04-22 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/bookmarks/all.php, mod/bookmarks/index.php: Updated booksmarks to 1.7
+ API.
+
+ * mod/groups/languages/en.php,
+ mod/groups/views/default/forms/groups/invite.php,
+ mod/groups/views/default/groups/groupprofile.php,
+ mod/groups/views/default/sharing/invite.php,
+ .../views/default/widgets/a_users_groups/view.php: Updated groups to 1.7 api.
+
+
+2010-04-22 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/object/groupforumtopic.php: group forum discussion view
+ updated to match the community
+
+ * .../views/default/object/groupforumtopic.php: a small tweak so latest
+ discussion shows the last user to comment.
+
+2010-04-21 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/start.php: Cleaned up some comments about group acl hooks.
+
+ * mod/blog/views/default/blog/sidebar_revisions.php: Cleaned up code to show
+ revisions. Added ability to go to published revision.
+
+ * mod/blog/views/default/blog/forms/edit.php: Decoding html entities for
+ blog excerpts in edit form.
+
+ * mod/blog/blog_lib.php, mod/blog/views/default/object/blog.php: Blog
+ excerpts now work for MB strings and properly detect word breaks.
+
+ * mod/blog/blog_lib.php: Removing unneeded order by metadata.
+
+ * mod/blog/blog_lib.php: Rewriting time_created and time_updated instead of
+ trying to be tricky with metadata.
+
+2010-04-21 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/profile/profile_ownerblock.php: profile owner block can
+ now be viewed while logged out.
+
+ * mod/groups/start.php: a simple function which can be used to restrict
+ group access options
+
+ * .../default/river/object/bookmarks/create.php: bookmark activity view
+ altered to work with both the classic and new versions of activity.
+
+ * .../views/default/river/object/blog/create.php: remove likes and comments
+ from blog activity view if in classic mode
+
+ * engine/lib/river2.php, .../default/profile/profile_contents/activity.php,
+ mod/riverdashboard/index.php, mod/riverdashboard/languages/en.php,
+ .../views/default/river/item/wrapper_classic.php,
+ .../views/default/settings/riverdashboard/edit.php: let site admins toggle
+ between a classic activity stream or the new clustered version
+
+2010-04-21 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: cleaning up [5822] - putting elgg_format_url() in
+ the same location in elgglib.php
+
+2010-04-20 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/riverdashboard/start.php,
+ .../views/default/settings/riverdashboard/edit.php: Removed toggle for
+ overriding river dashboard. If the plugin is enabled, the dashboard is
+ enabled.
+
+ * engine/lib/elgglib.php: Merge previous to trunk.
+
+2010-04-20 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/elgg_sprites.png, index.php,
+ views/default/account/forms/login_dropdown.php, views/default/css.php,
+ views/default/page_elements/elgg_header.php: Added the beginnings of a
+ drop-down login box for Elgg as an alternative to the front-page login box in
+ the homepage sidebar.
+
+2010-04-19 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/ecml/languages/en.php: Fixed missing language string in admin
+
+ * mod/ecml/views/default/ecml/admin/ecml_admin.php: Added smarter check all
+ checkboxes for ECML admin area.
+
+ * mod/search/index.php, mod/search/search_hooks.php: Merged previous to
+ trunk.
+
+2010-04-19 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../default/river/object/bookmarks/create.php: #2087 fixed
+
+2010-04-17 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Updated docs for types and subtypes in
+ elgg_get_entities().
+
+2010-04-17 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/views/default/object/blog.php: pass the entity so other plugins
+ can extend properly
+
+ * mod/ecml/start.php: correct layout applied to ecml help page
+
+ * mod/sitepages/actions/addfront.php: missing ] added to the frontpage
+ action file
+
+2010-04-16 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Merged type_subtype_pair fix from 1.7 branch.
+
+2010-04-16 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/walledgarden/start.php: Removed attempt to hook into system index page
+ from Walled Garden. This is unnecessary at best, and conflicts entirely when
+ custom login Site Pages are used.
+
+2010-04-16 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/start.php: Fixing a logged out problem with group access.
+
+2010-04-16 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/walledgarden/languages/en.php, mod/walledgarden/start.php: Registering
+ a Walled Garden hook which will not allow new user signup with Twitter
+ Services.
+
+2010-04-16 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/start.php: Only showing group access levels within group
+ contexts. Not showing unrelated access levels within group contexts.
+
+2010-04-16 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/bookmarks/group_bookmarks.php,
+ mod/file/views/default/file/css.php,
+ mod/file/views/default/file/groupprofile_files.php,
+ mod/file/views/default/widgets/filerepo/view.php: Removed js drop-down
+ description on group profile tools widgets.
+
+2010-04-16 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/ecml/actions/save_permissions.php, mod/ecml/languages/en.php,
+ mod/ecml/views/default/ecml/admin/css.php,
+ mod/ecml/views/default/ecml/admin/ecml_admin.php: ECML permissions frontend
+ presents a whitelist instead of a black list.
+
+2010-04-16 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/elgg_sprites.png, engine/lib/users.php, views/default/css.php,
+ views/default/page_elements/elgg_topbar.php: Friends link removed from
+ primary nav and added to top toolbar.
+
+2010-04-16 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/riverdashboard/ecml/activity.php: Fixed activity stream
+ ecml view.
+
+ * mod/sitepages/languages/en.php, mod/sitepages/start.php: Removed some left
+ over code from decoupling ECML. Fixed help files for [[ -> [ transition.
+
+ * mod/ecml/README.txt, mod/ecml/languages/en.php, mod/ecml/start.php,
+ mod/ecml/views/default/ecml/help.php: ECML now only requires a single square
+ bracket.
+
+ * mod/riverdashboard/languages/en.php, mod/riverdashboard/start.php,
+ .../views/default/riverdashboard/ecml/activity.php: Added activity ecml
+ keyword.
+
+ * mod/sitepages/actions/addfront.php: Correctly checking for logoutbox for
+ custom front pages.
+
+ * mod/thewire/actions/add.php: Fix the name of the input in the wire's add
+ action.
+
+2010-04-16 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php, mod/blog/views/default/blog/sidebar_menu.php: Tagcloud
+ localized and updated on blogs.
+
+ * views/default/css.php: Tweaked 'Likes' icon css.
+
+ * views/default/css.php: Updated tools menu spacing and added selected class
+ rule for tools submenu items.
+
+ * _graphics/indicator.gif: Removed unused indicator gif. Spinners across the
+ site have been updated to use elgg's ajax_loader gif, except Shared Access
+ mod which still uses indicator gif - which is now in the shared access plugin
+ bundle.
+
+2010-04-16 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/indicator.gif, engine/lib/elgglib.php,
+ mod/categories/actions/save.php, mod/categories/languages/en.php,
+ mod/categories/listing.php, mod/categories/settings.php,
+ mod/categories/start.php, mod/categories/views/default/categories.php,
+ mod/categories/views/default/categories/list.php,
+ .../views/default/categories/settings.php,
+ mod/categories/views/default/categories/view.php,
+ mod/profile/actions/cropicon.php, mod/profile/icondirect.php,
+ mod/profile/start.php, mod/search/search_hooks.php,
+ mod/search/views/default/search/css.php,
+ mod/search/views/default/search/entity.php,
+ mod/thewire/views/rss/object/thewire.php: Merged [5623]:head from 1.7 to
+ trunk.
+
+ * mod/ecml/start.php, mod/ecml/views/default/ecml/keywords/blip.tv.php,
+ .../views/default/ecml/keywords/dailymotion.php,
+ mod/ecml/views/default/ecml/keywords/livevideo.php,
+ mod/ecml/views/default/ecml/keywords/redlasso.php: Added more video sources.
+ Allowing the . character in keywords.
+
+2010-04-15 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/ecml/views/default/ecml/admin/ecml_admin.php: Added ability to check
+ all rows or columns in ecml permissions page.
+
+ * mod/ecml/views/default/ecml/admin/css.php,
+ mod/ecml/views/default/ecml/admin/ecml_admin.php: Inverted the admin matrix
+ for ecml views / keywords.
+
+ * mod/sitepages/languages/en.php, mod/sitepages/start.php,
+ .../default/sitepages/keywords/site_stats.php,
+ .../views/default/sitepages/keywords/sitestats.php,
+ .../views/default/sitepages/keywords/user_list.php,
+ .../views/default/sitepages/keywords/userlist.php: Added usage to the
+ keywords. Restricting keywords to userlist, loginbox, and sitestats to custom
+ front page.
+
+ * mod/ecml/start.php: Correctly setting context to admin to pull in the
+ admin sidebar for ECML permissions page.
+
+ * mod/ecml/README.txt: Updated docs with a FAQ.
+
+2010-04-15 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/groups/activity_latest.php: Activity view added for
+ Groups profile page, based on code by Jon Maul.
+
+2010-04-15 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * CONTRIBUTORS.txt: Jon Maul added as a contributor with MITRE.
+
+ * mod/blog/blog_lib.php, mod/blog/views/default/object/blog.php: Separated
+ blog object view from comments.
+
+ * mod/ecml/ecml_functions.php,
+ mod/ecml/views/default/ecml/admin/ecml_admin.php: Added ability for ECML
+ keyword definitions to restrict themselves to specific views.
+
+2010-04-15 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/ecml/start.php, mod/ecml/views/default/ecml/admin/ecml_admin.php:
+ Small UI updates to ECML.
+
+ * mod/search/views/default/search/entity.php, views/default/css.php: Update
+ to search results view, and small entity list style updates.
+
+2010-04-15 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/ecml/README.txt, mod/ecml/actions/save_permissions.php,
+ mod/ecml/ecml_functions.php, mod/ecml/languages/en.php, mod/ecml/start.php,
+ mod/ecml/views/default/ecml/admin/css.php,
+ mod/ecml/views/default/ecml/admin/ecml_admin.php,
+ .../views/default/ecml/keywords/googlemaps.php: Added granular access for
+ views in ECML. Added 'usage' on keyword info. Updated docs.
+
+ * mod/ecml/start.php, .../views/default/ecml/keywords/googlemaps.php:
+ Googlemaps support added for ECML.
+
+ * mod/ecml/views/default/ecml/help.php: ECML keyword help page now properly
+ lists all registered keywords.
+
+ * mod/sitepages/start.php: Removed _ from Site Pages ECML keywords.
+
+ * mod/ecml/README.txt, mod/ecml/ecml_functions.php, mod/ecml/manifest.xml,
+ mod/ecml/start.php: Updated ECML docs. Removed the _ as a valid keyword
+ character (it looks funny). Added the media sites in start.php. Fixed bug
+ that prevented [[view]] from working. Consequently, [[view]] now requires a
+ src="" attribute.
+
+2010-04-14 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/ecml/start.php, mod/ecml/views/default/ecml/keywords/vimeo.php: Added
+ vimeo support to ECML.
+
+ * mod/ecml/ecml_functions.php, mod/ecml/start.php,
+ .../views/default/ecml/keywords/slideshare.php,
+ mod/ecml/views/default/ecml/keywords/youtube.php: Changed tokenizer for ECML
+ to support attribute quotes. Added core youtube and slideshare keywords.
+ Passing the full keyword and attribute string to views.
+
+ * mod/blog/start.php: Added ECML support in blogs.
+
+2010-04-13 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/plugins.php: Creating a function to clear user-specific plugin
+ settings.
+
+2010-04-13 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/ecml/start.php: Remove unneeded check in ecml view hook.
+
+ * mod/ecml/start.php: ECML uses the brand new granular view hooks.
+
+ * mod/blog/languages/en.php: Added item:object:blog language key for stats.
+
+ * engine/lib/elgglib.php: Added granular view hook view:$view_name instead
+ of a monolithic display:view hook.
+
+ * mod/ecml/start.php: Update todo.
+
+ * mod/ecml/README.txt, mod/ecml/ecml_functions.php,
+ mod/ecml/graphics/ecml.png, mod/ecml/languages/en.php, mod/ecml/manifest.xml,
+ mod/ecml/start.php, mod/ecml/views/default/ecml/help.php,
+ mod/ecml/views/default/ecml/input_ext.php,
+ mod/ecml/views/default/ecml/keywords/user_list.php: First version of ecml.
+
+ * mod/sitepages/README.txt, mod/sitepages/languages/en.php,
+ mod/sitepages/manifest.xml, mod/sitepages/sitepages_functions.php,
+ mod/sitepages/start.php, mod/sitepages/views/default/sitepages/keywords.php,
+ .../views/default/sitepages/keywords/user_list.php: Removed ECML from Site
+ Pages.
+
+2010-04-13 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/bookmarks/group_bookmarks.php,
+ mod/file/views/default/file/groupprofile_files.php,
+ mod/groups/languages/en.php, mod/groups/views/default/forum/topicposts.php,
+ mod/groups/views/default/groups/css.php,
+ mod/groups/views/default/groups/forum_latest.php,
+ mod/groups/views/default/groups/profileitems.php,
+ .../views/default/river/item/wrapper.php: Groups profile page tool widget
+ boxes updated.
+
+ * views/default/css.php, views/default/page_elements/elgg_header.php:
+ Updates to site header and css for Custom Logo mod.
+
+2010-04-12 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/sitepages/README.txt, mod/sitepages/actions/addfront.php,
+ mod/sitepages/languages/en.php, mod/sitepages/sitepages_functions.php,
+ mod/sitepages/start.php, .../default/sitepages/keywords/site_stats.php,
+ .../views/default/sitepages/keywords/user_list.php: Updated site pages docs.
+ Added ability to pass arguments to custom keywords. Added user_list keyword.
+ Reject logged out front pages that don't have [[login_box]].
+
+ * mod/sitepages/manifest.xml, mod/sitepages/sitepages_functions.php: Added
+ 'customization' category to manifest. Cleaned up some comments.
+
+ * mod/sitepages/start.php: Checking if we need to pull in a view before
+ calling it.
+
+ * mod/sitepages/views/default/sitepages/menu.php: DRY'd up the edit code in
+ site pages.
+
+ * mod/sitepages/README.txt: Added docs for site pages.
+
+2010-04-12 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/defaultprofile.php, mod/profile/languages/en.php,
+ mod/profile/views/default/profile/css.php,
+ .../default/profile/editdefaultprofileitems.php: Updated custom profile
+ fields admin area / list re-ordering ui.
+
+ * _graphics/avatar_menu_arrows.gif, _graphics/elgg_sprites.png,
+ _graphics/elgg_toolbar_logout.gif, _graphics/friends_picker_arrows.gif,
+ _graphics/icon_bookmarkthis.gif, _graphics/icon_customise_drag.gif,
+ _graphics/icon_customise_info.gif, _graphics/icon_customise_remove.gif,
+ _graphics/icon_customise_remove.png, _graphics/icon_delete.png,
+ _graphics/icon_gallery.gif, _graphics/icon_like.png, _graphics/icon_odd.gif,
+ _graphics/icon_reportthis.gif, _graphics/icon_rss.gif,
+ _graphics/icon_rss.png, _graphics/icon_tag.gif, _graphics/icon_tag.png,
+ _graphics/more_sprite.png, _graphics/river_icons/river_icon_blog.gif,
+ _graphics/river_icons/river_icon_bookmarks.gif,
+ _graphics/river_icons/river_icon_comment.gif,
+ _graphics/river_icons/river_icon_feed.gif,
+ _graphics/river_icons/river_icon_files.gif,
+ _graphics/river_icons/river_icon_forum.gif,
+ _graphics/river_icons/river_icon_friends.gif,
+ _graphics/river_icons/river_icon_messageboard.gif,
+ _graphics/river_icons/river_icon_pages.gif,
+ _graphics/river_icons/river_icon_plugin.gif,
+ .../river_icons/river_icon_privatemessage.gif,
+ _graphics/river_icons/river_icon_profile.gif,
+ _graphics/river_icons/river_icon_status.gif,
+ _graphics/river_icons/river_icon_thewire.gif, _graphics/search.png,
+ _graphics/speech_bubble_tail.gif, _graphics/thewire_speech_bubble.gif,
+ _graphics/topbar_icons.png, _graphics/twitter16px.png,
+ mod/file/views/default/file/css.php,
+ mod/profile/views/default/profile/css.php, mod/twitter/graphics/twitter.png,
+ mod/twitter/graphics/twitter16px.png,
+ mod/twitter/views/default/twitter/css.php, views/default/css.php,
+ views/default/output/rss_view.php: Collected all core sprites into graphics
+ and updated css accordingly. Removed unused core graphics. Moved
+ plugin-specific graphics into respective plugins graphics directories.
+
+2010-04-12 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/html_begin.php: Removed custom-form-elements
+
+2010-04-12 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/toolbar_messages_icon.gif,
+ mod/messages/graphics/toolbar_messages_icon.gif,
+ mod/messages/views/default/messages/css.php: Messages icon removed form main
+ css and graphics and added to messages mod.
+
+ * _graphics/icon_like.png, languages/en.php, views/default/css.php,
+ views/default/js/initialise_elgg.php, views/default/likes/forms/edit.php:
+ Icon added to likes and interface tweaked. Likes list js fixed to correctly
+ get height of current list.
+
+ * languages/en.php, mod/blog/views/default/object/blog.php,
+ mod/profile/views/default/profile/css.php, views/default/css.php,
+ views/default/likes/forms/edit.php: Likes updated for plugins entity list
+ display (just icon remaining to add)
+
+2010-04-12 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/start.php, views/default/page_elements/content_header.php:
+ create a new group button added
+
+ * .../views/default/bookmarks/group_bookmarks.php: change group bookmarks to
+ get from container guid
+
+2010-04-11 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/views/default/object/blog.php: Updated Likes on Blog and
+ Videolist single entity pages
+
+ * .../views/default/riverdashboard/css.php, views/default/css.php,
+ views/default/js/initialise_elgg.php, views/default/likes/forms/edit.php:
+ Added a popup list of users for Likes.
+
+2010-04-09 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/login.php: Allow login via email.
+
+2010-04-09 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/elgg_header.php: allow for a site logo
+
+2010-04-08 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/twitterservice/languages/en.php, mod/twitterservice/manifest.xml,
+ mod/twitterservice/start.php, mod/twitterservice/vendors/twitter/license.txt,
+ mod/twitterservice/vendors/twitter/load.php,
+ mod/twitterservice/vendors/twitter/readme.txt,
+ mod/twitterservice/vendors/twitter/send.php,
+ .../vendors/twitter/twitter.class.php,
+ .../default/usersettings/twitterservice/edit.php: Moving Twitter Service
+ plugin from core into the plugins directory.
+
+ * mod/blog/languages/en.php: Adding a language definition.
+
+2010-04-07 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/river/item/wrapper.php: conversations now use
+ generic_comments for replies so no need for the extra logic in the river
+ wrapper.
+
+2010-04-07 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/actions/addcomment.php, mod/profile/actions/deletecomment.php,
+ mod/profile/actions/editfield.php, mod/profile/actions/reorder.php,
+ .../default/profile/commentwall/commentwall.php,
+ .../profile/commentwall/commentwall_content.php,
+ .../default/profile/commentwall/commentwalladd.php,
+ .../default/profile/editdefaultprofileitems.php,
+ .../profile/profile_contents/commentwall.php: Removed windows line endings.
+
+ * mod/profile/actions/deletedefaultprofileitem.php,
+ .../default/profile/editdefaultprofileitems.php: Fixed incorrect casting to
+ int for profile ids. Fixed incorrect URL for reordering.
+
+ * mod/profile/start.php: Fixed displaying of custom profile fields on
+ profile page.
+
+ * mod/profile/start.php, .../default/profile/editdefaultprofileitems.php:
+ Fixed editable profile fields.
+
+ * mod/profile/vendor/jq.editable.min.js,
+ mod/profile/vendor/jquery.jeditable.mini.js,
+ .../default/profile/editdefaultprofileitems.php: Wrong jquery editable lib.
+
+ * mod/profile/actions/deletedefaultprofileitem.php,
+ mod/profile/actions/editdefault.php, mod/profile/actions/editfield.php,
+ mod/profile/actions/reorder.php, mod/profile/actions/resetdefaultprofile.php,
+ mod/profile/defaultprofile.php, mod/profile/graphics/drag_handle.png,
+ mod/profile/languages/en.php, mod/profile/manifest.xml,
+ mod/profile/start.php, mod/profile/vendor/jq.editable.min.js,
+ .../views/default/profile/editdefaultprofile.php,
+ .../default/profile/editdefaultprofileitems.php: First go at merging in
+ draggable profile fields. Added categories to profile plugin.
+
+ * engine/lib/elgglib.php: Added optional html_encode parameter to
+ elgg_add_action_tokens_to_url() so it can be used in ajax calls.
+
+2010-04-07 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/blog_lib.php, mod/blog/languages/en.php, mod/blog/start.php:
+ Highlighting current tab in content header. Includes updates to language
+ strings.
+
+2010-04-07 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/bookmarks/index.php: mine tab selects correctly now
+
+2010-04-07 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/blog_lib.php, mod/blog/languages/en.php, mod/blog/start.php,
+ mod/blog/views/default/object/blog.php: Creating content for the blog posts
+ of user's friends.
+
+2010-04-07 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/admin/plugins_opt/plugin.php: Fixed broken interface on
+ updated plugin list
+
+2010-04-07 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/actions/blog/auto_save_revision.php,
+ mod/blog/views/default/blog/forms/edit.php: Updating autosave handler and
+ "Saved date" return values.
+
+ * engine/lib/metadata.php: Ensuring malformed offset/limit values are
+ ignored by elgg_list_entities_from_metadata(). Refs #2046
+
+2010-04-07 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php, .../views/default/river/object/blog/create.php,
+ mod/groups/views/default/river/forum/create.php,
+ .../views/default/river/forum/topic/create.php,
+ .../views/default/river/object/page/create.php,
+ .../views/default/river/item/wrapper.php,
+ .../views/default/riverdashboard/css.php,
+ .../views/default/riverdashboard/js.php,
+ .../views/default/riverdashboard/rivercomment.php,
+ views/default/annotation/annotate.php, views/default/annotation/likes.php,
+ views/default/css.php, views/default/js/initialise_elgg.php,
+ views/default/likes/forms/display.php, views/default/likes/forms/edit.php,
+ views/default/likes/forms/link.php: Riverdashboard refreshed, and updated
+ display of comments and likes (the loading of these needs to be moved to ajax
+ callbacks)
+
+2010-04-07 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../default/profile/profile_contents/feeds.php,
+ .../views/default/profile/profile_navigation.php,
+ .../views/default/profile/profile_ownerblock.php: profile feeds moved from
+ top tabs
+
+2010-04-07 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/settings/system.php: Removed installation usage settings.
+
+2010-04-06 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php, views/default/admin/plugins.php,
+ views/default/admin/plugins_opt/plugin.php, views/default/css.php,
+ views/default/js/initialise_elgg.php: Added screenshots to plugins list.
+
+2010-04-06 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * CONTRIBUTORS.txt:
+
+ * CONTRIBUTORS.txt: upon request
+
+2010-04-06 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Sanitise int on the offset.
+
+2010-04-06 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/elgg_footer.php: Footer float cleared for
+ theming purposes.
+
+2010-04-05 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/actions/blog/save.php, mod/blog/views/default/blog/css.php,
+ mod/blog/views/default/blog/forms/edit.php,
+ mod/blog/views/default/input/datetime.php: Adding a datetime view for use
+ with blog publication.
+
+2010-04-05 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/plugins.php, languages/en.php, views/default/admin/plugins.php,
+ views/default/admin/plugins_opt/plugin.php: Added category and name as valid
+ plugin manifest keys/values.
+
+2010-04-05 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/metadata.php: Metadata lists will default offset and limit to
+ existing url elements.
+
+2010-04-05 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/plugins.php: load_plugin_manifest() converts multiple keys with
+ the same name into assoc arrays.
+
+2010-04-05 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/views/default/object/blog.php: Ensuring generic text line breaks
+ are replaced by paragraph tags.
+
+ * engine/lib/metadata.php: Passing entity list options on to view.
+
+ * engine/lib/elgglib.php: Refs #1947: Do not require views to explicitly
+ pass in the offset.
+
+2010-04-05 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, engine/lib/input.php, engine/schema/mysql.sql,
+ mod/htmlawed/start.php, mod/messages/views/default/messages/css.php,
+ .../notifications/subscriptions/forminternals.php,
+ mod/profile/actions/edit.php, mod/search/languages/en.php,
+ mod/search/search_hooks.php, mod/search/views/default/search/css.php,
+ mod/search/views/default/search/entity.php,
+ mod/search/views/rss/search/comments/entity.php,
+ views/default/account/forms/register.php, views/default/friends/picker.php,
+ views/default/output/friendlytitle.php: Merged 5605:5622 from 1.7 to trunk.
+
+ * CHANGES.txt, actions/admin/user/makeadmin.php,
+ actions/admin/user/removeadmin.php, actions/register.php,
+ actions/useradd.php, engine/lib/actions.php, engine/lib/annotations.php,
+ engine/lib/database.php, engine/lib/elgglib.php, engine/lib/entities.php,
+ engine/lib/group.php, engine/lib/metadata.php, engine/lib/notification.php,
+ engine/lib/river2.php, engine/lib/sessions.php,
+ engine/lib/upgrades/2010033101.php, engine/lib/upgrades/2010040201.php,
+ engine/lib/users.php, engine/lib/widgets.php,
+ engine/schema/upgrades/2009100701.sql,
+ engine/tests/api/entity_getter_functions.php, engine/tests/objects/users.php,
+ languages/en.php, mod/bookmarks/index.php, mod/bookmarks/start.php,
+ .../views/default/widgets/bookmarks/edit.php, mod/file/index.php,
+ mod/file/start.php, mod/file/views/default/widgets/filerepo/edit.php,
+ mod/file/views/default/widgets/filerepo/view.php, mod/groups/discussions.php,
+ mod/pages/index.php, mod/pages/views/default/widgets/pages/edit.php,
+ mod/pages/views/default/widgets/pages/view.php, mod/profile/actions/edit.php,
+ mod/profile/icondirect.php, .../views/default/profile/menu/adminlinks.php,
+ mod/search/index.php, mod/thewire/actions/add.php, mod/thewire/everyone.php,
+ mod/thewire/languages/en.php, mod/thewire/start.php,
+ .../views/default/thewire/activity_view.php,
+ mod/thewire/views/default/thewire/css.php,
+ mod/thewire/views/default/widgets/thewire/edit.php,
+ mod/thewire/views/default/widgets/thewire/view.php,
+ .../views/rss/search/object/thewire/entity.php, version.php,
+ views/default/account/forms/register.php,
+ views/default/account/forms/useradd.php,
+ views/default/output/friendlytime.php,
+ views/default/output/friendlytitle.php, views/default/output/url.php,
+ views/default/page_elements/elgg_topbar.php: Merged 5530:5604 from 1.7 to
+ trunk.
+
+ * engine/lib/entities.php, mod/bookmarks/actions/add.php,
+ mod/embed/views/default/embed/media.php,
+ mod/embed/views/default/embed/pagination.php, mod/file/actions/save.php,
+ mod/groups/actions/edit.php, mod/groups/actions/forums/addtopic.php,
+ mod/groups/actions/forums/edittopic.php, mod/members/index.php,
+ mod/members/views/default/members/search.php, mod/messages/actions/send.php,
+ mod/pages/actions/pages/edit.php, views/default/output/url.php,
+ views/failsafe/messages/sanitisation/settings.php: Merged 5487:5525 from 1.7
+ to trunk.
+
+2010-04-05 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../default/profile/profile_contents/feeds.php: count added
+
+ * mod/profile/profile_lib.php,
+ .../default/profile/profile_contents/feeds.php,
+ .../views/default/profile/profile_navigation.php: feeds options added to the
+ profile
+
+ * views/default/account/forms/login.php: return to referer added to the
+ login form
+
+2010-04-03 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../notifications/subscriptions/forminternals.php: merged [5526] - [5530]
+ into trunk from 1.7 branch - there are more changes before and after these
+ revisions to be merged
+
+ * views/default/admin/plugins.php: the disable button may be for disabling
+ plugins but it is not disabled itself
+
+ * CHANGES.txt, engine/lib/elgglib.php, views/default/admin/plugins.php,
+ views/default/admin/plugins_opt/plugin.php: Fixes #1184 - added
+ elgg_format_url() for handling ampersands in urls
+
+ * views/default/page_elements/elgg_topbar.php: target is not allowed in
+ xhtml strict
+
+ * views/default/account/forms/login.php: fixed some markup issues with login
+ box
+
+ * views/default/navigation/site_nav.php: only display navigation if there
+ are registered nav items - cannot have ul element without li elements
+
+ * views/default/page_elements/elgg_footer.php,
+ views/default/page_elements/html_begin.php: removed two elements not allowed
+ with xhtml strict and removed blank line at top of html sent to browser
+
+2010-04-02 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/navigation/site_nav.php: Fixed the empty nav item entries
+ when automatically building menu.
+
+2010-04-02 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/blog_lib.php: Determining which content header to use based on
+ blog owner. Primary navigation tabs should not display on other users' blog
+ lists.
+
+ * mod/blog/blog_lib.php, mod/blog/languages/en.php: Allowing non-owners to
+ view published blog posts.
+
+ * mod/blog/blog_lib.php: Truncating lengthy blog excerpts on word breaks.
+
+ * mod/blog/blog_lib.php, mod/blog/languages/en.php, mod/blog/start.php,
+ mod/blog/views/default/object/blog.php: Fixing breadcrumb navigation and
+ content header links for blogs.
+
+ * views/default/page_elements/content_header.php: Allowing default page
+ handler to be overridden. You can pass in full url overrides to the content
+ header view for all/mine/friend tabs.
+
+2010-04-02 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../default/profile/profile_contents/activity.php: limit set for profile
+ river
+
+ * views/default/annotation/latest_comments.php: small lang tweak
+
+ * mod/groups/start.php: point the groups main nav link to the all groups
+ page
+
+ * mod/bookmarks/all.php, mod/bookmarks/languages/en.php,
+ mod/bookmarks/views/default/bookmarks/stats.php: bookmark stats now correct,
+ missing strings applied and a function call moved out of the view.
+
+ * mod/profile/start.php: hash out the profile menu option for now, this will
+ be moved to the topbar.
+
+2010-03-31 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/more_sprite.png, languages/en.php,
+ views/default/admin/menu_items.php, views/default/css.php,
+ views/default/css_ie.php, views/default/css_ie6.php,
+ views/default/navigation/site_nav.php: Updated site primary nav bar and
+ improved drop-down submenu and made IE compatible, also cleaned up / improved
+ menuitem admin area.
+
+2010-03-31 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/languages/en.php, .../views/default/river/object/blog/create.php:
+ blog river view altered to pull out some content
+
+2010-03-31 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css_ie.php, views/default/css_ie6.php,
+ views/default/page_elements/html_begin.php: Updated paths to IE specific css,
+ and triggered hasLayout for IE.
+
+2010-03-30 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/bookmarks/languages/en.php, mod/bookmarks/start.php,
+ .../views/default/bookmarks/group_bookmarks.php,
+ mod/groups/views/default/groups/css.php: Added the beginnings of a bookmark
+ view for group profile pages.
+
+ * mod/file/start.php, mod/file/views/default/file/groupprofile_files.php,
+ mod/groups/views/default/groups/css.php,
+ mod/groups/views/default/groups/profileitems.php: Added the beginnings of a
+ new method for displaying the latest content from each tool on a groups
+ profile page.
+
+ * mod/blog/views/default/blog/sidebar_menu.php: Changed blog archive header
+ tag.
+
+ * mod/profile/languages/en.php,
+ .../default/profile/commentwall/commentwall.php,
+ .../profile/commentwall/commentwall_content.php,
+ .../default/profile/commentwall/commentwalladd.php,
+ mod/profile/views/default/profile/css.php: Updated UI for commentwall on
+ profile page.
+
+2010-03-29 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/actions/addcomment.php, mod/profile/actions/deletecomment.php,
+ mod/profile/languages/en.php, mod/profile/profile_lib.php,
+ mod/profile/start.php, .../default/profile/commentwall/commentwall.php,
+ .../profile/commentwall/commentwall_content.php,
+ .../default/profile/commentwall/commentwalladd.php,
+ .../profile/profile_contents/commentwall.php,
+ .../views/default/profile/profile_navigation.php: simple comment wall added
+ to profiles
+
+ * .../views/default/profile/profile_navigation.php: inserted a view for
+ others to extend on profile navigation
+
+2010-03-26 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/river/object/blog/create.php: Added river item views to
+ blog.
+
+ * README.txt: Changed Ben's email addy in README.txt.
+
+2010-03-25 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/likes/forms/edit.php: Updated Likes link.
+
+ * languages/en.php, views/default/comments/forms/edit.php: Updated generic
+ comment submit button text.
+
+2010-03-25 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * README.txt: Bumped version in README.
+
+ * actions/likes/delete.php, mod/bookmarks/actions/edit.php,
+ mod/bookmarks/actions/reference.php, mod/bookmarks/actions/remove.php,
+ mod/bookmarks/views/default/bookmarks/stats.php,
+ .../views/default/members/members_navigation.php,
+ mod/riverdashboard/endpoint/ping.php, mod/riverdashboard/index.php,
+ mod/riverdashboard/languages/en.php, mod/riverdashboard/start.php,
+ .../views/default/riverdashboard/container.php,
+ .../views/default/riverdashboard/menu.php,
+ .../views/default/riverdashboard/nav.php,
+ .../views/default/riverdashboard/rivercomment.php,
+ .../views/default/riverdashboard/welcome.php,
+ mod/sitepages/actions/addmeta.php, mod/sitepages/sitepages_functions.php,
+ .../views/default/settings/sitepages/edit.php,
+ .../views/default/sitepages/forms/editmeta.php,
+ mod/sitepages/views/default/sitepages/keywords.php,
+ mod/sitepages/views/default/sitepages/metatags.php,
+ views/default/annotation/annotatelike.php,
+ views/default/annotation/likes.php, views/foaf/search/entity_list.php,
+ views/opendd/search/entity_list.php, views/rss/search/entity_list.php:
+ Converting all line endings to unix style.
+
+ * .../views/default/riverdashboard/js.php,
+ .../views/default/riverdashboard/rivercomment.php,
+ views/default/js/initialise_elgg.php, views/default/likes/forms/edit.php:
+ Fixed likes interface JS.
+
+ * mod/blog/actions/blog/save.php, mod/blog/blog_lib.php,
+ mod/blog/languages/en.php, mod/blog/start.php: Added river support to blog.
+
+ * engine/lib/annotations.php, engine/lib/elgglib.php: Cleaned up the likes
+ interface a bit.
+
+ * mod/blog/blog_lib.php: Fixed weird login in blog for real.
+
+ * mod/blog/blog_lib.php: Added access controls to blog archive grabbing
+ function. Corrected weird logic for checking validity of blog entities while
+ displaying posts.
+
+2010-03-25 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../default/file/specialcontent/audio/mp3.php,
+ .../default/file/specialcontent/audio/mpeg.php,
+ .../default/file/specialcontent/audio/mpg.php: Small update to zaudio player
+ spacing for new layout.
+
+2010-03-24 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/search/comments/entity.php,
+ views/default/annotation/generic_comment.php: Added anchor names for
+ comments.
+
+ * actions/admin/menu_items.php, engine/lib/elgglib.php, languages/en.php,
+ views/default/admin/menu_items.php: Added ability to make arbitrary links for
+ the menu items.
+
+ * mod/blog/blog_lib.php, mod/blog/views/default/blog/sidebar_menu.php: Added
+ archive view for blogs.
+
+ * engine/lib/metadata.php: Added documentation for order_by_metadata.
+
+2010-03-24 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/tags.php: tag cloud functions are deprecated in 1.8
+
+2010-03-23 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/blog_lib.php, mod/blog/languages/en.php, mod/blog/start.php,
+ mod/blog/views/default/blog/sidebar_menu.php: Added filtering by archive
+ date.
+
+ * views/default/input/datepicker.php: Corrected bug in datepicker for
+ passing in values.
+
+ * CHANGES.txt, engine/lib/entities.php: Added remove_subtype() and
+ update_subtype().
+
+ * engine/lib/elgglib.php: Added missing merge from Merge Monday.
+
+ * mod/blog/actions/blog/auto_save_revision.php,
+ mod/blog/actions/blog/save.php, mod/blog/blog_lib.php,
+ mod/blog/languages/en.php, mod/blog/start.php,
+ mod/blog/views/default/blog/forms/edit.php,
+ mod/blog/views/default/object/blog.php: Added an ElggBlog class to override
+ the time_created field. Added extra language files.
+
+2010-03-23 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/languages/en.php, mod/riverdashboard/index.php: Minor update
+ to profile language strings, and corrected view on riverdashboard for views
+ to extend.
+
+ * views/default/input/userpicker.php: Updated userpicker to use generic
+ delete button
+
+ * views/default/page_elements/elgg_topbar.php: Reordered the top toolbar
+ items.
+
+ * views/default/css.php: Moved Shared Access css into plugin
+
+2010-03-23 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/navigation/site_nav.php: Added selected class in navbar
+ menu.
+
+2010-03-23 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/sitepages/languages/en.php,
+ .../views/default/sitepages/forms/edit.php,
+ .../views/default/sitepages/forms/editfront.php,
+ .../views/default/sitepages/forms/editmeta.php,
+ mod/sitepages/views/default/sitepages/keywords.php,
+ mod/sitepages/views/default/sitepages/menu.php,
+ mod/sitepages/views/default/sitepages/metatags.php: Updated SitePages to new
+ code standards & UI.
+
+2010-03-22 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php, views/default/settings/upgrading.php: Update to
+ ajax loader css and upgrade.php
+
+2010-03-22 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php, engine/lib/configuration.php,
+ engine/lib/elgglib.php, engine/lib/entities.php, engine/lib/input.php,
+ engine/lib/install.php, engine/lib/metadata.php, engine/lib/tags.php,
+ engine/lib/users.php, languages/en.php,
+ mod/bookmarks/views/default/bookmarks/form.php,
+ mod/custom_index/languages/en.php, mod/externalpages/actions/add.php,
+ .../views/default/expages/forms/edit.php,
+ mod/groups/actions/groupskillinvitation.php, mod/groups/all.php,
+ mod/groups/languages/en.php, mod/groups/views/default/groups/find.php,
+ .../views/default/groups/invitationrequests.php,
+ mod/groups/views/rss/groups/profileitems.php,
+ mod/groups/views/rss/object/groupforumtopic.php, mod/messages/index.php,
+ mod/messages/sent.php, mod/search/views/rss/search/layout.php,
+ mod/thewire/start.php, mod/tinymce/views/default/input/longtext.php,
+ views/default/output/tagcloud.php,
+ .../messages/sanitisation/dbsettings_error.php: Merged 1.7 bugfixes back into
+ core. (5376:HEAD).
+
+2010-03-22 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/file/start.php, mod/file/views/default/file/menu.php,
+ mod/messages/start.php, mod/messages/views/default/messages/menu.php,
+ mod/pages/start.php, mod/pages/views/default/pages/menu.php,
+ mod/profile/languages/en.php, mod/profile/start.php,
+ mod/profile/views/default/profile/css.php,
+ mod/profile/views/default/profile/icon.php,
+ mod/profile/views/default/profile/menu/actions.php,
+ .../views/default/profile/menu/adminwrapper.php,
+ .../views/default/profile/menu/friendlinks.php,
+ mod/profile/views/default/profile/menu/links.php,
+ .../views/default/profile/menu/linksownpage.php,
+ .../views/default/profile/profile_ownerblock.php,
+ mod/profile/views/default/profile/submenu.php,
+ .../views/default/reportedcontent/user_report.php: Updated avatar menu:
+ Removed links to tools, provided a view to extend menu, added @username to
+ menu, cleaned-up and simplified menu generation.
+
+ * mod/profile/index.php, mod/profile/profile_lib.php, mod/profile/start.php,
+ .../views/default/profile/profile_navigation.php: Removed widget view from
+ Profile.
+
+2010-03-21 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/riverdashboard/rivercomment.php: hide the river comment
+ form - still need to add a handle to each one so the correct comment form
+ opens
+
+ * actions/comments/add.php, actions/comments/delete.php,
+ mod/riverdashboard/start.php, .../views/default/river/item/wrapper.php,
+ .../views/default/riverdashboard/rivercomment.php: the beginnings of a
+ mechanism to comment on river objects
+
+ * actions/likes/delete.php: after delete action, return to the correct
+ context
+
+ * views/default/annotation/likes.php: timestamp added to like action view
+
+ * .../views/default/river/item/wrapper.php,
+ views/default/likes/forms/edit.php: more tweaks to likes on the activity
+ stream
+
+ * .../views/default/river/item/wrapper.php: likes added to the activity
+ river
+
+ * mod/blog/views/default/object/blog.php: like display added to blog object
+
+ * mod/blog/views/default/object/blog.php: like added to blog posts
+
+ * actions/likes/add.php, actions/likes/delete.php,
+ engine/lib/annotations.php, engine/lib/elgglib.php, languages/en.php,
+ views/default/annotation/annotatelike.php,
+ views/default/annotation/likes.php, views/default/likes/forms/edit.php:
+ generic like this functionality added
+
+2010-03-20 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, languages/en.php,
+ views/default/admin/menu_items.php, views/default/css.php,
+ views/default/navigation/site_nav.php,
+ views/default/navigation/topbar_tools.php,
+ views/default/page_elements/elgg_topbar.php: Moved toolbar dropdown menu to
+ main nav. Added CSS for main nav dropdown. Emptied navigation/topbar_tools
+ view.
+
+ * engine/start.php: Removed non-working "lightmode" option.
+
+ * engine/lib/elgglib.php, engine/start.php: Removed ui_page_setup() to fix
+ installation. Gave engine/start.php a bit of love.
+
+2010-03-19 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/start.php, mod/bookmarks/start.php, mod/file/start.php,
+ mod/groups/start.php, mod/riverdashboard/start.php,
+ mod/thewire/languages/en.php, mod/thewire/start.php: Default all tools' menu
+ items to "world" view. Removed "My" prefix.
+
+ * mod/tinymce/views/default/input/longtext.php: Added a space between the
+ word count colon and the number for tinymce.
+
+ * engine/lib/elgglib.php: Namespaces sticky forms. Requires more testing.
+
+2010-03-19 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/content_header_filter.gif,
+ vendors/jquery/custom-form-elements.js, views/default/css.php,
+ views/default/page_elements/content_header.php: Removed page content area
+ filter menu, in favor of simple tabs.
+
+ * languages/en.php, mod/blog/views/default/blog/sidebar_menu.php,
+ mod/file/friends.php, mod/file/index.php, mod/file/world.php,
+ views/default/annotation/latest_comments.php, views/default/css.php: Updated
+ latest comments to new UI and coding standards, and added to Blog and Files
+ sidebar.
+
+2010-03-18 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/actions/blog/auto_save_revision.php,
+ mod/blog/actions/blog/save.php, mod/blog/actions/blog/save_draft.php,
+ mod/blog/blog_lib.php, mod/blog/languages/en.php, mod/blog/start.php,
+ mod/blog/views/default/blog/forms/edit.php,
+ mod/blog/views/default/blog/sidebar_edit.php,
+ mod/blog/views/default/blog/sidebar_menu.php,
+ mod/blog/views/default/blog/sidebar_revisions.php,
+ mod/blog/views/default/object/blog.php: Updated blog to support multiple
+ revisions and automatic saving of drafts.
+
+2010-03-18 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/views/default/blog/sidebar_menu.php,
+ mod/blog/views/default/object/blog.php, mod/bookmarks/add.php,
+ mod/bookmarks/all.php, mod/bookmarks/friends.php, mod/bookmarks/index.php,
+ mod/bookmarks/views/default/object/bookmarks.php: Included a view for plugins
+ to extend (on the new reworked Bookmarks and Blogs).
+
+2010-03-17 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/languages/en.php: Added blog languages.
+
+ * actions/admin/menu_items.php, admin/menu_items.php, engine/lib/admin.php,
+ engine/lib/elgglib.php, engine/lib/users.php, languages/en.php,
+ views/default/admin/menu_items.php, views/default/navigation/site_nav.php,
+ views/default/navigation/topbar_tools.php: Added config options for tabs and
+ menu items.
+
+2010-03-17 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../default/profile/profile_contents/activity.php,
+ .../views/default/river/item/wrapper.php, views/default/css.php,
+ views/default/navigation/site_nav.php: Updates to: site nav (changed unused
+ id's to title tags), latest wire post in admin/user lists, riverdashboard
+ timestamps unified, and latest wire post on profile page.
+
+2010-03-17 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/configuration.php: Updated documentation for set_config().
+ Removed unnecessary escaping of value before serializing, which broke arrays
+ anyway...
+
+ * views/default/input/pulldown.php: Documented pulldown quirks for NULL and
+ FALSE as values. Fixed bug when calling htmlentities() on the values before
+ testing them.
+
+ * views/default/input/pulldown.php: Refs #1362: Fixed a problem when
+ comparing int and string values to determin the pulldown's selected value.
+
+2010-03-16 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/messages/views/default/messages/css.php: Minor css update to new
+ message notification.
+
+ * views/default/navigation/site_nav.php: Addition of 'conversations' to
+ temporary site_nav.
+
+ * entities/list.php, mod/blog/start.php,
+ mod/bookmarks/views/default/bookmarks/stats.php,
+ .../views/default/widgets/bookmarks/view.php, mod/members/index.php: Replaced
+ more calls to deprecated functions.
+
+ * services/export/handler.php, views/default/export/entity.php,
+ views/default/export/metadata.php, views/default/export/relationship.php:
+ Updates to default entity views (within admin/log browser).
+
+ * mod/logbrowser/views/default/logbrowser/form.php: Minor update (form
+ spacing) to log browser.
+
+ * .../views/default/reportedcontent/css.php,
+ .../views/default/reportedcontent/form.php: Minor UI updates to reported
+ content.
+
+ * mod/file/views/default/widgets/filerepo/view.php,
+ views/default/navigation/viewtype.php: Minor updates to Files.
+
+ * mod/embed/embed.php, mod/embed/images/button_spacer.gif,
+ mod/embed/images/loading.gif, mod/embed/images/media_modal.png,
+ mod/embed/start.php, mod/embed/views/default/embed/css.php,
+ mod/embed/views/default/embed/js.php, mod/embed/views/default/embed/link.php,
+ mod/embed/views/default/embed/media.php,
+ mod/embed/views/default/embed/pagination.php,
+ mod/embed/views/default/embed/simpletype.php,
+ mod/embed/views/default/embed/tabs.php,
+ mod/embed/views/default/embed/upload.php,
+ mod/embed/views/default/group/default/embed.php,
+ mod/embed/views/default/object/default/embed.php,
+ mod/embed/views/default/object/file/embed.php,
+ mod/embed/views/default/object/file/embedlist.php,
+ mod/embed/views/default/site/default/embed.php,
+ mod/embed/views/default/user/default/embed.php: Updated Embed mod to new UI.
+
+ * views/default/css.php: Updates to generic comments
+
+2010-03-15 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/file/views/default/file/css.php,
+ mod/file/views/default/object/file.php: Further updates to files single
+ entity view.
+
+ * entities/index.php, mod/file/edit.php, mod/file/friends.php,
+ mod/file/index.php, mod/file/languages/en.php, mod/file/search.php,
+ mod/file/start.php, mod/file/upload.php, mod/file/views/default/file/css.php,
+ mod/file/views/default/file/groupprofile_files.php,
+ mod/file/views/default/file/typecloud.php,
+ mod/file/views/default/file/upload.php,
+ mod/file/views/default/object/file.php, mod/file/world.php,
+ mod/profile/views/default/profile/css.php,
+ mod/search/views/default/search/gallery.php,
+ mod/tinymce/views/default/tinymce/css.php, views/default/css.php,
+ views/default/entities/gallery.php, views/default/navigation/site_nav.php:
+ Updated File mod to new UI.
+
+ * mod/profile/defaultprofile.php: Corrected misspelt classname.
+
+2010-03-15 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/tagcloud/start.php: Replaced extend_view() with elgg_extend_view().
+
+ * .../views/default/reportedcontent/listing.php, mod/sitepages/start.php,
+ views/default/output/confirmlink.php, views/default/output/url.php: Replaced
+ calls to deprecated elgg_validate_action_url() with
+ elgg_add_action_tokens_to_url().
+
+2010-03-15 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/tinymce/views/default/input/longtext.php,
+ mod/tinymce/views/default/tinymce/css.php, views/default/css.php: Update to
+ longtext/tintMCE input box ui.
+
+ * admin/plugins.php, mod/profile/defaultprofile.php,
+ mod/profile/views/default/profile/css.php,
+ .../views/default/profile/editdefaultprofile.php,
+ .../views/default/reportedcontent/listing.php,
+ mod/sitepages/views/default/sitepages/menu.php,
+ views/default/admin/plugins.php, views/default/admin/plugins_opt/plugin.php,
+ views/default/admin/site.php, views/default/admin/user.php,
+ views/default/css.php, views/default/settings/system.php: Updates to Admin
+ area UI.
+
+ * mod/captcha/captcha.php, mod/captcha/start.php,
+ mod/captcha/views/default/captcha/css.php,
+ mod/captcha/views/default/input/captcha.php: Updated Captcha UI.
+
+ * mod/twitter/views/default/twitter/css.php,
+ mod/twitter/views/default/widgets/twitter/view.php,
+ mod/twitterservice/start.php,
+ .../default/usersettings/twitterservice/edit.php: Updates to Twitter and
+ TwitterService UI.
+
+ * views/default/usersettings/plugins.php: Small update to
+ user-settings/tools page.
+
+ * mod/thewire/everyone.php: 鈥nd doing it properly this time.
+
+ * mod/invitefriends/index.php, mod/invitefriends/manifest.xml,
+ mod/invitefriends/start.php, .../views/default/invitefriends/formitems.php:
+ Updated Invite Friends mod to new ui.
+
+ * .../graphics/icon_notifications_site.gif, mod/notifications/groups.php,
+ mod/notifications/index.php, mod/notifications/languages/en.php,
+ .../views/default/notifications/css.php,
+ .../notifications/settings/usersettings.php,
+ .../notifications/subscriptions/collections.php,
+ .../default/notifications/subscriptions/form.php,
+ .../notifications/subscriptions/forminternals.php,
+ .../notifications/subscriptions/groupsform.php,
+ .../notifications/subscriptions/personal.php, views/default/css.php: Updated
+ Notifications to new UI.
+
+2010-03-13 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * version.php: Trunk isn't 1.7.
+
+2010-03-13 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/search/views/default/search/search_box.php,
+ views/default/input/form.php: seems you need to wrap form inputs fields with
+ the fieldset tag for strict xhtml
+
+ * views/default/page_elements/html_begin.php: capitals not allow for strict
+ xhtml
+
+ * views/default/page_elements/html_begin.php: self close the favicon link
+
+2010-03-12 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/views/default/blog/sidebar_menu.php,
+ mod/tagcloud/languages/de.php, mod/tagcloud/languages/en.php,
+ mod/tagcloud/manifest.xml, mod/tagcloud/start.php, mod/tagcloud/tagcloud.php,
+ mod/tagcloud/views/default/output/tagcloud.php,
+ mod/tagcloud/views/default/tagcloud/css.php,
+ .../views/default/widgets/tagcloud/edit.php,
+ .../views/default/widgets/tagcloud/view.php, views/default/css.php: Added
+ updated tagcloud plugin and added to core mods.
+
+2010-03-12 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * UPGRADE.txt, admin/user.php, engine/lib/elgglib.php, index.php,
+ languages/en.php, mod/diagnostics/start.php, mod/file/friends.php,
+ mod/file/index.php, mod/file/search.php, mod/file/world.php,
+ mod/groups/all.php, mod/groups/index.php, mod/groups/membership.php,
+ mod/groups/views/default/forms/forums/addpost.php,
+ mod/groups/views/default/forms/forums/addtopic.php,
+ mod/groups/views/default/forms/groups/edit.php,
+ mod/pages/actions/pages/edit.php, mod/pages/index.php,
+ mod/pages/languages/en.php, mod/pages/views/default/forms/pages/edit.php,
+ mod/pages/views/default/pages/welcome.php, mod/pages/world.php,
+ mod/reportedcontent/actions/add.php, mod/reportedcontent/actions/archive.php,
+ mod/reportedcontent/actions/delete.php, mod/search/search_hooks.php,
+ mod/thewire/everyone.php, mod/uservalidationbyemail/start.php: Merged Cash's
+ bugfixes from the 1.7 branch (3288:5377).
+
+2010-03-12 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/header_shadow.png, mod/blog/views/default/blog/css.php,
+ mod/blog/views/default/object/blog.php,
+ views/default/annotation/annotate.php,
+ views/default/annotation/generic_comment.php,
+ views/default/annotation/latest_comments.php, views/default/css.php:
+ Updated/cleaned-up generic comments with new UI.
+
+ * mod/blog/views/default/blog/css.php,
+ mod/blog/views/default/blog/forms/edit.php,
+ mod/blog/views/default/object/blog.php,
+ views/default/annotation/generic_comment.php,
+ views/default/comments/forms/edit.php: Updated interface on new blog
+ (listings and edit/new blog pages).
+
+ * mod/bookmarks/views/default/object/bookmarks.php,
+ mod/groups/views/default/forum/topicposts.php,
+ mod/groups/views/default/groups/css.php,
+ mod/messages/views/default/messages/view.php,
+ mod/thewire/views/default/object/thewire.php, views/default/css.php: Updated
+ and simplified generic entity listings metadata block (edit, delete, access)
+ to not use tables.
+
+ * mod/blog/views/default/blog/sidebar_menu.php,
+ mod/groups/views/default/groups/side_menu.php, mod/members/index.php,
+ mod/profile/views/default/profile/submenu.php,
+ .../views/default/riverdashboard/menu.php,
+ views/default/canvas_header/submenu_group.php, views/default/css.php,
+ views/default/page_elements/owner_block.php,
+ views/default/page_elements/title.php: Removed unneeded div from around
+ submenu lists.
+
+2010-03-12 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/metadata.php: Added elgg_list_entities_from_metadata() and
+ deprecated list_entities_from_metadata().
+
+ * views/default/input/calendar.php, views/default/input/datepicker.php:
+ Added datepicker view and deprecated calendar view.
+
+ * mod/blog/actions/add.php, mod/blog/actions/blog/delete.php,
+ mod/blog/actions/blog/save.php, mod/blog/actions/blog/save_draft.php,
+ mod/blog/actions/delete.php, mod/blog/actions/edit.php, mod/blog/add.php,
+ mod/blog/all.php, mod/blog/archive.php, mod/blog/blog_lib.php,
+ mod/blog/edit.php, mod/blog/endpoint/index.php, mod/blog/everyone.php,
+ mod/blog/friends.php, mod/blog/index.php, mod/blog/languages/en.php,
+ mod/blog/manifest.xml, mod/blog/preview.php, mod/blog/read.php,
+ mod/blog/savedraft.php, mod/blog/shared.php, mod/blog/start.php,
+ mod/blog/views/default/blog/archive.php,
+ mod/blog/views/default/blog/categorylist.php,
+ mod/blog/views/default/blog/css.php,
+ mod/blog/views/default/blog/forms/edit.php,
+ mod/blog/views/default/blog/gallery.php,
+ mod/blog/views/default/blog/listing.php,
+ mod/blog/views/default/blog/menu.php,
+ mod/blog/views/default/blog/notfound.php,
+ mod/blog/views/default/blog/previewpane.php,
+ mod/blog/views/default/blog/sidebar_menu.php,
+ mod/blog/views/default/blog/stats.php, mod/blog/views/default/blog/view.php,
+ mod/blog/views/default/object/blog.php,
+ .../views/default/river/object/blog/annotate.php,
+ .../views/default/river/object/blog/create.php,
+ .../views/default/river/object/blog/update.php: Rough first version of a new
+ blog plugin.
+
+2010-03-11 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/search/views/default/search/css.php: Minor interface updates for
+ search results.
+
+2010-03-11 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * CHANGES.txt, engine/lib/elgglib.php,
+ mod/tinymce/views/default/input/longtext.php, views/default/input/access.php,
+ views/default/input/calendar.php, views/default/input/checkboxes.php,
+ views/default/input/email.php, views/default/input/hidden.php,
+ views/default/input/longtext.php, views/default/input/plaintext.php,
+ views/default/input/pulldown.php, views/default/input/radio.php,
+ views/default/input/tags.php, views/default/input/text.php,
+ views/default/input/url.php, views/default/input/userpicker.php: Implemented
+ sticky forms.
+
+2010-03-11 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/search/comments/entity.php,
+ mod/search/views/default/search/css.php,
+ mod/search/views/default/search/entity.php,
+ mod/search/views/default/search/listing.php, views/default/css.php,
+ views/default/entities/gallery_listing.php: Updated Search results UI.
+
+2010-03-11 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * CHANGES.txt, engine/lib/elgglib.php, mod/bookmarks/add.php,
+ mod/bookmarks/index.php, mod/groups/forum.php,
+ mod/groups/views/default/forms/forums/addtopic.php,
+ mod/groups/views/default/forum/viewposts.php,
+ mod/messages/views/default/messages/messages.php,
+ views/default/navigation/breadcrumbs.php,
+ views/default/page_elements/breadcrumbs.php,
+ views/default/page_elements/content_header.php: Added breadcrumb support and
+ updated the mods with old-style breadcrumbs.
+
+2010-03-11 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * admin/user.php, mod/blog/views/default/blog/css.php,
+ mod/blog/views/default/blog/forms/edit.php,
+ mod/embed/views/default/embed/upload.php,
+ mod/groups/views/default/groups/css.php,
+ .../views/default/invitefriends/formitems.php,
+ mod/tinymce/views/default/input/longtext.php, views/default/css.php,
+ views/default/user/search/finishblurb.php,
+ views/default/user/search/startblurb.php, views/failsafe/input/access.php,
+ views/failsafe/input/longtext.php, views/failsafe/input/text.php: Updates:
+ longtext editor default size added (and overridden in group/forums), more
+ updates to lists of members, remaining/previously-missed generic input
+ classes renamed to lowercase.
+
+ * friends/index.php, friends/of.php,
+ mod/members/views/default/members/css.php,
+ mod/profile/views/default/profile/listing.php,
+ mod/thewire/views/default/thewire/css.php,
+ views/default/admin/statistics_opt/online.php, views/default/css.php: Updated
+ friends/members listings when there is a latest wire post to display.
+
+ * mod/blog/views/default/blog/menu.php,
+ mod/file/views/default/file/menu.php,
+ mod/messages/views/default/messages/menu.php,
+ mod/pages/views/default/pages/menu.php,
+ mod/profile/views/default/profile/css.php,
+ mod/profile/views/default/profile/hoverover.php,
+ mod/profile/views/default/profile/icon.php,
+ mod/profile/views/default/profile/menu/actions.php,
+ .../views/default/profile/menu/adminwrapper.php,
+ mod/profile/views/default/profile/menu/links.php,
+ .../views/default/profile/menu/linksownpage.php,
+ .../views/default/reportedcontent/user_report.php: Updated avatar user menu
+ to generate an ordered list, reinstated the add/remove friend link, & cleaned
+ up css.
+
+ * .../default/river/object/bookmarks/create.php,
+ .../river/user/default/profileiconupdate.php,
+ .../default/river/user/default/profileupdate.php,
+ mod/riverdashboard/endpoint/ping.php,
+ mod/riverdashboard/graphics/refresh.png,
+ .../views/default/river/item/list.php,
+ .../views/default/river/item/wrapper.php,
+ .../views/default/riverdashboard/container.php,
+ .../views/default/riverdashboard/css.php,
+ .../views/default/riverdashboard/menu.php,
+ .../views/default/riverdashboard/nav.php,
+ .../views/default/riverdashboard/newestmembers.php,
+ .../views/default/riverdashboard/sitemessage.php,
+ .../views/default/riverdashboard/welcome.php,
+ .../views/default/river/object/thewire/create.php,
+ mod/thewire/views/default/thewire/css.php,
+ views/default/annotation/annotate.php, views/default/css.php,
+ views/default/friends/river/create.php, views/default/river/item/wrapper.php,
+ views/default/river/wrapper.php: Riverdashboard updated to new UI. DOM
+ simplified a bit & css cleaned up.
+
+2010-03-10 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * CHANGES.txt, engine/lib/elgglib.php, engine/lib/entities.php,
+ engine/tests/api/helpers.php: Added elgg_instanceof().
+
+2010-03-10 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/bookmarks/languages/en.php, mod/members/views/default/members/css.php,
+ .../views/default/notifications/css.php,
+ .../notifications/subscriptions/forminternals.php, views/default/css.php,
+ views/default/friends/collections.php, views/default/friends/picker.php,
+ views/default/js/friendsPickerv1.php: Updated Friends Picker UI and added to
+ core css.
+
+ * mod/groups/views/default/forms/groups/edit.php,
+ mod/groups/views/default/forms/groups/invite.php,
+ mod/groups/views/default/groups/css.php: Minor ui updates to group forms
+
+2010-03-10 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../default/profile/profile_contents/activity.php: profile activity
+ tweaked // it is still not working correctly but doesn't cause a wsod
+
+2010-03-10 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/all.php, mod/groups/discussions.php, mod/groups/forum.php,
+ mod/groups/groupprofile.php, mod/groups/index.php,
+ mod/groups/languages/en.php, mod/groups/start.php,
+ mod/groups/views/default/forms/forums/addpost.php,
+ mod/groups/views/default/forms/forums/addtopic.php,
+ mod/groups/views/default/forum/topicposts.php,
+ mod/groups/views/default/forum/topics.php,
+ mod/groups/views/default/forum/viewposts.php,
+ mod/groups/views/default/group/group.php,
+ .../views/default/groups/closedmembership.php,
+ mod/groups/views/default/groups/css.php,
+ mod/groups/views/default/groups/featured.php,
+ mod/groups/views/default/groups/find.php,
+ mod/groups/views/default/groups/forum_latest.php,
+ .../views/default/groups/group_sort_menu.php,
+ mod/groups/views/default/groups/groupgallery.php,
+ mod/groups/views/default/groups/grouplisting.php,
+ mod/groups/views/default/groups/groupprofile.php,
+ mod/groups/views/default/groups/icon.php,
+ .../views/default/groups/invitationrequests.php,
+ mod/groups/views/default/groups/members.php,
+ .../views/default/groups/membershiprequests.php,
+ mod/groups/views/default/groups/profileitems.php,
+ .../views/default/object/groupforumtopic.php,
+ mod/groups/views/rss/groups/profileitems.php: Updated Groups to the new
+ interface. Some view clean-up & simplification done.
+
+2010-03-10 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../river/user/default/profileiconupdate.php,
+ .../default/river/user/default/profileupdate.php: river time stamps added to
+ profile edits
+
+ * mod/bookmarks/views/default/object/bookmarks.php: bookmarks open in a new
+ window ticket #1965
+
+ * mod/riverdashboard/index.php: closing div applied. Note: this needs
+ cleaning up.
+
+ * mod/riverdashboard/endpoint/ping.php, mod/riverdashboard/index.php,
+ mod/riverdashboard/languages/en.php, mod/riverdashboard/manifest.xml,
+ mod/riverdashboard/start.php, .../views/default/river/dashboard.php,
+ .../views/default/river/item/list.php,
+ .../views/default/river/item/wrapper.php,
+ .../default/river/relationship/friend/create.php,
+ .../views/default/river/sitemessage/create.php,
+ .../views/default/riverdashboard/container.php,
+ .../views/default/riverdashboard/css.php,
+ .../views/default/riverdashboard/menu.php,
+ .../views/default/riverdashboard/nav.php,
+ .../views/default/riverdashboard/welcome.php,
+ .../views/default/settings/riverdashboard/edit.php,
+ .../views/default/widgets/river_widget/edit.php,
+ .../views/default/widgets/river_widget/view.php: the beginnings of a new
+ activity river with ajax notification and conversation clustering.
+
+ * engine/lib/river2.php: new activity river api functions
+
+2010-03-09 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Fixed incorrect deprecated function rewrite for
+ list_entities().
+
+ * .../canvas/layouts/one_column_with_sidebar.php: Fixed documentation for
+ one_column_with_sidebar layout.
+
+2010-03-09 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/actions/add.php, mod/blog/actions/delete.php,
+ mod/blog/actions/edit.php, mod/blog/add.php, mod/blog/edit.php,
+ mod/blog/endpoint/index.php, mod/blog/languages/en.php,
+ mod/blog/manifest.xml, mod/blog/savedraft.php, mod/blog/start.php,
+ mod/blog/views/default/blog/archive.php,
+ mod/blog/views/default/blog/categorylist.php,
+ mod/blog/views/default/blog/css.php,
+ mod/blog/views/default/blog/forms/edit.php,
+ mod/blog/views/default/blog/gallery.php,
+ mod/blog/views/default/blog/listing.php,
+ mod/blog/views/default/blog/menu.php,
+ mod/blog/views/default/blog/notfound.php,
+ mod/blog/views/default/blog/previewpane.php,
+ mod/blog/views/default/blog/stats.php, mod/blog/views/default/blog/view.php,
+ mod/blog/views/default/object/blog.php: Blogs updated to new UI (needs Brett
+ to look over first)
+
+ * mod/profile/profile_lib.php,
+ .../default/profile/profile_contents/sidebar.php,
+ .../views/default/profile/profile_ownerblock.php: Added large avatar to
+ Profile/details page.
+
+2010-03-09 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/login.php: Forwarding to index.php after login instead of
+ pg/dashboard.
+
+2010-03-09 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/index.php, .../default/profile/profile_contents/details.php:
+ Full profile fields reinstated on profile/details page
+
+ * mod/profile/index.php, .../default/profile/profile_contents/activity.php:
+ Updated profile page calls to profile_contents.
+
+2010-03-09 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/sitepages/sitepages_functions.php: Fixes #1956: Corrected typo for
+ filtering by owner=username.
+
+2010-03-09 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/thewire/languages/en.php, views/default/css.php,
+ views/default/navigation/main_nav.php, views/default/navigation/site_nav.php,
+ views/default/page_elements/elgg_header.php: Added basic (stage1) site-wide
+ navigation. Needs improving with a way for admin and plugin authors to decide
+ which mods display in the primary nav-bar, and which page within each tool
+ they point at.
+
+ * _graphics/icon_rss.png, languages/en.php,
+ mod/bookmarks/views/default/bookmarks/form.php, views/default/css.php,
+ views/default/page_elements/owner_block.php: Generic rss feed icon added
+
+ * mod/profile/views/default/profile/css.php,
+ mod/profile/views/default/profile/edit.php,
+ mod/profile/views/default/profile/editicon.php: Edit profile icon ui fixed.
+
+2010-03-09 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/sitepages/forms/editmeta.php: add/edit metatags form bug
+ fixed
+
+2010-03-09 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/edit.php, mod/profile/editicon.php, mod/profile/start.php:
+ Fixed canvas layout for profile edit views
+
+2010-03-09 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/thewire/views/default/object/thewire.php: Ticket #1954 fixed
+
+2010-03-09 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/thewire/languages/en.php, mod/thewire/start.php: Updated 'my wire'
+ link in toolbar menu
+
+ * mod/bookmarks/all.php, mod/members/index.php: Removed users owner block
+ from 'all bookmarks', and 'all members' views.
+
+ * admin/plugins.php, admin/site.php, admin/statistics.php, admin/user.php,
+ dashboard/latest.php, friends/add.php, friends/collections.php,
+ friends/edit.php, friends/index.php, friends/of.php, index.php,
+ mod/blog/all.php, mod/blog/archive.php, mod/blog/everyone.php,
+ mod/blog/friends.php, mod/blog/index.php, mod/blog/preview.php,
+ mod/blog/read.php, mod/blog/shared.php, mod/bookmarks/add.php,
+ mod/bookmarks/all.php, mod/bookmarks/bookmarklet.php,
+ mod/bookmarks/friends.php, mod/bookmarks/index.php,
+ mod/diagnostics/index.php, mod/externalpages/index.php,
+ mod/externalpages/read.php, mod/groups/addtopic.php, mod/groups/all.php,
+ mod/groups/discussions.php, mod/groups/edit.php, mod/groups/edittopic.php,
+ mod/groups/forum.php, mod/groups/groupprofile.php, mod/groups/index.php,
+ mod/groups/invitations.php, mod/groups/invite.php, mod/groups/membership.php,
+ mod/groups/membershipreq.php, mod/groups/new.php, mod/groups/topicposts.php,
+ mod/logbrowser/index.php, mod/members/index.php, mod/messages/index.php,
+ mod/messages/read.php, mod/messages/send.php, mod/messages/sent.php,
+ mod/profile/defaultprofile.php, mod/profile/edit.php,
+ mod/profile/editicon.php, mod/reportedcontent/add.php,
+ mod/reportedcontent/index.php, mod/search/index.php,
+ mod/search/views/default/search/layout.php,
+ mod/sitepages/sitepages_functions.php, mod/thewire/add.php,
+ mod/thewire/everyone.php, mod/thewire/index.php, mod/walledgarden/index.php,
+ settings/plugins.php, settings/statistics.php, settings/user.php,
+ .../canvas/layouts/one_column_with_sidebar.php: Updated new page canvases
+ (and calls to elgg_view_layout) so that empty vars are not required.
+
+2010-03-09 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/sitepages/actions/addfront.php, mod/sitepages/actions/addmeta.php,
+ mod/sitepages/languages/en.php, mod/sitepages/sitepages_functions.php,
+ mod/sitepages/start.php, .../views/default/settings/sitepages/edit.php,
+ .../views/default/sitepages/custom_frontpage.php,
+ .../views/default/sitepages/footer_menu.php,
+ .../views/default/sitepages/forms/editfront.php,
+ .../views/default/sitepages/forms/editmeta.php,
+ mod/sitepages/views/default/sitepages/keywords.php,
+ mod/sitepages/views/default/sitepages/metatags.php: Added dynamic keywords
+ for view and entity. Separated logged in and logged out front page views.
+ Fixed a problem with saving metatags. Added PHPDoc headers. Updated language
+ strings.
+
+ * mod/sitepages/actions/add.php, mod/sitepages/actions/addfront.php,
+ mod/sitepages/actions/addmeta.php, mod/sitepages/frontpage.php,
+ mod/sitepages/index.php, mod/sitepages/languages/en.php,
+ mod/sitepages/read.php, mod/sitepages/sitepages_functions.php,
+ mod/sitepages/start.php, .../views/default/sitepages/analytics.php,
+ mod/sitepages/views/default/sitepages/css.php,
+ .../views/default/sitepages/custom_frontpage.php,
+ .../views/default/sitepages/forms/edit.php,
+ .../views/default/sitepages/forms/editfront.php,
+ .../views/default/sitepages/forms/editmeta.php,
+ mod/sitepages/views/default/sitepages/keywords.php,
+ mod/sitepages/views/default/sitepages/menu.php,
+ mod/sitepages/views/default/sitepages/metatags.php: Brought sitepages up to
+ standards. Added basic static keyword/view substitution support.
+
+2010-03-08 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/search/start.php, .../views/default/page_elements/searchbox.php,
+ mod/search/views/default/search/search_box.php,
+ views/default/page_elements/elgg_header.php,
+ views/default/page_elements/html_begin.php: Removed hard-coded search box and
+ replaced with extended header/extend view from search page.
+
+2010-03-08 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/all.php, mod/groups/edit.php, mod/groups/groupprofile.php,
+ mod/groups/views/default/groups/contentwrapper.php: Removed the superfluous
+ groups contentwrapper
+
+ * mod/groups/addtopic.php, mod/groups/all.php, mod/groups/discussions.php,
+ mod/groups/edit.php, mod/groups/edittopic.php, mod/groups/forum.php,
+ mod/groups/groupprofile.php, mod/groups/index.php,
+ mod/groups/invitations.php, mod/groups/invite.php,
+ mod/groups/languages/en.php, mod/groups/manifest.xml,
+ mod/groups/membership.php, mod/groups/membershipreq.php, mod/groups/new.php,
+ mod/groups/start.php, mod/groups/topicposts.php,
+ mod/groups/views/default/forms/forums/addtopic.php,
+ mod/groups/views/default/forum/topics.php,
+ mod/groups/views/default/forum/viewposts.php,
+ mod/groups/views/default/groups/css.php,
+ .../views/default/groups/group_sort_menu.php,
+ mod/groups/views/default/groups/groupprofile.php,
+ mod/groups/views/default/groups/side_menu.php: Beginnings of the refreshed
+ groups interface
+
+ * .../page_elements/content_header_member.php,
+ views/default/page_elements/title.php: updates to page_elements
+
+2010-03-08 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/html_begin.php: Commenting out old (hopefully
+ unneeded) jquery hack.
+
+2010-03-08 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/sitepages/actions/add.php, mod/sitepages/actions/addfront.php,
+ mod/sitepages/actions/addmeta.php, mod/sitepages/frontpage.php,
+ mod/sitepages/index.php, mod/sitepages/languages/en.php,
+ mod/sitepages/manifest.xml, mod/sitepages/read.php,
+ mod/sitepages/sitepages_functions.php, mod/sitepages/start.php,
+ .../views/default/settings/sitepages/edit.php,
+ .../views/default/sitepages/analytics.php,
+ mod/sitepages/views/default/sitepages/css.php,
+ .../views/default/sitepages/footer_menu.php,
+ .../views/default/sitepages/forms/edit.php,
+ .../views/default/sitepages/forms/editfront.php,
+ .../views/default/sitepages/forms/editmeta.php,
+ mod/sitepages/views/default/sitepages/keywords.php,
+ mod/sitepages/views/default/sitepages/menu.php,
+ mod/sitepages/views/default/sitepages/metatags.php: Site pages will
+ eventually replace the external pages and custom index plugins as well as
+ introduce a new keywords system to enable dynamic content to be displayed
+ without needing to know php or Elgg. This will be handy for frontpage
+ layout/design.
+
+2010-03-08 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/thewire/actions/add.php, mod/thewire/add.php,
+ mod/thewire/everyone.php, mod/thewire/graphics/thewire_speech_bubble.gif,
+ mod/thewire/index.php, mod/thewire/languages/en.php,
+ mod/thewire/manifest.xml, mod/thewire/views/default/object/thewire.php,
+ mod/thewire/views/default/thewire/css.php,
+ mod/thewire/views/default/thewire/forms/add.php,
+ .../views/default/thewire/profile_status.php,
+ .../views/default/thewire/scripts/counter.js: Updates to thewire interface
+
+ * mod/profile/views/default/profile/css.php, views/default/css.php,
+ views/default/page_elements/owner_block.php: Updates to Profile and
+ owner_block interface
+
+2010-03-05 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/tinymce/graphics/ed-bg.gif, mod/tinymce/graphics/example.gif,
+ mod/tinymce/graphics/more.gif, mod/tinymce/manifest.xml,
+ mod/tinymce/views/default/input/longtext.php,
+ mod/tinymce/views/default/tinymce/css.php: Updated tinymce to new interface
+
+2010-03-04 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/profile_lib.php, mod/profile/start.php,
+ mod/profile/views/default/profile/css.php: Removed horizontal nav from avatar
+ edit view and debug string from sidebar. Added temp fix for large avatar
+ upload image scaling
+
+2010-03-04 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/profile_lib.php, mod/profile/start.php,
+ .../default/profile/profile_contents/activity.php,
+ .../default/profile/profile_contents/details.php,
+ .../default/profile/profile_contents/friends.php,
+ .../default/profile/profile_contents/sidebar.php,
+ .../default/profile/profile_contents/twitter.php: Pulled profile sidebar out
+ into separate view. Using one_column_with_sidebar layout for editing profile.
+
+
+ * mod/profile/actions/cropicon.php,
+ mod/profile/actions/deletedefaultprofileitem.php,
+ mod/profile/actions/edit.php, mod/profile/actions/editdefault.php,
+ mod/profile/actions/iconupload.php,
+ mod/profile/actions/resetdefaultprofile.php, mod/profile/defaultprofile.php,
+ mod/profile/edit.php, mod/profile/editicon.php, mod/profile/icon.php,
+ mod/profile/icondirect.php, mod/profile/index.php,
+ mod/profile/javascript.php, mod/profile/languages/en.php,
+ mod/profile/profile_lib.php, mod/profile/start.php,
+ mod/profile/views/default/profile/admin_menu.php,
+ mod/profile/views/default/profile/css.php,
+ mod/profile/views/default/profile/edit.php,
+ .../views/default/profile/editdefaultprofile.php,
+ mod/profile/views/default/profile/editicon.php,
+ mod/profile/views/default/profile/gallery.php,
+ mod/profile/views/default/profile/hoverover.php,
+ mod/profile/views/default/profile/icon.php,
+ mod/profile/views/default/profile/javascript.php,
+ mod/profile/views/default/profile/listing.php,
+ mod/profile/views/default/profile/menu/actions.php,
+ .../views/default/profile/menu/adminlinks.php,
+ .../views/default/profile/menu/adminwrapper.php,
+ mod/profile/views/default/profile/menu/links.php,
+ .../views/default/profile/menu/linksownpage.php,
+ mod/profile/views/default/profile/metatags.php,
+ .../default/profile/profile_contents/activity.php,
+ .../default/profile/profile_contents/details.php,
+ .../default/profile/profile_contents/friends.php,
+ .../default/profile/profile_contents/twitter.php,
+ .../views/default/profile/profile_navigation.php,
+ .../views/default/profile/profile_ownerblock.php,
+ mod/profile/views/default/profile/profilelinks.php,
+ mod/profile/views/default/profile/userdetails.php,
+ .../river/user/default/profileiconupdate.php,
+ .../default/river/user/default/profileupdate.php: Merged interface changes to
+ profile in.
+
+2010-03-04 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/bookmarks/add.php, mod/bookmarks/all.php,
+ mod/bookmarks/bookmarklet.php, mod/bookmarks/friends.php,
+ mod/bookmarks/index.php, mod/bookmarks/start.php,
+ .../views/default/bookmarks/bookmarklet.php,
+ .../default/bookmarks/bookmarklet_menu_option.php,
+ mod/bookmarks/views/default/bookmarks/css.php,
+ mod/bookmarks/views/default/object/bookmarks.php, views/default/css.php:
+ Updated Bookmarks interface (old sidebar nav removed, breadcrumbs fixed,
+ bookmarklet fixed & updated)
+
+ * .../default/bookmarks/bookmarklet_menu_option.php,
+ mod/logbrowser/views/default/logbrowser/form.php,
+ mod/messages/views/default/messages/messages.php,
+ .../views/default/reportedcontent/listing.php: Removed empty href in
+ elgg_slide_toggle calls to prevent page jump
+
+ * views/default/account/forms/forgotten_password.php,
+ views/default/account/forms/register.php,
+ views/default/admin/plugins_opt/plugin.php, views/default/input/form.php,
+ views/default/js/initialise_elgg.php: Interface updates to js, and login,
+ register, lost password
+
+ * languages/en.php: Merged EN language updates
+
+ * _graphics/favicon.ico: Added the default elgg favicon (linked from
+ html_begin)
+
+ * vendors/jquery/jquery-ui-1.7.2.custom.min.js: Removed jquery custom UI
+ package, we now provide/use the full 1.7.2 UI package
+
+ * mod/search/index.php, mod/search/views/default/search/layout.php,
+ mod/search/views/default/search/startblurb.php: Merged Search interface
+ updates
+
+ * mod/messages/graphics/icon_notifications_site.gif, mod/messages/sent.php,
+ .../views/default/messages/forms/reply.php,
+ mod/messages/views/default/messages/messages.php,
+ mod/messages/views/default/messages/topbar.php,
+ mod/messages/views/default/messages/view.php: Merged missing Messages
+ interface modifications
+
+ * mod/members/views/default/members/search.php: Added missing php closing
+ tag
+
+ * mod/bookmarks/add.php, mod/bookmarks/all.php, mod/bookmarks/index.php,
+ .../views/default/bookmarks/bookmarklet.php: Merged missing Bookmarks
+ interface modifications
+
+2010-03-04 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/walledgarden/index.php, .../views/default/account/forms/login.php,
+ .../views/default/canvas/layouts/new_index.php,
+ .../views/default/walledgarden/css.php: Merged walledgarden changes.
+
+ * mod/walledgarden/index.php, mod/walledgarden/manifest.xml,
+ mod/walledgarden/readme.txt, mod/walledgarden/start.php,
+ .../views/default/account/forms/login.php,
+ .../views/default/canvas/layouts/new_index.php,
+ .../views/default/walledgarden/css.php,
+ .../views/default/walledgarden/walledgarden.php: Moved walled garden into
+ trunk.
+
+ * mod/reportedcontent/add.php,
+ mod/reportedcontent/graphics/icon_reportthis.gif,
+ mod/reportedcontent/index.php, mod/reportedcontent/languages/en.php,
+ mod/reportedcontent/start.php, .../views/default/reportedcontent/css.php,
+ .../views/default/reportedcontent/form.php,
+ .../views/default/reportedcontent/listing.php,
+ .../views/default/reportedcontent/owner_block.php: Merged reported content
+ interface changes.
+
+ * mod/messages/actions/delete.php, mod/messages/actions/send.php,
+ mod/messages/index.php, mod/messages/languages/en.php, mod/messages/read.php,
+ mod/messages/readme.txt, mod/messages/send.php, mod/messages/sent.php,
+ mod/messages/start.php, mod/messages/views/default/messages/css.php,
+ .../views/default/messages/forms/message.php,
+ .../views/default/messages/forms/reply.php,
+ mod/messages/views/default/messages/forms/send.php,
+ mod/messages/views/default/messages/forms/view.php,
+ mod/messages/views/default/messages/menu.php,
+ mod/messages/views/default/messages/messages.php,
+ mod/messages/views/default/messages/topbar.php,
+ mod/messages/views/default/messages/view.php: Merged messages interface
+ changes.
+
+ * mod/members/index.php, mod/members/languages/en.php,
+ mod/members/start.php, mod/members/views/default/members/css.php,
+ .../views/default/members/members_navigation.php,
+ .../views/default/members/members_sort_menu.php,
+ mod/members/views/default/members/online.php,
+ mod/members/views/default/members/search.php: Merged members plugin's new
+ layout.
+
+ * mod/externalpages/actions/addfront.php, mod/externalpages/index.php,
+ mod/externalpages/read.php, mod/externalpages/start.php,
+ mod/externalpages/views/default/expages/css.php,
+ .../views/default/expages/footer_menu.php,
+ .../views/default/expages/forms/editfront.php,
+ .../views/default/expages/front_left.php,
+ .../views/default/expages/front_right.php,
+ mod/externalpages/views/default/expages/menu.php: Updated external pages to
+ new interface.
+
+2010-03-03 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * vendors/jquery/custom-form-elements.js,
+ vendors/jquery/jquery-1.3.2.min.js, vendors/jquery/jquery-1.4.min.js: Added
+ new version of jQuery and custom form elements.
+
+ * mod/bookmarks/actions/add.php, mod/bookmarks/actions/delete.php,
+ mod/bookmarks/actions/edit.php, mod/bookmarks/actions/reference.php,
+ mod/bookmarks/actions/remove.php, mod/bookmarks/add.php,
+ mod/bookmarks/all.php, mod/bookmarks/bookmarklet.php,
+ mod/bookmarks/everyone.php, mod/bookmarks/friends.php,
+ mod/bookmarks/inbox.php, mod/bookmarks/index.php,
+ mod/bookmarks/languages/en.php, mod/bookmarks/start.php,
+ .../views/default/bookmarks/bookmarklet.php,
+ .../default/bookmarks/bookmarklet_menu_option.php,
+ mod/bookmarks/views/default/bookmarks/css.php,
+ mod/bookmarks/views/default/bookmarks/form.php,
+ .../views/default/bookmarks/owner_block.php,
+ mod/bookmarks/views/default/bookmarks/sharing.php,
+ mod/bookmarks/views/default/bookmarks/stats.php,
+ mod/bookmarks/views/default/object/bookmarks.php,
+ .../default/river/object/bookmarks/annotate.php,
+ .../default/river/object/bookmarks/create.php,
+ .../views/default/widgets/bookmarks/edit.php,
+ .../views/default/widgets/bookmarks/view.php,
+ mod/bookmarks/views/rss/object/bookmarks.php: Updated bookmarks with new
+ interface.
+
+ * index.php, languages/en.php, settings/plugins.php,
+ settings/statistics.php, settings/user.php: Pulling in MORE changes that
+ weren't in the patch. Apparently I fail at patching.
+
+ * _graphics/spacer.gif: Removing unneeded svn:executable properties on
+ files.
+
+ * views/default/page_shells/default.php: Merged in a lost change for the
+ interface.
+
+ * actions/systemsettings/install.php: Forward to the registration
+ pagehandler after installation.
+
+ * views/failsafe/page_shells/default.php,
+ views/failsafe/pageshells/pageshell.php: Installation uses new views system.
+
+2010-03-03 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/canvas/layouts/edit_layout.php,
+ views/default/canvas/layouts/sidebar_boxes.php,
+ .../canvas/layouts/two_column_right_sidebar.php: old canvases removed
+
+2010-03-03 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, engine/lib/users.php: Pulled in missing interface
+ modifications for the engine dir.
+
+2010-03-03 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/ajax_loader_bw.gif, _graphics/button_background.gif,
+ _graphics/button_graduation.png, _graphics/content_header_filter.gif,
+ _graphics/icon_delete.png, _graphics/icon_tag.png, _graphics/search.png,
+ _graphics/sidebar_background.gif, _graphics/speech_bubble_tail.gif,
+ _graphics/topbar_icons.png, _graphics/twitter16px.png, views/default/css.php:
+ new graphics added and css updated to reflect new location
+
+2010-03-03 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * account/forgotten_password.php, admin/plugins.php, admin/site.php,
+ admin/statistics.php, admin/user.php, dashboard/latest.php, friends/add.php,
+ friends/collections.php, friends/edit.php, friends/index.php, friends/of.php,
+ mod/blog/actions/add.php, mod/blog/actions/delete.php,
+ mod/blog/actions/edit.php, mod/blog/add.php, mod/blog/archive.php,
+ mod/blog/edit.php, mod/blog/everyone.php, mod/blog/friends.php,
+ mod/blog/index.php, mod/blog/languages/en.php, mod/blog/manifest.xml,
+ mod/blog/preview.php, mod/blog/read.php, mod/blog/savedraft.php,
+ mod/blog/start.php, mod/blog/views/default/blog/categorylist.php,
+ mod/blog/views/default/blog/css.php,
+ mod/blog/views/default/blog/forms/edit.php,
+ mod/blog/views/default/blog/gallery.php,
+ mod/blog/views/default/blog/listing.php,
+ mod/blog/views/default/blog/menu.php,
+ mod/blog/views/default/blog/notfound.php,
+ mod/blog/views/default/blog/previewpane.php,
+ mod/blog/views/default/blog/view.php, mod/blog/views/default/object/blog.php,
+ .../views/default/river/object/blog/annotate.php,
+ .../views/default/river/object/blog/create.php,
+ .../views/default/river/object/blog/update.php,
+ mod/bookmarks/actions/add.php, mod/bookmarks/actions/delete.php,
+ mod/bookmarks/add.php, mod/bookmarks/bookmarklet.php,
+ mod/bookmarks/everyone.php, mod/bookmarks/friends.php,
+ mod/bookmarks/inbox.php, mod/bookmarks/index.php,
+ mod/bookmarks/languages/en.php, mod/bookmarks/manifest.xml,
+ mod/bookmarks/start.php, .../views/default/bookmarks/bookmarklet.php,
+ mod/bookmarks/views/default/bookmarks/css.php,
+ mod/bookmarks/views/default/bookmarks/form.php,
+ .../views/default/bookmarks/owner_block.php,
+ mod/bookmarks/views/default/bookmarks/sharing.php,
+ mod/bookmarks/views/default/object/bookmarks.php,
+ .../default/river/object/bookmarks/annotate.php,
+ .../default/river/object/bookmarks/create.php,
+ .../views/default/widgets/bookmarks/edit.php,
+ .../views/default/widgets/bookmarks/view.php,
+ mod/bookmarks/views/rss/object/bookmarks.php,
+ mod/captcha/backgrounds/bg1.jpg, mod/captcha/backgrounds/bg2.jpg,
+ mod/captcha/backgrounds/bg3.jpg, mod/captcha/backgrounds/bg4.jpg,
+ mod/captcha/backgrounds/bg5.jpg, mod/captcha/captcha.php,
+ mod/captcha/fonts/1.ttf, mod/captcha/fonts/Liberation_serif_License.txt,
+ mod/captcha/languages/en.php, mod/captcha/manifest.xml,
+ mod/captcha/start.php, mod/captcha/views/default/captcha/css.php,
+ mod/captcha/views/default/input/captcha.php, mod/categories/actions/save.php,
+ mod/categories/languages/en.php, mod/categories/manifest.xml,
+ mod/categories/readme.txt, mod/categories/settings.php,
+ mod/categories/start.php, mod/categories/views/default/categories.php,
+ mod/categories/views/default/categories/css.php,
+ mod/categories/views/default/categories/list.php,
+ .../views/default/categories/settings.php,
+ .../views/default/categories/settingsform.php,
+ mod/categories/views/default/categories/view.php, mod/custom_index/index.php,
+ mod/custom_index/languages/en.php, mod/custom_index/manifest.xml,
+ mod/custom_index/start.php, .../views/default/canvas/layouts/new_index.php,
+ .../views/default/custom_index/css.php,
+ mod/defaultwidgets/actions/update.php, mod/defaultwidgets/dashboard.php,
+ mod/defaultwidgets/languages/en.php, mod/defaultwidgets/manifest.xml,
+ mod/defaultwidgets/profile.php, mod/defaultwidgets/start.php,
+ .../views/default/defaultwidgets/editor.php, mod/diagnostics/index.php,
+ mod/diagnostics/languages/en.php, mod/embed/README.txt, mod/embed/embed.php,
+ mod/embed/images/button_spacer.gif, mod/embed/images/close_button.gif,
+ mod/embed/images/loading.gif, mod/embed/images/media_modal.png,
+ mod/embed/languages/en.php, mod/embed/manifest.xml, mod/embed/start.php,
+ mod/embed/upload.php, mod/embed/views/default/embed/addcontentjs.php,
+ mod/embed/views/default/embed/css.php, mod/embed/views/default/embed/js.php,
+ mod/embed/views/default/embed/link.php,
+ mod/embed/views/default/embed/media.php,
+ mod/embed/views/default/embed/metatags.php,
+ mod/embed/views/default/embed/pagination.php,
+ mod/embed/views/default/embed/simpletype.php,
+ mod/embed/views/default/embed/tabs.php,
+ mod/embed/views/default/embed/upload.php,
+ mod/embed/views/default/group/default/embed.php,
+ mod/embed/views/default/object/default/embed.php,
+ mod/embed/views/default/object/file/embed.php,
+ mod/embed/views/default/object/file/embedlist.php,
+ mod/embed/views/default/site/default/embed.php,
+ mod/embed/views/default/user/default/embed.php,
+ mod/externalpages/actions/add.php, mod/externalpages/actions/addfront.php,
+ mod/externalpages/index.php, mod/externalpages/languages/en.php,
+ mod/externalpages/manifest.xml, mod/externalpages/read.php,
+ mod/externalpages/start.php, .../views/default/expages/analytics.php,
+ mod/externalpages/views/default/expages/css.php,
+ .../views/default/expages/footer_menu.php,
+ .../views/default/expages/forms/edit.php,
+ .../views/default/expages/forms/editfront.php,
+ .../views/default/expages/front_left.php,
+ .../views/default/expages/front_right.php,
+ mod/externalpages/views/default/expages/menu.php,
+ mod/externalpages/views/default/object/expages.php,
+ mod/file/actions/delete.php, mod/file/actions/download.php,
+ mod/file/actions/save.php, mod/file/actions/upload.php,
+ mod/file/download.php, mod/file/edit.php, mod/file/friends.php,
+ mod/file/graphics/icons/application.gif,
+ mod/file/graphics/icons/application_lrg.gif,
+ mod/file/graphics/icons/archive.gif, mod/file/graphics/icons/archive_lrg.gif,
+ mod/file/graphics/icons/excel.gif, mod/file/graphics/icons/excel_lrg.gif,
+ mod/file/graphics/icons/general.gif, mod/file/graphics/icons/general_lrg.gif,
+ mod/file/graphics/icons/music.gif, mod/file/graphics/icons/music_lrg.gif,
+ mod/file/graphics/icons/openoffice.gif,
+ mod/file/graphics/icons/openoffice_lrg.gif,
+ mod/file/graphics/icons/pages.gif, mod/file/graphics/icons/pages_lrg.gif,
+ mod/file/graphics/icons/pdf.gif, mod/file/graphics/icons/pdf_lrg.gif,
+ mod/file/graphics/icons/ppt.gif, mod/file/graphics/icons/ppt_lrg.gif,
+ mod/file/graphics/icons/text.gif, mod/file/graphics/icons/text_lrg.gif,
+ mod/file/graphics/icons/vcard.gif, mod/file/graphics/icons/vcard_lrg.gif,
+ mod/file/graphics/icons/video.gif, mod/file/graphics/icons/video_lrg.gif,
+ mod/file/graphics/icons/word.gif, mod/file/graphics/icons/word_lrg.gif,
+ mod/file/index.php, mod/file/languages/en.php, mod/file/manifest.xml,
+ mod/file/search.php, mod/file/start.php, mod/file/thumbnail.php,
+ mod/file/upload.php, mod/file/views/default/file/css.php,
+ mod/file/views/default/file/groupprofile_files.php,
+ mod/file/views/default/file/icon.php,
+ .../default/file/icon/application/default.php,
+ .../views/default/file/icon/application/excel.php,
+ .../views/default/file/icon/application/msword.php,
+ .../views/default/file/icon/application/pdf.php,
+ .../default/file/icon/application/powerpoint.php,
+ .../default/file/icon/application/vnd.ms-excel.php,
+ .../file/icon/application/vnd.ms-powerpoint.php,
+ .../application/vnd.oasis.opendocument.text.php,
+ .../views/default/file/icon/application/x-gzip.php,
+ .../file/icon/application/x-rar-compressed.php,
+ .../default/file/icon/application/x-stuffit.php,
+ .../views/default/file/icon/application/zip.php,
+ mod/file/views/default/file/icon/archive.php,
+ mod/file/views/default/file/icon/audio.php,
+ mod/file/views/default/file/icon/audio/default.php,
+ mod/file/views/default/file/icon/default.php,
+ mod/file/views/default/file/icon/document.php,
+ mod/file/views/default/file/icon/text/default.php,
+ .../views/default/file/icon/text/directory.php,
+ mod/file/views/default/file/icon/text/v-card.php,
+ mod/file/views/default/file/icon/video.php,
+ mod/file/views/default/file/icon/video/default.php,
+ mod/file/views/default/file/menu.php,
+ .../default/file/specialcontent/audio/mid.php,
+ .../default/file/specialcontent/audio/mp3.php,
+ .../default/file/specialcontent/audio/mpeg.php,
+ .../default/file/specialcontent/audio/x-wav.php,
+ .../default/file/specialcontent/image/default.php,
+ mod/file/views/default/file/typecloud.php,
+ mod/file/views/default/file/upload.php,
+ mod/file/views/default/object/file.php,
+ .../views/default/river/object/file/create.php,
+ mod/file/views/default/widgets/filerepo/edit.php,
+ mod/file/views/default/widgets/filerepo/view.php,
+ mod/file/views/rss/object/file.php, mod/file/world.php, mod/friends/add.php,
+ mod/friends/collections.php, mod/friends/edit.php,
+ mod/friends/languages/en.php, mod/friends/manifest.xml,
+ mod/friends/start.php, mod/friends/views/default/widgets/friends/edit.php,
+ mod/friends/views/default/widgets/friends/view.php,
+ mod/groups/actions/addtogroup.php, mod/groups/actions/delete.php,
+ mod/groups/actions/edit.php, mod/groups/actions/featured.php,
+ mod/groups/actions/forums/addpost.php,
+ mod/groups/actions/forums/addtopic.php,
+ mod/groups/actions/forums/deletepost.php,
+ mod/groups/actions/forums/deletetopic.php,
+ mod/groups/actions/forums/editpost.php,
+ mod/groups/actions/forums/edittopic.php,
+ mod/groups/actions/groupskillinvitation.php,
+ mod/groups/actions/groupskillrequest.php, mod/groups/actions/invite.php,
+ mod/groups/actions/join.php, mod/groups/actions/joinrequest.php,
+ mod/groups/actions/leave.php, mod/groups/addtopic.php, mod/groups/all.php,
+ mod/groups/discussions.php, mod/groups/edit.php, mod/groups/edittopic.php,
+ mod/groups/forum.php, mod/groups/graphics/defaultlarge.gif,
+ mod/groups/graphics/defaultmedium.gif, mod/groups/graphics/defaultsmall.gif,
+ mod/groups/graphics/defaulttiny.gif, mod/groups/graphics/icon.php,
+ mod/groups/groupprofile.php, mod/groups/index.php,
+ mod/groups/invitations.php, mod/groups/invite.php,
+ mod/groups/languages/en.php, mod/groups/manifest.xml,
+ mod/groups/membership.php, mod/groups/membershipreq.php, mod/groups/new.php,
+ mod/groups/start.php, mod/groups/topicposts.php,
+ mod/groups/views/default/forms/forums/addpost.php,
+ mod/groups/views/default/forms/forums/addtopic.php,
+ .../views/default/forms/forums/edittopic.php,
+ mod/groups/views/default/forms/groups/edit.php,
+ mod/groups/views/default/forms/groups/invite.php,
+ mod/groups/views/default/forum/topicposts.php,
+ mod/groups/views/default/forum/topics.php,
+ mod/groups/views/default/forum/viewposts.php,
+ mod/groups/views/default/group/group.php,
+ .../views/default/groups/closedmembership.php,
+ mod/groups/views/default/groups/contentwrapper.php,
+ mod/groups/views/default/groups/css.php,
+ mod/groups/views/default/groups/featured.php,
+ mod/groups/views/default/groups/find.php,
+ mod/groups/views/default/groups/forum_latest.php,
+ .../views/default/groups/group_sort_menu.php,
+ mod/groups/views/default/groups/groupgallery.php,
+ mod/groups/views/default/groups/grouplisting.php,
+ mod/groups/views/default/groups/groupprofile.php,
+ mod/groups/views/default/groups/icon.php,
+ .../views/default/groups/invitationrequests.php,
+ mod/groups/views/default/groups/members.php,
+ .../views/default/groups/membershiprequests.php,
+ mod/groups/views/default/groups/profileitems.php,
+ mod/groups/views/default/groups/side_menu.php,
+ .../views/default/icon/group/default/large.php,
+ .../views/default/icon/group/default/medium.php,
+ .../views/default/icon/group/default/small.php,
+ .../views/default/icon/group/default/tiny.php,
+ .../views/default/object/groupforumtopic.php,
+ mod/groups/views/default/river/forum/create.php,
+ .../views/default/river/forum/topic/create.php,
+ mod/groups/views/default/river/group/create.php,
+ .../views/default/river/object/group/create.php,
+ .../river/object/groupforumtopic/annotate.php,
+ .../river/object/groupforumtopic/create.php,
+ .../river/object/groupforumtopic/update.php,
+ .../default/river/relationship/member/create.php,
+ mod/groups/views/default/settings/groups/edit.php,
+ mod/groups/views/default/sharing/invite.php,
+ .../views/default/widgets/a_users_groups/edit.php,
+ .../views/default/widgets/a_users_groups/view.php,
+ .../default/widgets/group_entities_widget/edit.php,
+ .../default/widgets/group_entities_widget/view.php,
+ .../default/widgets/group_members_widget/edit.php,
+ .../default/widgets/group_members_widget/view.php,
+ mod/groups/views/rss/forum/topicposts.php,
+ mod/groups/views/rss/forum/topics.php,
+ mod/groups/views/rss/forum/viewposts.php,
+ mod/groups/views/rss/groups/contentwrapper.php,
+ mod/groups/views/rss/groups/profileitems.php,
+ mod/invitefriends/actions/invite.php, mod/invitefriends/index.php,
+ mod/invitefriends/languages/en.php, mod/invitefriends/manifest.xml,
+ mod/invitefriends/start.php, .../views/default/invitefriends/form.php,
+ .../views/default/invitefriends/formitems.php, mod/logbrowser/index.php,
+ mod/logbrowser/views/default/logbrowser/css.php,
+ mod/logbrowser/views/default/logbrowser/form.php, mod/members/index.php,
+ mod/members/languages/en.php, mod/members/manifest.xml,
+ mod/members/start.php, mod/members/views/default/members/css.php,
+ .../views/default/members/members_sort_menu.php,
+ mod/members/views/default/members/online.php,
+ mod/members/views/default/members/search.php,
+ mod/messageboard/actions/add.php, mod/messageboard/actions/delete.php,
+ mod/messageboard/ajax_endpoint/load.php, mod/messageboard/history.php,
+ mod/messageboard/index.php, mod/messageboard/languages/en.php,
+ mod/messageboard/manifest.xml, mod/messageboard/readme.txt,
+ mod/messageboard/start.php, .../views/default/messageboard/css.php,
+ .../views/default/messageboard/forms/add.php,
+ .../default/messageboard/group_messageboard.php,
+ .../views/default/messageboard/messageboard.php,
+ .../default/messageboard/messageboard_content.php,
+ .../default/river/object/messageboard/create.php,
+ .../views/default/widgets/messageboard/edit.php,
+ .../views/default/widgets/messageboard/view.php,
+ mod/messages/actions/delete.php, mod/messages/actions/send.php,
+ mod/messages/graphics/icon_notifications_site.gif, mod/messages/index.php,
+ mod/messages/languages/en.php, mod/messages/manifest.xml,
+ mod/messages/read.php, mod/messages/readme.txt, mod/messages/send.php,
+ mod/messages/sent.php, mod/messages/start.php,
+ mod/messages/views/default/messages/css.php,
+ .../views/default/messages/forms/message.php,
+ .../views/default/messages/forms/reply.php,
+ mod/messages/views/default/messages/forms/view.php,
+ mod/messages/views/default/messages/menu.php,
+ mod/messages/views/default/messages/messages.php,
+ mod/messages/views/default/messages/topbar.php,
+ mod/messages/views/default/messages/view.php,
+ .../views/default/widgets/messages/view.php,
+ mod/notifications/actions/groupsave.php, mod/notifications/actions/save.php,
+ .../graphics/icon_notifications_email.gif, mod/notifications/groups.php,
+ mod/notifications/index.php, mod/notifications/languages/en.php,
+ mod/notifications/manifest.xml, mod/notifications/start.php,
+ .../views/default/notifications/css.php,
+ .../notifications/settings/usersettings.php,
+ .../notifications/subscriptions/collections.php,
+ .../default/notifications/subscriptions/form.php,
+ .../notifications/subscriptions/forminternals.php,
+ .../notifications/subscriptions/groupsform.php,
+ .../notifications/subscriptions/jsfuncs.php,
+ .../notifications/subscriptions/personal.php,
+ mod/pages/actions/pages/delete.php, mod/pages/actions/pages/edit.php,
+ mod/pages/actions/pages/editwelcome.php, mod/pages/edit.php,
+ mod/pages/history.php, mod/pages/images/application.png,
+ mod/pages/images/code.png, mod/pages/images/css.png, mod/pages/images/db.png,
+ mod/pages/images/directory.png, mod/pages/images/doc.png,
+ mod/pages/images/file.gif, mod/pages/images/file.png,
+ mod/pages/images/film.png, mod/pages/images/flash.png,
+ mod/pages/images/folder-closed.gif, mod/pages/images/folder.gif,
+ mod/pages/images/folder_open.png, mod/pages/images/html.png,
+ mod/pages/images/java.png, mod/pages/images/linux.png,
+ mod/pages/images/minus.gif, mod/pages/images/music.png,
+ mod/pages/images/pages.gif, mod/pages/images/pages_lrg.gif,
+ mod/pages/images/pdf.png, mod/pages/images/php.png,
+ mod/pages/images/picture.png, mod/pages/images/plus.gif,
+ mod/pages/images/ppt.png, mod/pages/images/psd.png,
+ mod/pages/images/ruby.png, mod/pages/images/script.png,
+ mod/pages/images/spinner.gif, mod/pages/images/treeview-black-line.gif,
+ mod/pages/images/treeview-black.gif,
+ mod/pages/images/treeview-default-line.gif,
+ mod/pages/images/treeview-default.gif,
+ mod/pages/images/treeview-famfamfam-line.gif,
+ mod/pages/images/treeview-famfamfam.gif,
+ mod/pages/images/treeview-gray-line.gif, mod/pages/images/treeview-gray.gif,
+ mod/pages/images/treeview-red-line.gif, mod/pages/images/treeview-red.gif,
+ mod/pages/images/txt.png, mod/pages/images/xls.png, mod/pages/images/zip.png,
+ mod/pages/index.php, mod/pages/javascript/jquery.treeview.async.js,
+ mod/pages/javascript/jquery.treeview.js, mod/pages/languages/en.php,
+ mod/pages/manifest.xml, mod/pages/new.php, mod/pages/pagesTree.php,
+ mod/pages/start.php, mod/pages/view.php,
+ mod/pages/views/default/annotation/icon.php,
+ mod/pages/views/default/annotation/page.php,
+ mod/pages/views/default/forms/pages/edit.php,
+ .../views/default/forms/pages/editwelcome.php,
+ .../views/default/icon/object/page/medium.php,
+ mod/pages/views/default/icon/object/page/small.php,
+ .../views/default/icon/object/page_top/medium.php,
+ .../views/default/icon/object/page_top/small.php,
+ mod/pages/views/default/object/page.php,
+ mod/pages/views/default/object/page_top.php,
+ mod/pages/views/default/pages/breadcrumbs.php,
+ mod/pages/views/default/pages/css.php,
+ .../views/default/pages/groupprofile_pages.php,
+ mod/pages/views/default/pages/menu.php,
+ mod/pages/views/default/pages/metatags.php,
+ mod/pages/views/default/pages/pagegallery.php,
+ mod/pages/views/default/pages/pagelisting.php,
+ mod/pages/views/default/pages/pageprofile.php,
+ .../views/default/pages/sidebar/sidebarthis.php,
+ mod/pages/views/default/pages/sidebar/starter.php,
+ mod/pages/views/default/pages/sidebar/tree.php,
+ mod/pages/views/default/pages/sidebar/wrapper.php,
+ mod/pages/views/default/pages/welcome.php,
+ .../views/default/river/object/page/annotate.php,
+ .../views/default/river/object/page/create.php,
+ .../views/default/river/object/page/update.php,
+ .../default/river/object/page_top/annotate.php,
+ .../views/default/river/object/page_top/create.php,
+ .../views/default/river/object/page_top/update.php,
+ mod/pages/views/default/widgets/pages/edit.php,
+ mod/pages/views/default/widgets/pages/view.php, mod/pages/welcome.php,
+ mod/pages/world.php, mod/profile/actions/cropicon.php,
+ mod/profile/actions/deletedefaultprofileitem.php,
+ mod/profile/actions/edit.php, mod/profile/actions/editdefault.php,
+ mod/profile/actions/iconupload.php,
+ mod/profile/actions/resetdefaultprofile.php, mod/profile/defaultprofile.php,
+ mod/profile/edit.php, mod/profile/editicon.php,
+ mod/profile/graphics/defaultlarge.gif,
+ mod/profile/graphics/defaultmaster.gif,
+ mod/profile/graphics/defaultmedium.gif,
+ mod/profile/graphics/defaultsmall.gif, mod/profile/graphics/defaulttiny.gif,
+ mod/profile/graphics/defaulttopbar.gif, mod/profile/icon.php,
+ mod/profile/icondirect.php, mod/profile/index.php,
+ mod/profile/javascript.php, mod/profile/languages/en.php,
+ mod/profile/manifest.xml, mod/profile/start.php,
+ .../views/default/icon/user/default/large.php,
+ .../views/default/icon/user/default/master.php,
+ .../views/default/icon/user/default/medium.php,
+ .../views/default/icon/user/default/small.php,
+ .../views/default/icon/user/default/tiny.php,
+ .../views/default/icon/user/default/topbar.php,
+ .../views/default/js/jquery.imgareaselect-0.8.js,
+ .../default/js/jquery.imgareaselect-0.8.min.js,
+ mod/profile/views/default/profile/css.php,
+ mod/profile/views/default/profile/edit.php,
+ .../views/default/profile/editdefaultprofile.php,
+ mod/profile/views/default/profile/editicon.php,
+ mod/profile/views/default/profile/gallery.php,
+ mod/profile/views/default/profile/hoverover.php,
+ mod/profile/views/default/profile/icon.php,
+ mod/profile/views/default/profile/javascript.php,
+ mod/profile/views/default/profile/listing.php,
+ mod/profile/views/default/profile/menu/actions.php,
+ .../views/default/profile/menu/adminlinks.php,
+ .../views/default/profile/menu/adminwrapper.php,
+ mod/profile/views/default/profile/menu/links.php,
+ .../views/default/profile/menu/linksownpage.php,
+ mod/profile/views/default/profile/metatags.php,
+ mod/profile/views/default/profile/profilelinks.php,
+ mod/profile/views/default/profile/submenu.php,
+ mod/profile/views/default/profile/userdetails.php,
+ .../river/user/default/profileiconupdate.php,
+ .../default/river/user/default/profileupdate.php,
+ mod/reportedcontent/actions/add.php, mod/reportedcontent/actions/archive.php,
+ mod/reportedcontent/actions/delete.php, mod/reportedcontent/add.php,
+ mod/reportedcontent/index.php, mod/reportedcontent/languages/en.php,
+ mod/reportedcontent/manifest.xml, mod/reportedcontent/start.php,
+ .../views/default/reportedcontent/css.php,
+ .../views/default/reportedcontent/form.php,
+ .../views/default/reportedcontent/listing.php,
+ .../views/default/reportedcontent/owner_block.php,
+ .../views/default/reportedcontent/user_report.php,
+ mod/riverdashboard/actions/add.php, mod/riverdashboard/actions/delete.php,
+ mod/riverdashboard/graphics/follow_icon.png, mod/riverdashboard/index.php,
+ mod/riverdashboard/languages/en.php, mod/riverdashboard/manifest.xml,
+ mod/riverdashboard/start.php, .../views/default/river/sitemessage/create.php,
+ .../views/default/riverdashboard/container.php,
+ .../views/default/riverdashboard/css.php,
+ .../views/default/riverdashboard/js.php,
+ .../views/default/riverdashboard/nav.php,
+ .../views/default/riverdashboard/newestmembers.php,
+ .../views/default/riverdashboard/sitemessage.php,
+ .../views/default/riverdashboard/welcome.php,
+ .../views/default/settings/riverdashboard/edit.php,
+ .../views/default/widgets/river_widget/edit.php,
+ .../views/default/widgets/river_widget/view.php,
+ .../views/json/riverdashboard/container.php,
+ .../views/rss/riverdashboard/container.php,
+ mod/search/views/default/search/css.php, mod/thewire/actions/add.php,
+ mod/thewire/actions/delete.php, mod/thewire/add.php,
+ mod/thewire/everyone.php, mod/thewire/graphics/river_icon_thewire.gif,
+ mod/thewire/graphics/thewire_speech_bubble.gif, mod/thewire/index.php,
+ mod/thewire/languages/en.php, mod/thewire/manifest.xml,
+ mod/thewire/readme.txt, mod/thewire/start.php,
+ mod/thewire/views/default/object/thewire.php,
+ .../views/default/river/object/thewire/create.php,
+ .../views/default/thewire/activity_view.php,
+ mod/thewire/views/default/thewire/css.php,
+ mod/thewire/views/default/thewire/forms/add.php,
+ mod/thewire/views/default/thewire/notfound.php,
+ .../views/default/thewire/profile_status.php,
+ .../views/default/thewire/scripts/counter.js,
+ mod/thewire/views/default/thewire/view.php,
+ mod/thewire/views/default/widgets/thewire/edit.php,
+ mod/thewire/views/default/widgets/thewire/view.php,
+ mod/tinymce/languages/en.php, mod/tinymce/manifest.xml,
+ mod/tinymce/readme.txt, mod/tinymce/start.php,
+ mod/tinymce/tinymce/changelog.txt,
+ mod/tinymce/tinymce/jscripts/tiny_mce/langs/en.js,
+ mod/tinymce/tinymce/jscripts/tiny_mce/license.txt,
+ .../jscripts/tiny_mce/plugins/advhr/css/advhr.css,
+ .../tiny_mce/plugins/advhr/editor_plugin.js,
+ .../tiny_mce/plugins/advhr/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/advhr/js/rule.js,
+ .../tiny_mce/plugins/advhr/langs/en_dlg.js,
+ .../jscripts/tiny_mce/plugins/advhr/rule.htm,
+ .../tiny_mce/plugins/advimage/css/advimage.css,
+ .../tiny_mce/plugins/advimage/editor_plugin.js,
+ .../tiny_mce/plugins/advimage/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/advimage/image.htm,
+ .../tiny_mce/plugins/advimage/img/sample.gif,
+ .../jscripts/tiny_mce/plugins/advimage/js/image.js,
+ .../tiny_mce/plugins/advimage/langs/en_dlg.js,
+ .../tiny_mce/plugins/advlink/css/advlink.css,
+ .../tiny_mce/plugins/advlink/editor_plugin.js,
+ .../tiny_mce/plugins/advlink/editor_plugin_src.js,
+ .../tiny_mce/plugins/advlink/js/advlink.js,
+ .../tiny_mce/plugins/advlink/langs/en_dlg.js,
+ .../jscripts/tiny_mce/plugins/advlink/link.htm,
+ .../tiny_mce/plugins/autosave/editor_plugin.js,
+ .../tiny_mce/plugins/autosave/editor_plugin_src.js,
+ .../tiny_mce/plugins/bbcode/editor_plugin.js,
+ .../tiny_mce/plugins/bbcode/editor_plugin_src.js,
+ .../tiny_mce/plugins/compat2x/editor_plugin.js,
+ .../tiny_mce/plugins/compat2x/editor_plugin_src.js,
+ .../tiny_mce/plugins/contextmenu/editor_plugin.js,
+ .../plugins/contextmenu/editor_plugin_src.js,
+ .../plugins/directionality/editor_plugin.js,
+ .../plugins/directionality/editor_plugin_src.js,
+ .../tiny_mce/plugins/emotions/editor_plugin.js,
+ .../tiny_mce/plugins/emotions/editor_plugin_src.js,
+ .../tiny_mce/plugins/emotions/emotions.htm,
+ .../tiny_mce/plugins/emotions/img/smiley-cool.gif,
+ .../tiny_mce/plugins/emotions/img/smiley-cry.gif,
+ .../plugins/emotions/img/smiley-embarassed.gif,
+ .../plugins/emotions/img/smiley-foot-in-mouth.gif,
+ .../tiny_mce/plugins/emotions/img/smiley-frown.gif,
+ .../plugins/emotions/img/smiley-innocent.gif,
+ .../tiny_mce/plugins/emotions/img/smiley-kiss.gif,
+ .../plugins/emotions/img/smiley-laughing.gif,
+ .../plugins/emotions/img/smiley-money-mouth.gif,
+ .../plugins/emotions/img/smiley-sealed.gif,
+ .../tiny_mce/plugins/emotions/img/smiley-smile.gif,
+ .../plugins/emotions/img/smiley-surprised.gif,
+ .../plugins/emotions/img/smiley-tongue-out.gif,
+ .../plugins/emotions/img/smiley-undecided.gif,
+ .../tiny_mce/plugins/emotions/img/smiley-wink.gif,
+ .../tiny_mce/plugins/emotions/img/smiley-yell.gif,
+ .../tiny_mce/plugins/emotions/js/emotions.js,
+ .../tiny_mce/plugins/emotions/langs/en_dlg.js,
+ .../jscripts/tiny_mce/plugins/example/dialog.htm,
+ .../tiny_mce/plugins/example/editor_plugin.js,
+ .../tiny_mce/plugins/example/editor_plugin_src.js,
+ .../tiny_mce/plugins/example/img/example.gif,
+ .../jscripts/tiny_mce/plugins/example/js/dialog.js,
+ .../jscripts/tiny_mce/plugins/example/langs/en.js,
+ .../tiny_mce/plugins/example/langs/en_dlg.js,
+ .../tiny_mce/plugins/fullpage/css/fullpage.css,
+ .../tiny_mce/plugins/fullpage/editor_plugin.js,
+ .../tiny_mce/plugins/fullpage/editor_plugin_src.js,
+ .../tiny_mce/plugins/fullpage/fullpage.htm,
+ .../tiny_mce/plugins/fullpage/js/fullpage.js,
+ .../tiny_mce/plugins/fullpage/langs/en_dlg.js,
+ .../tiny_mce/plugins/fullscreen/editor_plugin.js,
+ .../plugins/fullscreen/editor_plugin_src.js,
+ .../tiny_mce/plugins/fullscreen/fullscreen.htm,
+ .../tiny_mce/plugins/iespell/editor_plugin.js,
+ .../tiny_mce/plugins/iespell/editor_plugin_src.js,
+ .../tiny_mce/plugins/inlinepopups/editor_plugin.js,
+ .../plugins/inlinepopups/editor_plugin_src.js,
+ .../inlinepopups/skins/clearlooks2/img/alert.gif,
+ .../inlinepopups/skins/clearlooks2/img/button.gif,
+ .../inlinepopups/skins/clearlooks2/img/buttons.gif,
+ .../inlinepopups/skins/clearlooks2/img/confirm.gif,
+ .../inlinepopups/skins/clearlooks2/img/corners.gif,
+ .../skins/clearlooks2/img/horizontal.gif,
+ .../skins/clearlooks2/img/vertical.gif,
+ .../inlinepopups/skins/clearlooks2/window.css,
+ .../tiny_mce/plugins/inlinepopups/template.htm,
+ .../plugins/insertdatetime/editor_plugin.js,
+ .../plugins/insertdatetime/editor_plugin_src.js,
+ .../tiny_mce/plugins/layer/editor_plugin.js,
+ .../tiny_mce/plugins/layer/editor_plugin_src.js,
+ .../tiny_mce/plugins/media/css/content.css,
+ .../jscripts/tiny_mce/plugins/media/css/media.css,
+ .../tiny_mce/plugins/media/editor_plugin.js,
+ .../tiny_mce/plugins/media/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/media/img/flash.gif,
+ .../tiny_mce/plugins/media/img/flv_player.swf,
+ .../tiny_mce/plugins/media/img/quicktime.gif,
+ .../tiny_mce/plugins/media/img/realmedia.gif,
+ .../tiny_mce/plugins/media/img/shockwave.gif,
+ .../jscripts/tiny_mce/plugins/media/img/trans.gif,
+ .../tiny_mce/plugins/media/img/windowsmedia.gif,
+ .../jscripts/tiny_mce/plugins/media/js/embed.js,
+ .../jscripts/tiny_mce/plugins/media/js/media.js,
+ .../tiny_mce/plugins/media/langs/en_dlg.js,
+ .../jscripts/tiny_mce/plugins/media/media.htm,
+ .../tiny_mce/plugins/nonbreaking/editor_plugin.js,
+ .../plugins/nonbreaking/editor_plugin_src.js,
+ .../tiny_mce/plugins/noneditable/editor_plugin.js,
+ .../plugins/noneditable/editor_plugin_src.js,
+ .../tiny_mce/plugins/pagebreak/css/content.css,
+ .../tiny_mce/plugins/pagebreak/editor_plugin.js,
+ .../plugins/pagebreak/editor_plugin_src.js,
+ .../tiny_mce/plugins/pagebreak/img/pagebreak.gif,
+ .../tiny_mce/plugins/pagebreak/img/trans.gif,
+ .../jscripts/tiny_mce/plugins/paste/blank.htm,
+ .../jscripts/tiny_mce/plugins/paste/css/blank.css,
+ .../tiny_mce/plugins/paste/css/pasteword.css,
+ .../tiny_mce/plugins/paste/editor_plugin.js,
+ .../tiny_mce/plugins/paste/editor_plugin_src.js,
+ .../tiny_mce/plugins/paste/js/pastetext.js,
+ .../tiny_mce/plugins/paste/js/pasteword.js,
+ .../tiny_mce/plugins/paste/langs/en_dlg.js,
+ .../jscripts/tiny_mce/plugins/paste/pastetext.htm,
+ .../jscripts/tiny_mce/plugins/paste/pasteword.htm,
+ .../tiny_mce/plugins/preview/editor_plugin.js,
+ .../tiny_mce/plugins/preview/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/preview/example.html,
+ .../tiny_mce/plugins/preview/jscripts/embed.js,
+ .../tiny_mce/plugins/print/editor_plugin.js,
+ .../tiny_mce/plugins/print/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/safari/blank.htm,
+ .../tiny_mce/plugins/safari/editor_plugin.js,
+ .../tiny_mce/plugins/safari/editor_plugin_src.js,
+ .../tiny_mce/plugins/save/editor_plugin.js,
+ .../tiny_mce/plugins/save/editor_plugin_src.js,
+ .../plugins/searchreplace/css/searchreplace.css,
+ .../plugins/searchreplace/editor_plugin.js,
+ .../plugins/searchreplace/editor_plugin_src.js,
+ .../plugins/searchreplace/js/searchreplace.js,
+ .../tiny_mce/plugins/searchreplace/langs/en_dlg.js,
+ .../plugins/searchreplace/searchreplace.htm,
+ .../tiny_mce/plugins/spellchecker/css/content.css,
+ .../tiny_mce/plugins/spellchecker/editor_plugin.js,
+ .../plugins/spellchecker/editor_plugin_src.js,
+ .../tiny_mce/plugins/spellchecker/img/wline.gif,
+ .../jscripts/tiny_mce/plugins/style/css/props.css,
+ .../tiny_mce/plugins/style/editor_plugin.js,
+ .../tiny_mce/plugins/style/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/style/js/props.js,
+ .../tiny_mce/plugins/style/langs/en_dlg.js,
+ .../jscripts/tiny_mce/plugins/style/props.htm,
+ .../jscripts/tiny_mce/plugins/table/cell.htm,
+ .../jscripts/tiny_mce/plugins/table/css/cell.css,
+ .../jscripts/tiny_mce/plugins/table/css/row.css,
+ .../jscripts/tiny_mce/plugins/table/css/table.css,
+ .../tiny_mce/plugins/table/editor_plugin.js,
+ .../tiny_mce/plugins/table/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/table/js/cell.js,
+ .../tiny_mce/plugins/table/js/merge_cells.js,
+ .../jscripts/tiny_mce/plugins/table/js/row.js,
+ .../jscripts/tiny_mce/plugins/table/js/table.js,
+ .../tiny_mce/plugins/table/langs/en_dlg.js,
+ .../tiny_mce/plugins/table/merge_cells.htm,
+ .../jscripts/tiny_mce/plugins/table/row.htm,
+ .../jscripts/tiny_mce/plugins/table/table.htm,
+ .../jscripts/tiny_mce/plugins/template/blank.htm,
+ .../tiny_mce/plugins/template/css/template.css,
+ .../tiny_mce/plugins/template/editor_plugin.js,
+ .../tiny_mce/plugins/template/editor_plugin_src.js,
+ .../tiny_mce/plugins/template/js/template.js,
+ .../tiny_mce/plugins/template/langs/en_dlg.js,
+ .../tiny_mce/plugins/template/template.htm,
+ .../tiny_mce/plugins/visualchars/editor_plugin.js,
+ .../plugins/visualchars/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/xhtmlxtras/abbr.htm,
+ .../tiny_mce/plugins/xhtmlxtras/acronym.htm,
+ .../tiny_mce/plugins/xhtmlxtras/attributes.htm,
+ .../jscripts/tiny_mce/plugins/xhtmlxtras/cite.htm,
+ .../tiny_mce/plugins/xhtmlxtras/css/attributes.css,
+ .../tiny_mce/plugins/xhtmlxtras/css/popup.css,
+ .../jscripts/tiny_mce/plugins/xhtmlxtras/del.htm,
+ .../tiny_mce/plugins/xhtmlxtras/editor_plugin.js,
+ .../plugins/xhtmlxtras/editor_plugin_src.js,
+ .../jscripts/tiny_mce/plugins/xhtmlxtras/ins.htm,
+ .../tiny_mce/plugins/xhtmlxtras/js/abbr.js,
+ .../tiny_mce/plugins/xhtmlxtras/js/acronym.js,
+ .../tiny_mce/plugins/xhtmlxtras/js/attributes.js,
+ .../tiny_mce/plugins/xhtmlxtras/js/cite.js,
+ .../jscripts/tiny_mce/plugins/xhtmlxtras/js/del.js,
+ .../plugins/xhtmlxtras/js/element_common.js,
+ .../jscripts/tiny_mce/plugins/xhtmlxtras/js/ins.js,
+ .../tiny_mce/plugins/xhtmlxtras/langs/en_dlg.js,
+ .../jscripts/tiny_mce/themes/advanced/about.htm,
+ .../jscripts/tiny_mce/themes/advanced/anchor.htm,
+ .../jscripts/tiny_mce/themes/advanced/charmap.htm,
+ .../tiny_mce/themes/advanced/color_picker.htm,
+ .../tiny_mce/themes/advanced/editor_template.js,
+ .../themes/advanced/editor_template_src.js,
+ .../jscripts/tiny_mce/themes/advanced/image.htm,
+ .../tiny_mce/themes/advanced/img/colorpicker.jpg,
+ .../tiny_mce/themes/advanced/img/icons.gif,
+ .../jscripts/tiny_mce/themes/advanced/js/about.js,
+ .../jscripts/tiny_mce/themes/advanced/js/anchor.js,
+ .../tiny_mce/themes/advanced/js/charmap.js,
+ .../tiny_mce/themes/advanced/js/color_picker.js,
+ .../jscripts/tiny_mce/themes/advanced/js/image.js,
+ .../jscripts/tiny_mce/themes/advanced/js/link.js,
+ .../tiny_mce/themes/advanced/js/source_editor.js,
+ .../jscripts/tiny_mce/themes/advanced/langs/en.js,
+ .../tiny_mce/themes/advanced/langs/en_dlg.js,
+ .../jscripts/tiny_mce/themes/advanced/link.htm,
+ .../themes/advanced/skins/default/content.css,
+ .../themes/advanced/skins/default/dialog.css,
+ .../themes/advanced/skins/default/img/buttons.png,
+ .../themes/advanced/skins/default/img/items.gif,
+ .../advanced/skins/default/img/menu_arrow.gif,
+ .../advanced/skins/default/img/menu_check.gif,
+ .../themes/advanced/skins/default/img/progress.gif,
+ .../themes/advanced/skins/default/img/tabs.gif,
+ .../tiny_mce/themes/advanced/skins/default/ui.css,
+ .../themes/advanced/skins/o2k7/content.css,
+ .../tiny_mce/themes/advanced/skins/o2k7/dialog.css,
+ .../themes/advanced/skins/o2k7/img/button_bg.png,
+ .../advanced/skins/o2k7/img/button_bg_black.png,
+ .../advanced/skins/o2k7/img/button_bg_silver.png,
+ .../tiny_mce/themes/advanced/skins/o2k7/ui.css,
+ .../themes/advanced/skins/o2k7/ui_black.css,
+ .../themes/advanced/skins/o2k7/ui_silver.css,
+ .../tiny_mce/themes/advanced/source_editor.htm,
+ .../tiny_mce/themes/simple/editor_template.js,
+ .../tiny_mce/themes/simple/editor_template_src.js,
+ .../jscripts/tiny_mce/themes/simple/img/icons.gif,
+ .../jscripts/tiny_mce/themes/simple/langs/en.js,
+ .../themes/simple/skins/default/content.css,
+ .../tiny_mce/themes/simple/skins/default/ui.css,
+ .../tiny_mce/themes/simple/skins/o2k7/content.css,
+ .../themes/simple/skins/o2k7/img/button_bg.png,
+ .../tiny_mce/themes/simple/skins/o2k7/ui.css,
+ mod/tinymce/tinymce/jscripts/tiny_mce/tiny_mce.js,
+ .../tinymce/jscripts/tiny_mce/tiny_mce_popup.js,
+ .../jscripts/tiny_mce/utils/editable_selects.js,
+ .../tinymce/jscripts/tiny_mce/utils/form_utils.js,
+ .../tinymce/jscripts/tiny_mce/utils/mctabs.js,
+ .../tinymce/jscripts/tiny_mce/utils/validate.js,
+ mod/tinymce/views/default/embed/addcontentjs.php,
+ mod/tinymce/views/default/input/longtext.php,
+ mod/tinymce/views/default/tinymce/css.php,
+ mod/twitter/graphics/thewire_speech_bubble.gif,
+ mod/twitter/graphics/twitter.png, mod/twitter/languages/en.php,
+ mod/twitter/manifest.xml, mod/twitter/start.php,
+ mod/twitter/views/default/twitter/css.php,
+ mod/twitter/views/default/widgets/twitter/edit.php,
+ mod/twitter/views/default/widgets/twitter/view.php,
+ mod/twitterservice/languages/en.php, mod/twitterservice/manifest.xml,
+ mod/twitterservice/start.php, mod/twitterservice/vendors/twitter/license.txt,
+ mod/twitterservice/vendors/twitter/load.php,
+ mod/twitterservice/vendors/twitter/readme.txt,
+ mod/twitterservice/vendors/twitter/send.php,
+ .../vendors/twitter/twitter.class.php,
+ .../default/usersettings/twitterservice/edit.php,
+ mod/zaudio/audioplayer/audio-player.js, mod/zaudio/audioplayer/license.txt,
+ mod/zaudio/audioplayer/player.swf, mod/zaudio/manifest.xml,
+ mod/zaudio/readme.txt, mod/zaudio/start.php,
+ .../default/file/specialcontent/audio/mp3.php,
+ .../default/file/specialcontent/audio/mpeg.php,
+ .../default/file/specialcontent/audio/mpg.php,
+ views/default/account/forms/forgotten_password.php,
+ views/default/account/forms/login.php,
+ views/default/account/forms/register.php,
+ views/default/account/forms/useradd.php, views/default/admin/main.php,
+ views/default/admin/main_opt/plugins.php,
+ views/default/admin/main_opt/site.php,
+ views/default/admin/main_opt/statistics.php,
+ views/default/admin/main_opt/user.php, views/default/admin/plugins.php,
+ views/default/admin/plugins_opt/plugin.php, views/default/admin/site.php,
+ views/default/admin/statistics_opt/basic.php,
+ views/default/admin/statistics_opt/numentities.php,
+ views/default/admin/statistics_opt/online.php, views/default/admin/user.php,
+ views/default/admin/user_opt/adduser.php,
+ views/default/admin/user_opt/search.php,
+ views/default/annotation/annotate.php,
+ views/default/annotation/generic_comment.php,
+ views/default/annotation/latest_comments.php,
+ views/default/canvas/default.php,
+ views/default/canvas/layouts/one_column.php,
+ .../canvas/layouts/one_column_with_sidebar.php,
+ .../canvas/layouts/two_column_left_sidebar.php,
+ views/default/canvas/layouts/widgets.php,
+ views/default/canvas_header/submenu_group.php,
+ views/default/comments/forms/edit.php, views/default/css.php,
+ views/default/css_ie.php, views/default/css_ie6.php,
+ views/default/entities/entity_list.php,
+ views/default/entities/entity_listing.php, views/default/friends/picker.php,
+ views/default/friends/river/create.php,
+ views/default/group/search/finishblurb.php,
+ views/default/group/search/startblurb.php, views/default/input/access.php,
+ views/default/input/checkboxes.php, views/default/input/email.php,
+ views/default/input/file.php, views/default/input/longtext.php,
+ views/default/input/password.php, views/default/input/plaintext.php,
+ views/default/input/pulldown.php, views/default/input/radio.php,
+ views/default/input/tags.php, views/default/input/text.php,
+ views/default/input/url.php, views/default/js/initialise_elgg.php,
+ views/default/js/upload_js.php, views/default/messages/errors/error.php,
+ views/default/messages/errors/list.php,
+ views/default/messages/messages/list.php,
+ views/default/messages/messages/message.php,
+ views/default/navigation/main_nav.php,
+ views/default/navigation/pagination.php,
+ views/default/navigation/topbar_tools.php,
+ .../notifications/settings/usersettings.php,
+ views/default/output/confirmlink.php, views/default/output/rss_view.php,
+ views/default/output/tags.php, views/default/output/url.php,
+ views/default/page_elements/breadcrumbs.php,
+ views/default/page_elements/content_header.php,
+ .../page_elements/content_header_member.php,
+ views/default/page_elements/contentwrapper.php,
+ views/default/page_elements/elgg_content.php,
+ views/default/page_elements/elgg_footer.php,
+ views/default/page_elements/elgg_header.php,
+ views/default/page_elements/elgg_topbar.php,
+ views/default/page_elements/footer.php,
+ views/default/page_elements/header.php,
+ views/default/page_elements/header_contents.php,
+ views/default/page_elements/html_begin.php,
+ views/default/page_elements/html_end.php,
+ views/default/page_elements/owner_block.php,
+ views/default/page_elements/spotlight.php,
+ views/default/page_elements/title.php, views/default/page_shells/default.php,
+ views/default/pageshells/pageshell.php, views/default/river/item/list.php,
+ views/default/river/item/wrapper.php, views/default/settings/system.php,
+ views/default/spotlight/default.php,
+ views/default/user/search/finishblurb.php,
+ views/default/user/search/startblurb.php,
+ views/default/user/settings/default_access.php,
+ views/default/user/settings/email.php,
+ views/default/user/settings/language.php,
+ views/default/user/settings/name.php,
+ views/default/user/settings/password.php,
+ views/default/usersettings/form.php, views/default/usersettings/main.php,
+ views/default/usersettings/main_opt/plugins.php,
+ views/default/usersettings/main_opt/statistics.php,
+ views/default/usersettings/main_opt/user.php,
+ views/default/usersettings/plugins.php,
+ views/default/usersettings/plugins_opt/plugin.php,
+ .../usersettings/statistics_opt/numentities.php,
+ .../default/usersettings/statistics_opt/online.php: Pulled in the interface
+ changes.
+
+2010-03-02 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * CHANGES.txt: Updated changes file for 1.7 release.
+
+ * engine/schema/mysql.sql, engine/schema/upgrades/2010030101.sql,
+ version.php: Fixes #1562: Added a default value for entities.last_action in
+ the schema and as an upgraded. Bumped version number to 1.7 final.
+
+ * mod/search/search_hooks.php, mod/search/views/default/search/entity.php:
+ Updated the the default search entity view to check for users and groups and
+ properly display their profile icons.
+
+2010-03-01 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php: Fixing punctuation in upgrade strings.
+
+ * INSTALL.txt: Refs #1562: Updated requirements for Elgg.
+
+ * engine/lib/group.php: Fixes #1566: Rewriting calls to get_group_members()
+ to use 1.7's constant for site_guid.
+
+ * engine/lib/annotations.php, engine/lib/elgglib.php,
+ engine/tests/regression/trac_bugs.php: Fixes #1558: Applied Cash's patch.
+ Added unit tests to test for regressions for any bugs fixed in trac.
+
+ * engine/tests/objects/entities.php, engine/tests/objects/objects.php: Fixed
+ unit tests for exportable values after [3977].
+
+ * engine/lib/entities.php: Fixes #1563: Added time_updated and site_guid to
+ the export vals.
+
+ * mod/search/languages/en.php: Changed search language array variable name.
+
+2010-02-26 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/access.php: Fixes #1540: Added site_guid to
+ get_user_access_collections().
+
+ * engine/lib/relationships.php: Refs #1553: Corrected profile link in
+ friending notification.
+
+ * engine/lib/tags.php: Fixes #1555: Added missing call to
+ elgg_get_registered_tag_metadata_names() and defined missing variable.
+
+ * engine/lib/actions.php: Added login to the list of actions not requiring a
+ security token.
+
+2010-02-24 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * version.php: Version bump.
+
+ * engine/lib/metadata.php, engine/tests/api/entity_getter_functions.php:
+ Fixes #1542: Pulled in Kevin's patch to add ability to sort by metadata.
+ Added unit tests for this.
+
+2010-02-23 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/metadata.php, engine/tests/api/entity_getter_functions.php:
+ Refs #1543: Added support to have pass an array as a value in the
+ metadata_name_value_pair option of elgg_get_entities_from_metadata(). Added
+ unit tests for elgg_get_entities_from_metadata(). Cleaned up some
+ documentation for elgg_get_entities_from_metadata().
+
+2010-02-22 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/group.php, engine/lib/objects.php, engine/lib/users.php: Fixes
+ #1528: Correctly throwing exceptions for objects, groups, and users.
+
+2010-02-22 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/systemsettings/install.php: river plugin is defunct so does not
+ need to be enabled on install
+
+ * mod/diagnostics/actions/download.php, mod/diagnostics/start.php: Fixes
+ #1544: plugin hook for diagnostics now for entity "system"
+
+2010-02-22 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Fixes #1531: Correctly implemented dates for
+ friendly times. No more coding while watching the Olympics!
+
+2010-02-22 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/output/url.php: Fixes #1541: javascript now correctly
+ included in output/url view
+
+2010-02-21 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/xml/pageshells/pageshell.php: Fixes #1517 - let web server set
+ content length on xml files
+
+2010-02-21 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * CHANGES.txt, engine/lib/elgglib.php, views/default/output/confirmlink.php,
+ views/default/output/url.php, views/default/page_elements/spotlight.php:
+ Deprecated elgg_validate_action_url() by elgg_add_action_tokens_to_url().
+ Updated elgg_deprecated_notice() to include the file & line of the caller.
+ Updated core to use elgg_add_action_tokens_to_url().
+
+2010-02-21 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/xml.php: Refs #1517: only encode <,>, and & for strings in xml
+
+2010-02-21 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/plugins/disable.php, actions/admin/plugins/disableall.php,
+ actions/admin/plugins/enable.php, actions/admin/plugins/enableall.php,
+ actions/admin/plugins/reorder.php, actions/admin/site/update_basic.php,
+ actions/admin/user/ban.php, actions/admin/user/delete.php,
+ actions/admin/user/makeadmin.php, actions/admin/user/removeadmin.php,
+ actions/admin/user/resetpassword.php, actions/admin/user/unban.php,
+ actions/comments/add.php, actions/friends/add.php,
+ actions/friends/addcollection.php, actions/friends/remove.php,
+ actions/import/opendd.php, actions/login.php,
+ actions/plugins/settings/save.php, actions/plugins/usersettings/save.php,
+ actions/register.php, actions/user/requestnewpassword.php,
+ actions/useradd.php, actions/usersettings/save.php, actions/widgets/save.php:
+ Refs #1538: Removed action_gatekeeper() from action files in core.
+
+ * htaccess_dist: Fixes #1539: Removed line endings from htaccess_dist.
+
+2010-02-21 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/api.php, services/api/rest.php, services/api/rest_api.php:
+ Apache's MultiViews was matching the rest page handler incorrectly
+
+2010-02-20 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, languages/en.php: Refs #1531: Added full time and
+ dates to friendly time stamptes via acronym tags.
+
+ * mod/search/index.php, mod/search/search_hooks.php, mod/search/start.php:
+ Fixes #1523: Updated search to use ELGG_ENTITIES_NO_VALUE/ANY_VALUE and
+ removed some commented out code.
+
+2010-02-19 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php: Fixes #1421: Banning and unbanning users invalidates
+ the memcache entity.
+
+ * admin/user.php: Corrected fullview call in users admin section.
+
+ * views/default/js/initialise_elgg.php: Refs #1504: Removing revert option
+ from widget draggables to avoid fly away effect in IE 7.
+
+ * views/default/canvas/layouts/widgets.php: Fixed a bug in widget layouts
+ that caused the "edit page" link to not work if no widgets were defined.
+
+ * views/default/friends/picker.php: Fixes #1532: Added security tokens to
+ friend picker when in form mode.
+
+ * views/rss/pageshells/pageshell.php: Fixes #1535: Corrected double replace
+ for URL in RSS title view.
+
+ * engine/lib/access.php: Fixes #1519: Corrected site_guid in
+ create_access_collection().
+
+2010-02-18 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/tests/api/entity_getter_functions.php: Getter API test: Moved the
+ setup and tear down into the construct and destruct methods. Fixed a few new
+ tests that were failing because of sorting by time.
+
+2010-02-17 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * admin/user.php, dashboard/latest.php, engine/lib/activity.php,
+ engine/lib/admin.php, engine/lib/entities.php, engine/lib/export.php,
+ engine/lib/group.php, engine/lib/input.php, engine/lib/metadata.php,
+ engine/lib/notification.php, engine/lib/objects.php,
+ engine/lib/relationships.php, engine/lib/river2.php, engine/lib/sites.php,
+ engine/lib/users.php, index.php: Fixes #1488: Removed use of deprecated
+ functions in core.
+
+ * engine/lib/elgglib.php, engine/lib/entities.php, engine/lib/metadata.php,
+ engine/tests/api/entity_getter_functions.php: Refs #1523: elgg_get_entities()
+ uses the constants for defaults and conditionals. Added some tests to check
+ for no subtypes. Updated the documentation.
+
+ * engine/tests/api/entity_getter_functions.php, mod/diagnostics/index.php,
+ mod/diagnostics/languages/en.php, mod/diagnostics/start.php,
+ mod/diagnostics/testreport.php, mod/diagnostics/unittester.php,
+ mod/diagnostics/views/default/diagnostics/test.php,
+ .../views/default/diagnostics/testresult.php: Fixes #1526: Big honking
+ warning added to unit tests. Getter test also deletes its subtypes now.
+
+ * mod/search/README.txt: Updated docs for search.
+
+ * engine/lib/actions.php: Fixes #1527: Logout doens't require an action
+ token. This is a temporary fix until #1509 is implemented.
+
+ * mod/search/search_hooks.php: Fixes #1499: User and group search default to
+ ignoring subtypes to return all entities.
+
+2010-02-16 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/search/index.php: Fixed incorrect variable calls in search.
+
+ * mod/search/search_hooks.php: Not using elgg_get_entities_from_metadata()
+ for tag search because of performance issues. Switched to custom WHERE /
+ JOINs with elgg_get_entities().
+
+ * engine/lib/elgglib.php: Removing embed extended view from core.
+
+2010-02-13 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, languages/en.php, mod/search/search_hooks.php,
+ views/default/output/tags.php: Cleaned up tag searching so you can search on
+ a specific tag. Useful in search so a tag in "Things I like" won't match a
+ tag in "Things I hate."
+
+2010-02-12 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Can't type hint for strings.
+
+ * CHANGES.txt, engine/lib/entities.php, engine/lib/tags.php,
+ mod/search/search_hooks.php: Closes #1506: Added
+ elgg_register_tag_metadata_name(), elgg_get_registered_tag_metadata_names(),
+ and ElggEntity::getTags(). Search on tags updated to use registered tag
+ names instead of hard-coded 'tags' metadata name.
+
+ * engine/lib/elgglib.php: Fixes #1510: Added elgg_get_file_list().
+ get_library_files() wraps to this function with a deprecation notice for any
+ plugin authors using it to auto-load files.
+
+ * engine/lib/api.php, engine/lib/elgglib.php, engine/lib/input.php,
+ engine/lib/mb_wrapper.php, engine/lib/pagehandler.php,
+ mod/search/views/default/search/listing.php: Fixes #1512: Using a helper
+ function for mb_parse_str() instead of wrapping it exactly.
+
+2010-02-12 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/api.php, languages/en.php: updated documentation on the REST
+ API user authentication
+
+2010-02-11 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/api.php, engine/lib/elgglib.php, engine/lib/input.php,
+ engine/lib/mb_wrapper.php, engine/lib/pagehandler.php: Fixes #1501: Setting
+ ini for mbstring.internal_encoding to utf8 to work around a PHP bug. Replaced
+ calls to parse_str() with elgg_parse_str().
+
+ * views/default/navigation/pagination.php: Fixes #1507: $vars['baseurl']
+ used instead of undefined $baseurl in pagination view.
+
+2010-02-11 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: fixes #1505 - some lazy css was impacting opera for
+ checkboxes - overriding border and padding properties inherited from input
+ selector
+
+2010-02-11 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/output/url.php: Made the output/url view backward compatible
+ for the few plugins that used it.
+
+2010-02-10 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: Remove version from default theme's css.
+
+2010-02-09 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, engine/start.php: Fixes #1503, fixes #1474:
+ Library files are loaded using a hard-coded list to better deal with removed
+ files. Also, ~4% performance increase per page load on my server!
+
+ * mod/search/start.php: Correcting the corrected documentation.
+
+ * mod/search/start.php: Documentation correction in search.
+
+2010-02-08 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/plugins.php, mod/crontrigger/manifest.xml,
+ mod/diagnostics/manifest.xml, mod/garbagecollector/manifest.xml,
+ mod/htmlawed/manifest.xml, mod/logbrowser/manifest.xml,
+ mod/logrotate/manifest.xml, mod/search/manifest.xml,
+ mod/uservalidationbyemail/manifest.xml: Updated copyright for core plugin
+ manifests.
+
+ * engine/lib/access.php, engine/tests/api/entity_getter_functions.php: Fixes
+ #1496: delete_access_collection() invalidates the access collection cache
+ before attempting to delete. Removed a misplaced unset() in a unit test that
+ was causing test objects not to be properly deleted.
+
+2010-02-07 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/settings/system.php: finished the fix to #1492
+
+ * languages/en.php: more user friendly section title on user stats page
+
+ * languages/en.php: fixed punctuation issue on install instructions
+
+ * engine/lib/api.php, languages/en.php: tweaked some documentation on the
+ REST API
+
+2010-02-07 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/metadata.php: Correcting documentation for
+ elgg_get_entities_from_metadata().
+
+2010-02-07 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/elgg_topbar.php,
+ views/default/page_elements/header.php: & replaced by &amp; in the header and
+ alt added to some header graphics
+
+ * CONTRIBUTORS.txt: updated
+
+2010-02-06 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/tests/api/entity_getter_functions.php, engine/tests/suite.php: Refs
+ #1200: Added tests to test for false being returned with elgg_get_entities().
+ Disabled execution time during unit tests.
+
+ * actions/systemsettings/install.php, engine/lib/ping.php, languages/en.php,
+ views/failsafe/settings/system.php: Fixes #1492: Removed usage info
+ collecting from installation.
+
+ * engine/schema/mysql.sql: Fixes #1493: Updated schema to set auto_increment
+ IDs for access_collections to 3 to avoid overwriting default values with
+ group / shared access ACLs.
+
+ * views/default/css.php: Fixes #1491: Removed mistakingly assigned
+ input-password with input-textarea.
+
+2010-02-06 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/version.php: missing new on upgrade_details object creation
+
+ * engine/lib/elgglib.php: fixes #1486 - elgg_dump now works when sending to
+ server error log
+
+2010-02-06 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: Fixes #1404: Added input-password rules in default
+ css.
+
+2010-02-05 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php, languages/en.php: Fixes #1483: Reset password emails
+ forward to a confirmation page handler instead of directly to an action.
+
+ * engine/lib/pageowner.php: Fixes #1212: Removed unused code.
+
+ * engine/lib/elgglib.php: Fixes #1486: elgg_log() can now log arrays and
+ objects to error_log().
+
+ * engine/lib/xml-rpc.php: Fixes #1319: Applied patch for missing xml-rpc
+ <value> tag.
+
+ * CHANGES.txt, engine/lib/elgglib.php,
+ views/default/navigation/pagination.php, views/default/river/item/list.php:
+ Fixes #1481: Applie Mike's patch and created function
+ elgg_http_add_url_query_elements() to handle what the pagination view was
+ trying to do.
+
+2010-02-04 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * README.txt: updated copyright to 2010
+
+2010-02-04 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, engine/lib/entities.php,
+ engine/tests/api/entity_getter_functions.php: Refs #1200: Changed logic in
+ elgg_get_entity_type_subtype_where_sql() to return FALSE if there are no
+ valid subtypes passed. Ignores all invalid subtypes. Added (partial) tests
+ for elgg_get_entities() types and subtypes.
+
+2010-02-03 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * INSTALL.txt: updated install instructions to encourage more use of the
+ wiki instructions/troubleshooting and discourage setting data directory to
+ 777 as default
+
+ * views/rss/pageshells/pageshell.php: fixed a comment in rss pageshell
+
+2010-02-01 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/filestore.php: PHP complains about not casting the timestampt
+ to int for use in date().
+
+ * engine/lib/metadata.php: Added error checking to
+ clear_metadata_by_owner().
+
+ * engine/lib/entities.php: Fixed incorrect variable for subtype in
+ get_entity_dates().
+
+ * views/failsafe/messages/sanitisation/htaccess.php,
+ views/failsafe/messages/sanitisation/settings.php,
+ views/failsafe/pageshells/pageshell.php: Fixes #1328: Removed rows and cols
+ from the installation textareas and added CSS to format width/height at 100%
+ to make it easier to read and select.
+
+ * engine/lib/elgglib.php: Fixes #340: add_menu() and make_register_object()
+ deprecated.
+
+ * engine/lib/languages.php: Fixes #1166. get_installed_translations() only
+ calculates completion if admin is logge din.
+
+ * CHANGES.txt, engine/lib/entities.php: Fixes #1406: get_entity_dates()
+ supports order by.
+
+ * engine/lib/database.php: Adding some \ns between the SQL error and the
+ query in the database exception.
+
+ * engine/lib/input.php: Fixes #1398: autop() doesn't call missing
+ clean_pre() function.
+
+ * CHANGES.txt, engine/lib/entities.php: Fixes #1376: added
+ ElggEntity::removeRelationship().
+
+ * engine/lib/elgglib.php: Fixes #1478: uh....how did that get in there?
+
+ * engine/lib/elgglib.php: Fixes: #1475. elgg_http_build_url() correctly
+ sets port number.
+
+ * engine/lib/filestore.php: Fixes #1314: Filestore opens read-only with rb
+ instead of r+b.
+
+ * actions/email/save.php: Refs #1290: Changed email addresses are checked in
+ action:email/save
+
+ * engine/lib/elgglib.php: Fixes #1261: Exceptions force an HTTP recache.
+
+ * CHANGES.txt, engine/lib/relationships.php: Fixes #1213:
+ delete_relationship() triggers delete:relationship hook.
+
+ * engine/lib/pageowner.php: Fixes #1211: page_owner() sets the page owner
+ after figuring it out.
+
+ * engine/lib/entities.php: Updated documentation for elgg_get_entities().
+
+ * CHANGES.txt, engine/lib/entities.php: Fixes #1325:
+ ElggEntities::countEntitiesFromRelationship() support inverse relationships.
+
+ * engine/lib/users.php, views/default/account/forms/login.php: Fixes #1473:
+ Simple patch to create a view for the registration page.
+
+ * engine/lib/annotations.php: Fixed incorrect deprecated warning.
+
+ * engine/lib/elgglib.php: Refs #1079: elgg_view() casts view to a string
+ before attempting to call views.
+
+ * engine/lib/users.php: Fixes #678. Friending appears in the river for
+ invited new users.
+
+ * engine/lib/entities.php: Put the can_write_to_container() plugin hook in
+ the right place after [3871].
+
+ * CHANGES.txt, engine/lib/access.php, engine/lib/annotations.php,
+ engine/lib/elgglib.php, engine/lib/entities.php, engine/lib/group.php,
+ engine/lib/metadata.php, engine/lib/objects.php,
+ engine/lib/relationships.php, engine/lib/sites.php, engine/lib/users.php,
+ views/default/group/search/finishblurb.php,
+ views/default/group/search/startblurb.php,
+ views/default/user/search/finishblurb.php,
+ views/default/user/search/startblurb.php: Add elgg_deprecated_notice() so we
+ don't have to re-write all the elgg_log() deprecated notices to
+ register_error()s. Updated current ones to use this.
+
+2010-01-31 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/search/views/default/search/listing.php: Removing var_dump().
+
+ * CHANGES.txt, engine/lib/entities.php, engine/lib/group.php,
+ engine/lib/objects.php, engine/lib/sites.php, engine/lib/users.php,
+ mod/search/views/default/search/listing.php,
+ views/default/group/search/finishblurb.php,
+ views/default/group/search/startblurb.php,
+ views/default/user/search/finishblurb.php,
+ views/default/user/search/startblurb.php: Deprecated old search functions and
+ views.
+
+ * CHANGES.txt, engine/lib/entities.php: Fixes #1164:
+ can_write_to_container() now sends default values through
+ container_permissions_check hook.
+
+ * mod/htmlawed/start.php: htmlawed no longer creates tags with extra spaces
+ (<p >).
+
+ * views/rss/pageshells/pageshell.php: Fixes #1289: Pragma: public header
+ added for RSS feeds.
+
+ * engine/lib/users.php: Fixes #804: A relationship is created between a new
+ user and the site it was created on.
+
+ * engine/lib/elgglib.php, views/default/navigation/pagination.php,
+ views/default/navigation/viewtype.php, views/default/river/item/list.php:
+ Fixes #959: Added elgg_http_remove_url_query_element() to remove a GET
+ element instead of using faulty regexp.
+
+2010-01-30 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Changed array_key_exists() to isset() in
+ elgg_validate_action_url().
+
+ * engine/lib/elgglib.php: Added checking for $query array in
+ elgg_validate_action_url().
+
+ * engine/lib/input.php: Setting a default value to avoid notices.
+
+ * mod/htmlawed/start.php, mod/htmlawed/vendors/htmLawed/htmLawed.php,
+ mod/htmlawed/vendors/htmLawed/htmLawedTest.php,
+ mod/htmlawed/vendors/htmLawed/htmLawed_README.htm,
+ mod/htmlawed/vendors/htmLawed/htmLawed_README.txt,
+ .../vendors/htmLawed/htmLawed_TESTCASE.txt: Fixes #1425, Fixes #1341:
+ Upgraded htmlawed to latest. Altered the htmlawed attribute filtering
+ function to return <attr="val"> for proper linking in parse_urls(). Added
+ background-color as a non-filtered style attribute.
+
+ * engine/lib/input.php: Refs #1425: Cleaned up regexp for parlse_urls().
+
+2010-01-29 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/plugins.php: Fixes #1468: Cleaned up logic for enabling and
+ disabling plugins. Checking for arrays when metadata returns only a single
+ enabled plugin.
+
+ * admin/plugins.php, mod/diagnostics/index.php, mod/logbrowser/index.php:
+ Removed unneeded owner block icons from admin.
+
+ * engine/schema/mysql.sql, engine/schema/upgrades/2010012901.sql,
+ version.php: Added db migration 2010012901 that adds last_action column to
+ entities table. Bumped version number to 1.7b (2010012901).
+
+ * CHANGES.txt, engine/lib/relationships.php: Fixes #1472:
+ get_entity_relationships() supports inverse relationships.
+
+ * engine/lib/elgglib.php: Fixes #1470, Fixes #1471:
+ elgg_view_regenerate_simplecache() no longer triggers the pagesetup/system
+ hook. Fixes problems with creating the submenu.
+
+2010-01-28 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/plugins.php: Corrected clear_plugin_setting() to only remove a
+ single plugin setting instead of all setting for a plugin. Added
+ clear_all_plugin_settings() to remove all settings for a plugin.
+
+2010-01-28 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * CONTRIBUTORS.txt: changed my email address to web site in contributors
+ file
+
+2010-01-28 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/useradd.php, engine/lib/users.php: Fixes #1049, Fixes #1435:
+ Admin-created users and first admin user are marked as validated to prevent
+ inaccurate "Please validate your account" messages and emails.
+
+2010-01-27 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * CHANGES.txt, engine/lib/annotations.php, engine/lib/river2.php: Fixes
+ #1419: Added remove_from_river_by_annotation(). Deleting annotations now
+ deletes their river entry.
+
+ * CHANGES.txt: Updated changes.
+
+ * engine/lib/elgglib.php: Fixes #1465: Added unregister_event_handler() and
+ unregister_plugin_hook().
+
+ * engine/lib/sessions.php: Fixes #1464: A new session is created in logout()
+ after destorying the old session to display old $_SESSION['msg'] messages.
+
+2010-01-26 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * CHANGES.txt: Updated changes for the display name change.
+
+ * actions/user/name.php, languages/en.php,
+ views/default/user/settings/name.php: Display names are limited to 50 chars
+ and have HTML tags removed.
+
+ * README.txt: Bumped version number in README.
+
+ * views/default/css.php: Fixed a typo in css that broke everything in FF
+ 3.6.
+
+ * CHANGES.txt, engine/lib/plugins.php, languages/en.php: Fixes #1454:
+ Applied Cash's patch. Bad plugins are automatically disabled and a notice is
+ displayed instead of breaking the site.
+
+2010-01-25 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/actions.php, engine/lib/input.php: Fixes #1450, Fixes #1461:
+ Using $_SERVER['REQUEST_URI'] to pull in GET params on apache servers.
+
+2010-01-24 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Correctly forwarding messages among multiple
+ forwards (action_gatekeeper() -> index -> dashboard would drop messages
+ generated in action_gatekeeper()).
+
+ * actions/systemsettings/install.php: Refs #1450, Refs #1461, Refs #1460:
+ Install now clears out views caches.
+
+ * mod/diagnostics/start.php: Masking dbpassword in dianostics file.
+
+ * engine/lib/actions.php: Fixes #1460, Fixes #1459: Tokens are not required
+ to disable a plugin or install. This allows users to disable plugins that
+ overwrite admin pages without tokens.
+
+ * views/failsafe/input/form.php, views/failsafe/input/securitytoken.php:
+ Refs #1459: Added missing security tokens for failsafe (installation) view.
+
+ * actions/admin/plugins/disable.php, actions/admin/plugins/disableall.php,
+ actions/admin/plugins/enable.php, actions/admin/plugins/enableall.php,
+ actions/admin/plugins/reorder.php, actions/admin/user/ban.php,
+ actions/admin/user/delete.php, actions/admin/user/makeadmin.php,
+ actions/admin/user/removeadmin.php, actions/admin/user/resetpassword.php,
+ actions/admin/user/unban.php, actions/email/save.php,
+ actions/entities/delete.php, actions/register.php,
+ actions/user/default_access.php, actions/user/language.php,
+ actions/user/name.php, actions/user/password.php,
+ actions/user/passwordreset.php, actions/user/requestnewpassword.php,
+ actions/useradd.php, actions/usersettings/save.php: Removing calls to
+ engine/start.php in action files.
+
+ * CODING.txt: Added best practices to CODING.txt.
+
+2010-01-23 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/object/default.php: Fixed missing ) causing a WSOD.
+
+ * views/default/admin/user_opt/search.php: Fixes #1455: Updated search URL
+ for admin user search. (The old one worked, but didn't limit to users.)
+
+2010-01-22 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../actions/email/confirm.php, mod/uservalidationbyemail/manifest.xml,
+ mod/uservalidationbyemail/start.php: Changed uservalidationbyemail to not use
+ an action and cleaned up some of its code.
+
+ * engine/lib/elgglib.php: Fixed a bug preventing messages and warnings from
+ passing during a forward().
+
+2010-01-21 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/basic_elements/welcome.php,
+ views/default/page_elements/elgg_topbar.php,
+ views/default/welcome/logged_in.php: Updated views to use output/url with
+ action flag set.
+
+ * views/default/output/action_link.php, views/default/output/url.php:
+ Removed output/action_link view as it duplicated much of output/url. Improved
+ output/url view.
+
+ * engine/lib/metadata.php: Corrected a possible SQL insertion bug in
+ elgg_get_entity_metadata_where_sql().
+
+ * engine/lib/filestore.php: Fixing bug in resizing function that would
+ incorrectly attempt to crop a picture that was smaller than the target image.
+
+
+ * engine/lib/elgglib.php: Changed default declaration of $vars in
+ elgg_view() to array().
+
+ * views/default/object/default.php: Fixed a typo causing a WSOD.
+
+ * engine/lib/actions.php,
+ views/default/account/forms/forgotten_password.php,
+ views/default/basic_elements/welcome.php,
+ views/default/canvas/layouts/widgets.php, views/default/css.php,
+ views/default/object/default.php,
+ views/default/page_elements/elgg_topbar.php,
+ views/default/page_elements/spotlight.php,
+ views/default/welcome/logged_in.php: Fixes #750: All actions require
+ __elgg_ts and __elgg_token.
+
+ * engine/lib/elgglib.php: elgg_http_build_query() now supports ports.
+ Checking for __elgg_ts also in elgg_validate_action_url(). Fixed a spelling
+ mistake ;)
+
+ * views/default/output/action_link.php: Adding a view to generate action
+ links for single-click actions.
+
+2010-01-20 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/search/views/default/search/listing.php: Fixing bugs in search that
+ would break pagination.
+
+ * views/rss/navigation/pagination.php: Refs #1161: Added empty pagination
+ view for RSS feeds.
+
+ * engine/lib/filestore.php: Resetting cropping coords for images that can't
+ be cropped in filestore.
+
+ * engine/lib/filestore.php: Fixes #1445:
+ get_resized_image_from_existing_file() has an optional parameter to allow
+ upscaling if requested image is larger than original image.
+
+ * engine/lib/entities.php: Fixed documentation for time created and modified
+ params in elgg_get_entities().
+
+2010-01-15 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/search/search_hooks.php: Removing order by clause for now in search.
+
+ * CHANGES.txt: Updated CHANGES for #1414.
+
+2010-01-14 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/page_elements/searchbox.php: Stripping slashes on output
+ of original search query.
+
+ * mod/search/start.php: Allowing no table prefix in
+ search_get_search_where_sql()
+
+ * mod/search/start.php: Fixed incorrect order by for search sorting.
+
+ * mod/search/index.php, mod/search/search_hooks.php, mod/search/start.php:
+ Fixed an incorrect var name that caused a bug when hooking against a type but
+ not a subtype. Added first pass at results sorting.
+
+ * mod/search/README.txt, mod/search/index.php, mod/search/start.php,
+ mod/search/views/default/search/layout.php: Removed unnecessary view
+ directories. Added option of overriding the default layout for search
+ queries. Updated documentation reflecting those changes. Clarified some
+ points in the documentation.
+
+ * engine/lib/elgglib.php: Added checking and an ERROR log if elgg_view() is
+ passed a non-array $vars.
+
+2010-01-13 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * CONTRIBUTORS.txt: Updated contributors with entire MITRE team.
+
+2010-01-13 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Creating a helper function to return INI values in
+ bytes.
+
+2010-01-12 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Fixes #1414: Metadata set to a falsy value
+ (except NULL) returns TRUE for isset($obj->md).
+
+2010-01-12 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, engine/lib/group.php, engine/lib/metadata.php,
+ engine/lib/objects.php, engine/lib/sites.php, engine/lib/users.php,
+ engine/tests/objects/objects.php, engine/tests/objects/users.php: closes
+ #1145 - deprecated current "copy constructor" for all entities and
+ implemented a clone method. The clone copies over all metadata but not
+ annotations and private settings. It sets the guid to 0 so saving the cloned
+ entity creates a new database record. The ownership/access of metadata is set
+ during the save and will be the same as that of the entity.
+
+2010-01-12 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/search/views/rss/search/entity.php: Cleaned up RSS entity view for
+ search a bit.
+
+ * mod/search/index.php, mod/search/views/rss/search/entity.php,
+ mod/search/views/rss/search/listing.php: Added phpdoc header to index.php
+ Added RSS support to search. Nifty.
+
+2010-01-11 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, views/default/output/confirmlink.php: Always
+ generating action tokens with output/confirmlink. Includes a check for
+ actions already defining the tokens.
+
+2010-01-11 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/search/README.txt: Fixed a few spelling mistakes. Added descriptions
+ for the vars in 3.2
+
+ * engine/lib/relationships.php: Sanitising relationship in
+ elgg_get_entities_from_relationship();
+
+ * views/default/output/tags.php: Fixed tag output link for new search.
+
+ * mod/search/README.txt, mod/search/index.php, mod/search/start.php,
+ .../views/default/search/comments/entity.php,
+ .../views/default/search/comments/listing.php,
+ mod/search/views/default/search/entity.php,
+ mod/search/views/default/search/listing.php: Pulling out entity views in
+ search. Adding dev documentation.
+
+2010-01-10 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php: Fixes #1399: Case sensitivity was never in the
+ deprecated function, so no need to add it. Use the new functions if
+ required.
+
+ * engine/lib/metadata.php: Fixes #538. Applied Cash's patch. This is an old
+ one...jeepers.
+
+ * engine/lib/metadata.php: Fixes #1375: Metadata names and values are
+ properly escaped.
+
+2010-01-08 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Refs #1400: Fixed a typo in checking the
+ site_guid. Can't duplicate #1400 now, so possible fix for that. Waiting for
+ other tests to close this one.
+
+ * languages/en.php: Updated language file to reflect default prefix change.
+
+ * views/failsafe/messages/sanitisation/settings.php: Changed default prefix
+ to 'elgg_' for table name readability.
+
+ * actions/systemsettings/install.php, engine/lib/input.php: Fixes #1172: URL
+ now checked for trailing slash upon installation.
+
+2010-01-06 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/upgrades/2009102801.php: Fixing an upgrade issue relating from
+ invalid (non-existent) usernames
+
+2010-01-05 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/upgrades/2010010501.php, version.php: Added an upgrade to
+ enable the search plugin. Bumped internal version number.
+
+2010-01-03 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php: Set admin user's validation upon initial
+ registration. Fixes an incorrect error message if the first admin user enters
+ the wrong password.
+
+2009-12-31 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/database.php: Closes #1424: Faulty database migrations will now
+ halt an upgrade.
+
+ * engine/lib/metadata.php: Removed debug data.
+
+ * engine/schema/upgrades/2009100701.sql: Removed lock tables from db utf8
+ migration because it fails on some (all?) servers.
+
+2009-12-21 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/input/access.php, views/default/input/button.php,
+ views/default/input/file.php, views/default/input/longtext.php,
+ views/default/input/tags.php, views/default/output/confirmlink.php,
+ views/default/page_elements/header.php: a little cleanup of documentation and
+ variable handling in input and output views
+
+ * entities/index.php: removed unused variable in entities index
+
+ * engine/lib/group.php: fixed #1087 - is_group_member() now returns boolean
+ values only
+
+ * engine/lib/entities.php: fixes #988 - subtype is now available on new
+ entities after being saved
+
+2009-12-20 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: fixed bug where array of messages passed to
+ system_messages caused execution to stop - also displaying only errors if
+ they exist rather than having success and error messages overlapping each
+ other
+
+ * engine/lib/users.php: fixes #1322 - "remember me" cookie code is cleared
+ when a user is banned
+
+ * views/default/page_elements/header.php,
+ views/failsafe/pageshells/pageshell.php: fixes #1193 - now specify charset in
+ both metatag and http header
+
+ * engine/lib/database.php: cleaned up logic on db query cache
+
+ * engine/lib/entities.php: fixed typo in list_entities()
+
+2009-12-18 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/relationships.php: Clarified the documentation for
+ add_entity_relationship().
+
+2009-12-18 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/comments/add.php: add comment action now using get_loggedin_user()
+ rather than $_SESSION['user']
+
+ * views/default/input/form.php: added js to input/form
+
+ * engine/lib/actions.php, engine/lib/pagehandler.php: better parsing of
+ query for action and page handlers
+
+2009-12-17 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/mb_wrapper.php: perhaps this is what Brett intended with the
+ new mb_wrapper code
+
+ * views/default/input/button.php, views/default/input/form.php,
+ views/default/input/submit.php, views/default/input/text.php: fixed notices
+ caused by some input views
+
+ * engine/lib/database.php: fixed warning due to database query cache
+
+ * engine/lib/entities.php: when asking for a count of entities, we now
+ return an integer rather than a string
+
+ * engine/lib/elgglib.php: get_submenu was throwing out tons of notices -
+ this should be fixed now
+
+ * views/default/css.php: fixes #951 - using background-color rather than
+ background
+
+2009-12-16 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/filestore.php: better version of
+ get_resized_image_from_existing_file() - fixes #685 - more robust to errors,
+ and fixes a memory leak - tested with both profile photos and file plugin
+ photos - old installs will still have profile icons of the wrong size due to
+ #685
+
+ * engine/lib/input.php: added comments on get_input and set_input since they
+ do not handle nested arrays
+
+2009-12-15 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * CONTRIBUTORS.txt: Fixes #1413: New server test.
+
+2009-12-14 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/tags.php: closes #1277 - display_tagcloud() now has same
+ parameters as get_tags()
+
+ * views/default/output/tagcloud.php: fixes #1197 - no division by zero
+ warning any longer
+
+ * views/default/account/forms/login.php,
+ views/default/account/forms/register.php: easier to extend login and
+ registration forms now
+
+ * CHANGES.txt: updated CHANGES.txt with info on river table
+
+ * engine/tests/services/api.php: fixed line endings on services api unit
+ tests
+
+ * languages/en.php: added some missing language strings
+
+2009-12-10 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/cache.php: closes #1244 - checking whether file exists before
+ deleting it in cache
+
+ * views/default/friends/collectiontabs.php: closes #1226 - fixes eclipse
+ validation error
+
+ * languages/en.php, views/default/admin/user_opt/search.php: fixes #1230 -
+ spelling corrected
+
+ * engine/lib/access.php: using defines for ACCESS values - closes #1064
+
+ * mod/htmlawed/start.php: removes @ from include - closes #879
+
+ * actions/admin/user/ban.php: removes unused variables - closes #1129
+
+2009-12-09 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/sessions.php: minor tweak in comment - closes #1264
+
+ * views/default/css.php, views/default/page_elements/owner_block.php: fixes
+ #973 - pulls reported content and bookmark code out of core owner_block view
+ - left images in _graphics since themes probably depend on that and did not
+ want to break themes
+
+ * engine/lib/relationships.php: fixes #1323 and #1186 - PHP 5.3 requires
+ magic methods to be public
+
+2009-12-08 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, engine/lib/users.php,
+ engine/tests/objects/users.php: fixes #1305 - user and entity cache now
+ cleared on delete
+
+ * engine/lib/sessions.php, languages/en.php: gatekeeper functions now
+ display helpful messages - closes #1060
+
+ * engine/lib/group.php: fixes #1287 - users now removed from group acl when
+ leaving group - changed order of event and relationship removal
+
+ * .../canvas/layouts/two_column_right_sidebar.php, views/default/css.php:
+ fixes #537 - missing area3 added to two_column_right_sidebar - also added
+ enough css so that the login page works with right sidebar
+
+2009-12-07 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/sessions.php: Adding alias ElggSession methods
+
+2009-12-07 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/start.php: fixes #1187
+
+ * actions/comments/add.php, languages/en.php: fixes #1018 - blank comments
+ are now rejected
+
+ * views/default/input/form.php, views/failsafe/input/form.php,
+ views/failsafe/messages/sanitisation/settings.php: fixes #1183 - correct case
+ for form method now
+
+ * engine/lib/elgglib.php: fixed issue where php notices were written to log
+ on any debug level and added a plugin hook so plugins can direct elgg_log
+ messages where they want
+
+ * actions/login.php: fixed warning on access of array index that did not
+ exist in session global
+
+ * engine/lib/access.php: removed code that wasn't doing anything in
+ access.php
+
+ * engine/lib/relationships.php: fixed typo in
+ get_entities_from_relationship()
+
+2009-12-05 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/uservalidationbyemail/start.php: adding more security to the user
+ validation by email code - before people could fake the validation with
+ knowledge of the code making it possible for bots to register and validate
+ without an email address
+
+ * engine/lib/annotations.php: get_entities_from_annotations was ignoring
+ group_guid - setting container_guid now
+
+ * mod/htmlawed/start.php: fixed trivial bug in htmlawed plugin. The ;
+ delimiter should only be used between sets of schemes (protocols). This is
+ due to some slightly lazy coding in htmlawed.
+
+ * engine/lib/sessions.php: if there is already a user in the session, we
+ don't need to set the code from the cookie
+
+ * engine/lib/plugins.php: fixed bug introduced in [3608]
+
+ * languages/en.php, views/default/annotation/annotate.php: fixed bug in
+ comment river view due to missing }
+
+2009-12-04 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: fixes #1273 - replaced previous hack with call to
+ getType
+
+ * engine/lib/api.php, engine/tests/services/api.php: fixes #1397 and updates
+ unit tests - comma was missing
+
+2009-12-03 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/api.php: fixes #1396 - thanks for reporting this
+
+2009-12-03 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/search/search_hooks.php: Stripping tags to remove HTML on title and
+ description for comment search.
+
+ * mod/search/search_hooks.php: Removed a line breaking description for
+ comments in search.
+
+ * mod/search/search_hooks.php: Comments' search hook now correctly trims
+ description and title.
+
+ * .../views/default/search/comments/listing.php,
+ mod/search/views/default/search/listing.php: Removed pagination from top of
+ results.
+
+ * engine/lib/elgglib.php: Trimming the paths when detecting which nav item
+ to mark as selected.
+
+2009-12-02 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/search/views/default/search/listing.php: Search types without a
+ subtype now display properly.
+
+ * engine/lib/elgglib.php: Changed the way the sidebar nav "selected" class
+ is applied. Should yield more accurate results if the URIs aren't exactly
+ the same.
+
+ * CHANGES.txt: Removed duplicate changes entry.
+
+ * .../views/default/search/comments/listing.php,
+ mod/search/views/default/search/listing.php: Changed avatar size to small in
+ search results. Fixed a bug so comment owners' avatars show up instead of
+ commented entity's owners' avatars.
+
+2009-12-02 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/usersettings.php, languages/en.php: closes #876 - profile
+ language strings moved to profile plugin
+
+2009-11-30 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/search/views/default/search/listing.php: Added pagination to comments
+ view.
+
+ * mod/search/search_hooks.php, mod/search/views/default/search/listing.php,
+ mod/search/views/default/search/tags/listing.php: Removed tag search listing.
+ Added ability to provide extra info in searches as 'search_matched_extra'.
+ Allowing overrides for titles.
+
+ * .../views/default/search/comments/listing.php,
+ mod/search/views/default/search/listing.php: If a search result returns a
+ user, make the icon be for the user and not the owner (which is no one)
+
+ * .../views/default/search/comments/listing.php,
+ mod/search/views/default/search/listing.php,
+ mod/search/views/default/search/tags/listing.php: Listings use profile/icon
+ view instead of calling icon directly.
+
+2009-11-26 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/search/views/default/search/entity_list.php: search results view
+ tweaked
+
+2009-11-24 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Fixing a bug resulting from get_loggedin_user()
+ return FALSE. This bug could throw a fatal error to non-loggedin users.
+
+ * engine/tests/test_skeleton.php: Adding documentation to the unit test
+ skeleton file.
+
+2009-11-24 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: entities can now have metadata of 0 and return
+ the correct type. Fixes #1192
+
+ * dashboard/latest.php: dashboard latest wasn't specifying fullview as false
+
+ * engine/lib/languages.php: fixes 1315 - language static now being set
+ correctly
+
+ * engine/lib/entities.php: fixes #935
+
+ * engine/lib/users.php: made the get user friends objects functions
+ consistent and added some missing documentation - no api usage changes
+
+2009-11-23 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/filestore.php, engine/lib/users.php,
+ engine/tests/objects/filestore.php: Deleting a user will remove all user
+ files. Fixes #607
+
+2009-11-22 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/friends/remove.php: remove friend action, return user to the place
+ the action was triggered
+
+ * actions/friends/add.php: when friending another user, return to the page
+ you carried out the action
+
+2009-11-21 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php, engine/lib/metadata.php,
+ engine/lib/relationships.php: fixes #1374 - owner_guid wasn't being set
+ correctly in options array
+
+ * engine/lib/metadata.php: get_entities_from_metadata_multi() had bad option
+ keys - related to #1374.
+
+ * engine/lib/users.php: fixes #1380 - get_user_friends() was being called
+ with subtype of desired objects in get_user_friends_objects() - thanks for
+ the report
+
+2009-11-20 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Fixed a bug with group by and order by in
+ elgg_get_entities. #ElggCampBA
+
+2009-11-17 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/pagehandler.php: updated some documentation on
+ register_page_handler(). This fixes #1373
+
+2009-11-17 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * CONTRIBUTORS.txt: updating the contributors file
+
+2009-11-16 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * version.php: Bump.
+
+2009-11-16 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/search/comments/listing.php,
+ mod/search/views/default/search/listing.php,
+ mod/search/views/default/search/tags/listing.php: 'More' links on serarch
+ results moved, and link added to Tag results
+
+ * .../views/default/search/comments/listing.php,
+ mod/search/views/default/search/listing.php,
+ mod/search/views/default/search/tags/listing.php: Search UI cleaned up
+
+2009-11-16 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/search/views/default/search/listing.php: Fixed typo to display the
+ type of search.
+
+ * actions/systemsettings/install.php, views/default/site/default.php: Added
+ an INSTALLING constant when installing. Fixed a bug when trying to export a
+ site that causes the browser to redirect to the site's url, which caused the
+ installation process to skip past the "create your admin user" prompt when
+ "ping home" was checked.
+
+2009-11-15 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/search/start.php: Added a missing elgg_strlen() in
+ search_remove_ignored_words()
+
+ * CHANGES.txt: Updated changes file with search and user data storage info.
+
+
+2009-11-14 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/tags.php: fixes #1169 as described on ticket
+
+ * CHANGES.txt, engine/lib/api.php: updated documentation of API functions -
+ perhaps ready for developer preview
+
+2009-11-13 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * vendors/jquery/jquery-ui-1.7.2.min.js: Including jQuery UI 1.7.2 in core.
+ The custom build did not contain all the features we would like to have.
+ Leaving the custom build in place for community additions.
+
+ * engine/lib/annotations.php: Properly ordering annotation objects returned
+ by Elgg methods.
+
+ * search/index.php: Creating a redirect page for legacy themes using search.
+
+
+2009-11-13 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/tests/services/api.php: more test cases related to #1364.
+
+ * engine/lib/api.php, engine/tests/services/api.php: should fix #1364 and
+ added relevant unit test cases for this bug (yeah for unit tests)
+
+2009-11-12 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/api.php: fixed typo with handling web services request
+ parameters
+
+ * engine/lib/api.php: rest api parameters can now have default values
+
+2009-11-12 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php, views/default/entities/entity_listing.php,
+ views/default/entities/gallery_listing.php: Fixes: #1356. Reverted
+ search_listing to entity_listing for theme support.
+
+2009-11-12 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/api.php, languages/en.php: added a nonce to hmac signature and
+ header so same call in same second does not get caught by replay check
+
+2009-11-12 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/mb_wrapper.php: Accidentally removed the eval with my debug
+ statements.
+
+ * engine/lib/mb_wrapper.php: Wrapped all multibyte functions that are
+ directly interchangable with non mb functions with elgg_*().
+
+2009-11-12 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/plugins.php: fixes #1286 - ElggPlugin get() wasn't handling "0"
+ and returning null instead
+
+2009-11-12 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/upgrades/2009102801.php: Data migration: Disabled execution
+ time and clearing out caches to avoid OOM errors.
+
+2009-11-12 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/river2.php, engine/schema/mysql.sql,
+ engine/schema/upgrades/2009091901.sql: fixes #1329 - schema for river is
+ fixed - was incomplete before
+
+2009-11-11 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: fixes #1339 - elgg_view_exists now handles view
+ extensions for non-default viewtypes (for one level of recursion)
+
+ * engine/lib/elgglib.php: get_views deprecated for elgg_get_views (just to
+ be consistent with views api)
+
+2009-11-10 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/foaf/page_elements/title.php, views/json/page_elements/title.php,
+ views/rss/page_elements/title.php: these viewtypes need an empty title view
+ or html shows up because they fallback on default view
+
+2009-11-10 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/search/start.php: Removing explicit MySQL 5.1-specific 'IN NATURAL
+ LANGUAGE MODE' option.
+
+2009-11-10 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/upgrades/2009102801.php: Using php default functions instead of
+ ElggUser in upgrade script. Large Elgg sites could not handle the "select *
+ from users" query without hitting memory limit.
+
+2009-11-10 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/admin.php, engine/lib/elgglib.php, engine/lib/usersettings.php,
+ mod/logbrowser/start.php, mod/search/start.php: elgg_extend_view() replaces
+ extend_view()
+
+ * engine/lib/elgglib.php: updated documentation of functions used in
+ creating the topbar tools dropdown menu
+
+2009-11-10 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/search/start.php, mod/search/views/default/search/css.php: Sorting
+ search contexts by longest related substring. Added more pretty highlight
+ colors.
+
+ * mod/search/start.php: Fixed displaying of ...s in search.
+
+ * mod/search/index.php: I hate you search.
+
+ * mod/search/index.php: Missed an htmlspecialchars().
+
+ * mod/search/index.php, mod/search/start.php: Removed unneeded url_encode()s
+ for links.
+
+ * mod/search/start.php: Underscores. grr.
+
+ * mod/search/start.php: Adjusted default context length to 500. Added url
+ decoding to needle string.
+
+ * mod/search/search_hooks.php, mod/search/start.php: Trying out the new
+ context grabbing function in search.
+
+2009-11-10 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/handlers/service_handler.php, engine/lib/api.php, htaccess_dist:
+ introducing a new web services handler
+
+ * engine/lib/notification.php: do not register notification rest api calls
+ by default since no other apis exposed not directly related to the api
+
+ * views/json/api/output.php: leaner json objects for web services api calls
+
+2009-11-09 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/search/search_hooks.php: For real this time.
+
+ * mod/search/search_hooks.php: Sanitising string on tag search.
+
+ * mod/search/search_hooks.php, mod/search/start.php: Sanitising strings to
+ avoid sql insertion hacks.
+
+ * mod/search/index.php, mod/search/search_hooks.php, mod/search/start.php,
+ .../views/default/search/comments/listing.php,
+ mod/search/views/default/search/listing.php: Updated search to allow
+ filtering of results by clicking on submenu items. Fixes #1358: Using raw
+ mysql_query() / fetch() to get ft_min/max vars since they aren't set on some
+ versions of MySQL.
+
+ * engine/lib/entities.php: Ignoring empty owner_guids in elgg_get_entities.
+
+ * engine/lib/elgglib.php: Removed old code from get_submenu().
+
+ * CODING.txt: Added deprecation procedures to coding standards.
+
+2009-11-09 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * CHANGES.txt: updated changes.txt
+
+ * engine/lib/api.php: REST api hmac signature encoding now compatible with
+ Amazon S3 and OAuth
+
+2009-11-08 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/search/comments/listing.php: Fixed the wrapper for
+ comment listing.
+
+ * mod/search/start.php: Uncommenting out the bulk of the search functions.
+
+ * mod/search/search_hooks.php, mod/search/start.php,
+ .../views/default/search/comments/listing.php,
+ mod/search/views/default/search/listing.php,
+ mod/search/views/default/search/tags/listing.php: Added super-basic support
+ for searching comments.
+
+2009-11-07 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/api.php, engine/lib/pam.php, engine/tests/services/api.php,
+ services/api/rest.php: combined the two different pams into one and fixed an
+ issue with hmac authentication
+
+2009-11-07 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/search/search_hooks.php: Reverted groups and users to LIKE instead of
+ MATCH AGAINST.
+
+ * engine/lib/group.php, engine/lib/objects.php, engine/lib/tags.php,
+ engine/lib/users.php, mod/search/index.php, mod/search/search_hooks.php,
+ mod/search/start.php, .../views/default/page_elements/searchbox.php,
+ mod/search/views/default/search/listing.php: Moved default search hooks into
+ search mod. Using MySQL's MATCH ... AGAINST instead of likes for most
+ searches. Changed 'tag' to 'q' while maintaining backward compatibility.
+
+2009-11-07 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: fixed bug in list_entities() wrapper function -
+ it was ignoring false values - there are probably similar problems in the
+ other new wrapper functions
+
+ * _graphics/icons/default/topbar.png: fixes #1324 - default topbar icon now
+ exists
+
+ * engine/lib/metastrings.php: fixes #1227 - this one has been causing nasty
+ problems for far too long
+
+2009-11-06 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/schema/mysql.sql: removed space which would break the schema
+ parsing routine for the next poor sucker who tried to add a table - not the
+ most robust code
+
+ * services/api/rest.php: strike previous - we need the password auth to get
+ the user token - will just need to document that pam_auth_pass does not work
+ with REST because the user credentials are not set
+
+ * engine/lib/pam.php, services/api/rest.php: removing username/password
+ authorization for REST by default - developers can add their own custom PAM
+ using the plugin hook if they require this
+
+2009-11-06 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/group.php: Removed old group search hook.
+
+ * engine/lib/users.php: Removed old user search.
+
+ * engine/lib/group.php, engine/lib/tags.php, engine/lib/users.php: Added
+ search for group, tags, and users.
+
+ * engine/lib/entities.php: Added ability to pass 0 as a subtype meaning
+ "entities without a subtype".
+
+ * engine/lib/objects.php: Added default object search.
+
+ * engine/lib/metadata.php: Corrected documentation for
+ elgg_get_entities_from_metadata()
+
+ * actions/systemsettings/install.php: Enable search mod upon installation.
+
+ * mod/search/index.php, mod/search/start.php,
+ mod/search/views/default/search/css.php,
+ mod/search/views/default/search/listing.php,
+ mod/search/views/default/search/startblurb.php,
+ mod/search/views/default/search/tags/listing.php: Updated search for FTS.
+
+2009-11-04 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * CHANGES.txt, engine/lib/sessions.php: users now allowed to have multiple
+ sessions but not multiple remember me cookies (yet)
+
+2009-11-03 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/htmlawed/start.php: Updated htmlawed to allow the default TinyMCE
+ styles.
+
+2009-11-03 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/opendd.php, engine/lib/plugins.php, engine/lib/xml-rpc.php,
+ engine/lib/xml.php: standardized xml.php and fixed output of booleans in xml
+ view
+
+2009-11-02 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * CHANGES.txt: Updated changes for deprecated functions.
+
+ * engine/lib/annotations.php, engine/lib/entities.php,
+ engine/lib/metadata.php: Deprecated elgg_get_entities_from_annotations().
+
+ * engine/lib/access.php: Changed elgg_get_entities_from_access_id() to be
+ closer to how other functions work.
+
+ * mod/htmlawed/start.php: Updated htmlawed to disallow many style
+ attributes.
+
+2009-11-02 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/xml/pageshells/pageshell.php: setting xml version and encoding in
+ xml pageshell
+
+2009-10-30 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * CHANGES.txt, engine/lib/access.php, engine/tests/objects/users.php:
+ Deprecating get_entities_from_access_id().
+
+2009-10-30 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * index.php: No longer using fullview for listing registered entities on
+ front page.
+
+2009-10-30 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/plugins.php: Removing deprecated function call from
+ find_plugin_settings().
+
+2009-10-30 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/metadata.php, engine/tests/objects/metadata.php: Namespaced
+ metadata keys for elgg_get_entities_from_metadata() so no clashes will happen
+ with annotations.
+
+2009-10-30 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * CHANGES.txt: Updating 1.7 CHANGES file.
+
+2009-10-30 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * CHANGES.txt: Updated changes for the relationship functions.
+
+2009-10-30 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Cleaning up logic regarding view error notices.
+
+2009-10-29 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/metadata.php, engine/lib/relationships.php: Deprecated
+ get_entities_from_relationships_and_meta().
+
+2009-10-29 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/filestore.php, engine/tests/objects/filestore.php: Fully
+ deprecating file matrix use with username. Plugins building the file matrix
+ with the username will still work, but a warning is logged.
+
+ * engine/tests/objects/metadata.php: Updating unit test to reflect
+ deprecated functions.
+
+2009-10-29 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/relationships.php: Fixed incorrect wrapper for
+ get_entities_from_relationship() -> elgg_get_entities_from_relationship().
+
+2009-10-28 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/relationships.php: Deprecated get_entities_from_relationship()
+
+ * engine/lib/metadata.php: Actually fixed the documentation for
+ elgg_get_entities_from_metadata().
+
+ * engine/lib/metadata.php: Cleaned up table prefix for
+ elgg_get_entity_metadata_where_sql(). Cleaned up some documentation.
+
+ * engine/lib/metadata.php: Updated documentation for
+ elgg_get_entity_metadata_where_sql()
+
+ * engine/schema/upgrades/2009100701.sql: Got rid of the silly conditional
+ comments in the db upgrade now that I know what they are.
+
+ * engine/schema/upgrades/2009100701.sql: Added table locking and disabling
+ keys for the UTF8 database upgrade.
+
+2009-10-28 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/upgrades/2009102801.php, version.php: Creating an upgrade
+ script for new user filepath.
+
+2009-10-28 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, engine/lib/metadata.php: Deprecated
+ get_entities_from_metadata() and get_entities_from_metadata_multi().
+
+2009-10-27 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/tests/objects/filestore.php: Removing local debug info from
+ filestore unit test.
+
+ * engine/lib/filestore.php, engine/tests/elgg_unit_test.php,
+ engine/tests/objects/filestore.php, engine/tests/objects/users.php: Modifying
+ user file locations to be based on guid. Previous implementations utilized
+ the owner's username to determine a file path matrix based on (up to) the
+ first five letters. To eliminate language and filesystem inconsistencies, the
+ matrix is now created from the entity's creation date and guid. This has the
+ added benefit of (potentially) allowing users to update their usernames.
+
+2009-10-27 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * CHANGES.txt: Updated changes for bug #1161 and deprecated
+ get_entities_from_metadata()
+
+ * engine/tests/objects/metadata.php: Fixed caching issue that caused
+ metadata test for get_entities_from_metadata() to fail.
+
+ * engine/lib/entities.php: Removed some commented out code.
+
+ * engine/lib/metadata.php: Fixed get_entities_from_metadata() wrapper when
+ passing case_sensitive = FALSE.
+
+ * engine/lib/elgglib.php: Fixes #1161: Views now default to 'default' if not
+ found.
+
+ * engine/lib/elgglib.php, engine/lib/entities.php, engine/lib/metadata.php:
+ Created elgg_get_entities_from_metadata() and made
+ get_entities_from_metadata(). Made elgg_get_entities() accept extra joins and
+ wheres in the options. Created a helper function
+ elgg_normalise_plural_options_array() to rewrite shortcut singular options to
+ plurals.
+
+2009-10-26 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/metastrings.php: Rewriting metastrings search query for
+ simplicity.
+
+2009-10-26 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * CHANGES.txt: Updated changes to show deprecated get_entities().
+
+2009-10-25 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/api.php, engine/tests/services/api.php, languages/en.php:
+ cleaned up the web services unit tests
+
+2009-10-25 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Corrected bug when passing container_guids or
+ owner_guids of 0. Changed the name of the $prefix to $table in sql helper
+ functions. Improved documentation.
+
+2009-10-24 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Created elgg_get_entities() and rewrote
+ get_entities() to pass to the new function. First go at removing
+ get_entities() calls in native functions.
+
+ * engine/lib/elgglib.php: Cleaned up some code in elgg_view().
+
+ * engine/lib/access.php: Slight code cleanup.
+
+2009-10-23 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php, engine/tests/objects/users.php: Creating a unit test
+ for ElggUser
+
+2009-10-23 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/entities/entity_list.php,
+ views/default/entities/entity_listing.php: Standardized entity listing views.
+
+
+ * CODING.txt: Updated standards for method names.
+
+2009-10-22 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/input.php: Refs #1330: Contributed autop() to Wordpress.
+
+ * engine/lib/elgglib.php, engine/lib/entities.php, engine/lib/search.php,
+ mod/search/index.php, mod/search/languages/en.php, mod/search/manifest.xml,
+ mod/search/start.php, .../views/default/page_elements/searchbox.php,
+ mod/search/views/default/search/css.php,
+ mod/search/views/default/search/entity_list.php,
+ mod/search/views/default/search/gallery.php,
+ .../views/default/search/gallery_listing.php,
+ mod/search/views/default/search/listing.php,
+ mod/search/views/default/search/startblurb.php, search/groups.php,
+ search/index.php, search/users.php, views/default/css.php,
+ views/default/entities/entity_list.php,
+ views/default/entities/entity_listing.php,
+ views/default/entities/gallery.php,
+ views/default/entities/gallery_listing.php,
+ views/default/page_elements/elgg_topbar.php,
+ views/default/search/entity_list.php, views/default/search/gallery.php,
+ views/default/search/gallery_listing.php, views/default/search/listing.php,
+ views/default/search/startblurb.php: Pulling in MITRE's search core.
+ Fo'rizzle this time. Yo.
+
+2009-10-22 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * CHANGES.txt: Updating core changes file
+
+ * mod/diagnostics/index.php, mod/diagnostics/languages/en.php: Adding unit
+ testing to the GUI. Unit tests can be run from the core Diagnostics mod.
+
+2009-10-22 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/api.php, engine/tests/services/api.php, languages/en.php: more
+ unit tests for REST api
+
+2009-10-21 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/metadata.php, engine/lib/metastrings.php,
+ engine/tests/objects/metadata.php: Allowing entities to be retrieved by
+ case-insensitive search. Adding a new unit test for ElggMetadata.
+
+ * engine/lib/elgglib.php, engine/tests/suite.php: Reducing the output level
+ of log messages set to "DEBUG". Debug level messages will be logged at the
+ same level as warnings. Additionally, users will be notified when attempting
+ to run the test suite without first placing the site in debug mode.
+
+2009-10-20 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/api.php, engine/tests/services/api.php, languages/en.php: more
+ unit tests for REST api
+
+ * CHANGES.txt: updated CHANGES.txt with services API changes
+
+2009-10-19 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/database.php: Replacing a direct call to error_log() with new
+ elgg_log().
+
+ * CHANGES.txt, actions/admin/site/update_basic.php,
+ actions/systemsettings/install.php, engine/lib/elgglib.php, languages/en.php,
+ views/default/settings/system.php, views/failsafe/settings/system.php: Adding
+ debug options to admin site settings. Debugging errors and warnings to
+ screen. If notice level is enabled, output is sent to system log file.
+
+2009-10-19 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/api.php, engine/tests/services/api.php, languages/en.php,
+ services/api/rest.php: first version of new REST api
+
+2009-10-17 cash <cash@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/pam.php: updated documentation on PAMs as I will be fixing some
+ API PAM issues
+
+2009-10-16 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/api.php, engine/lib/database.php, engine/lib/elgglib.php,
+ engine/lib/entities.php, engine/lib/group.php, engine/lib/languages.php,
+ engine/lib/memcache.php, engine/lib/metastrings.php,
+ engine/lib/notification.php, engine/lib/objects.php, engine/lib/sites.php,
+ engine/lib/users.php, engine/start.php, engine/tests/suite.php,
+ views/default/messages/exceptions/exception.php,
+ views/failsafe/messages/exceptions/exception.php,
+ views/opendd/messages/exceptions/exception.php,
+ views/xml/messages/exceptions/exception.php: Rewriting core debug messages to
+ be more informative. Introducing the concept of levels for Elgg debugging:
+ notices, warnings and errors are displayed when the appropriate debugging
+ level is enabled. An additional level of "debug" exists to differentiate from
+ errors.
+
+2009-10-16 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/foaf/canvas/default.php,
+ views/foaf/page_elements/contentwrapper.php,
+ views/foaf/pageshells/pageshell.php, views/foaf/search/entity_list.php,
+ views/foaf/user/default.php, views/ical/canvas/default.php,
+ views/ical/export/entity.php, views/ical/object/default.php,
+ views/ical/pageshells/pageshell.php, views/ical/search/entity_list.php,
+ views/js/object/default.php, views/js/pageshells/pageshell.php,
+ views/js/user/default.php, views/json/api/output.php,
+ views/json/canvas/default.php, views/json/export/entity.php,
+ views/json/export/metadata.php, views/json/export/relationship.php,
+ views/json/group/default.php, views/json/messages/exceptions/exception.php,
+ views/json/object/default.php, views/json/page_elements/contentwrapper.php,
+ views/json/pageshells/pageshell.php, views/json/river/item/list.php,
+ views/json/search/entity_list.php, views/json/site/default.php,
+ views/json/user/default.php, views/opendd/canvas/default.php,
+ views/opendd/export/entity.php, views/opendd/export/metadata.php,
+ views/opendd/export/relationship.php, views/opendd/group/default.php,
+ views/opendd/messages/exceptions/exception.php,
+ views/opendd/object/default.php, views/opendd/pageshells/pageshell.php,
+ views/opendd/search/entity_list.php, views/opendd/site/default.php,
+ views/opendd/user/default.php, views/php/api/output.php,
+ views/php/canvas/default.php, views/php/export/entity.php,
+ views/php/export/metadata.php, views/php/export/relationship.php,
+ views/php/group/default.php, views/php/messages/exceptions/exception.php,
+ views/php/object/default.php, views/php/pageshells/pageshell.php,
+ views/php/search/entity_list.php, views/php/site/default.php,
+ views/php/user/default.php, views/rss/annotation/default.php,
+ views/rss/canvas/default.php, views/rss/group/default.php,
+ views/rss/object/default.php, views/rss/page_elements/contentwrapper.php,
+ views/rss/pageshells/pageshell.php, views/rss/river/item/list.php,
+ views/rss/search/entity_list.php, views/rss/user/default.php,
+ views/xml/api/output.php, views/xml/messages/exceptions/exception.php,
+ views/xml/pageshells/pageshell.php, views/xml/xml-rpc/output.php: Finished
+ standardizing seconday views.
+
+ * views/failsafe/canvas/default.php, views/failsafe/input/access.php,
+ views/failsafe/input/button.php, views/failsafe/input/checkboxes.php,
+ views/failsafe/input/form.php, views/failsafe/input/hidden.php,
+ views/failsafe/input/longtext.php, views/failsafe/input/pulldown.php,
+ views/failsafe/input/reset.php, views/failsafe/input/submit.php,
+ views/failsafe/input/text.php, views/failsafe/messages/errors/error.php,
+ views/failsafe/messages/errors/list.php,
+ views/failsafe/messages/exceptions/exception.php,
+ views/failsafe/messages/list.php, views/failsafe/messages/messages/list.php,
+ views/failsafe/messages/messages/message.php,
+ views/failsafe/messages/sanitisation/htaccess.php,
+ views/failsafe/messages/sanitisation/settings.php,
+ views/failsafe/pageshells/pageshell.php, views/failsafe/settings/install.php,
+ views/failsafe/settings/system.php: Standardized views/failsafe/*
+
+ * views/default/css.php, views/default/settings/install.php,
+ views/default/settings/system.php, views/default/settings/upgrading.php,
+ views/default/site/default.php, views/default/spotlight/default.php,
+ views/default/text/about.php, views/default/text/privacy_view.php,
+ views/default/text/tos_view.php,
+ views/default/upload/upload_form_content.php, views/default/user/default.php,
+ views/default/user/search/finishblurb.php,
+ views/default/user/search/startblurb.php,
+ views/default/user/settings/default_access.php,
+ views/default/user/settings/email.php,
+ views/default/user/settings/language.php,
+ views/default/user/settings/name.php,
+ views/default/user/settings/password.php,
+ views/default/usersettings/form.php, views/default/usersettings/main.php,
+ views/default/usersettings/main_opt/plugins.php,
+ views/default/usersettings/main_opt/statistics.php,
+ views/default/usersettings/main_opt/user.php,
+ views/default/usersettings/plugins.php,
+ views/default/usersettings/plugins_opt/plugin.php,
+ views/default/usersettings/statistics.php,
+ .../usersettings/statistics_opt/numentities.php,
+ .../default/usersettings/statistics_opt/online.php,
+ views/default/usersettings/user.php, views/default/welcome.php,
+ views/default/welcome/logged_in.php, views/default/welcome/logged_out.php,
+ views/default/widgets/editwrapper.php, views/default/widgets/wrapper.php:
+ Finish up standardizing views/default/*
+
+ * views/default/page_elements/contentwrapper.php,
+ views/default/page_elements/elgg_topbar.php,
+ views/default/page_elements/footer.php,
+ views/default/page_elements/header.php,
+ views/default/page_elements/header_contents.php,
+ views/default/page_elements/owner_block.php,
+ views/default/page_elements/spotlight.php,
+ views/default/page_elements/title.php,
+ views/default/pageshells/pageshell.php, views/default/river/dashboard.php,
+ views/default/river/item/list.php, views/default/river/item/noaccess.php,
+ views/default/river/item/wrapper.php,
+ views/default/river/relationship/friend/create.php,
+ views/default/river/wrapper.php, views/default/search/entity_list.php,
+ views/default/search/gallery.php, views/default/search/gallery_listing.php,
+ views/default/search/listing.php, views/default/search/startblurb.php: More
+ views cleanup.
+
+ * views/default/friends/tablelist.php,
+ views/default/friends/tablelistcountupdate.php,
+ views/default/graphics/icon.php, views/default/group/default.php,
+ views/default/group/search/finishblurb.php,
+ views/default/group/search/startblurb.php, views/default/input/access.php,
+ views/default/input/autocomplete.php, views/default/input/button.php,
+ views/default/input/calendar.php, views/default/input/captcha.php,
+ views/default/input/checkboxes.php, views/default/input/email.php,
+ views/default/input/file.php, views/default/input/form.php,
+ views/default/input/hidden.php, views/default/input/longtext.php,
+ views/default/input/password.php, views/default/input/plaintext.php,
+ views/default/input/pulldown.php, views/default/input/radio.php,
+ views/default/input/reset.php, views/default/input/securitytoken.php,
+ views/default/input/submit.php, views/default/input/tags.php,
+ views/default/input/text.php, views/default/input/url.php,
+ views/default/input/urlshortener.php, views/default/input/userpicker.php,
+ views/default/js/initialise_elgg.php,
+ views/default/messages/errors/error.php,
+ views/default/messages/errors/list.php,
+ views/default/messages/exceptions/exception.php,
+ views/default/messages/list.php, views/default/messages/messages/list.php,
+ views/default/messages/messages/message.php,
+ views/default/navigation/pagination.php,
+ views/default/navigation/topbar_tools.php,
+ views/default/navigation/viewtype.php,
+ .../notifications/settings/usersettings.php,
+ views/default/object/default.php, views/default/object/object.php,
+ views/default/object/plugin.php, views/default/object/widget.php,
+ views/default/output/calendar.php, views/default/output/checkboxes.php,
+ views/default/output/confirmlink.php, views/default/output/date.php,
+ views/default/output/email.php, views/default/output/iframe.php,
+ views/default/output/longtext.php, views/default/output/pulldown.php,
+ views/default/output/radio.php, views/default/output/tagcloud.php,
+ views/default/output/tags.php, views/default/output/text.php,
+ views/default/output/url.php: Standardizing views.`
+
+ * views/default/account/forms/forgotten_password.php,
+ views/default/account/forms/login.php,
+ views/default/account/forms/register.php,
+ views/default/account/forms/useradd.php, views/default/admin/main.php,
+ views/default/admin/main_opt/plugins.php,
+ views/default/admin/main_opt/site.php,
+ views/default/admin/main_opt/statistics.php,
+ views/default/admin/main_opt/user.php, views/default/admin/plugins.php,
+ views/default/admin/plugins_opt/plugin.php, views/default/admin/site.php,
+ views/default/admin/statistics.php,
+ views/default/admin/statistics_opt/basic.php,
+ views/default/admin/statistics_opt/numentities.php,
+ views/default/admin/statistics_opt/online.php, views/default/admin/user.php,
+ views/default/admin/user_opt/adduser.php,
+ views/default/admin/user_opt/search.php, views/default/ajax/loader.php,
+ views/default/annotation/annotate.php,
+ views/default/annotation/generic_comment.php, views/default/api/output.php,
+ views/default/basic_elements/welcome.php, views/default/canvas/default.php,
+ views/default/canvas/layouts/edit_layout.php,
+ views/default/canvas/layouts/one_column.php,
+ views/default/canvas/layouts/sidebar_boxes.php,
+ .../canvas/layouts/two_column_left_sidebar.php,
+ .../canvas/layouts/two_column_right_sidebar.php,
+ views/default/canvas/layouts/widgets.php,
+ views/default/canvas_header/submenu_group.php,
+ views/default/canvas_header/submenu_template.php,
+ views/default/comments/forms/edit.php, views/default/dashboard/blurb.php,
+ views/default/export/entity.php, views/default/export/metadata.php,
+ views/default/export/relationship.php, views/default/friends/collection.php,
+ views/default/friends/collections.php,
+ views/default/friends/collectiontabs.php,
+ views/default/friends/forms/collectionfields.php,
+ views/default/friends/forms/edit.php, views/default/friends/list.php,
+ views/default/friends/picker.php, views/default/friends/river/create.php:
+ Standardized more files.
+
+ * engine/tests/objects/objects.php, engine/tests/suite.php,
+ friends/index.php, friends/of.php, friends/pickercallback.php, index.php,
+ install.php, languages/en.php, search/groups.php, search/index.php,
+ search/users.php, services/api/rest.php, services/export/handler.php,
+ settings/index.php, settings/plugins.php, settings/statistics.php,
+ settings/user.php, simplecache/view.php, upgrade.php, version.php:
+ Standardized files
+
+2009-10-15 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/access.php, engine/lib/admin.php: Merged admin access override
+ hook with ignore access system override hook. get_sql_access_prefix() now
+ correctly uses elgg_check_access_overrides() instead of
+ elgg_get_ignore_access().
+
+ * friends/add.php, friends/collections.php, friends/edit.php: Standardized
+ files.
+
+ * entities/index.php, entities/list.php: Standardized files.
+
+ * engine/lib/widgets.php, engine/settings.example.php, engine/start.php:
+ Standardized files.
+
+ * engine/lib/calendar.php, engine/lib/configuration.php,
+ engine/lib/cron.php, engine/lib/exceptions.php, engine/lib/export.php,
+ engine/lib/extender.php, engine/lib/filestore.php, engine/lib/group.php,
+ engine/lib/input.php, engine/lib/install.php, engine/lib/languages.php,
+ engine/lib/location.php, engine/lib/mb_wrapper.php, engine/lib/memcache.php,
+ engine/lib/metadata.php, engine/lib/metastrings.php,
+ engine/lib/notification.php, engine/lib/objects.php, engine/lib/opendd.php,
+ engine/lib/pagehandler.php, engine/lib/pageowner.php, engine/lib/pam.php,
+ engine/lib/ping.php, engine/lib/plugins.php, engine/lib/query.php,
+ engine/lib/relationships.php, engine/lib/river2.php, engine/lib/search.php,
+ engine/lib/sites.php, engine/lib/social.php, engine/lib/statistics.php,
+ engine/lib/system_log.php, engine/lib/tags.php, engine/lib/users.php,
+ engine/lib/usersettings.php, engine/lib/version.php, engine/lib/widgets.php:
+ Standardized gobs of files.
+
+2009-10-14 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/cache.php: Standardized engine/lib/cache.php
+
+ * engine/lib/api.php: Standardized engine/lib/api.php
+
+ * engine/handlers/action_handler.php, engine/handlers/cron_handler.php,
+ engine/handlers/pagehandler.php, engine/handlers/xml-rpc_handler.php:
+ Standardized engine/handlers/*
+
+ * dashboard/index.php, dashboard/latest.php: Standardized dashboard/*
+
+ * admin/index.php, admin/plugins.php, admin/site.php, admin/statistics.php,
+ admin/user.php: Standardized admin/*
+
+ * actions/email/save.php, actions/entities/delete.php,
+ actions/friends/add.php, actions/friends/addcollection.php,
+ actions/friends/deletecollection.php, actions/friends/editcollection.php,
+ actions/friends/remove.php, actions/import/opendd.php, actions/login.php,
+ actions/logout.php, .../notifications/settings/usersettings/save.php,
+ actions/plugins/settings/save.php, actions/plugins/usersettings/save.php,
+ actions/register.php, actions/systemsettings/install.php,
+ actions/user/default_access.php, actions/user/language.php,
+ actions/user/name.php, actions/user/password.php,
+ actions/user/passwordreset.php, actions/user/requestnewpassword.php,
+ actions/user/spotlight.php, actions/useradd.php,
+ actions/usersettings/save.php, actions/widgets/add.php,
+ actions/widgets/reorder.php, actions/widgets/save.php: Standardized actions/*
+
+
+ * actions/comments/add.php, actions/comments/delete.php: Standardized.
+
+ * actions/admin/plugins/disable.php, actions/admin/plugins/disableall.php,
+ actions/admin/plugins/enable.php, actions/admin/plugins/enableall.php,
+ actions/admin/plugins/reorder.php, actions/admin/site/update_basic.php,
+ actions/admin/user/ban.php, actions/admin/user/delete.php,
+ actions/admin/user/makeadmin.php, actions/admin/user/removeadmin.php,
+ actions/admin/user/resetpassword.php, actions/admin/user/unban.php:
+ Standardized actions/admin/*
+
+ * account/forgotten_password.php, account/register.php: Standardized
+ account/*
+
+ * _css/css.php, _css/js.php: Standardized _css/*
+
+ * CHANGES.txt: Updated changes file for $is_admin deprecation.
+
+2009-10-13 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/tests/objects/entities.php, engine/tests/objects/objects.php,
+ engine/tests/test_skeleton.php: Swallowing all Elgg notices within unit
+ tests. By default, SimpleTest converts PHP errors into exceptions. This is
+ restricted by adding a call to swallowErrors() within the tearDown() methods.
+ This has also been noted within the unit test skeleton.
+
+ * engine/lib/sites.php, engine/tests/objects/sites.php: Creating a generic
+ ElggSite unit test.
+
+2009-10-13 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/access.php, engine/lib/admin.php, engine/lib/entities.php,
+ engine/lib/users.php: Standardized users and entities. Fleshed out
+ elgg_set_ignore_access() and elgg_get_ignore_access()
+
+ * engine/lib/elgglib.php: Standardize elgglib.php
+
+ * engine/tests/suite.php: Test suite now requires admin for web-based tests.
+ Uses access overrides for cli-based tests.
+
+ * engine/lib/entities.php: Standardized entities.php.
+
+ * engine/lib/access.php: Fix typo in ElggAccess:get_ignore_access().
+
+ * engine/lib/access.php, engine/lib/admin.php, engine/lib/sessions.php:
+ First pass at removing $is_admin global.
+
+2009-10-12 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/tests/objects/entities.php, engine/tests/objects/objects.php,
+ engine/tests/suite.php: Adding unit tests for the ElggObject class.
+ Restricting testing suite to only be run as a logged-in user. This will not
+ allow the tests to be run from the command line, but this is already the case
+ due to debug static.
+
+2009-10-11 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * CHANGES.txt, CODING.txt: Added coding styles and changes documents.
+
+2009-10-09 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php: Standardized annotations.php.
+
+ * engine/lib/admin.php: Standardized admin.php
+
+ * engine/lib/activity.php: Standardized activity.
+
+ * engine/lib/actions.php: Standardized actions.php
+
+ * engine/lib/elgglib.php, engine/lib/entities.php, engine/lib/search.php,
+ engine/schema/upgrades/2009093001.sql, mod/search/index.php,
+ mod/search/languages/en.php, mod/search/manifest.xml, mod/search/start.php,
+ .../views/default/page_elements/searchbox.php,
+ mod/search/views/default/search/css.php,
+ mod/search/views/default/search/entity_list.php,
+ mod/search/views/default/search/gallery.php,
+ mod/search/views/default/search/listing.php,
+ views/default/page_elements/elgg_topbar.php,
+ views/default/search/entity_list.php, views/default/search/gallery.php,
+ views/default/search/gallery_listing.php, views/default/search/listing.php,
+ views/default/search/startblurb.php: Reverted back to previous copy removing
+ Justin's search because of views problems in plugins.
+
+ * engine/lib/elgglib.php, engine/lib/entities.php, engine/lib/search.php,
+ engine/schema/upgrades/2009093001.sql, mod/search/index.php,
+ mod/search/languages/en.php, mod/search/manifest.xml, mod/search/start.php,
+ .../views/default/page_elements/searchbox.php,
+ mod/search/views/default/search/css.php,
+ mod/search/views/default/search/entity_list.php,
+ mod/search/views/default/search/gallery.php,
+ mod/search/views/default/search/listing.php,
+ views/default/page_elements/elgg_topbar.php,
+ views/default/search/entity_list.php, views/default/search/gallery.php,
+ views/default/search/gallery_listing.php, views/default/search/listing.php,
+ views/default/search/startblurb.php: Pulled in Justin's search changes from
+ github.
+
+2009-10-09 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, engine/lib/objects.php,
+ engine/tests/objects/entities.php, engine/tests/objects/objects.php,
+ engine/tests/test_skeleton.php: Creating an ElggObject unit test. Fixing
+ issues with the unit test plugin hooks, as well as infinite looping bugs
+ introduced by the unit test skeleton.
+
+2009-10-08 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/tests/objects/entities.php: Adding unit test for ElggEntity
+ annotations.
+
+2009-10-08 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/access.php, engine/lib/sessions.php: Brought access.php and
+ sesssion.php up to code standards.
+
+2009-10-08 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, engine/tests/core/entities.php,
+ engine/tests/objects/entities.php: Adding generic unit tests for ElggEntity.
+
+2009-10-07 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/database.php: database.php now more closely matches coding
+ standards.
+
+ * engine/lib/database.php, engine/schema/upgrades/2009100701.sql: Fixes
+ #1181: UTF8 now correctly stored in database.
+
+ * engine/lib/entities.php, engine/lib/notification.php,
+ engine/lib/users.php, engine/lib/version.php: Fixes #790: Removing unneeded
+ @s.
+
+2009-10-06 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, engine/tests/core/entities.php,
+ engine/tests/elgg_unit_test.php, engine/tests/test_skeleton.php: Adding unit
+ test methods to the new Entities test.
+
+2009-10-06 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/diagnostics/start.php: Removed elgg_test entries.
+
+2009-10-06 nickw <nickw@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php: Fixing a spacing issue with constructed SQL
+ query. Closes #1295.
+
+2009-10-05 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/testing.php: Removing old testing.php lib.
+
+2009-10-03 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/tests/core/entities.php, engine/tests/entities.php,
+ engine/tests/suite.php, engine/tests/test_skeleton.php: Moved core tests into
+ tests/core. Fixed typo in skeleton and entities tests. Only run tests in
+ debug mode.
+
+2009-10-02 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/tests/entities.php, engine/tests/test_skeleton.php: Added more info
+ to the test. Added a test skeleton.
+
+ * engine/lib/entities.php: Added unit tests for entities.
+
+ * engine/tests/entities.php, engine/tests/suite.php: Added unit tests.
+
+ * engine/tests/elgg_unit_test.php, engine/tests/suite.php,
+ vendors/simpletest/HELP_MY_TESTS_DONT_WORK_ANYMORE,
+ vendors/simpletest/LICENSE, vendors/simpletest/README,
+ vendors/simpletest/VERSION, vendors/simpletest/authentication.php,
+ vendors/simpletest/autorun.php, vendors/simpletest/browser.php,
+ vendors/simpletest/collector.php, vendors/simpletest/compatibility.php,
+ vendors/simpletest/cookies.php, vendors/simpletest/default_reporter.php,
+ vendors/simpletest/detached.php,
+ .../docs/en/authentication_documentation.html,
+ .../simpletest/docs/en/browser_documentation.html,
+ vendors/simpletest/docs/en/docs.css,
+ .../docs/en/expectation_documentation.html,
+ .../docs/en/form_testing_documentation.html,
+ .../docs/en/group_test_documentation.html,
+ vendors/simpletest/docs/en/index.html,
+ .../docs/en/mock_objects_documentation.html,
+ vendors/simpletest/docs/en/overview.html,
+ .../docs/en/partial_mocks_documentation.html,
+ .../simpletest/docs/en/reporter_documentation.html,
+ .../docs/en/unit_test_documentation.html,
+ .../docs/en/web_tester_documentation.html,
+ .../docs/fr/authentication_documentation.html,
+ .../simpletest/docs/fr/browser_documentation.html,
+ vendors/simpletest/docs/fr/docs.css,
+ .../docs/fr/expectation_documentation.html,
+ .../docs/fr/form_testing_documentation.html,
+ .../docs/fr/group_test_documentation.html,
+ vendors/simpletest/docs/fr/index.html,
+ .../docs/fr/mock_objects_documentation.html,
+ vendors/simpletest/docs/fr/overview.html,
+ .../docs/fr/partial_mocks_documentation.html,
+ .../simpletest/docs/fr/reporter_documentation.html,
+ .../docs/fr/unit_test_documentation.html,
+ .../docs/fr/web_tester_documentation.html, vendors/simpletest/dumper.php,
+ vendors/simpletest/eclipse.php, vendors/simpletest/encoding.php,
+ vendors/simpletest/errors.php, vendors/simpletest/exceptions.php,
+ vendors/simpletest/expectation.php,
+ vendors/simpletest/extensions/pear_test_case.php,
+ .../simpletest/extensions/phpunit_test_case.php,
+ vendors/simpletest/extensions/testdox.php,
+ vendors/simpletest/extensions/testdox/test.php, vendors/simpletest/form.php,
+ vendors/simpletest/frames.php, vendors/simpletest/http.php,
+ vendors/simpletest/invoker.php, vendors/simpletest/mock_objects.php,
+ vendors/simpletest/page.php, vendors/simpletest/parser.php,
+ vendors/simpletest/reflection_php4.php,
+ vendors/simpletest/reflection_php5.php, vendors/simpletest/remote.php,
+ vendors/simpletest/reporter.php, vendors/simpletest/scorer.php,
+ vendors/simpletest/selector.php, vendors/simpletest/shell_tester.php,
+ vendors/simpletest/simpletest.php, vendors/simpletest/socket.php,
+ vendors/simpletest/tag.php, vendors/simpletest/test/acceptance_test.php,
+ vendors/simpletest/test/adapter_test.php,
+ vendors/simpletest/test/all_tests.php,
+ vendors/simpletest/test/authentication_test.php,
+ vendors/simpletest/test/autorun_test.php,
+ vendors/simpletest/test/bad_test_suite.php,
+ vendors/simpletest/test/browser_test.php,
+ vendors/simpletest/test/collector_test.php,
+ vendors/simpletest/test/command_line_test.php,
+ vendors/simpletest/test/compatibility_test.php,
+ vendors/simpletest/test/cookies_test.php,
+ vendors/simpletest/test/detached_test.php,
+ vendors/simpletest/test/dumper_test.php,
+ vendors/simpletest/test/eclipse_test.php,
+ vendors/simpletest/test/encoding_test.php,
+ vendors/simpletest/test/errors_test.php,
+ vendors/simpletest/test/exceptions_test.php,
+ vendors/simpletest/test/expectation_test.php,
+ vendors/simpletest/test/form_test.php,
+ vendors/simpletest/test/frames_test.php,
+ vendors/simpletest/test/http_test.php,
+ vendors/simpletest/test/interfaces_test.php,
+ vendors/simpletest/test/live_test.php,
+ vendors/simpletest/test/mock_objects_test.php,
+ vendors/simpletest/test/page_test.php,
+ vendors/simpletest/test/parse_error_test.php,
+ vendors/simpletest/test/parser_test.php,
+ vendors/simpletest/test/reflection_php4_test.php,
+ vendors/simpletest/test/reflection_php5_test.php,
+ vendors/simpletest/test/remote_test.php,
+ vendors/simpletest/test/shell_test.php,
+ vendors/simpletest/test/shell_tester_test.php,
+ vendors/simpletest/test/simpletest_test.php,
+ vendors/simpletest/test/socket_test.php,
+ .../simpletest/test/support/empty_test_file.php,
+ vendors/simpletest/test/support/latin1_sample,
+ vendors/simpletest/test/support/spl_examples.php,
+ .../test/support/supplementary_upload_sample.txt,
+ vendors/simpletest/test/support/test1.php,
+ vendors/simpletest/test/support/upload_sample.txt,
+ vendors/simpletest/test/tag_test.php,
+ vendors/simpletest/test/test_with_parse_error.php,
+ vendors/simpletest/test/unit_tester_test.php,
+ vendors/simpletest/test/unit_tests.php, vendors/simpletest/test/url_test.php,
+ vendors/simpletest/test/user_agent_test.php,
+ vendors/simpletest/test/visual_test.php,
+ vendors/simpletest/test/web_tester_test.php,
+ vendors/simpletest/test/xml_test.php, vendors/simpletest/test_case.php,
+ vendors/simpletest/unit_tester.php, vendors/simpletest/url.php,
+ vendors/simpletest/user_agent.php, vendors/simpletest/web_tester.php,
+ vendors/simpletest/xml.php: Added simpletest and start of unit tests.
+
+2009-09-30 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/account/forms/login.php: Added autofocusing of the username
+ field on the login page.
+
+2009-09-30 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: new activity river - clipped overflow for long
+ urls/strings
+
+2009-09-23 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/input/userpicker.php: Upped minChars to 2 for user picker.
+
+ * views/default/input/userpicker.php: Disabled matchContains in user picker.
+
+
+ * engine/lib/input.php: Updated the user picker to avoid possible mysql
+ injection attacks and to search only by leading chars.
+
+2009-09-22 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/input/userpicker.php: collections picker - delete link given
+ classname and brackets removed from string
+
+2009-09-21 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/embed/addcontentjs.php, views/default/embed/js.php: Removing
+ embed view in favor of the correct views in the plugin.
+
+2009-09-21 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/comments/add.php, engine/lib/annotations.php,
+ engine/lib/river2.php, engine/schema/upgrades/2009091901.sql, version.php,
+ views/default/annotation/annotate.php: New river code added to allow user
+ comment to be pulled out and displayed
+
+2009-09-17 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/input/userpicker.php: Updated user picker to return 25
+ results, text input to be 30 wide.
+
+2009-09-16 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/access.php: Changed get_entities_from_access_collection() to
+ get_entities_from_access_id() to be more generic.
+
+ * engine/lib/extender.php: Fixes #1238: Added ElggExtender::getOwnerEntity()
+ and changed ElggExtender::getOwner() to return just the GUID. Also, this
+ fixes a bug with checking permissions on annotations.
+
+2009-09-15 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * friends/index.php, friends/of.php: Fixes #1251: Correct strings applied.
+
+2009-09-10 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/access.php, engine/lib/sessions.php: Updated fixes for checking
+ for admin in get_access_sql_prefix()
+
+ * engine/lib/access.php: Checking against admin metadata instead of
+ $is_admin global in get_access_sql_suffix().
+
+ * engine/lib/access.php: Fixing a bug with when getting the sql suffix for
+ the non-loggedin user.
+
+2009-09-09 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php: Added "Only Friends" string for user picker.
+
+ * views/default/input/userpicker.php: Defaulted userpicker to all users.
+ Checkbox makes it only friends.
+
+2009-09-09 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * README.txt: updating the version number in the readme
+
+2009-09-07 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/input/userpicker.php: Better jquery deleting of user entity
+ for user picker.
+
+ * languages/en.php, views/default/input/userpicker.php: Pulled out language
+ string to language file.
+
+ * views/default/css.php, views/default/input/userpicker.php: Added bolding
+ to term searc in user picker.
+
+ * engine/lib/input.php, views/default/css.php: Updated livesearch page
+ handler to use classes instead of hard coded css.
+
+ * views/default/css.php, views/default/input/userpicker.php: Added rough
+ version of a new user picker.
+
+ * admin/user.php, views/default/search/entity_list.php: Fixes #1207: Using
+ fullviews with proper list_entity params.
+
+2009-09-06 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * README.txt, version.php: Bumped version number.
+
+ * views/default/site/default.php: Fixed a potential security issue
+ concerning site views.
+
+2009-09-04 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Added strip_tags() to setting titles in
+ ElggEntity
+
+2009-09-04 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/relationships.php: Patch submitted for ticket #886 rolled back
+ as it was causing #1205 -> #886 will need further investigating
+
+ * views/default/css.php, views/default/river/item/wrapper.php: activity
+ display toggle so site admins can choose avatars or the small icons
+
+2009-09-03 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/access.php, engine/lib/input.php: Adding additional hooks to
+ add/remove/update users for access_collections() Added autocomplete endpoint
+ in input_init()
+
+ * views/default/input/autocomplete.php: Cleaning up autocomplete view.
+
+ * vendors/jquery/jquery.autocomplete.min.js,
+ views/default/input/autocomplete.php: Added autocomplete view to core.
+
+2009-09-03 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/relationships.php: missing comma added
+
+ * views/default/search/entity_list.php: fullview hashed out for now as it
+ caused unexpected behaviour on admin user list view, needs more investigating
+
+2009-09-02 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/relationships.php: order by bug fixed in
+ get_entities_from_relationship
+
+2009-09-01 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/access.php: a simple function which returns a string version of
+ an entity's access level
+
+2009-08-31 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * CONTRIBUTORS.txt, INSTALL.txt, README.txt, _css/css.php, _css/js.php,
+ account/register.php, actions/admin/plugins/disable.php,
+ actions/admin/plugins/disableall.php, actions/admin/plugins/enable.php,
+ actions/admin/plugins/reorder.php, actions/admin/site/update_basic.php,
+ actions/admin/user/ban.php, actions/comments/add.php,
+ actions/comments/delete.php, actions/email/save.php, actions/friends/add.php,
+ actions/friends/addcollection.php, actions/friends/deletecollection.php,
+ actions/friends/editcollection.php, actions/friends/remove.php,
+ actions/login.php, actions/logout.php, actions/register.php,
+ actions/systemsettings/install.php, actions/user/default_access.php,
+ actions/user/requestnewpassword.php, actions/user/spotlight.php,
+ actions/useradd.php, actions/usersettings/save.php, actions/widgets/add.php,
+ actions/widgets/reorder.php, actions/widgets/save.php, admin/index.php,
+ admin/plugins.php, admin/site.php, admin/user.php, dashboard/index.php,
+ dashboard/latest.php, engine/handlers/action_handler.php,
+ engine/handlers/cron_handler.php, engine/handlers/pagehandler.php,
+ engine/handlers/xml-rpc_handler.php, engine/lib/access.php,
+ engine/lib/activity.php, engine/lib/admin.php, engine/lib/api.php,
+ engine/lib/configuration.php, engine/lib/database.php,
+ engine/lib/elgglib.php, engine/lib/entities.php, engine/lib/extender.php,
+ engine/lib/input.php, engine/lib/install.php, engine/lib/languages.php,
+ engine/lib/metadata.php, engine/lib/metastrings.php,
+ engine/lib/notification.php, engine/lib/objects.php,
+ engine/lib/pagehandler.php, engine/lib/pageowner.php, engine/lib/pam.php,
+ engine/lib/plugins.php, engine/lib/query.php, engine/lib/relationships.php,
+ engine/lib/river2.php, engine/lib/sessions.php, engine/lib/sites.php,
+ engine/lib/social.php, engine/lib/statistics.php, engine/lib/tags.php,
+ engine/lib/upgrades/2008101303.php, engine/lib/users.php,
+ engine/lib/version.php, engine/lib/widgets.php, engine/settings.example.php,
+ engine/start.php, entities/index.php, entities/list.php, friends/add.php,
+ friends/collections.php, friends/edit.php, friends/index.php, friends/of.php,
+ friends/pickercallback.php, index.php, install.php, languages/en.php,
+ mod/garbagecollector/languages/en.php, mod/logbrowser/index.php,
+ mod/logbrowser/languages/en.php, mod/logbrowser/start.php,
+ .../views/default/logbrowser/adminlinks.php,
+ mod/logbrowser/views/default/logbrowser/form.php,
+ mod/logbrowser/views/default/object/logwrapper.php,
+ mod/uservalidationbyemail/start.php, settings/index.php,
+ simplecache/view.php, upgrade.php, vendors/calendarpopup/CalendarPopup.js,
+ vendors/jquery/jquery.form.js, version.php,
+ views/default/account/forms/login.php,
+ views/default/account/forms/register.php, views/default/admin/main.php,
+ views/default/admin/plugins_opt/plugin.php,
+ views/default/admin/statistics.php,
+ views/default/admin/statistics_opt/numentities.php,
+ views/default/admin/statistics_opt/online.php,
+ views/default/admin/user_opt/search.php, views/default/ajax/loader.php,
+ views/default/annotation/annotate.php,
+ views/default/annotation/generic_comment.php,
+ views/default/basic_elements/welcome.php, views/default/canvas/default.php,
+ views/default/canvas/layouts/edit_layout.php,
+ views/default/canvas/layouts/sidebar_boxes.php,
+ views/default/canvas_header/submenu_group.php,
+ views/default/canvas_header/submenu_template.php,
+ views/default/comments/forms/edit.php, views/default/dashboard/blurb.php,
+ views/default/embed/addcontentjs.php, views/default/embed/js.php,
+ views/default/friends/collection.php, views/default/friends/collections.php,
+ views/default/friends/collectiontabs.php,
+ views/default/friends/forms/collectionfields.php,
+ views/default/friends/forms/edit.php, views/default/friends/list.php,
+ views/default/friends/picker.php, views/default/friends/river/create.php,
+ views/default/friends/tablelistcountupdate.php,
+ views/default/input/access.php, views/default/input/calendar.php,
+ views/default/input/checkboxes.php, views/default/input/email.php,
+ views/default/input/file.php, views/default/input/longtext.php,
+ views/default/input/password.php, views/default/input/plaintext.php,
+ views/default/input/pulldown.php, views/default/input/radio.php,
+ views/default/input/tags.php, views/default/input/text.php,
+ views/default/input/url.php, views/default/js/friendsPickerv1.php,
+ views/default/js/initialise_elgg.php, views/default/js/upload_js.php,
+ views/default/messages/errors/error.php,
+ views/default/messages/errors/list.php,
+ views/default/messages/exceptions/exception.php,
+ views/default/messages/list.php, views/default/messages/messages/list.php,
+ views/default/messages/messages/message.php,
+ views/default/navigation/viewtype.php,
+ .../notifications/settings/usersettings.php, views/default/object/widget.php,
+ views/default/output/calendar.php, views/default/output/checkboxes.php,
+ views/default/output/confirmlink.php, views/default/output/date.php,
+ views/default/output/email.php, views/default/output/longtext.php,
+ views/default/output/pulldown.php, views/default/output/radio.php,
+ views/default/output/tagcloud.php, views/default/output/tags.php,
+ views/default/output/text.php, views/default/output/url.php,
+ views/default/page_elements/contentwrapper.php,
+ views/default/page_elements/header_contents.php,
+ views/default/page_elements/owner_block.php,
+ views/default/river/item/list.php, views/default/river/item/noaccess.php,
+ views/default/river/item/wrapper.php, views/default/river/wrapper.php,
+ views/default/settings/install.php, views/default/settings/system.php,
+ views/default/settings/upgrading.php, views/default/spotlight/default.php,
+ views/default/text/about.php, views/default/text/privacy_view.php,
+ views/default/text/tos_view.php,
+ views/default/upload/upload_form_content.php, views/default/user/default.php,
+ views/default/user/settings/default_access.php,
+ views/default/user/settings/email.php, views/default/user/settings/name.php,
+ views/default/user/settings/password.php,
+ .../usersettings/statistics_opt/numentities.php, views/default/welcome.php,
+ views/default/widgets/editwrapper.php, views/default/widgets/wrapper.php,
+ views/failsafe/canvas/default.php, views/failsafe/input/access.php,
+ views/failsafe/input/checkboxes.php, views/failsafe/input/longtext.php,
+ views/failsafe/input/pulldown.php, views/failsafe/input/text.php,
+ views/failsafe/messages/errors/error.php,
+ views/failsafe/messages/errors/list.php, views/failsafe/messages/list.php,
+ views/failsafe/messages/messages/list.php,
+ views/failsafe/messages/messages/message.php,
+ views/failsafe/messages/sanitisation/htaccess.php,
+ views/failsafe/settings/install.php, views/failsafe/settings/system.php,
+ views/foaf/canvas/default.php, views/foaf/page_elements/contentwrapper.php,
+ views/foaf/pageshells/pageshell.php, views/foaf/user/default.php,
+ views/js/object/default.php, views/js/pageshells/pageshell.php,
+ views/js/user/default.php, views/json/api/output.php,
+ views/json/canvas/default.php, views/json/export/entity.php,
+ views/json/export/metadata.php, views/json/export/relationship.php,
+ views/json/messages/exceptions/exception.php,
+ views/json/page_elements/contentwrapper.php,
+ views/json/pageshells/pageshell.php, views/json/river/item/list.php,
+ views/opendd/messages/exceptions/exception.php,
+ views/rss/annotation/default.php, views/rss/canvas/default.php,
+ views/rss/group/default.php, views/rss/object/default.php,
+ views/rss/page_elements/contentwrapper.php, views/rss/river/item/list.php,
+ views/rss/user/default.php, views/xml/messages/exceptions/exception.php: All
+ line endings are now Unix-style.
+
+2009-08-28 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/register.php, engine/lib/entities.php: Fixes #1196:
+ ElggEntity::disable() now accepts a 2nd arg for recursive. New users are not
+ recursively disabled for plugins that need to create entities owned by the
+ new user upon entity creation.
+
+2009-08-27 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/search.php: Fixes #1191: set_input() was never being called for
+ tag in search_page_handler().
+
+2009-08-27 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/annotation/annotate.php: a missing pipe added
+
+ * views/default/annotation/annotate.php: a snippet of a new comment on an
+ object will now appear in the activity river
+
+2009-08-26 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php: Added entity_owner_guid to get_annotations()
+
+2009-08-25 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/header.php: a simple solution to a css/js
+ loading bug. We need to come up with something better, long term.
+
+2009-08-23 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: new style added for page update action appearing in
+ the river
+
+2009-08-21 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/group.php: Fixes #1180: Group join event triggered after
+ joining group. This trigger's return was never being tested so no overriding
+ could be done. If we decide to test the trigger to stop group joining,
+ groups_write_acl_plugin_hook() will need to be changed...
+
+2009-08-21 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/friends/river/create.php: new friending river view applied
+
+2009-08-20 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * version.php: Version bump to 1.6.
+
+ * _css/css.php, _css/js.php, account/forgotten_password.php,
+ account/register.php, actions/admin/plugins/disable.php,
+ actions/admin/plugins/disableall.php, actions/admin/plugins/enable.php,
+ actions/admin/plugins/enableall.php, actions/admin/plugins/reorder.php,
+ actions/admin/site/update_basic.php, actions/admin/user/ban.php,
+ actions/admin/user/delete.php, actions/admin/user/makeadmin.php,
+ actions/admin/user/removeadmin.php, actions/admin/user/resetpassword.php,
+ actions/admin/user/unban.php, actions/comments/add.php,
+ actions/comments/delete.php, actions/email/save.php,
+ actions/entities/delete.php, actions/friends/add.php,
+ actions/friends/addcollection.php, actions/friends/deletecollection.php,
+ actions/friends/editcollection.php, actions/friends/remove.php,
+ actions/import/opendd.php, actions/login.php, actions/logout.php,
+ .../notifications/settings/usersettings/save.php,
+ actions/plugins/settings/save.php, actions/plugins/usersettings/save.php,
+ actions/register.php, actions/systemsettings/install.php,
+ actions/user/default_access.php, actions/user/language.php,
+ actions/user/name.php, actions/user/password.php,
+ actions/user/passwordreset.php, actions/user/requestnewpassword.php,
+ actions/useradd.php, actions/usersettings/save.php, actions/widgets/add.php,
+ actions/widgets/reorder.php, actions/widgets/save.php, admin/index.php,
+ admin/plugins.php, admin/site.php, admin/statistics.php, admin/user.php,
+ dashboard/index.php, dashboard/latest.php,
+ engine/handlers/action_handler.php, engine/handlers/cron_handler.php,
+ engine/handlers/pagehandler.php, engine/handlers/xml-rpc_handler.php,
+ engine/lib/access.php, engine/lib/actions.php, engine/lib/activity.php,
+ engine/lib/admin.php, engine/lib/annotations.php, engine/lib/api.php,
+ engine/lib/cache.php, engine/lib/calendar.php, engine/lib/configuration.php,
+ engine/lib/cron.php, engine/lib/database.php, engine/lib/elgglib.php,
+ engine/lib/entities.php, engine/lib/exceptions.php, engine/lib/export.php,
+ engine/lib/extender.php, engine/lib/filestore.php, engine/lib/group.php,
+ engine/lib/input.php, engine/lib/install.php, engine/lib/languages.php,
+ engine/lib/location.php, engine/lib/mb_wrapper.php, engine/lib/memcache.php,
+ engine/lib/metadata.php, engine/lib/metastrings.php,
+ engine/lib/notification.php, engine/lib/objects.php, engine/lib/opendd.php,
+ engine/lib/pagehandler.php, engine/lib/pageowner.php, engine/lib/pam.php,
+ engine/lib/ping.php, engine/lib/plugins.php, engine/lib/query.php,
+ engine/lib/relationships.php, engine/lib/river2.php, engine/lib/search.php,
+ engine/lib/sessions.php, engine/lib/sites.php, engine/lib/social.php,
+ engine/lib/statistics.php, engine/lib/system_log.php, engine/lib/tags.php,
+ engine/lib/testing.php, engine/lib/users.php, engine/lib/usersettings.php,
+ engine/lib/version.php, engine/lib/widgets.php, engine/lib/xml-rpc.php,
+ engine/lib/xml.php, engine/schema/mysql.sql, engine/start.php,
+ entities/index.php, entities/list.php, friends/add.php,
+ friends/collections.php, friends/edit.php, friends/index.php, friends/of.php,
+ friends/pickercallback.php, index.php, install.php,
+ mod/crontrigger/start.php, mod/diagnostics/actions/download.php,
+ mod/diagnostics/index.php, mod/diagnostics/languages/en.php,
+ mod/diagnostics/start.php, mod/diagnostics/testreport.php,
+ mod/diagnostics/unittester.php,
+ .../views/default/diagnostics/forms/download.php,
+ .../views/default/diagnostics/runalltests.php,
+ mod/diagnostics/views/default/diagnostics/test.php,
+ .../views/default/diagnostics/testresult.php,
+ mod/garbagecollector/languages/en.php, mod/garbagecollector/start.php,
+ mod/htmlawed/start.php, mod/logbrowser/index.php,
+ mod/logbrowser/languages/en.php, mod/logbrowser/start.php,
+ mod/logbrowser/views/default/logbrowser/css.php,
+ mod/logbrowser/views/default/object/logwrapper.php,
+ mod/logrotate/languages/en.php, mod/logrotate/start.php,
+ .../actions/email/confirm.php, mod/uservalidationbyemail/languages/en.php,
+ mod/uservalidationbyemail/start.php, search/groups.php, search/index.php,
+ search/users.php, services/api/rest.php, services/export/handler.php,
+ settings/index.php, settings/plugins.php, settings/statistics.php,
+ settings/user.php, simplecache/view.php, upgrade.php, version.php,
+ views/default/account/forms/forgotten_password.php,
+ views/default/account/forms/login.php,
+ views/default/account/forms/register.php,
+ views/default/account/forms/useradd.php, views/default/admin/main.php,
+ views/default/admin/main_opt/plugins.php,
+ views/default/admin/main_opt/site.php,
+ views/default/admin/main_opt/statistics.php,
+ views/default/admin/main_opt/user.php, views/default/admin/plugins.php,
+ views/default/admin/plugins_opt/plugin.php, views/default/admin/site.php,
+ views/default/admin/statistics.php,
+ views/default/admin/statistics_opt/basic.php,
+ views/default/admin/statistics_opt/numentities.php,
+ views/default/admin/statistics_opt/online.php, views/default/admin/user.php,
+ views/default/admin/user_opt/adduser.php,
+ views/default/admin/user_opt/search.php, views/default/ajax/loader.php,
+ views/default/annotation/generic_comment.php, views/default/api/output.php,
+ views/default/basic_elements/welcome.php, views/default/canvas/default.php,
+ views/default/canvas/layouts/edit_layout.php,
+ views/default/canvas/layouts/one_column.php,
+ views/default/canvas/layouts/sidebar_boxes.php,
+ .../canvas/layouts/two_column_left_sidebar.php,
+ .../canvas/layouts/two_column_right_sidebar.php,
+ views/default/canvas/layouts/widgets.php,
+ views/default/comments/forms/edit.php, views/default/css.php,
+ views/default/export/entity.php, views/default/export/metadata.php,
+ views/default/export/relationship.php, views/default/friends/collection.php,
+ views/default/friends/collections.php,
+ views/default/friends/forms/collectionfields.php,
+ views/default/friends/forms/edit.php, views/default/friends/list.php,
+ views/default/friends/picker.php, views/default/friends/tablelist.php,
+ views/default/friends/tablelistcountupdate.php,
+ views/default/graphics/icon.php, views/default/group/default.php,
+ views/default/input/access.php, views/default/input/button.php,
+ views/default/input/calendar.php, views/default/input/captcha.php,
+ views/default/input/checkboxes.php, views/default/input/email.php,
+ views/default/input/file.php, views/default/input/form.php,
+ views/default/input/hidden.php, views/default/input/longtext.php,
+ views/default/input/password.php, views/default/input/plaintext.php,
+ views/default/input/pulldown.php, views/default/input/radio.php,
+ views/default/input/reset.php, views/default/input/securitytoken.php,
+ views/default/input/submit.php, views/default/input/tags.php,
+ views/default/input/text.php, views/default/input/url.php,
+ views/default/input/urlshortener.php,
+ views/default/messages/errors/error.php,
+ views/default/messages/errors/list.php,
+ views/default/messages/exceptions/exception.php,
+ views/default/messages/list.php, views/default/messages/messages/list.php,
+ views/default/messages/messages/message.php,
+ views/default/navigation/pagination.php,
+ views/default/navigation/topbar_tools.php,
+ views/default/navigation/viewtype.php,
+ .../notifications/settings/usersettings.php,
+ views/default/object/default.php, views/default/object/object.php,
+ views/default/object/plugin.php, views/default/object/widget.php,
+ views/default/output/calendar.php, views/default/output/checkboxes.php,
+ views/default/output/confirmlink.php, views/default/output/date.php,
+ views/default/output/email.php, views/default/output/iframe.php,
+ views/default/output/longtext.php, views/default/output/pulldown.php,
+ views/default/output/radio.php, views/default/output/tagcloud.php,
+ views/default/output/tags.php, views/default/output/text.php,
+ views/default/output/url.php, views/default/page_elements/elgg_topbar.php,
+ views/default/page_elements/footer.php,
+ views/default/page_elements/header.php,
+ views/default/page_elements/header_contents.php,
+ views/default/page_elements/owner_block.php,
+ views/default/page_elements/spotlight.php,
+ views/default/page_elements/title.php,
+ views/default/pageshells/pageshell.php, views/default/river/dashboard.php,
+ views/default/river/item/wrapper.php,
+ views/default/river/relationship/friend/create.php,
+ views/default/river/wrapper.php, views/default/search/gallery.php,
+ views/default/search/gallery_listing.php, views/default/search/listing.php,
+ views/default/settings/install.php, views/default/settings/system.php,
+ views/default/site/default.php, views/default/spotlight/default.php,
+ views/default/text/about.php, views/default/text/privacy_view.php,
+ views/default/text/tos_view.php, views/default/user/default.php,
+ views/default/user/settings/default_access.php,
+ views/default/user/settings/email.php,
+ views/default/user/settings/language.php,
+ views/default/user/settings/name.php,
+ views/default/user/settings/password.php,
+ views/default/usersettings/main.php,
+ views/default/usersettings/main_opt/plugins.php,
+ views/default/usersettings/main_opt/statistics.php,
+ views/default/usersettings/main_opt/user.php,
+ views/default/usersettings/plugins.php,
+ views/default/usersettings/plugins_opt/plugin.php,
+ views/default/usersettings/statistics.php,
+ .../usersettings/statistics_opt/numentities.php,
+ .../default/usersettings/statistics_opt/online.php,
+ views/default/welcome.php, views/default/welcome/logged_in.php,
+ views/default/welcome/logged_out.php, views/default/widgets/editwrapper.php,
+ views/default/widgets/wrapper.php, views/failsafe/canvas/default.php,
+ views/failsafe/input/access.php, views/failsafe/input/button.php,
+ views/failsafe/input/checkboxes.php, views/failsafe/input/form.php,
+ views/failsafe/input/hidden.php, views/failsafe/input/longtext.php,
+ views/failsafe/input/pulldown.php, views/failsafe/input/reset.php,
+ views/failsafe/input/submit.php, views/failsafe/input/text.php,
+ views/failsafe/messages/errors/error.php,
+ views/failsafe/messages/errors/list.php,
+ views/failsafe/messages/exceptions/exception.php,
+ views/failsafe/messages/list.php, views/failsafe/messages/messages/list.php,
+ views/failsafe/messages/messages/message.php,
+ views/failsafe/messages/sanitisation/htaccess.php,
+ views/failsafe/messages/sanitisation/settings.php,
+ views/failsafe/pageshells/pageshell.php, views/failsafe/settings/install.php,
+ views/failsafe/settings/system.php, views/foaf/canvas/default.php,
+ views/foaf/pageshells/pageshell.php, views/foaf/user/default.php,
+ views/ical/canvas/default.php, views/ical/export/entity.php,
+ views/ical/object/default.php, views/ical/pageshells/pageshell.php,
+ views/ical/search/entity_list.php, views/js/object/default.php,
+ views/js/pageshells/pageshell.php, views/js/user/default.php,
+ views/json/api/output.php, views/json/canvas/default.php,
+ views/json/export/entity.php, views/json/export/metadata.php,
+ views/json/export/relationship.php, views/json/group/default.php,
+ views/json/messages/exceptions/exception.php, views/json/object/default.php,
+ views/json/pageshells/pageshell.php, views/json/search/entity_list.php,
+ views/json/site/default.php, views/json/user/default.php,
+ views/opendd/canvas/default.php, views/opendd/export/entity.php,
+ views/opendd/export/metadata.php, views/opendd/export/relationship.php,
+ views/opendd/group/default.php,
+ views/opendd/messages/exceptions/exception.php,
+ views/opendd/object/default.php, views/opendd/pageshells/pageshell.php,
+ views/opendd/site/default.php, views/opendd/user/default.php,
+ views/php/api/output.php, views/php/canvas/default.php,
+ views/php/export/entity.php, views/php/export/metadata.php,
+ views/php/export/relationship.php, views/php/group/default.php,
+ views/php/messages/exceptions/exception.php, views/php/object/default.php,
+ views/php/pageshells/pageshell.php, views/php/search/entity_list.php,
+ views/php/site/default.php, views/php/user/default.php,
+ views/rss/annotation/default.php, views/rss/canvas/default.php,
+ views/rss/group/default.php, views/rss/object/default.php,
+ views/rss/pageshells/pageshell.php, views/rss/user/default.php,
+ views/xml/api/output.php, views/xml/messages/exceptions/exception.php,
+ views/xml/pageshells/pageshell.php, views/xml/xml-rpc/output.php: Whoa nelly
+ this is a big one: Removed license and copyright for files.
+
+2009-08-19 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * LICENCE.txt: Fixes #1176: Added date back to license.
+
+ * LICENCE.txt: Test
+
+2009-08-11 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php: Fixes #942: In register_user, replaced
+ sanitise_string() call with a simple trim(). Not a problem because all SQL
+ sanitation is handled elsewhere.
+
+ * engine/lib/annotations.php: Added timelower and timeupper to
+ get_annotations() and __get_annotations_calculate_x() and its helpers.
+
+2009-08-06 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/captcha/backgrounds/bg1.jpg, mod/captcha/backgrounds/bg2.jpg,
+ mod/captcha/backgrounds/bg3.jpg, mod/captcha/backgrounds/bg4.jpg,
+ mod/captcha/backgrounds/bg5.jpg, mod/captcha/captcha.php,
+ mod/captcha/fonts/1.ttf, mod/captcha/fonts/Liberation_serif_License.txt,
+ mod/captcha/languages/en.php, mod/captcha/manifest.xml,
+ mod/captcha/start.php, mod/captcha/views/default/captcha/css.php,
+ mod/captcha/views/default/input/captcha.php,
+ mod/groups/actions/addtogroup.php, mod/groups/actions/delete.php,
+ mod/groups/actions/edit.php, mod/groups/actions/featured.php,
+ mod/groups/actions/forums/addpost.php,
+ mod/groups/actions/forums/addtopic.php,
+ mod/groups/actions/forums/deletepost.php,
+ mod/groups/actions/forums/deletetopic.php,
+ mod/groups/actions/forums/editpost.php,
+ mod/groups/actions/forums/edittopic.php,
+ mod/groups/actions/groupskillrequest.php, mod/groups/actions/invite.php,
+ mod/groups/actions/join.php, mod/groups/actions/joinrequest.php,
+ mod/groups/actions/leave.php, mod/groups/addtopic.php, mod/groups/all.php,
+ mod/groups/discussions.php, mod/groups/edit.php, mod/groups/edittopic.php,
+ mod/groups/forum.php, mod/groups/graphics/defaultlarge.gif,
+ mod/groups/graphics/defaultmedium.gif, mod/groups/graphics/defaultsmall.gif,
+ mod/groups/graphics/defaulttiny.gif, mod/groups/graphics/icon.php,
+ mod/groups/groupprofile.php, mod/groups/index.php, mod/groups/invite.php,
+ mod/groups/languages/en.php, mod/groups/manifest.xml,
+ mod/groups/membership.php, mod/groups/membershipreq.php, mod/groups/new.php,
+ mod/groups/start.php, mod/groups/topicposts.php,
+ mod/groups/views/default/forms/forums/addpost.php,
+ mod/groups/views/default/forms/forums/addtopic.php,
+ .../views/default/forms/forums/edittopic.php,
+ mod/groups/views/default/forms/groups/edit.php,
+ mod/groups/views/default/forms/groups/invite.php,
+ mod/groups/views/default/forum/topicposts.php,
+ mod/groups/views/default/forum/topics.php,
+ mod/groups/views/default/forum/viewposts.php,
+ mod/groups/views/default/group/group.php,
+ .../views/default/groups/closedmembership.php,
+ mod/groups/views/default/groups/contentwrapper.php,
+ mod/groups/views/default/groups/css.php,
+ mod/groups/views/default/groups/featured.php,
+ mod/groups/views/default/groups/find.php,
+ mod/groups/views/default/groups/forum_latest.php,
+ .../views/default/groups/group_sort_menu.php,
+ mod/groups/views/default/groups/groupgallery.php,
+ mod/groups/views/default/groups/grouplisting.php,
+ mod/groups/views/default/groups/groupprofile.php,
+ mod/groups/views/default/groups/icon.php,
+ mod/groups/views/default/groups/members.php,
+ .../views/default/groups/membershiprequests.php,
+ mod/groups/views/default/groups/profileitems.php,
+ mod/groups/views/default/groups/side_menu.php,
+ .../views/default/icon/group/default/large.php,
+ .../views/default/icon/group/default/medium.php,
+ .../views/default/icon/group/default/small.php,
+ .../views/default/icon/group/default/tiny.php,
+ .../views/default/object/groupforumtopic.php,
+ mod/groups/views/default/river/forum/create.php,
+ .../views/default/river/forum/topic/create.php,
+ mod/groups/views/default/river/group/create.php,
+ .../views/default/river/object/group/create.php,
+ .../river/object/groupforumtopic/annotate.php,
+ .../river/object/groupforumtopic/create.php,
+ .../river/object/groupforumtopic/update.php,
+ .../default/river/relationship/member/create.php,
+ mod/groups/views/default/settings/groups/edit.php,
+ mod/groups/views/default/sharing/invite.php,
+ .../views/default/widgets/a_users_groups/edit.php,
+ .../views/default/widgets/a_users_groups/view.php,
+ .../default/widgets/group_entities_widget/edit.php,
+ .../default/widgets/group_entities_widget/view.php,
+ .../default/widgets/group_members_widget/edit.php,
+ .../default/widgets/group_members_widget/view.php,
+ mod/groups/views/rss/forum/topicposts.php,
+ mod/groups/views/rss/forum/topics.php,
+ mod/groups/views/rss/forum/viewposts.php,
+ mod/groups/views/rss/groups/contentwrapper.php,
+ mod/groups/views/rss/groups/profileitems.php,
+ mod/notifications/actions/groupsave.php, mod/notifications/actions/save.php,
+ .../graphics/icon_notifications_email.gif, mod/notifications/groups.php,
+ mod/notifications/index.php, mod/notifications/languages/en.php,
+ mod/notifications/manifest.xml, mod/notifications/start.php,
+ .../views/default/notifications/css.php,
+ .../notifications/settings/usersettings.php,
+ .../notifications/subscriptions/collections.php,
+ .../default/notifications/subscriptions/form.php,
+ .../notifications/subscriptions/forminternals.php,
+ .../notifications/subscriptions/groupsform.php,
+ .../notifications/subscriptions/jsfuncs.php,
+ .../notifications/subscriptions/personal.php,
+ mod/profile/actions/cropicon.php,
+ mod/profile/actions/deletedefaultprofileitem.php,
+ mod/profile/actions/edit.php, mod/profile/actions/editdefault.php,
+ mod/profile/actions/iconupload.php,
+ mod/profile/actions/resetdefaultprofile.php, mod/profile/defaultprofile.php,
+ mod/profile/edit.php, mod/profile/editicon.php,
+ mod/profile/graphics/defaultlarge.gif,
+ mod/profile/graphics/defaultmaster.gif,
+ mod/profile/graphics/defaultmedium.gif,
+ mod/profile/graphics/defaultsmall.gif, mod/profile/graphics/defaulttiny.gif,
+ mod/profile/graphics/defaulttopbar.gif, mod/profile/icon.php,
+ mod/profile/icondirect.php, mod/profile/index.php,
+ mod/profile/javascript.php, mod/profile/manifest.xml, mod/profile/start.php,
+ .../views/default/icon/user/default/large.php,
+ .../views/default/icon/user/default/master.php,
+ .../views/default/icon/user/default/medium.php,
+ .../views/default/icon/user/default/small.php,
+ .../views/default/icon/user/default/tiny.php,
+ .../views/default/icon/user/default/topbar.php,
+ .../views/default/js/jquery.imgareaselect-0.8.js,
+ .../default/js/jquery.imgareaselect-0.8.min.js,
+ mod/profile/views/default/profile/css.php,
+ mod/profile/views/default/profile/edit.php,
+ .../views/default/profile/editdefaultprofile.php,
+ mod/profile/views/default/profile/editicon.php,
+ mod/profile/views/default/profile/gallery.php,
+ mod/profile/views/default/profile/hoverover.php,
+ mod/profile/views/default/profile/icon.php,
+ mod/profile/views/default/profile/javascript.php,
+ mod/profile/views/default/profile/listing.php,
+ mod/profile/views/default/profile/menu/actions.php,
+ .../views/default/profile/menu/adminlinks.php,
+ .../views/default/profile/menu/adminwrapper.php,
+ mod/profile/views/default/profile/menu/links.php,
+ .../views/default/profile/menu/linksownpage.php,
+ mod/profile/views/default/profile/metatags.php,
+ mod/profile/views/default/profile/profilelinks.php,
+ mod/profile/views/default/profile/submenu.php,
+ mod/profile/views/default/profile/userdetails.php,
+ .../river/user/default/profileiconupdate.php,
+ .../default/river/user/default/profileupdate.php,
+ mod/reportedcontent/actions/add.php, mod/reportedcontent/actions/archive.php,
+ mod/reportedcontent/actions/delete.php, mod/reportedcontent/add.php,
+ mod/reportedcontent/index.php, mod/reportedcontent/languages/en.php,
+ mod/reportedcontent/manifest.xml, mod/reportedcontent/start.php,
+ .../views/default/reportedcontent/css.php,
+ .../views/default/reportedcontent/form.php,
+ .../views/default/reportedcontent/listing.php,
+ .../views/default/reportedcontent/user_report.php,
+ mod/riverdashboard/actions/add.php, mod/riverdashboard/actions/delete.php,
+ mod/riverdashboard/index.php, mod/riverdashboard/languages/en.php,
+ mod/riverdashboard/manifest.xml, mod/riverdashboard/start.php,
+ .../views/default/river/sitemessage/create.php,
+ .../views/default/riverdashboard/container.php,
+ .../views/default/riverdashboard/css.php,
+ .../views/default/riverdashboard/js.php,
+ .../views/default/riverdashboard/nav.php,
+ .../views/default/riverdashboard/newestmembers.php,
+ .../views/default/riverdashboard/sitemessage.php,
+ .../views/default/riverdashboard/welcome.php,
+ .../views/default/settings/riverdashboard/edit.php,
+ .../views/default/widgets/river_widget/edit.php,
+ .../views/default/widgets/river_widget/view.php,
+ .../views/json/riverdashboard/container.php,
+ .../views/rss/riverdashboard/container.php, mod/thewire/actions/add.php,
+ mod/thewire/actions/delete.php, mod/thewire/add.php,
+ mod/thewire/everyone.php, mod/thewire/graphics/river_icon_thewire.gif,
+ mod/thewire/graphics/thewire_speech_bubble.gif, mod/thewire/index.php,
+ mod/thewire/languages/en.php, mod/thewire/manifest.xml,
+ mod/thewire/readme.txt, mod/thewire/start.php,
+ mod/thewire/views/default/object/thewire.php,
+ .../views/default/river/object/thewire/create.php,
+ .../views/default/thewire/activity_view.php,
+ mod/thewire/views/default/thewire/css.php,
+ mod/thewire/views/default/thewire/forms/add.php,
+ mod/thewire/views/default/thewire/notfound.php,
+ .../views/default/thewire/profile_status.php,
+ .../views/default/thewire/scripts/counter.js,
+ mod/thewire/views/default/thewire/view.php,
+ mod/thewire/views/default/widgets/thewire/edit.php,
+ mod/thewire/views/default/widgets/thewire/view.php: removed all main plugins
+ from core - they now live in the plugins svn
+
+2009-08-05 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/access.php: Added documentation to
+ list_entities_from_access_collection();
+
+2009-08-04 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Fixed a security issues when simple cache is off.
+
+2009-08-04 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * CONTRIBUTORS.txt: small edit
+
+2009-08-03 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php: Added starttime and endtime for
+ get_entities_from_annotation().
+
+2009-07-30 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * htaccess_dist: Refs #1089: Removed [B] flag from htaccess. This flag is
+ wildly inconsistent in functionality and support in Apache. Will have to
+ implement a solution in PHP.
+
+2009-07-29 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/access.php: Added get/list_entities_from_access_collection().
+
+ * engine/lib/cache.php: Fixes #1151: Added is_dir() check before attempting
+ to create cache directory.
+
+2009-07-27 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/notification.php: Fixes #1148: Correctly comparing strings to
+ get OS.
+
+2009-07-27 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/riverdashboard/css.php: small fix to force facebook and
+ twitter user icons to the right size in the recent members sidebar
+
+2009-07-24 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/metadata.php: Fixes #1144: Added comma between order by fields.
+
+
+2009-07-22 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/login.php, version.php: Closes #1137: Setting a hidden variable
+ "returntoreferer" in a login form will now cause the action to use the
+ "referrer" address on a successful login. Version bump.
+
+ * mod/profile/views/default/profile/editicon.php: Minor bugfix on username
+
+2009-07-17 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * version.php: Version bump
+
+ * .../views/default/object/groupforumtopic.php: Closes #1131: htmlentities
+ in view around user text
+
+2009-07-16 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * README.txt: readme tweak
+
+ * CONTRIBUTORS.txt: small tweak
+
+2009-07-15 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/icondirect.php, simplecache/view.php: Forcing a new db connect
+ for simplecache/view.php and mod/profile/icondirect.php Will avoid bugs if a
+ previous connection has been created.
+
+2009-07-13 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/api.php: Closes #1119: If post data not initially found then
+ input stream is used.
+
+2009-07-10 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/header.php: Removed #1100 hack
+
+2009-07-09 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/header.php: Closes #1100: Added a dummy
+ function to page shell to initialise jquery
+
+ * views/default/canvas/layouts/widgets.php: Closes #1113: Htmlentities made
+ UTF-8 and quotes safe.
+
+2009-07-08 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/pagehandler.php, version.php: Closes #1111: Using substr
+ instead of parse_url.
+
+ * engine/lib/elgglib.php: Refs #427: Added $vars array to hook call.
+
+2009-07-07 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/htmlawed/start.php: Closes #956: Classes are removed by the HTML
+ filter.
+
+ * engine/lib/access.php, mod/groups/start.php: Refs #1099: Using write
+ access hook to allow group-only ACLs. Need to examine better solutions after
+ 1.7.
+
+2009-07-06 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/input/pulldown.php: Closes #1105: Value on input/pulldown
+ view now escaped.
+
+ * engine/lib/xml-rpc.php: Closes #1075: XML-RPC client behaving as per spec
+
+2009-07-06 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/pagehandler.php, htaccess_dist: Refs #1089: Added [B] flag to
+ rewrite for tags. Won't work until apache 2.2.12. Cleaned up some query
+ code in pagehandler().
+
+2009-07-06 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/actions/cropicon.php: Admin cropping of other user icons now
+ works as expected.
+
+ * mod/profile/start.php: Fixed doubling on icon edit (nb. this was not the
+ same issue as Refs #1100)
+
+ * mod/profile/views/default/profile/menu/links.php,
+ .../views/default/profile/menu/linksownpage.php: Removed edit profile, added
+ links to own page.
+
+2009-07-06 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/filestore.php: small tweak to filestore
+
+2009-07-06 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/actions/cropicon.php, mod/profile/actions/edit.php,
+ mod/profile/actions/iconupload.php, mod/profile/editicon.php,
+ mod/profile/manifest.xml, mod/profile/start.php,
+ mod/profile/views/default/profile/edit.php,
+ mod/profile/views/default/profile/editicon.php,
+ mod/profile/views/default/profile/menu/links.php,
+ mod/profile/views/default/profile/userdetails.php, version.php: * Closes
+ #1104: Edit profile and edit icon links on pulldown menu for editable users.
+ * Closes #545: Admins are now able to edit profiles and icons of other users.
+ * CSRF protection added to icon upload and edit code. * Version bump.
+
+ * engine/lib/entities.php: Closes #742: Ability to retrieve master icon from
+ getIcon() interface.
+
+ * languages/en.php, mod/profile/views/default/profile/editicon.php: Closes
+ #1103: Profile text now has translation tag
+
+2009-07-03 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: added css rule for em tags to work properly with
+ htmlawed and tinyMCE editor
+
+2009-07-03 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/htmlawed/start.php: Allowing selected style attributes in htmlawed.
+
+2009-07-02 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/systemsettings/install.php: Removing update client from initial
+ modules.
+
+ * actions/systemsettings/install.php: Refs #1086 & #1073: Filtering and
+ captcha have correct install defaults.
+
+2009-07-02 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/start.php: Closes #859: Group forum thread-starters receive
+ same email as participants.
+
+2009-07-02 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/metadata.php: order by now works on get entities from metadata
+
+2009-07-01 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/htmlawed/start.php: Fixed a typo in htmlawed filtering.
+
+ * engine/lib/users.php: Refs #1058: Using validated metadata for new user
+ permission check. Correctly allows for enable() / disable(), but still not
+ ideal.
+
+2009-07-01 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/upgrades/2009070101.php, mod/kses/manifest.xml,
+ mod/kses/start.php, mod/kses/vendors/kses/AUTHORS,
+ mod/kses/vendors/kses/COPYING, mod/kses/vendors/kses/ChangeLog,
+ mod/kses/vendors/kses/README, mod/kses/vendors/kses/TODO,
+ mod/kses/vendors/kses/docs/attribute-value-checks,
+ mod/kses/vendors/kses/docs/hooks,
+ mod/kses/vendors/kses/docs/stripping-everything,
+ mod/kses/vendors/kses/docs/supported-formats,
+ .../vendors/kses/docs/whitelisted-url-protocols,
+ mod/kses/vendors/kses/examples/filter.php,
+ mod/kses/vendors/kses/examples/test.php, mod/kses/vendors/kses/kses.php,
+ mod/kses/vendors/kses/oop/oop.kses.changelog.txt,
+ mod/kses/vendors/kses/oop/oop.simple.api.txt,
+ mod/kses/vendors/kses/oop/php4.class.kses.php,
+ mod/kses/vendors/kses/oop/php5.class.kses.php,
+ mod/kses/vendors/kses/oop/test.oop.kses.php, version.php: Refs #1086:
+ Deprecating kses and removing it from core. Version bump.
+
+2009-07-01 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/htmlawed/manifest.xml, mod/htmlawed/start.php,
+ mod/htmlawed/vendors/htmLawed/htmLawed.php,
+ mod/htmlawed/vendors/htmLawed/htmLawedTest.php,
+ mod/htmlawed/vendors/htmLawed/htmLawed_README.htm,
+ mod/htmlawed/vendors/htmLawed/htmLawed_README.txt,
+ .../vendors/htmLawed/htmLawed_TESTCASE.txt: Refs #1086: Added htmLawed plugin
+ as replacement for kses.
+
+2009-07-01 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/input.php: Closes #1097: Results set by set_input are now also
+ filtered by get_input()
+
+ * engine/lib/annotations.php: Short circuit on delete annotations to avoid
+ error message
+
+ * engine/lib/metadata.php: Metadata delete now passing correct object, also
+ handles missing metadata entity.
+
+2009-07-01 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../notifications/subscriptions/jsfuncs.php,
+ .../views/default/js/jquery.imgareaselect-0.4.2.js,
+ .../default/js/jquery.imgareaselect-0.4.2.min.js,
+ .../views/default/js/jquery.imgareaselect-0.8.js,
+ .../default/js/jquery.imgareaselect-0.8.min.js,
+ mod/profile/views/default/profile/editicon.php,
+ mod/profile/views/default/profile/javascript.php,
+ vendors/jquery/jquery-1.2.6.pack.js, vendors/jquery/jquery-1.3.2.min.js,
+ vendors/jquery/jquery-ui-1.7.2.custom.min.js,
+ .../jquery/jquery-ui-personalized-1.5.3.packed.js,
+ vendors/jquery/jquery.form.js, views/default/embed/addcontentjs.php,
+ views/default/js/initialise_elgg.php, views/default/js/upload_js.php,
+ views/default/page_elements/header.php: update to jquery 1.3.2 and jqueryUI
+ 1.7.2 closes #941
+
+2009-06-30 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php, mod/thewire/views/default/object/thewire.php,
+ .../views/default/river/object/thewire/create.php: fixed wire reply button
+ (missing 'echo'), and tweaked river/dashboard reply link for the default
+ theme. Also fixed a typo in the admin area
+
+2009-06-30 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * search/groups.php, search/index.php, search/users.php: Refs #1086: Fixes
+ specific issues mentioned pending KSES fix / replacement
+
+2009-06-30 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php, views/default/friends/collection.php,
+ views/default/output/confirmlink.php: Modified output/confirmlink view to
+ accept a class param. user_remove_friend() uses
+ remove_user_from_access_collection() instead of raw SQL. Deleting an access
+ collection prompts using output/confirmlink.
+
+2009-06-30 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/river/object/thewire/create.php: wire links will now
+ work in the activity river
+
+2009-06-30 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * README.txt: Fixed typo
+
+2009-06-29 brettp <brettp@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/friends/collection.php: Ref #1015: Correctly placed the end
+ div for access collection delete link.
+
+ * views/default/friends/collection.php: Closes #1015: Added a prompt before
+ deleting an access collection. Also cleaned up some hard vs soft tab issues.
+
+
+ * engine/lib/users.php: Closes #502: Removing unfriended users from access
+ lists upon unfriending.
+
+ * engine/lib/annotations.php: Closes #337: Added checking for metastrings in
+ __get_annotations_calculate_x()
+
+ * engine/lib/entities.php, engine/lib/relationships.php: Updated get_*()
+ functions ot return false if an invalid subtype is specified.
+
+2009-06-29 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/upgrades/2009050801.php: Closes #1073: Captcha off by default
+
+2009-06-28 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/river2.php: Access levels on add_to_river() will now respect
+ ACCESS_DEFAULT level.
+
+ * views/rss/pageshells/pageshell.php: Closes #1090: Removed xml:base from
+ RSS channel tag.
+
+2009-06-26 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/captcha/manifest.xml: Minor point of clarification
+
+2009-06-25 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/groups/css.php: tweak to input box size
+
+2009-06-24 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * version.php: Version bump
+
+ * engine/lib/elgglib.php: Closes #427: Original view name passed to plugin
+ hook.
+
+ * engine/lib/filestore.php: Some tidying of file download manager functions.
+
+2009-06-23 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * version.php: Version bump
+
+ * actions/friends/add.php, actions/friends/remove.php,
+ mod/profile/views/default/profile/menu/actions.php: Action gatekeeper added
+ to add and remove friends.
+
+2009-06-19 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * version.php: Version bump.
+
+ * views/ical/canvas/default.php, views/ical/export/entity.php,
+ views/ical/object/default.php, views/ical/pageshells/pageshell.php,
+ views/ical/search/entity_list.php: Closes #581: First draft ICAL export views
+ for Notable calendar events.
+
+2009-06-18 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * version.php: Version bump.
+
+ * engine/lib/filestore.php: Closes #601: Provides default for new
+ ElggFilestore objects to remove warning message.
+
+2009-06-17 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/thewire/views/default/object/thewire.php,
+ .../views/default/river/object/thewire/create.php: * Translation 'reply'
+ fixed. * Now possible to reply to wire posts from within the river.
+
+ * engine/lib/annotations.php: Closes #719: More options on annotation count
+ functions.
+
+ * views/default/input/access.php, views/default/input/button.php,
+ views/default/input/checkboxes.php, views/default/input/email.php,
+ views/default/input/file.php, views/default/input/hidden.php,
+ views/default/input/longtext.php, views/default/input/password.php,
+ views/default/input/plaintext.php, views/default/input/pulldown.php,
+ views/default/input/radio.php, views/default/input/tags.php,
+ views/default/input/text.php, views/default/input/url.php: Closes #540:
+ input/* views have internalid attribute.
+
+ * engine/lib/elgglib.php: Refs #964: Correct detection of type.
+
+ * version.php: Version bump
+
+ * engine/lib/system_log.php: Refs #328: Documentation tweak.
+
+ * engine/lib/elgglib.php: Refs #964: Tidied plugin hook into framework
+ function, changed hook to entity:annotate.
+
+2009-06-16 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, version.php: Marcus Povey 20090616: * Closes #964:
+ Introduces a plugin hook 'annotations:view', $entity_class
+ (object/user/group/site) called elgg_view_entity on FULL view. This lets you
+ return annotations to an entity and control the order in which they're
+ displayed. Existing views remain un-effected but should probably be
+ retrofitted. * Version bump.
+
+ * engine/lib/system_log.php: Bugfix to archive logs sql
+
+2009-06-14 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/input/urlshortener.php: URL shortener view.
+
+ * htaccess_dist: Actions rewrite rule will now match against numbers as well
+ as letters.
+
+ * version.php: Version bump
+
+ * mod/thewire/views/default/thewire/forms/add.php: Call out to a url
+ shortner if one is available, through the use of the input/urlshortner view.
+
+2009-06-12 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * version.php: Version bump
+
+ * engine/lib/filestore.php, engine/lib/users.php: Refs #1041: * Speculative
+ fix, extended blacklist of invalid characters for user signup * Mapping some
+ filestore matrix characters (notable '.') to a safe char
+
+ * engine/lib/filestore.php, languages/en.php: Refs #1041: Extra debug
+
+2009-06-11 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php: Block URL unsafe characters from username
+
+2009-06-10 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/cache.php: File cache attempts to create directory if it is not
+ present.
+
+2009-06-08 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/mb_wrapper.php, mod/thewire/start.php: * Introducing substr
+ wrapper function * Wire strips tags (Closes #1045)
+
+2009-06-05 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/mb_wrapper.php, engine/lib/metadata.php,
+ engine/lib/metastrings.php, search/index.php, version.php: Closes #639: *
+ Metastrings can be searched either case sensitive or insensitive modes. *
+ Tags now have case lowered in a UTF8 safe way (requires mbstring support). *
+ Introducing mb_wrapper.php containing multibyte wrapper functions. * Version
+ bump. * Introduces #1043 for consideration.
+
+ * engine/lib/actions.php, engine/lib/pagehandler.php: Close #1040: Limited
+ explode on action and page handler.
+
+2009-06-04 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/input/checkboxes.php: Closes #1037: Removed double pasting
+
+2009-06-04 kevin <kevin@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/js/upload_js.php: Changed a variable name that IE did not
+ like.
+
+2009-06-04 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/metadata.php: Closes #998 : Operator selection on get by
+ metadata multi
+
+2009-06-02 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/database.php: Removed some error messages
+
+2009-05-29 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/actions.php: Option to suppress visible errors in token
+ validation code.
+
+2009-05-29 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/upload/upload_form_content.php: hashed out folders view
+
+2009-05-28 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/pagehandler.php: Closes #1035: Page handler now urldecodes
+ input, necessary as vars are extracted from request_uri and not $_REQUEST
+ (which is automatically decoded)
+
+ * engine/lib/group.php: Fixed some typos in group search
+
+ * languages/en.php: Group search language correction
+
+ * languages/en.php, search/groups.php: Group search language correction
+
+ * views/default/user/search/finishblurb.php: Refs #965: Correct some search
+ links.
+
+ * views/default/group/search/finishblurb.php,
+ views/default/user/search/finishblurb.php: Refs #965: Correct some search
+ links.
+
+ * engine/lib/entities.php, engine/lib/search.php, search/index.php,
+ version.php: Refs #965: Search now correctly using page handler to make it
+ easier to replace.
+
+ * views/default/page_elements/elgg_topbar.php: Refs #965: Topbar search now
+ pointing at correct url.
+
+ * languages/en.php, views/default/page_elements/elgg_topbar.php: Closes
+ #805: Top bar search now internationalised. Refs #965
+
+2009-05-27 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php, engine/lib/metadata.php: Delete event now
+ triggered on metadata and annotation delete
+
+ * version.php: Version bump
+
+ * engine/lib/sessions.php: Admin shortcut flag set on login as well as init
+
+2009-05-27 kevin <kevin@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/filestore.php: Removed plugin-specific references from
+ file_display_thumbnail and file_add_to_folders.
+
+2009-05-22 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/database.php: Refs #965: Allowing upgrades to be loaded from
+ other locations.
+
+ * engine/lib/group.php, engine/lib/users.php, engine/schema/mysql.sql,
+ engine/schema/upgrades/2009052201.sql, languages/en.php, search/groups.php,
+ version.php, views/default/group/search/finishblurb.php,
+ views/default/group/search/startblurb.php: Closes #1030: Group title and
+ description search added, refs #965
+
+ * engine/lib/users.php: Closes #1031: Restored wildcard as it didn't appear
+ that slow.
+
+2009-05-21 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/captcha/views/default/input/captcha.php: captcha layout tweak
+
+2009-05-19 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * version.php: Version bump
+
+ * engine/lib/database.php: Refs #1027: Introducing
+ $CONFIG->db_disable_query_cache which allows disabling of query cache for
+ implementation in CLI mode
+
+ * engine/lib/actions.php: Closes #1026: Removed "@" from include statement
+
+2009-05-18 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/access.php: Closes #1025: Using the correct variable.
+
+2009-05-14 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * version.php: Version bump
+
+ * views/rss/annotation/default.php, views/rss/group/default.php,
+ views/rss/object/default.php, views/rss/pageshells/pageshell.php,
+ views/rss/user/default.php: Closes #646: GeoRSS support added to Locatable
+ objects with coordinates on RSS feeds
+
+ * views/rss/annotation/default.php, views/rss/group/default.php,
+ views/rss/object/default.php, views/rss/pageshells/pageshell.php,
+ views/rss/user/default.php: Closes #1024: Added call to views which allow
+ extensions to be made.
+
+ * views/rss/annotation/default.php, views/rss/group/default.php,
+ views/rss/object/default.php, views/rss/pageshells/pageshell.php: Making use
+ of the Dublin Core extensions to provide owner information in RSS feeds
+
+ * engine/lib/location.php, engine/schema/mysql.sql,
+ engine/schema/upgrades/2009051401.sql, version.php: Fixed geocode stuff +
+ version bump
+
+2009-05-13 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * version.php: Version bump
+
+ * mod/diagnostics/languages/en.php, mod/diagnostics/start.php,
+ mod/diagnostics/views/default/diagnostics/test.php,
+ .../views/default/diagnostics/testresult.php: Closes #1009: Diagnostics unit
+ test with example
+
+ * engine/lib/testing.php, mod/diagnostics/index.php,
+ mod/diagnostics/languages/en.php, mod/diagnostics/start.php,
+ mod/diagnostics/testreport.php, mod/diagnostics/unittester.php,
+ .../views/default/diagnostics/runalltests.php,
+ mod/diagnostics/views/default/diagnostics/test.php,
+ .../views/default/diagnostics/testresult.php: Refs #1009: Enhancements to
+ diagnostics tool to use the test framework.
+
+ * engine/lib/testing.php: Refs #1009: Changed the method tests are
+ performed.
+
+ * engine/lib/testing.php: Refs #1009: Simple test framework outline added.
+
+2009-05-12 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/system_log.php: Closes #1003: Using more efficient query
+
+ * engine/lib/river.php, version.php: Removed deprecated river library +
+ version bump
+
+ * engine/lib/actions.php: Closes #749: Warning message on missing token.
+
+2009-05-11 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/actions/addtogroup.php: Closes #944: Changed owner check to a
+ canEdit check on the group.
+
+ * engine/lib/river.php: Deprecated old river code
+
+ * engine/lib/system_log.php, version.php: Closes #1010: Log event now called
+ on an event of its own, this permits plugins to add additional logging or
+ replace the logging method altogether. This is only possible now that the
+ old river code has been replaced.
+
+ * engine/lib/version.php: Refs #965 & #967: Event triggered on upgrade,
+ allowing easier integration with plugins.
+
+ * views/default/spotlight/default.php: Closes #1017: Div no longer closed
+ early.
+
+ * views/default/page_elements/elgg_topbar.php: Closes #1016: Image tag
+ closed
+
+ * version.php: Version bump
+
+ * mod/captcha/start.php: Captcha list can now be extended by other plugins
+
+2009-05-08 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/thewire/start.php: Hard limit to string lengths.
+
+ * mod/captcha/fonts/Liberation_serif_License.txt: Added font licence, refs
+ #1011
+
+ * actions/systemsettings/install.php, engine/lib/upgrades/2009050801.php,
+ mod/captcha/backgrounds/bg1.jpg, mod/captcha/backgrounds/bg2.jpg,
+ mod/captcha/backgrounds/bg3.jpg, mod/captcha/backgrounds/bg4.jpg,
+ mod/captcha/backgrounds/bg5.jpg, mod/captcha/captcha.php,
+ mod/captcha/fonts/1.ttf, mod/captcha/languages/en.php,
+ mod/captcha/manifest.xml, mod/captcha/start.php,
+ mod/captcha/views/default/captcha/css.php,
+ mod/captcha/views/default/input/captcha.php, version.php: Closes #1011: Added
+ basic captcha support.
+
+ * actions/systemsettings/install.php: System default plugins now accepts
+ array as well as CSV
+
+ * views/default/account/forms/forgotten_password.php: Refs #1011: Correctly
+ CSSed and now using captcha if available
+
+2009-05-07 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * version.php: Version bump
+
+ * views/default/account/forms/register.php, views/default/input/captcha.php:
+ Closes #983: Introducing input/captcha view, use wherever a captcha is
+ required - override with plugins and use the actions hook to do additional
+ validation!
+
+ * engine/lib/relationships.php: Refs #975: Get entities from metadata and
+ relationship now behaves as expected
+
+2009-05-05 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Closes #994: elgg_view_exists will now check to
+ see if a view exists by dint of being extended.
+
+ * engine/lib/access.php, engine/lib/elgglib.php: Removing spurious error log
+ messages
+
+ * mod/reportedcontent/actions/add.php,
+ mod/reportedcontent/actions/archive.php,
+ mod/reportedcontent/actions/delete.php: Closes #725: Typo corrected.
+
+ * languages/en.php, mod/riverdashboard/languages/en.php,
+ .../views/default/riverdashboard/welcome.php,
+ views/default/basic_elements/welcome.php,
+ views/default/welcome/logged_in.php: Closes #950: Fixed missing translations.
+
+ * mod/riverdashboard/languages/en.php,
+ .../views/default/riverdashboard/welcome.php: Closes #1004: Welcome no longer
+ hard coded.
+
+ * version.php: Version bump
+
+ * engine/lib/entities.php: Closes #1007: Private data now removed on entity
+ deletion.
+
+ * engine/lib/users.php: Closes #1008: Fixed typo
+
+2009-05-04 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/languages.php: Closes #824: Test variable to prevent error
+ message in log.
+
+2009-05-01 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php: Closes #1002: Committed missing variable code
+
+ * UPGRADE.txt: Test
+
+ * UPGRADE.txt: Test
+
+2009-04-29 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * UPGRADE.txt: Closes #1001: This is a test
+
+ * UPGRADE.txt: Refs #4: This is a test
+
+ * UPGRADE.txt:
+
+ * UPGRADE.txt:
+
+ * UPGRADE.txt: Test
+
+ * UPGRADE.txt: Test
+
+ * UPGRADE.txt: Test
+
+ * UPGRADE.txt: Test
+
+ * UPGRADE.txt: TEst
+
+ * UPGRADE.txt: Test
+
+ * UPGRADE.txt: Removed test
+
+ * UPGRADE.txt: Test
+
+2009-04-27 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php: Closes #927: Minimum user length no longer hard
+ coded.
+
+ * engine/lib/relationships.php: Closes #975: Now possible to search by
+ metadata and relationship
+
+ * engine/lib/users.php: Closes #943: Event triggered when banning or
+ unbanning a user.
+
+2009-04-24 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * version.php: Version bump
+
+ * mod/groups/actions/edit.php,
+ mod/groups/views/default/forms/groups/edit.php: Added security token to group
+ edit form
+
+ * views/default/input/form.php, views/default/input/securitytoken.php:
+ Introducing input/securitytoken as an intermediate step for form conversion.
+
+ * mod/groups/actions/edit.php, mod/groups/languages/en.php,
+ mod/groups/views/default/forms/groups/edit.php: Closes #969: Admin option to
+ allow the setting of certain access controls on a group
+
+ * actions/useradd.php, engine/lib/users.php: Closes #856: Admin created
+ users are no longer created as being owned by the admin
+
+2009-04-23 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/languages/en.php: Refs #969: Added admin menu option for
+ enabling private groups
+
+ * mod/groups/views/default/settings/groups/edit.php: Refs #969: Added admin
+ menu option for enabling private groups
+
+ * engine/lib/group.php: Closes #980: group_gatekeeper() now has an admin
+ override if the admin is logged in
+
+ * simplecache/view.php: Closes #989: Forces regenerate on next refresh when
+ cache file is missing
+
+2009-04-22 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php, engine/lib/metadata.php, engine/lib/users.php:
+ Deleting correct data + using correct tables
+
+ * engine/lib/annotations.php, engine/lib/entities.php,
+ engine/lib/metadata.php, engine/lib/users.php: Closes #957: Annotations and
+ metadata owned by a deleted user are now also deleted.
+
+ * languages/en.php, mod/groups/views/default/forum/topicposts.php,
+ .../views/default/object/groupforumtopic.php: Closes #957: Groups topics now
+ handle deleted users, I recommend that people look at what I've done and
+ handle deleted users in a similar way in their plugins.
+
+2009-04-21 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/sessions.php: Belts and braces checking on isloggedin()
+
+2009-04-21 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/canvas/layouts/sidebar_boxes.php: an extra view to extend,
+ added to sidebar boxes
+
+2009-04-17 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/kses/manifest.xml: Refs #833: Added manifest
+
+ * actions/systemsettings/install.php, engine/lib/input.php,
+ engine/lib/upgrades/2009041701.php, mod/kses/start.php,
+ mod/kses/vendors/kses/AUTHORS, mod/kses/vendors/kses/COPYING,
+ mod/kses/vendors/kses/ChangeLog, mod/kses/vendors/kses/README,
+ mod/kses/vendors/kses/TODO,
+ mod/kses/vendors/kses/docs/attribute-value-checks,
+ mod/kses/vendors/kses/docs/hooks,
+ mod/kses/vendors/kses/docs/stripping-everything,
+ mod/kses/vendors/kses/docs/supported-formats,
+ .../vendors/kses/docs/whitelisted-url-protocols,
+ mod/kses/vendors/kses/examples/filter.php,
+ mod/kses/vendors/kses/examples/test.php, mod/kses/vendors/kses/kses.php,
+ mod/kses/vendors/kses/oop/oop.kses.changelog.txt,
+ mod/kses/vendors/kses/oop/oop.simple.api.txt,
+ mod/kses/vendors/kses/oop/php4.class.kses.php,
+ mod/kses/vendors/kses/oop/php5.class.kses.php,
+ mod/kses/vendors/kses/oop/test.oop.kses.php, vendors/kses/AUTHORS,
+ vendors/kses/COPYING, vendors/kses/ChangeLog, vendors/kses/README,
+ vendors/kses/TODO, vendors/kses/docs/attribute-value-checks,
+ vendors/kses/docs/hooks, vendors/kses/docs/stripping-everything,
+ vendors/kses/docs/supported-formats,
+ vendors/kses/docs/whitelisted-url-protocols,
+ vendors/kses/examples/filter.php, vendors/kses/examples/test.php,
+ vendors/kses/kses.php, vendors/kses/oop/oop.kses.changelog.txt,
+ vendors/kses/oop/oop.simple.api.txt, vendors/kses/oop/php4.class.kses.php,
+ vendors/kses/oop/php5.class.kses.php, vendors/kses/oop/test.oop.kses.php,
+ version.php: Closes #833: Kses now built as module
+
+ * engine/lib/input.php: Reverted last
+
+2009-04-17 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/input.php: small tweak to kses input, for now.
+
+2009-04-17 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/input.php: Removed style on code
+
+ * engine/lib/input.php: Removed columns
+
+ * engine/lib/input.php: Slightly more draconian kses rules
+
+ * engine/lib/plugins.php: Closes #982: Object limit maximum raised.
+
+2009-04-16 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/filestore.php: Moved old file mime type guesser back to files
+ plugin so that there are no conflicts
+
+2009-04-16 kevinjardine <kevinjardine@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/filestore.php: Passed container_guid to any quota plugins and
+ removed duplicate function definition.
+
+ * engine/lib/filestore.php: Added file_get_general_file_type() function
+
+2009-04-15 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/filestore.php, version.php: Centralised mimetype function
+
+ * engine/lib/filestore.php, views/default/js/upload_js.php,
+ views/default/upload/upload_form_content.php: Rolled in Kevin's file store
+ enhancements and upload code.
+
+ * version.php: Version bump
+
+ * engine/lib/pageowner.php, languages/en.php: Error logged on page forward
+ due to missing page owner.
+
+2009-04-14 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/thewire/graphics/river_icon_thewire.gif,
+ .../views/default/thewire/activity_view.php: link to the wire added to bottom
+ of latest wire post box on riverdashboard page
+
+2009-04-11 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/userdetails.php: small profile custom
+ fields tweak
+
+2009-04-10 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/thewire/start.php: The Wire incoming SMS now uses the default access
+ level.
+
+ * mod/thewire/start.php: Moving the wire to use $SESSION instead of
+ $_SESSION
+
+ * engine/lib/elgglib.php: Removing viewtype autoforward, because it broke
+ installs.
+
+2009-04-10 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/output/actionurl.php, views/default/output/confirmlink.php,
+ views/default/output/url.php: Rolled token into url and confirmlink
+
+ * engine/lib/tags.php: Closes #977: get_tags now functional for entities
+ without subtypes.
+
+2009-04-09 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/output/actionurl.php: Introducing actionurl.php (Refs #749)
+
+ * engine/lib/pageowner.php: Forward user if a page owner is inaccessible
+ (Refs #969)
+
+ * engine/lib/elgglib.php: Non-default view types now preserved when
+ forwarding.
+
+ * engine/lib/metadata.php: Closes #974: Owner_guid may now be an array
+
+2009-04-08 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/site/update_basic.php, engine/lib/configuration.php,
+ engine/lib/elgglib.php, languages/en.php, views/default/settings/system.php:
+ Close #968: View path cache can now be turned on and off
+
+ * engine/lib/elgglib.php: Removed unused experimental cache functions
+
+ * actions/admin/plugins/reorder.php, engine/lib/plugins.php: Refs #946:
+ Improved documentation on enable/disable/reorder plugin commands
+
+ * actions/admin/plugins/disable.php, actions/admin/plugins/disableall.php,
+ actions/admin/plugins/enable.php, actions/admin/plugins/enableall.php,
+ actions/admin/plugins/reorder.php, engine/lib/elgglib.php,
+ engine/lib/plugins.php, upgrade.php: Refs #968 & #946: Tidied filepath cache
+ stuff by placing them in function calls.
+
+ * mod/profile/views/default/profile/userdetails.php,
+ views/default/admin/main.php, views/default/admin/plugins.php,
+ views/default/admin/site.php, views/default/admin/user.php,
+ views/default/canvas/layouts/widgets.php,
+ views/default/messages/errors/error.php,
+ views/default/messages/exceptions/exception.php,
+ views/default/messages/messages/message.php,
+ views/default/usersettings/main.php, views/default/usersettings/plugins.php:
+ Refs #963: Autop changed to longtext on standard views
+
+ * engine/lib/database.php, version.php: Added callback support to
+ get_data_row()
+
+2009-04-07 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/tags.php: Closes #937: Added time constraints to the tag
+ retrieval query.
+
+2009-04-07 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * version.php: The inclusion of a new library merits a new internal version
+ number.
+
+2009-04-07 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/riverdashboard/languages/en.php,
+ .../views/default/riverdashboard/newestmembers.php: Closes #932: Recent
+ members string no longer hard coded
+
+2009-04-07 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * vendors/jquery/jquery.form.js, views/default/page_elements/header.php:
+ jQuery Form plugin, welcome to Elgg Core.
+
+2009-04-02 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/thewire/views/default/object/thewire.php: Closes #949: Method in "via
+ {{Method}}" now wrapped in an elgg_echo
+
+ * engine/lib/plugins.php: Closes #945: enable/disable_plugin now updates
+ $ENABLED_PLUGINS_CACHE
+
+2009-03-31 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/uservalidationbyemail/manifest.xml, version.php: Version bump
+
+ * engine/lib/metadata.php, engine/lib/users.php,
+ mod/uservalidationbyemail/start.php: Closes #896: Error thrown if user not
+ validate. Metadata physically removed rather than simply blanked when
+ validation is requested. Note: update_metadata no longer seems to support
+ anonymous metadata which is likely to have knock on issues elsewhere...
+
+2009-03-30 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/icondirect.php: Closes #916: Icondirect now using multibyte
+ safe str_split code from filestore.php
+
+ * version.php: Version bump
+
+ * engine/lib/database.php: Closes #875: Using db link
+
+ * engine/lib/notification.php: Closes #889: Decoding html entities from
+ messages before stripping tags
+
+ * engine/lib/elgglib.php: Closes #897: Memcache datalists correctly saved on
+ first load
+
+ * views/default/css.php: Closes #903: Large <pre> and <code> blocks no
+ longer break page shell
+
+ * mod/riverdashboard/index.php: Closes #899: River dash ajax now UTF-8
+
+ * views/default/page_elements/owner_block.php: Closes #914: Removed odd line
+
+2009-03-30 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/pageowner.php: Context can now be assigned by defining a
+ constant in the page.
+
+2009-03-30 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/thewire/actions/add.php: Refs #926: Private wire posts get bumped to
+ 'logged in'
+
+2009-03-26 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/languages/en.php: small lang tweak
+
+ * languages/en.php, .../views/default/profile/editdefaultprofile.php: small
+ lang tweak
+
+2009-03-25 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/edittopic.php: Closes #930: addtopic -> edittopic
+
+2009-03-25 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/widgets/river_widget/view.php: small tweak
+
+ * .../views/default/river/object/thewire/create.php: river view tweak for
+ the wire
+
+2009-03-18 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/languages.php: Different assignment.
+
+ * engine/lib/users.php: Disposed of unnecessary $result variables.
+
+ * engine/lib/api.php: Closes #844: API parameter test logic improved
+
+ * engine/lib/languages.php: Closes #883: Using different operator logic so
+ that plugins can replace existing translation entries.
+
+ * mod/groups/actions/addtogroup.php: Closes #893: Using ->join() method
+ instead to ensure that event is triggered.
+
+2009-03-13 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * README.txt, version.php: Oh hai Elgg 1.5
+
+ * actions/user/passwordreset.php, actions/user/requestnewpassword.php,
+ .../actions/email/confirm.php, version.php: Closes #873: Mail/password
+ reset/confirm actions forward to wwwroot rather than ref. Version bump.
+
+ * engine/lib/elgglib.php: Fixes #877: Minor typo addressed. Note: This
+ function is currently not used.
+
+2009-03-12 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/systemsettings/install.php, version.php: Fixed typo in default
+ plugins init + version bump
+
+2009-03-11 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * version.php: Version bump
+
+ * engine/lib/notification.php: Provide a From email address workaround for
+ those with windows servers. See issue http://bugs.php.net/bug.php?id=28038
+
+2009-03-11 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/start.php: Profile user icon URL fix.
+
+2009-03-11 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, engine/lib/group.php, engine/lib/objects.php,
+ engine/lib/sites.php, engine/lib/users.php, languages/en.php, version.php:
+ Closes 868: Fixes regression introduced in [3119]. Version number bumped.
+
+2009-03-11 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Added a clause that will detect if insert_data
+ returned 0 rather than false, and returns 'true' in this case. Fixes #867
+
+ * views/default/page_elements/header.php: Javascript loaded using a safer
+ URL scheme. Fixes #862
+
+2009-03-10 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/languages/en.php, .../views/default/groups/group_sort_menu.php:
+ missing lang strings fixed
+
+ * mod/groups/languages/en.php,
+ mod/groups/views/default/groups/grouplisting.php: missing strings added
+
+2009-03-10 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: left column sidebar background color changed for
+ consistency with other pages (props to Cash for spotting it)
+
+2009-03-09 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/api.php: Minor api tweak
+
+2009-03-09 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * README.txt: Changing version number
+
+ * version.php: Elgg 1.5 RC2. Hi!
+
+2009-03-09 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * htaccess_dist: Closes #823: Root cause was that the Headers decl requires
+ mod_headers to be installed (and Apache had a very unhelpful failure mode for
+ instances when it wasn't). The Header def has now been IfModuled out.
+ mod_headers is still recommended for correct functioning across proxies.
+
+2009-03-09 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: openID river rules removed
+
+2009-03-09 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * htaccess_dist: Refs #823: Mod_deflate htaccess def now disabled by
+ default.
+
+ * mod/groups/actions/edit.php: Admins can now change group profile picture.
+
+2009-03-09 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/start.php: Banned users always have default icons.
+
+ * mod/riverdashboard/languages/en.php, mod/riverdashboard/start.php: Some
+ tweaks to river dashboard, including proper language usage.
+
+ * engine/lib/notification.php: Banned users no longer get notifications
+
+ * actions/register.php, engine/lib/users.php: User validation no longer
+ occurs on first install
+
+ * engine/lib/filestore.php: Icons are now correctly resized
+
+2009-03-09 kevinjardine <kevinjardine@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/actions/edit.php, mod/groups/start.php,
+ mod/groups/views/default/forms/groups/edit.php: Groups now support
+ add_group_tool_option so that any group tool can be toggled on or off via the
+ group's edit form.
+
+ * engine/lib/group.php: Introducing the add_group_tool_option function.
+
+2009-03-09 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/crontrigger/manifest.xml, mod/diagnostics/manifest.xml,
+ mod/garbagecollector/manifest.xml, mod/groups/manifest.xml,
+ mod/logbrowser/manifest.xml, mod/logrotate/manifest.xml,
+ mod/notifications/manifest.xml, mod/profile/manifest.xml,
+ mod/reportedcontent/manifest.xml, mod/riverdashboard/manifest.xml,
+ mod/thewire/manifest.xml, mod/uservalidationbyemail/manifest.xml: Bumped
+ version numbers on bundled plugins
+
+2009-03-08 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: css river rule added for openID user updating
+ profile
+
+2009-03-07 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * version.php: Version bump
+
+ * mod/profile/views/default/profile/userdetails.php: Closes #851: Fixed
+ regression. It is now possible for an admin to edit another user's profile
+ consistent with 1.2
+
+ * _css/css.php, _css/js.php: Closes #844 & #845: Override is now no longer
+ forced on and so will now obey view cache settings.
+
+ * mod/groups/actions/invite.php, mod/groups/languages/en.php,
+ mod/groups/start.php, mod/groups/views/default/forms/groups/invite.php:
+ Closes #843: Introducing intermediary invite action to establish invite
+ relationship but ask a user to join
+
+ * version.php: Version bump
+
+ * engine/lib/users.php: Closes #841: User request for password changes now
+ functional.
+
+2009-03-06 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: one column canvas padding adding
+
+2009-03-06 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/objects.php: Very minor comment tweak
+
+ * engine/lib/group.php, engine/lib/objects.php, engine/lib/sites.php,
+ engine/lib/users.php: Reversed delete procedure on entities, parent now
+ checked first before sub deleted. Any stubs left over will be handled by the
+ garbage collector.
+
+2009-03-06 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: river icon added for openID friend, blockquote
+ style tweaked, elgg horizontal nav bar improved
+
+2009-03-06 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * version.php: Bumped version number corresponding to the change
+
+ * engine/lib/entities.php: Added garbage collector hook to clear up orphaned
+ sub table entities.
+
+ * mod/garbagecollector/start.php: Changed 'system','gc' to 'gc','system'
+
+2009-03-06 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/start.php: Fixed icon overrides
+
+ * engine/lib/river2.php: If you don't have any friends, the river no longer
+ returns all on the 'friends' view. Fixes #839
+
+ * mod/profile/views/default/profile/listing.php: Removed banned listing
+
+ * mod/profile/index.php: Banned profiles are now hidden
+
+ * mod/profile/views/default/profile/userdetails.php: Fixed user profile ban
+ message
+
+2009-03-06 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * version.php: Version number bump
+
+ * engine/lib/languages.php: Closes #838: Missing set from static var.
+
+2009-03-06 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/json/riverdashboard/container.php,
+ views/json/river/item/list.php: Improved JSON version of the dashboard
+
+2009-03-06 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: missing river entry style added
+
+2009-03-05 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/statistics.php: Online users list works properly
+
+ * languages/en.php, views/default/annotation/annotate.php: Fixed generic
+ river comment view
+
+ * mod/riverdashboard/languages/en.php: Corrected a poorly formatted text
+ string
+
+ * mod/thewire/manifest.xml: New manifest description for the wire.
+
+ * version.php: Elgg 1.5 RC1 is gearing up to leave the building.
+
+ * mod/apiadmin/actions/generate.php, mod/apiadmin/actions/revokekey.php,
+ mod/apiadmin/index.php, mod/apiadmin/languages/en.php,
+ mod/apiadmin/manifest.xml, mod/apiadmin/start.php,
+ .../views/default/apiadmin/forms/add_key.php,
+ mod/apiadmin/views/default/object/api_key.php,
+ mod/guidtool/actions/delete.php, mod/guidtool/export.php,
+ mod/guidtool/format_picker.php, mod/guidtool/import.php,
+ mod/guidtool/index.php, mod/guidtool/languages/en.php,
+ mod/guidtool/manifest.xml, mod/guidtool/start.php, mod/guidtool/view.php,
+ .../views/default/forms/guidtool/export.php,
+ .../views/default/forms/guidtool/format.php,
+ .../views/default/forms/guidtool/import.php,
+ mod/guidtool/views/default/guidtool/gallery.php,
+ mod/guidtool/views/default/guidtool/listing.php,
+ mod/guidtool/views/default/guidtool/profile.php,
+ .../views/default/object/guidtoolwrapper.php: Farewell APIadmin, farewell
+ GUIDtool. We'll see you over in the plugins repo.
+
+ * mod/thewire/actions/add.php: Wire posts now save as default access level
+
+ * mod/thewire/actions/add.php: Wire posts are now default access
+
+ * UPGRADE.txt: Improved upgrade text
+
+ * engine/lib/entities.php: Error in subtype detection
+
+2009-03-05 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/riverdashboard/newestmembers.php: a small layout tweak
+
+2009-03-05 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, htaccess_dist: Fixed search RSS
+
+2009-03-05 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * version.php: Bumped version
+
+ * mod/crontrigger/start.php: Surpressing cron output
+
+2009-03-04 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: tiny rule added to make widget layout consistent
+ across all widgets
+
+2009-03-04 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/notification.php: Private items never trigger notifications
+
+ * engine/lib/entities.php: Access fix
+
+ * engine/lib/entities.php: Let's go with the most obvious application of
+ this.
+
+ * engine/lib/entities.php, engine/lib/river2.php: River access issues
+
+ * engine/lib/river2.php, languages/en.php,
+ views/default/river/item/noaccess.php: Stopgap for when you can no longer
+ view river items.
+
+ * views/default/input/button.php: Button src isn't always required.
+
+ * mod/notifications/languages/en.php: Text changes to hopefully make friends
+ notification settings a little less confusing
+
+ * CONTRIBUTORS.txt: Addition to the contributors file
+
+2009-03-04 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/thewire/views/default/thewire/css.php: css tweak
+
+2009-03-04 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/forms/guidtool/export.php,
+ .../views/default/forms/guidtool/import.php: GUIDtool now uses
+ input/plaintext
+
+ * engine/lib/access.php: Access modification - owners can always see their
+ own content
+
+ * views/foaf/user/default.php: Correction to FOAF URL
+
+ * views/foaf/user/default.php: FOAF URL fix
+
+ * views/foaf/page_elements/contentwrapper.php: FOAF contentwrapper
+
+2009-03-04 kevinjardine <kevinjardine@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/notification.php: Changed notification to use
+ has_access_to_entity to move all access control checks to a single mechanism
+
+2009-03-04 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/thewire/views/default/thewire/css.php: added rule to prevent character
+ remaing field focus on thewire individual page
+
+2009-03-04 kevinjardine <kevinjardine@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/access.php: Added has_access_to_entity function
+
+ * engine/lib/access.php: Added the ability to optionally specify the user to
+ get_access_sql_suffix
+
+2009-03-04 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/rss/group/default.php, views/rss/object/default.php,
+ views/rss/river/item/list.php: URL sanitation for RSS feeds
+
+ * mod/groups/views/default/groups/contentwrapper.php: Removing extra quotes
+
+ * views/json/page_elements/contentwrapper.php: JSON contentwrapper view
+
+ * engine/lib/elgglib.php, mod/groups/all.php, mod/groups/edit.php,
+ mod/groups/groupprofile.php,
+ mod/groups/views/default/groups/contentwrapper.php,
+ mod/groups/views/rss/groups/contentwrapper.php,
+ views/default/page_elements/contentwrapper.php,
+ views/rss/page_elements/contentwrapper.php: Added contentWrapper view
+
+2009-03-04 kevinjardine <kevinjardine@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/query.php: AccessControlQueryComponent::__toString changed to
+ use get_access_sql_suffix
+
+2009-03-04 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/guidtool/export.php, mod/guidtool/format_picker.php,
+ mod/guidtool/import.php, mod/guidtool/index.php,
+ mod/guidtool/languages/en.php, mod/guidtool/start.php: Moved GUID tool to
+ admin menu.
+
+2009-03-04 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/riverdashboard/css.php: added a rule to prevent
+ characters remaining field on thewire receiving focus/highlighting
+
+2009-03-04 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/rss/river/item/list.php: Title fix.
+
+ * engine/lib/elgglib.php, views/rss/river/item/list.php: Fixed river RSS
+ views
+
+2009-03-04 kevinjardine <kevinjardine@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/tags.php: Commented out a no longer needed line of code
+
+ * engine/lib/tags.php: get_tags now uses get_access_sql_suffix for access
+ control.
+
+2009-03-04 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/rss/river/item/list.php: Ampersand fix
+
+ * engine/lib/elgglib.php, .../views/rss/riverdashboard/container.php,
+ views/rss/river/item/list.php: Improvements to elgg_view, plus river
+ dashboard RSS feed
+
+ * mod/groups/start.php: The 'manage join requests' link doesn't show up if
+ the current group doesn't have public membership.
+
+2009-03-03 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, mod/profile/icon.php, mod/profile/icondirect.php,
+ simplecache/view.php: Moving to a smaller chunk size.
+
+2009-03-03 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * version.php: Bumping version number
+
+2009-03-03 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/icon.php: Profile icons come in chunks.
+
+2009-03-03 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, simplecache/view.php: Echoing the large output
+ buffer in chunks
+
+2009-03-03 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/icon.php, mod/profile/icondirect.php, simplecache/view.php:
+ Splitting output into chunks.
+
+ * htaccess_dist: Improved .htaccess
+
+2009-03-03 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Stub for experimental view caching (disabled)
+
+2009-03-03 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _css/css.php, _css/js.php: Far future expires header
+
+2009-03-03 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Using faster array concatination
+
+ * views/default/input/button.php: Closes #832: Internal name now handled
+
+2009-03-03 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: $vars is once again populated with session data
+
+2009-03-03 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Removed utterly pointless lines
+
+ * engine/lib/languages.php: Current language now cached per process in
+ elgg_echo.
+
+ * engine/lib/plugins.php: Minor tweaks
+
+ * engine/lib/elgglib.php: Minor tweaks
+
+ * engine/lib/languages.php: Removed directory test to languages since it's
+ probably fair to assume that this isn't going to be the case
+
+2009-03-03 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * simplecache/view.php: Content length on the simplecache.
+
+2009-03-03 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: tiny amendment to owner-block icon spacing
+
+2009-03-03 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _css/js.php: JS override.
+
+ * simplecache/view.php: Fix for previous.
+
+2009-03-03 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/languages.php: Removing the stupidly slow array_merge from lang
+ load code
+
+2009-03-03 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _css/css.php, simplecache/view.php: A quiet little CSS override update.
+
+2009-03-03 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Made get_library_files non-recursive and removed
+ is_dir test... makes the func <1% of total execution time
+
+2009-03-03 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, engine/lib/group.php, engine/lib/objects.php,
+ engine/lib/sites.php, engine/lib/users.php: Removed calls to the deprecated
+ entity cache
+
+2009-03-03 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/crontrigger/start.php: Suppressing output on cron trigger
+
+2009-03-03 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/pagehandler.php: Getting rid of needless double-trimming.
+
+2009-03-03 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/plugins/disable.php, actions/admin/plugins/disableall.php,
+ actions/admin/plugins/enable.php, actions/admin/plugins/enableall.php,
+ actions/admin/plugins/reorder.php, engine/lib/elgglib.php,
+ engine/lib/plugins.php, upgrade.php: Closes #831: Plugin view location is now
+ cached in a file in dataroot and is invalidated on upgrade and plugin
+ enable/disable/reorder. This means that views no longer have to be discovered
+ on boot - reducing file IO from crazy amounts to 1. This also helps make
+ adding new plugins a linear event.
+
+2009-03-03 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * simplecache/view.php: Eliminating the content-length header
+
+2009-03-03 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * htaccess_dist: Refs #830: Minor tweak
+
+ * engine/lib/cache.php: Cache delete now functional
+
+2009-03-02 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/cache.php: Limitless queries now supported
+
+2009-03-02 kevinjardine <kevinjardine@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/start.php, mod/groups/views/default/groups/forum_latest.php:
+ Changed group forums to default to enabled.
+
+ * engine/lib/access.php: Changed the order of the access dropdown so that it
+ is in order of increasing restriction (private, friends, logged-in, public).
+
+2009-03-02 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/groups/css.php,
+ .../views/default/object/groupforumtopic.php: discussion list updated for
+ long usernames, and clearing issues fixed for pc
+
+2009-03-02 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/database.php: Corrected unnecessarily patriotic comments.
+
+2009-03-02 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php: Removing duplicate deletion code.
+
+2009-03-02 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/install.php: Minor change to previous.
+
+ * engine/lib/install.php: A different table to check db existence against.
+
+2009-03-02 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/object/groupforumtopic.php: small tweak to change from
+ username to name on discussion
+
+2009-03-01 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/groups/css.php: mac alignment fixed on delete
+ button
+
+ * mod/groups/views/default/groups/css.php,
+ .../views/default/object/groupforumtopic.php: delete discussion button layout
+ tweaked
+
+2009-03-01 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * version.php: Bump
+
+ * engine/lib/input.php, views/default/output/longtext.php: Closes #828:
+ Quite correct - rather tired - arrays are individually trimmed - non-arrays
+ are not. Closes #714: Input filtering now triggers on a plugin hook, this
+ allows plugins to provide other filtering methods than kses (Refs #561).
+
+2009-03-01 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/object/groupforumtopic.php: deleting discussions added
+
+2009-03-01 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/input.php: Closes #828: Array input values now trimmed
+ individually.
+
+2009-03-01 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/thewire/languages/en.php: Wire lang string tweaked
+
+2009-03-01 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/icon_bookmarkthis.gif, _graphics/icon_reportthis.gif: owner
+ block icons background color corrected for new theme
+
+ * .../views/default/riverdashboard/css.php: reply and delete button removed
+ on latest post on riverdashboard
+
+ * mod/groups/views/default/forms/forums/addpost.php,
+ mod/groups/views/default/forms/forums/addtopic.php,
+ .../views/default/forms/forums/edittopic.php,
+ mod/groups/views/default/forum/topicposts.php,
+ .../views/default/reportedcontent/form.php,
+ views/default/comments/forms/edit.php, views/default/css.php: input/longtext
+ and remove editor link layout improved
+
+2009-02-28 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/input.php: Closes #665: Using a bit of preg callback magic to
+ do <wbr> encoding and href encoding in one pass.
+
+ * engine/lib/languages.php: Closes #824: $language is initialised
+
+2009-02-28 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/widgets/river_widget/edit.php: activity widget default
+ tweaked
+
+ * mod/thewire/languages/en.php: the wire lang tweaked
+
+ * mod/thewire/views/default/object/thewire.php: the wire now uses name
+ rather than username
+
+2009-02-28 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/upgrades/2009022701.php: Disabling updateclient on installs as
+ part of upgrade, this is because it is no longer included and can cause
+ problems.
+
+2009-02-28 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/object/groupforumtopic.php: autop removed on fetching
+ group description for list view (to remove extraneous <br />)
+
+ * mod/groups/views/default/groups/forum_latest.php: clearfloat fixed
+
+ * mod/groups/views/default/groups/css.php,
+ .../views/default/object/groupforumtopic.php: groups latest discussion list
+ view timestamp moved/formatted
+
+2009-02-28 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/actions/addtogroup.php: Removed email force from notification
+ emails
+
+2009-02-28 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/groups/css.php: groups widgets layout made
+ consistent
+
+2009-02-27 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: enable/disable mods buttons layout tweaked
+
+2009-02-27 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/riverdashboard/languages/en.php: Corrected capitalisation, added
+ better description to 'add message' link
+
+2009-02-27 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/footer_back.gif, _graphics/friends_picker_arrows.gif,
+ _graphics/icons/default/topbar.png, _graphics/owner_block_back.jpg,
+ _graphics/owner_block_menu_arrow.gif, _graphics/owner_block_menu_dot.gif,
+ _graphics/page_back_linen.gif, _graphics/page_back_linen_shadow.gif,
+ _graphics/skyscraper.gif, _graphics/spotlight_back.gif,
+ _graphics/thewire_speech_bubble.gif, _graphics/toolbar_arrow.png,
+ _graphics/toolbar_arrow_ie.gif, _graphics/tools_over.png,
+ mod/groups/graphics/defaultlarge.gif, mod/groups/graphics/defaultmedium.gif,
+ mod/groups/graphics/defaultsmall.gif, mod/groups/graphics/defaulttiny.gif,
+ mod/groups/views/default/groups/css.php,
+ mod/logbrowser/views/default/logbrowser/css.php,
+ .../views/default/notifications/css.php,
+ mod/profile/graphics/defaultlarge.gif, mod/profile/graphics/defaultlarge.jpg,
+ mod/profile/graphics/defaultmaster.gif,
+ mod/profile/graphics/defaultmaster.jpg,
+ mod/profile/graphics/defaultmedium.gif,
+ mod/profile/graphics/defaultmedium.jpg,
+ mod/profile/graphics/defaultsmall.gif, mod/profile/graphics/defaultsmall.jpg,
+ mod/profile/graphics/defaulttiny.gif, mod/profile/graphics/defaulttiny.jpg,
+ mod/profile/graphics/defaulttopbar.gif,
+ mod/profile/graphics/defaulttopbar.jpg,
+ .../views/default/icon/user/default/large.php,
+ .../views/default/icon/user/default/master.php,
+ .../views/default/icon/user/default/medium.php,
+ .../views/default/icon/user/default/small.php,
+ .../views/default/icon/user/default/tiny.php,
+ .../views/default/icon/user/default/topbar.php,
+ mod/profile/views/default/profile/css.php,
+ .../views/default/reportedcontent/css.php,
+ .../views/default/riverdashboard/css.php,
+ mod/thewire/graphics/thewire_speech_bubble.gif,
+ mod/thewire/views/default/thewire/css.php, views/default/css.php,
+ views/default/js/friendsPickerv1.php, views/default/js/initialise_elgg.php:
+ default theme changed
+
+2009-02-27 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * version.php: Bumped version number
+
+2009-02-27 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/actions/forums/editpost.php,
+ mod/groups/views/default/forum/topicposts.php: forum post edit bug fixed
+
+2009-02-27 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * htaccess_dist: Deflation is now turned off by default because a version of
+ a certain majority-share browser really doesn't like it.
+
+2009-02-27 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/admin/plugins.php: buttons moved
+
+ * views/default/admin/plugins.php: class names added to enable/disable
+ buttons
+
+2009-02-27 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/plugins/disableall.php, actions/admin/plugins/enableall.php,
+ engine/lib/plugins.php, languages/en.php, views/default/admin/plugins.php:
+ Enable all / disable all functionality added
+
+2009-02-27 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/thewire/views/default/widgets/thewire/view.php: set a default num of
+ wire posts to appear on a widget
+
+2009-02-27 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/actions/addtogroup.php,
+ mod/groups/actions/groupskillrequest.php, mod/groups/languages/en.php,
+ mod/groups/membershipreq.php, mod/groups/start.php,
+ .../views/default/groups/membershiprequests.php: Join request management
+ added.
+
+2009-02-27 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/forms/guidtool/export.php,
+ mod/profile/views/default/profile/icon.php, views/default/input/access.php,
+ views/default/input/button.php, views/default/input/checkboxes.php,
+ views/default/input/email.php, views/default/input/hidden.php,
+ views/default/input/longtext.php, views/default/input/password.php,
+ views/default/input/plaintext.php, views/default/input/pulldown.php,
+ views/default/input/radio.php, views/default/input/tags.php,
+ views/default/input/text.php, views/default/input/url.php,
+ views/default/messages/exceptions/exception.php,
+ views/default/output/calendar.php, views/default/output/confirmlink.php,
+ views/default/output/email.php, views/default/output/pulldown.php,
+ views/default/output/tagcloud.php, views/default/output/tags.php,
+ views/default/output/text.php, views/default/output/url.php,
+ views/failsafe/input/button.php, views/failsafe/input/checkboxes.php,
+ views/failsafe/input/hidden.php, views/failsafe/input/text.php,
+ views/failsafe/messages/exceptions/exception.php: Closes #651: I agree,
+ changed to convert both quotes.
+
+2009-02-27 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php: Added 'accept' to the language list
+
+2009-02-27 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/forum/topicposts.php,
+ .../views/default/reportedcontent/listing.php,
+ .../views/default/riverdashboard/sitemessage.php, views/default/css.php,
+ views/default/js/initialise_elgg.php: generic reusable collapsible div added
+ (replacing manifest_file which is solely for admin plugins page)
+
+2009-02-27 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../notifications/subscriptions/collections.php,
+ .../notifications/subscriptions/forminternals.php,
+ .../notifications/subscriptions/groupsform.php,
+ .../notifications/subscriptions/jsfuncs.php,
+ .../notifications/subscriptions/personal.php: Fixed checkboxes on the
+ notification screen.
+
+ * views/default/admin/plugins_opt/plugin.php: Plugin descriptions are now
+ displayed on the tool menu, for better ease of use.
+
+2009-02-27 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/login.php, languages/en.php: Redirect to tools on first admin
+ login.
+
+2009-02-27 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/riverdashboard/newestmembers.php: members box fixed for
+ IE6&7
+
+ * mod/thewire/views/default/object/thewire.php: thewire user icon layout
+ fixed for IE / cross-browser consistency
+
+2009-02-26 kevinjardine <kevinjardine@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/uservalidationbyemail/start.php: Event functions should return true to
+ prevent an event from being halted. Now this one does.
+
+2009-02-26 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/groups/grouplisting.php,
+ mod/groups/views/default/groups/members.php,
+ .../views/default/object/groupforumtopic.php,
+ views/default/canvas/layouts/sidebar_boxes.php: markup fixing / cleanup / ie6
+ fixes
+
+2009-02-26 kevinjardine <kevinjardine@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, engine/lib/widgets.php: Fixes to container
+ permissions and widgets to allow default widgets to work with the Elgg
+ permissions system.
+
+2009-02-26 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * search/index.php: The search endpoint can now be told to go fetch a users'
+ friends.
+
+2009-02-26 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/plugins/disable.php, actions/admin/plugins/enable.php:
+ enable/disable plugin actions now support arrays as parameters
+
+ * mod/groups/actions/addtogroup.php, mod/groups/actions/join.php,
+ mod/groups/actions/joinrequest.php: Closes #801, Refs #277: Group
+ join/request logic altered.
+
+2009-02-26 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/thewire/profile_status.php: broken wire link fixed
+
+2009-02-26 kevinjardine <kevinjardine@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/forum/topicposts.php: Gave each edit box a unique
+ ID
+
+2009-02-26 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/notification.php: Small access tweak for sending notifications.
+
+ * mod/groups/start.php: Fixed duplicate notifications.
+
+ * mod/groups/start.php: Fixed the first-post forum topic notification error.
+ Fixes #816
+
+2009-02-26 kevinjardine <kevinjardine@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/access.php: Added "enemies" code to get_access_sql_suffix. This
+ implements two features: a. a user can prevent another user from seeing any
+ of his content and b. a user can filter out all the content created by
+ another user. There is no interface for this yet, but adding one should be
+ easy with this core support.
+
+2009-02-26 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/thewire/views/default/object/thewire.php,
+ views/default/navigation/pagination.php: markup fixed for cross-browser
+ rendering consistency
+
+2009-02-26 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/riverdashboard/index.php: Fixed pagination when filtering.
+
+2009-02-26 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/admin.php: Closes #822: Action file existed however the action
+ was not registered (previously called directly)
+
+ * account/forgotten_password.php, account/register.php,
+ dashboard/latest.php, engine/lib/elgglib.php, entities/list.php,
+ friends/add.php, friends/collections.php, friends/edit.php,
+ friends/index.php, friends/of.php, index.php, install.php,
+ mod/notifications/groups.php, mod/notifications/index.php,
+ mod/reportedcontent/add.php, mod/riverdashboard/index.php: Closes #807:
+ Removed echo on page_draw()
+
+2009-02-26 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/languages/en.php, mod/groups/views/default/groups/find.php:
+ Corrected bad form action for group tag and made search label a little more
+ specific.
+
+2009-02-25 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/languages.php: Refs #808: Bug was actually fixed by not using
+ empty... register_translations has to be in the wild otherwise install won't
+ load translation.
+
+ * engine/lib/languages.php: Closes #808: Removed register_translation from
+ the wild, now called on boot so that it is always after configuration_init
+
+2009-02-25 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/output/longtext.php: output/longtext now parses URLs.
+
+2009-02-25 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php: small lang tweak
+
+2009-02-25 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/riverdashboard/js.php: Fixed river pagination issue
+
+2009-02-25 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/notifications/languages/en.php: lang file replaced
+
+ * mod/riverdashboard/languages/en.php: missing lang string
+
+2009-02-25 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * version.php: Architectural version number change
+
+2009-02-25 kevinjardine <kevinjardine@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/access.php, engine/lib/elgglib.php, languages/en.php: Added
+ friends access level
+
+ * actions/admin/site/update_basic.php, engine/lib/access.php,
+ engine/lib/users.php, languages/en.php, views/default/settings/system.php:
+ Introduces user default access.
+
+2009-02-25 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * htaccess_dist: Closes #800: Fixes typo
+
+2009-02-25 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../notifications/subscriptions/forminternals.php,
+ views/default/friends/picker.php: Unicode character fix for friends picker.
+ Fixes #281
+
+ * mod/thewire/languages/en.php: SPG fix
+
+ * .../notifications/subscriptions/collections.php,
+ .../notifications/subscriptions/forminternals.php,
+ .../notifications/subscriptions/groupsform.php,
+ .../notifications/subscriptions/personal.php: Removing the jumps on the
+ notification pickers.
+
+ * mod/groups/start.php: Removing the membership requests link for now.
+
+2009-02-24 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/groupprofile.php,
+ mod/groups/views/default/groups/groupprofile.php: Removing an infinite loop
+ in groups.
+
+ * mod/groups/views/default/forms/groups/invite.php: The group invite friends
+ picker now correctly highlights friends' tabs
+
+ * engine/lib/metadata.php: Syntax improvements for the get_metadata
+ functions
+
+ * engine/lib/relationships.php: Fix for previous, including a change to
+ check_entity_relationships that actually returns the relationship object.
+
+ * engine/lib/relationships.php: Introducing the 'delete relationship' event.
+ Fixes #779
+
+ * mod/groups/languages/en.php: Defining grouptopic:blank; fixes #809
+
+ * engine/lib/notification.php: Notifications with nonexistent handlers no
+ longer throw an exception; they now write to the error log. Refs #754
+
+ * engine/lib/sessions.php: The session initialisation order is slightly
+ altered to allow for language setup on the index page. Fixes #803
+
+ * mod/groups/start.php: Group topics now have a friendlier URL. This will
+ help a lot for notifications.
+
+ * engine/lib/notification.php: Fixed error in notification procedure
+
+ * .../graphics/icon_notifications_web.gif: Removing web notification
+ graphic; will sit elsewhere.
+
+2009-02-24 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../graphics/icon_notifications_web.gif: web notification icon added
+
+2009-02-24 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/groups/find.php: all groups search form
+
+2009-02-24 kevinjardine <kevinjardine@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/login.php, actions/user/requestnewpassword.php,
+ mod/uservalidationbyemail/languages/en.php: Attempts by unvalidated users to
+ login or request new passwords now trigger plugin hooks (if available) or
+ trigger the user validation event by default. Thus, in the default case, the
+ user will be remailed a validation link. Addresses part of
+ http://trac.elgg.org/elgg/ticket/759 .
+
+2009-02-24 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/icondirect.php: Removing a minor simplecache debug message
+ that accidentally made it in.
+
+ * mod/profile/icon.php, mod/profile/icondirect.php: Default icons are
+ preserved.
+
+2009-02-24 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/riverdashboard/languages/en.php, mod/riverdashboard/start.php,
+ .../views/default/settings/riverdashboard/edit.php: you can now replace the
+ default dashboard with the new activity river, if required.
+
+2009-02-24 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/search/startblurb.php,
+ views/default/user/search/finishblurb.php,
+ views/default/user/search/startblurb.php: search results formatted
+
+2009-02-24 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _css/css.php, _css/js.php, upgrade.php: CSS, JS, upgrade are marked as
+ external pages.
+
+2009-02-24 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/groups/groupprofile.php: groups:notfound:details
+ text string formatted
+
+2009-02-24 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/discussions.php, mod/groups/forum.php,
+ mod/groups/membership.php, mod/groups/topicposts.php: Group gatekeeper on
+ internal group pages.
+
+ * engine/lib/xml-rpc.php: Minor variable fix. Fixes #811
+
+2009-02-24 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/actions/delete.php: when deleting a group you now go back to
+ your groups
+
+2009-02-24 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * version.php: A further architecture update version increment.
+
+2009-02-24 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/forms/groups/invite.php: invite friends to group
+ page modified
+
+2009-02-24 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, engine/lib/widgets.php: Added fixes to
+ create_entity and add_widgets to correctly handle containers.
+
+2009-02-24 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/thewire/profile_status.php: profile update wire tweaked
+
+2009-02-24 kevinjardine <kevinjardine@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/site/update_basic.php, engine/lib/elgglib.php,
+ mod/profile/icondirect.php: Made icon views work properly for non-default
+ icons, and introduced elgg_view_enable_simplecache and
+ elgg_view_disable_simplecache functions.
+
+2009-02-24 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/thewire/profile_status.php: profile status update link
+ formatted
+
+2009-02-23 kevinjardine <kevinjardine@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/site/update_basic.php, engine/lib/configuration.php,
+ languages/en.php, mod/profile/icondirect.php, simplecache/view.php,
+ views/default/settings/system.php: Added the ability to turn simplecache on
+ and off.
+
+2009-02-23 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/thewire/views/default/thewire/forms/add.php: post to wire from thewire
+ page styled
+
+2009-02-23 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/start.php: You should no longer get notified of your own topic
+ posts.
+
+ * engine/lib/elgglib.php: ACCESS_DEFAULT is now defined.
+
+2009-02-23 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/thewire/views/default/thewire/forms/add.php: a tweak to the wire
+
+ * mod/thewire/actions/add.php, mod/thewire/everyone.php,
+ mod/thewire/index.php, mod/thewire/languages/en.php, mod/thewire/start.php,
+ mod/thewire/views/default/thewire/forms/add.php,
+ .../views/default/thewire/profile_status.php: new wire work
+
+2009-02-23 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/groups/css.php,
+ mod/groups/views/default/groups/profileitems.php: right_column changed from
+ class to id to match left_column
+
+2009-02-23 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/groupprofile.php, mod/groups/views/rss/groups/profileitems.php:
+ Group RSS feed.
+
+ * mod/groups/views/rss/forum/topics.php: RSS fix for groups.
+
+ * engine/handlers/pagehandler.php: Removing the external definition from the
+ page handler again.
+
+ * engine/lib/annotations.php, mod/groups/start.php,
+ mod/groups/topicposts.php, mod/groups/views/default/forum/topicposts.php,
+ mod/groups/views/rss/forum/topicposts.php,
+ mod/groups/views/rss/forum/viewposts.php, views/rss/object/default.php: RSS
+ for group topics, including fixes for annotation getURL() override
+
+2009-02-23 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php, views/default/messages/errors/list.php,
+ views/default/messages/messages/list.php,
+ views/default/navigation/topbar_tools.php,
+ views/default/page_elements/elgg_topbar.php: top toolbar menu id changed to
+ class. messages div slide action in some browsers removed.
+
+2009-02-23 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/handlers/pagehandler.php: Further external marker on the
+ pagehandler for walledgarden
+
+2009-02-23 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/actions/forums/editpost.php, mod/groups/start.php,
+ mod/groups/views/default/forum/topicposts.php: new group edit options on
+ discussion
+
+2009-02-23 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/handlers/action_handler.php, engine/handlers/cron_handler.php,
+ engine/handlers/xml-rpc_handler.php, index.php: Hook for better walled garden
+
+2009-02-23 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/actions/forums/editpost.php,
+ mod/groups/views/default/forum/topicposts.php: a couple more group comment
+ edit tweaks
+
+2009-02-23 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/forum/topicposts.php: Translating untranslated
+ string
+
+2009-02-23 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/actions/forums/editpost.php,
+ mod/groups/views/default/forum/topicposts.php: small edit comment bug fixed
+
+2009-02-23 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * version.php: Slight version change to represent architectural changes.
+
+2009-02-23 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/forum/topics.php,
+ .../views/default/object/groupforumtopic.php: groups interface details
+ cleanup
+
+2009-02-23 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/group.php, mod/groups/groupprofile.php: Introducing
+ group_gatekeeper()
+
+ * mod/thewire/languages/en.php: New post wire string
+
+ * views/failsafe/input/access.php: Introducing the access control to the
+ failsafe view.
+
+ * actions/admin/site/update_basic.php, actions/systemsettings/install.php,
+ engine/lib/access.php, engine/lib/widgets.php, languages/en.php,
+ mod/profile/actions/edit.php, mod/profile/views/default/profile/edit.php,
+ views/default/input/access.php, views/default/settings/system.php,
+ views/failsafe/settings/system.php: Added site default access permissions.
+ Refs #744
+
+2009-02-23 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/forum/topicposts.php: superfluous <p> tags
+ removed
+
+2009-02-23 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/languages/en.php: missing lang tweak
+
+ * mod/groups/views/default/forum/topics.php: new group discussion layout
+ tweaked to actually work
+
+ * mod/groups/actions/forums/addtopic.php, mod/groups/languages/en.php,
+ .../views/default/river/forum/topic/create.php: topic starting included in
+ river
+
+2009-02-22 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/forum.php, mod/groups/languages/en.php,
+ .../views/default/object/groupforumtopic.php: new group discussion layout
+
+ * mod/groups/languages/en.php: tweaks to the group discussion lang
+
+ * mod/groups/forum.php: group forum posts are now displayed based on last
+ action
+
+ * mod/groups/actions/forums/deletepost.php,
+ mod/groups/actions/forums/editpost.php, mod/groups/languages/en.php,
+ mod/groups/start.php, mod/groups/views/default/forum/topicposts.php: users
+ can now edit and delete their forum comments
+
+ * mod/groups/actions/join.php, mod/groups/languages/en.php,
+ mod/groups/views/default/river/group/create.php: new join group river view
+ added
+
+ * mod/groups/actions/forums/addpost.php,
+ mod/groups/views/default/river/forum/create.php: new river view added for
+ group forum posts
+
+2009-02-20 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/riverdashboard/index.php,
+ .../views/default/riverdashboard/container.php,
+ .../views/default/riverdashboard/js.php,
+ .../views/default/riverdashboard/nav.php: Riverdashboard now loads tabs via
+ jQuery AJAX.
+
+ * .../notifications/subscriptions/forminternals.php,
+ views/default/friends/forms/edit.php, views/default/friends/picker.php: The
+ friends picker now highlights tabs when creating a collection if a user is
+ present there. Also, the separate friends picker in the notification plugin
+ is also now internationalised.
+
+2009-02-20 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/widgets/a_users_groups/view.php: groups widget class
+ added
+
+2009-02-20 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/start.php: Correction for previous.
+
+ * mod/groups/start.php: Forum topic notifications fix.
+
+ * engine/lib/notification.php: Notification messages can be suppressed
+ programmatically.
+
+2009-02-20 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/widgets/a_users_groups/view.php: group widget tweaked
+
+2009-02-20 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php: Fixes an annoying problem in countAnnotations.
+
+2009-02-20 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/languages/en.php, mod/groups/start.php: group email tweak to
+ include lang
+
+2009-02-20 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/defaultprofile.php: custom profile fields admin panel
+ formatted
+
+ * .../views/default/thewire/profile_status.php: brackets added around
+ timestamp
+
+2009-02-20 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php: isFriend() now retrieving userid correctly
+
+2009-02-20 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/thewire/profile_status.php: profile status view tweaked
+
+2009-02-20 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/actions/addtogroup.php: Closes #784: Action now checks that the
+ user is the logged in user's friend before invite.
+
+2009-02-20 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/usersettings/plugins_opt/plugin.php: configure tools page -
+ individual tools formatted
+
+ * .../views/default/widgets/river_widget/view.php: activity widget interface
+
+ * views/default/spotlight/default.php: formatted spotlight footer
+
+2009-02-20 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/listing.php: status moved on member
+ listings
+
+2009-02-20 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/languages/en.php, mod/groups/membershipreq.php,
+ mod/groups/start.php: Placeholder for future work (refs #277)
+
+2009-02-20 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/thewire/actions/add.php: tweak to the add to wire action to make sure
+ forward takes the user to the correct place
+
+2009-02-20 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/groups/grouplisting.php: group details
+ containerised
+
+2009-02-20 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * htaccess_dist: Removing unnecessary mod_rewrite rule
+
+ * languages/en.php, views/default/friends/picker.php: The friends picker can
+ now be internationalized, also allows you to view users whose usernames don't
+ begin with a letter in the char array.
+
+2009-02-20 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/widgets/river_widget/edit.php: riverdash activity widget
+ edit panel formatted
+
+ * mod/thewire/views/default/widgets/thewire/view.php: empty <p> tags causing
+ issues removed
+
+ * mod/groups/views/default/forms/groups/edit.php: button floated and cleared
+
+2009-02-20 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/spotlight/default.php: Went in to decapitalise "intranet",
+ ended up making the text hopefully more immediate.
+
+2009-02-20 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/forms/groups/edit.php: delete group button moved
+ into own area
+
+ * mod/groups/views/default/forms/forums/addtopic.php,
+ mod/groups/views/default/forum/topics.php,
+ mod/groups/views/default/groups/forum_latest.php,
+ mod/groups/views/default/groups/groupprofile.php,
+ mod/groups/views/default/groups/side_menu.php: group areas interfacing
+
+2009-02-20 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * admin/plugins.php, admin/site.php, admin/statistics.php, admin/user.php,
+ views/default/page_elements/owner_block.php: Removed unnecessary owner
+ blocks.
+
+2009-02-20 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/spotlight/default.php: new spotlight contents
+
+2009-02-20 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/messages/exceptions/exception.php: Minor improvement to
+ exception message
+
+ * views/default/messages/exceptions/exception.php: Minor improvement to
+ exception message
+
+ * engine/lib/elgglib.php, views/default/messages/exceptions/exception.php:
+ Closes #487: Removed superfluous page_draw from exception view.
+
+2009-02-20 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/river.php, engine/lib/river2.php: Added some documentation to
+ the river functions.
+
+2009-02-20 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/apiadmin/manifest.xml, mod/crontrigger/manifest.xml,
+ mod/diagnostics/manifest.xml, mod/garbagecollector/manifest.xml,
+ mod/groups/manifest.xml, mod/guidtool/manifest.xml,
+ mod/logbrowser/manifest.xml, mod/logrotate/manifest.xml,
+ mod/notifications/manifest.xml, mod/profile/manifest.xml,
+ mod/reportedcontent/manifest.xml, mod/thewire/manifest.xml,
+ mod/uservalidationbyemail/manifest.xml: Updated core module manifests to
+ contain today's build number. Refs #394
+
+ * mod/riverdashboard/manifest.xml: Closes #795: Added manifest to
+ riverdashboard
+
+ * mod/groups/languages/en.php: Closes #798: Fixing language definition which
+ somehow got clobbered. Nice spot!
+
+ * engine/lib/entities.php: Fixes warning messages on disable or delete for
+ times when an entity has no sub items.
+
+2009-02-19 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/river2.php, .../views/default/widgets/river_widget/view.php,
+ views/default/river/item/list.php: Pagination is now optional on river lists;
+ is removed on the river widget
+
+ * engine/lib/river2.php: Friends river no longer shows your own stuff.
+
+2009-02-19 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/edit.php: missing wrapper added
+
+2009-02-19 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/forum.php: Added a title to group forum topics. Fixes #603
+
+ * mod/groups/membership.php: For now, the group membership page is
+ protected, although eventually this should get its own profile dropdown menu.
+ Fixes #605
+
+2009-02-19 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/groups/featured.php,
+ mod/groups/views/default/groups/forum_latest.php,
+ mod/groups/views/default/groups/profileitems.php: groups interface updated
+
+2009-02-19 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: An alternative template handler will now be sought
+ by elgg_view by default. Fixes #768
+
+2009-02-19 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/groups/find.php,
+ mod/groups/views/default/groups/side_menu.php: all groups submenu tweaked
+
+2009-02-19 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/install.php: A lighter way to detect if the db has been
+ installed. Fixes #791
+
+2009-02-19 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/groups/css.php,
+ mod/groups/views/default/groups/featured.php: featured groups sidebar styled
+
+2009-02-19 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php: Fixed parameter errors in site-related functions.
+ Fixes #793
+
+ * mod/profile/icondirect.php: Better unicode patching for icons. Fixes #789
+
+2009-02-19 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * version.php: Updated version number to today's build
+
+2009-02-19 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/all.php: small tweak to popular groups
+
+ * mod/groups/all.php: it is now possible to list groups by most popular
+
+ * views/default/page_elements/header.php,
+ views/default/page_elements/header_contents.php,
+ views/default/pageshells/pageshell.php: the header has been split out so that
+ theme authors can target the header output without worrying about overwriting
+ the whole header file.
+
+2009-02-19 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/relationships.php: Introduced get / list entities by
+ relationship count
+
+2009-02-19 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/thewire/actions/add.php, mod/thewire/actions/delete.php,
+ mod/thewire/add.php, mod/thewire/everyone.php,
+ mod/thewire/graphics/thewire_speech_bubble.gif, mod/thewire/index.php,
+ mod/thewire/languages/en.php, mod/thewire/manifest.xml,
+ mod/thewire/readme.txt, mod/thewire/start.php,
+ mod/thewire/views/default/object/thewire.php,
+ .../views/default/river/object/thewire/create.php,
+ .../views/default/thewire/activity_view.php,
+ mod/thewire/views/default/thewire/css.php,
+ mod/thewire/views/default/thewire/forms/add.php,
+ mod/thewire/views/default/thewire/notfound.php,
+ .../views/default/thewire/profile_status.php,
+ .../views/default/thewire/scripts/counter.js,
+ mod/thewire/views/default/thewire/view.php,
+ mod/thewire/views/default/widgets/thewire/edit.php,
+ mod/thewire/views/default/widgets/thewire/view.php: the wire has been moved
+ to core as it now powers profile status and status on member search
+
+ * mod/activity/all.php, mod/activity/friends.php, mod/activity/index.php,
+ mod/activity/languages/en.php, mod/activity/manifest.xml,
+ mod/activity/opendd.php, mod/activity/start.php,
+ mod/activity/views/default/activity/css.php,
+ mod/activity/views/default/activity/dashboard.php,
+ mod/activity/views/default/activity/offset.php,
+ mod/activity/views/default/activity/wrapper.php,
+ .../views/default/settings/activity/edit.php,
+ mod/activity/views/opendd/activity/dashboard.php, mod/river/languages/en.php,
+ mod/river/manifest.xml, mod/river/start.php,
+ .../views/default/widgets/river_widget/edit.php,
+ .../views/default/widgets/river_widget/view.php,
+ .../default/widgets/river_widget_friends/edit.php,
+ .../default/widgets/river_widget_friends/view.php: old activity and river
+ removed
+
+2009-02-19 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * htaccess_dist, .../views/default/apiadmin/forms/add_key.php,
+ mod/apiadmin/views/default/object/api_key.php,
+ .../views/default/diagnostics/forms/download.php,
+ mod/groups/actions/join.php, mod/profile/defaultprofile.php,
+ .../views/default/profile/editdefaultprofile.php,
+ .../views/default/profile/menu/adminlinks.php,
+ views/default/account/forms/forgotten_password.php,
+ views/default/object/default.php: Repointed actions/ to action/ in all views
+ and removed actions/* from the action handler.
+
+2009-02-19 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/admin/plugins_opt/plugin.php: Removed some bad links on
+ actions
+
+ * engine/lib/languages.php: Fixed strange infinite loop on language loading.
+
+ * engine/lib/languages.php: Removed @ from register translation include_once
+
+ * engine/lib/plugins.php, languages/en.php,
+ views/default/admin/plugins_opt/plugin.php: Closes #394: May now specify
+ elgg_version in the manifest to specify a minimum version of elgg required.
+
+2009-02-19 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, views/default/canvas_header/submenu_template.php:
+ delete confirmation added to delete pages
+
+ * .../views/default/riverdashboard/sitemessage.php: input view changed on
+ site messages
+
+ * mod/groups/languages/en.php,
+ mod/groups/views/default/forms/groups/edit.php: warning applied to group
+ deletion
+
+ * mod/groups/edit.php: title tweaked
+
+2009-02-19 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php: Fix for user object counting with upper and lower
+ time limits.
+
+2009-02-19 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php: missing lang file
+
+ * views/default/page_elements/owner_block.php: ownerblock tweak
+
+2009-02-19 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, engine/lib/users.php, languages/en.php:
+ Introducing archive functionality.
+
+2009-02-19 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/riverdashboard/languages/en.php, mod/riverdashboard/start.php,
+ .../views/default/river/sitemessage/create.php,
+ .../views/default/widgets/river_widget/edit.php,
+ .../views/default/widgets/river_widget/view.php: new river widget
+
+2009-02-18 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/elgg_toolbar_logo.gif: updated elgg toolbar logo
+
+2009-02-18 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/groups/featured.php: missing id applied
+
+ * mod/groups/actions/featured.php, mod/groups/all.php,
+ mod/groups/languages/en.php, mod/groups/start.php,
+ mod/groups/views/default/groups/featured.php,
+ mod/groups/views/default/groups/grouplisting.php: admins can highlight
+ featured groups
+
+2009-02-18 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/groups/find.php: sidebar forms styled
+
+2009-02-18 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, engine/start.php: Closes #790: Removed @ before
+ include statements.
+
+2009-02-18 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/river/item/list.php: forward and back text added
+
+ * mod/profile/defaultprofile.php: reset button wrapped
+
+ * .../views/default/riverdashboard/nav.php,
+ .../views/default/riverdashboard/newestmembers.php,
+ .../views/default/riverdashboard/sitemessage.php,
+ views/default/river/item/list.php: pagination on river fixed / styled, and
+ site message styled
+
+2009-02-18 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/plugins.php: Helping plugin developers by no longer suppressing
+ parsing errors in plugins.
+
+2009-02-17 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/riverdashboard/start.php: Fix for previous
+
+ * mod/riverdashboard/start.php: Riverdashboard registers an init event
+ handler now .....
+
+2009-02-17 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/all.php, mod/groups/views/default/groups/find.php: style
+ applied
+
+2009-02-17 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/all.php, mod/groups/views/default/groups/side_menu.php: more
+ more all groups tweak
+
+ * mod/groups/all.php, mod/groups/languages/en.php, mod/groups/start.php,
+ mod/groups/views/default/groups/featured.php,
+ mod/groups/views/default/groups/find.php,
+ .../views/default/groups/group_sort_menu.php,
+ mod/groups/views/default/groups/grouplisting.php: new all groups view
+
+ * mod/profile/views/default/profile/userdetails.php: bug fixed in profile
+ status
+
+ * views/default/river/item/wrapper.php: river view altered
+
+2009-02-17 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php, views/default/widgets/wrapper.php: Closes #763: A
+ message is now displayed for widgets belonging to disabled plugins explaining
+ what has happened.
+
+2009-02-17 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/riverdashboard/css.php: css added to sep file
+
+2009-02-17 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/riverdashboard/welcome.php: welcome message tweaked
+
+ * mod/riverdashboard/actions/add.php, mod/riverdashboard/actions/delete.php,
+ mod/riverdashboard/languages/en.php, mod/riverdashboard/start.php,
+ .../views/default/riverdashboard/sitemessage.php: simple site message added
+
+ * mod/groups/views/default/groups/profileitems.php: removed a redundant view
+
+2009-02-17 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/groupprofile.php, mod/groups/languages/en.php,
+ .../views/default/groups/closedmembership.php: Closes #786: Only basic
+ profile information provided to non-joined users of closed groups.
+
+2009-02-17 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/listing.php: entity passed to status
+ view
+
+2009-02-17 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/riverdashboard/index.php, mod/riverdashboard/start.php,
+ .../views/default/riverdashboard/css.php,
+ .../views/default/riverdashboard/nav.php,
+ .../views/default/riverdashboard/newestmembers.php,
+ .../views/default/riverdashboard/sitemessage.php,
+ .../views/default/riverdashboard/welcome.php, views/default/css.php,
+ views/default/friends/collectiontabs.php: interface updated, markup tweaked
+ etc
+
+2009-02-17 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/listing.php: new status view created
+ that can be extended
+
+2009-02-17 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, engine/start.php: Added some very basic page load
+ profiling.
+
+ * engine/lib/elgglib.php: Closes #785: datalists now pulls all settings on
+ first item load.
+
+ * engine/start.php: Closes #783: Initial cache write on start now checks
+ whether elgg has been fully installed before proceeding.
+
+2009-02-16 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/userdetails.php: new view added to allow
+ status plugins to extend and display as part of the profile block
+
+2009-02-16 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/icondirect.php: Icondirect now falls back on the cached
+ default view
+
+ * mod/profile/start.php: Icon default views are now registered as cached
+
+ * views/default/input/plaintext.php: Introducing input/plaintext.
+
+2009-02-16 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/navigation/viewtype.php: loose page elements wrapped in
+ container div
+
+2009-02-16 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, engine/start.php, upgrade.php: A little more
+ flexibility for the simplecache.
+
+2009-02-16 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Short circuit get entity on impossible values,
+ saving two db queries per page
+
+2009-02-16 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _css/css.php, _css/js.php, engine/lib/elgglib.php, engine/start.php,
+ simplecache/view.php, views/default/page_elements/header.php: Views
+ simplecache improvements.
+
+2009-02-16 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/footer.php: another view added for analytics
+ which plugins can extend
+
+ * views/default/page_elements/footer.php: footer links replaced by a view
+ which plugins can extend
+
+2009-02-16 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/cache.php: Removed select_default_memcache as this was not
+ used.
+
+ * engine/lib/languages.php, engine/lib/sessions.php, engine/start.php,
+ version.php: Closes #429: Language loading now no longer loads all possible
+ translations - only english + user's preferred language/site preference
+
+2009-02-16 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/usersettings.php, languages/en.php,
+ mod/profile/views/default/profile/userdetails.php,
+ views/default/friends/collections.php, views/default/friends/forms/edit.php,
+ views/default/friends/tablelist.php: theme updates
+
+2009-02-16 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/plugins/disable.php, actions/admin/plugins/enable.php,
+ actions/admin/plugins/reorder.php: Simplecache improvements.
+
+ * _css/css.php, _css/js.php, engine/lib/elgglib.php, engine/start.php,
+ mod/profile/start.php, mod/profile/views/default/profile/metatags.php,
+ simplecache/view.php, upgrade.php, views/default/page_elements/header.php:
+ Committing the simplecache for views.
+
+2009-02-16 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/friends/collections.php: removed broken expand all link and
+ js
+
+2009-02-16 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php, views/default/admin/plugins_opt/plugin.php: Closes #777:
+ Plugin version now displayed under "more info" link in plugin admin settings.
+
+2009-02-15 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: latest activity link removed as it will be
+ replaced by a full activity stream
+
+ * views/default/admin/statistics.php: removed redundant stats info
+
+2009-02-15 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/usersettings/form.php: user settings style brought in line
+ with other settings pages
+
+2009-02-15 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/groups/forum_latest.php: removed the dropdown
+ menu from forum latest view on group profiles
+
+ * mod/groups/start.php: allow users to put a widget of their groups on their
+ dashboard as well as profile.
+
+ * mod/groups/views/default/groups/forum_latest.php,
+ mod/groups/views/default/groups/profileitems.php: group homepage forum view
+ tweaked
+
+2009-02-13 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/filestore.php: Fixes #778. Thanks!
+
+2009-02-13 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/apiadmin/forms/add_key.php,
+ mod/apiadmin/views/default/object/api_key.php, mod/diagnostics/index.php,
+ .../views/default/diagnostics/forms/download.php,
+ .../default/notifications/subscriptions/form.php,
+ .../notifications/subscriptions/groupsform.php,
+ mod/profile/views/default/profile/edit.php,
+ .../views/default/profile/editdefaultprofile.php,
+ mod/profile/views/default/profile/editicon.php,
+ .../views/default/reportedcontent/form.php,
+ .../views/default/reportedcontent/listing.php,
+ views/default/admin/plugins.php, views/default/admin/site.php,
+ views/default/admin/statistics.php, views/default/admin/user.php,
+ views/default/usersettings/form.php, views/default/usersettings/plugins.php:
+ css classname cleanup, prep for v1.5 default theme
+
+2009-02-13 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/river2.php, views/default/river/item/list.php: Simple river
+ pagination.
+
+2009-02-13 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/riverdashboard/index.php,
+ .../views/default/riverdashboard/newestmembers.php,
+ .../views/default/riverdashboard/sitemessage.php,
+ .../views/default/riverdashboard/welcome.php: new views for riverdashboard
+
+2009-02-13 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/admin/plugins_opt/plugin.php, views/default/css.php,
+ views/default/js/initialise_elgg.php: Moved plugin settings so they're more
+ obvious.
+
+2009-02-13 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/sessions.php: Fixed logic bug in login()
+
+ * engine/schema/upgrades/2009021301.sql, mod/groups/actions/edit.php,
+ mod/groups/languages/en.php, mod/groups/views/default/forms/groups/edit.php,
+ version.php: Closes #761, #718: Group permissions now made much much simpler.
+
+2009-02-13 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/comments/add.php, views/default/annotation/annotate.php: new
+ annotations activity view
+
+2009-02-13 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/forms/groups/edit.php,
+ .../views/default/forms/guidtool/format.php,
+ .../views/default/forms/guidtool/import.php,
+ views/default/account/forms/forgotten_password.php,
+ views/default/comments/forms/edit.php, views/default/export/entity.php: loose
+ text strings cleaned up, extra container divs added
+
+2009-02-13 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/riverdashboard/index.php: changed the activity view
+
+2009-02-13 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/riverdashboard/languages/en.php,
+ .../views/default/riverdashboard/nav.php: Language updates to river dashboard
+
+ * mod/riverdashboard/index.php, .../views/default/riverdashboard/nav.php:
+ Added better content filtering for dashboard
+
+ * mod/riverdashboard/index.php, mod/riverdashboard/start.php,
+ .../views/default/riverdashboard/nav.php: New river dashboard.
+
+ * engine/lib/river2.php: Removing an erroneous system_message call.
+
+ * engine/lib/river2.php: Data sanitisation fix.
+
+2009-02-13 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/canvas/layouts/sidebar_boxes.php, views/default/css.php: new
+ layout canvas
+
+2009-02-13 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/database.php: Added lazy database connections to delay
+ establishing the db link until actually required - will allow for future
+ lite/static content endpoints that bypass db connections and session
+ creation.
+
+ * engine/lib/elgglib.php: Closes #769: Added short circuit to quit function
+ if entity is null.
+
+ * engine/lib/elgglib.php: Closes #772: $value no longer being incorrectly
+ set when memcache is enabled.
+
+2009-02-12 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/extender.php: A correction to the way attributes are set for
+ extenders.
+
+ * languages/en.php: New base translation word: publish
+
+ * engine/schema/mysql.sql: Schema fix
+
+ * engine/lib/entities.php: Clear river items on entity delete
+
+ * engine/lib/river2.php: A minor stabilisation change for river2
+
+ * engine/lib/river2.php: A minor stabilisation change for river2
+
+2009-02-12 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * vendors/kses/kses.php: Refs #561: Removed &amp encoding from kses but
+ retaining script input and entities.
+
+2009-02-12 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/river2.php, engine/schema/mysql.sql,
+ engine/schema/upgrades/2009021201.sql, version.php,
+ views/default/river/item/list.php, views/default/river/item/wrapper.php:
+ Alternative river system trial. This is a test.
+
+2009-02-12 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/river.php: Avoiding wsod for deleted users
+
+2009-02-11 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/activity/views/default/activity/offset.php: div cleared after
+ pagination for theme compatability
+
+2009-02-11 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/activity.php:
+
+ * mod/groups/languages/en.php: Added some missing translations
+
+ * crontab.example: Added links to better documentation
+
+ * engine/lib/input.php: Closes #684: Scratching a particular itch of mine by
+ no longer hiding urls when converting them to active links. This issue has
+ caused many a headache. The url is now preserved but with a <wbr /> inserted
+ after every /, this lets long urls be wrapped without breaking the url.
+
+2009-02-11 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php: Removing wildcard from the beginning of 'like'
+ search for user/username
+
+2009-02-11 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/activity.php: Filtering on type,subtype and event
+
+ * engine/lib/activity.php, engine/lib/river.php, mod/activity/start.php:
+ Relocation of activity database queries to the core.
+
+2009-02-10 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * admin/plugins.php, admin/plugins/index.php, admin/site.php,
+ admin/site/index.php, admin/statistics.php, admin/statistics/index.php,
+ admin/user.php, admin/user/index.php, engine/lib/admin.php: Cleaned up the
+ admin directory using a pagehandler.
+
+ * languages/en.php, settings/plugins.php: Minor confusion clearups
+
+ * views/default/output/calendar.php, views/default/output/confirmlink.php,
+ views/default/output/email.php, views/default/output/longtext.php,
+ views/default/output/pulldown.php, views/default/output/tagcloud.php,
+ views/default/output/tags.php, views/default/output/text.php,
+ views/default/output/url.php: Closes #756: htmlentities on all output forms
+
+ * mod/profile/views/default/profile/userdetails.php: XSS filtering on output
+
+ * engine/lib/input.php: Refs #561: Split filtering into separate function
+
+2009-02-10 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/icondirect.php, mod/profile/start.php: Speedy icon bypass.
+
+2009-02-10 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/activity/views/default/activity/dashboard.php: add a view to site wide
+ activity that can be extended by the wire
+
+2009-02-10 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/notification.php: Further changes to the notification
+ mechanism.
+
+2009-02-10 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/metadata.php: Closes #757: Removed strtolower as it is not UTF8
+ safe. See http://www.phpwact.org/php/i18n/utf-8 for further discussion.
+
+ * views/default/input/access.php, views/default/input/email.php,
+ views/default/input/file.php, views/default/input/longtext.php,
+ views/default/input/password.php, views/default/input/pulldown.php: Refs
+ #756: htmlentities on all input views
+
+ * mod/activity/all.php, mod/activity/index.php: Marcus Povey
+ <marcus@elgg.com> * Pagination not displayed when no activity present *
+ OpenDD link for your activity now functioning
+
+2009-02-10 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../notifications/subscriptions/collections.php: Fixed 'all friends'
+ button.
+
+2009-02-10 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/canvas/layouts/edit_layout.php: new edit canvas layout
+
+2009-02-10 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/start.php, mod/groups/views/default/forms/groups/edit.php:
+ Closes #752: Delete move to edit, making it less fatal. Probably needs
+ skinning.
+
+2009-02-09 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, engine/lib/notification.php,
+ mod/groups/start.php: A single notification is now sent out on forum topic
+ creation. This also contains an update to $entity->countAnnotations, which
+ no longer requires you to specify the annotation type.
+
+ * engine/lib/notification.php: Notification fix: you no longer get
+ notifications on your own content.
+
+ * mod/groups/languages/en.php, mod/groups/start.php: Introducing group forum
+ notifications
+
+2009-02-09 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Refs #640: Entity disable now recursive
+
+ * mod/groups/actions/delete.php, mod/groups/languages/en.php,
+ mod/groups/start.php: Closes #282, Refs #640: Group deletion enabled. TODO:
+ Suggested enhancement #752
+
+2009-02-09 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php: Added the 'annotate' event on entities.
+
+ * .../notifications/subscriptions/collections.php: Minor display fix.
+
+ * mod/notifications/actions/save.php: Group notification settings now save
+ properly
+
+ * engine/lib/relationships.php: Added a new filter to delete relationship
+ functions
+
+2009-02-09 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Refs #640, #282: Recursive deletion of owned and
+ contained entities.
+
+ * .../views/default/profile/menu/adminlinks.php: Closes #223: Admin options
+ now use output/confirmlink
+
+ * mod/guidtool/actions/delete.php, mod/guidtool/languages/en.php,
+ mod/guidtool/start.php, mod/guidtool/views/default/guidtool/listing.php: Refs
+ #492: Export and delete links next to entity
+
+2009-02-09 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/notification.php: Extra security for object notifications.
+
+ * mod/notifications/actions/groupsave.php,
+ mod/notifications/actions/save.php, mod/notifications/groups.php,
+ mod/notifications/languages/en.php, mod/notifications/start.php,
+ .../notifications/subscriptions/collections.php,
+ .../notifications/subscriptions/groupsform.php: Group notifications, all
+ friends notification option
+
+2009-02-09 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php: Couple of extra words
+
+ * languages/en.php, views/default/output/confirmlink.php: Added default to
+ confirm link.
+
+2009-02-09 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/activity/views/default/activity/css.php,
+ mod/activity/views/default/activity/offset.php: pagination on activity stream
+ added
+
+2009-02-09 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php: Closes #584: Removed exception, get_user will now
+ return false if GUID exists but is not a user. This probably makes more sense
+ as Exceptions should probably be reserved for major Ooopses. The error in
+ this bug was caused by this exception being thrown and not caught in the
+ access group handler. This may have been the cause of a number of group
+ related / permission related errors.
+
+ * engine/lib/users.php: Refs #584: Fixed error message.
+
+ * engine/lib/entities.php: Closes #720: setCalendarTimeAndDuration() now
+ saves end time correctly.
+
+2009-02-07 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/groups/members.php: drop down menu removed from
+ members avatars on the group home page. You can change this back by removing
+ 'override' => 'true'
+
+ * mod/groups/languages/en.php,
+ .../views/default/river/object/group/create.php,
+ .../river/object/groupforumtopic/annotate.php,
+ .../river/object/groupforumtopic/create.php,
+ .../river/object/groupforumtopic/update.php,
+ .../default/river/relationship/member/create.php: new river views added to
+ groups
+
+ * mod/activity/views/default/activity/css.php,
+ .../river/user/default/profileiconupdate.php: profile icon added to change
+ river view and css added to activity
+
+ * mod/activity/all.php, mod/activity/friends.php, mod/activity/index.php,
+ mod/activity/languages/en.php,
+ mod/activity/views/default/activity/offset.php: small additions to the
+ activity view
+
+ * mod/notifications/manifest.xml: manifest file added to notifications
+
+2009-02-06 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/notifications/languages/en.php,
+ .../notifications/subscriptions/collections.php: Language and UI changes for
+ the notifications plugin.
+
+2009-02-06 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/notifications/css.php: styles for notifications
+
+2009-02-06 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../notifications/subscriptions/collections.php: Javascript just about
+ beaten into submission. Viewers of the public SVN, I apologise for clogging
+ up the history view. Here's a joke by way of penance:
+
+ * mod/notifications/actions/save.php: Save action corrections
+
+ * .../notifications/subscriptions/collections.php: An attempt at fixing the
+ toggle issue
+
+ * .../notifications/subscriptions/collections.php,
+ .../notifications/subscriptions/forminternals.php,
+ .../notifications/subscriptions/personal.php: Notification settings. Still
+ not right though ..
+
+ * .../notifications/subscriptions/collections.php,
+ .../notifications/subscriptions/forminternals.php,
+ .../notifications/subscriptions/personal.php: More notification settings
+ work.
+
+ * .../notifications/subscriptions/collections.php: Notification icons work
+ properly when selecting / deselecting a friends collection.
+
+ * mod/notifications/languages/en.php,
+ .../notifications/subscriptions/collections.php,
+ .../default/notifications/subscriptions/form.php,
+ .../notifications/subscriptions/forminternals.php,
+ .../notifications/subscriptions/personal.php: Friends collections work
+
+2009-02-06 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/river_icons/river_icon_pages.gif,
+ _graphics/river_icons/river_icon_thewire.gif: icons for river added
+
+ * mod/activity/views/default/activity/css.php: updated activity css
+
+2009-02-05 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * htaccess_dist: Added digits to pagehandler rewrite rule. Fixes #741
+
+ * mod/notifications/actions/save.php,
+ .../notifications/subscriptions/collections.php: Friends collections
+ preferences are saved
+
+ * mod/notifications/languages/en.php,
+ .../notifications/subscriptions/collections.php: Collections titles
+
+ * .../views/default/notifications/css.php,
+ .../notifications/subscriptions/collections.php: Access collections toggle
+ beginnings
+
+ * mod/notifications/actions/save.php, mod/notifications/languages/en.php,
+ mod/notifications/start.php, .../views/default/notifications/css.php,
+ .../notifications/settings/usersettings.php,
+ .../notifications/subscriptions/collections.php,
+ .../default/notifications/subscriptions/form.php,
+ .../notifications/subscriptions/forminternals.php,
+ .../notifications/subscriptions/personal.php: Further notification settings
+ changes.
+
+ * mod/notifications/actions/save.php,
+ .../graphics/icon_notifications_email.gif,
+ mod/notifications/languages/en.php, mod/notifications/start.php,
+ .../views/default/notifications/css.php,
+ .../default/notifications/subscriptions/form.php,
+ .../notifications/subscriptions/forminternals.php: Fitter, happier, more
+ productive notifications plugin.
+
+ * engine/lib/notification.php: Belts and braces with notifications
+
+ * engine/lib/access.php: Minor change to access SQL. Fixes #687
+
+ * htaccess_dist: Further htaccess change
+
+ * htaccess_dist: htaccess update
+
+ * mod/profile/start.php: Added profile updates to the notification handler
+
+2009-02-04 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/json/api/output.php: API results now JSON export properly. Refs #716
+
+ * views/json/canvas/default.php, views/json/export/entity.php,
+ views/json/export/metadata.php, views/json/export/relationship.php,
+ views/json/group/default.php, views/json/messages/exceptions/exception.php,
+ views/json/object/default.php, views/json/pageshells/pageshell.php,
+ views/json/search/entity_list.php, views/json/site/default.php,
+ views/json/user/default.php: JSON feeds now work. Fixes #716
+
+ * engine/lib/access.php, engine/lib/notification.php: Access improvements on
+ granular notifications
+
+ * languages/en.php: DB credentials are no longer unnecessarily revealed on
+ failure to connect. Fixes #723
+
+ * CONTRIBUTORS.txt: Contributors patch
+
+ * mod/reportedcontent/actions/add.php,
+ mod/reportedcontent/actions/archive.php,
+ mod/reportedcontent/actions/delete.php, mod/reportedcontent/languages/en.php:
+ Added plugin hook triggers to reportedcontent plugin. Fixes #725
+
+ * views/default/input/button.php: Added class to input/button. Fixes #618
+
+ * mod/groups/views/default/forum/viewposts.php: Added pagination to group
+ topic posts. Fixes #704
+
+ * entities/index.php: Added unique title tags to the entity browser. Fixes
+ #722
+
+ * CONTRIBUTORS.txt, htaccess_dist, views/default/output/tags.php: Tag URLs
+ now conform to the microformats specification. Fixes #729
+
+ * CONTRIBUTORS.txt: Minor changes to contrib file
+
+2009-02-04 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * CONTRIBUTORS.txt: tweaks to the contributors file
+
+2009-02-04 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/systemsettings/install.php, engine/lib/admin.php,
+ engine/lib/annotations.php, engine/lib/entities.php, engine/lib/group.php,
+ engine/lib/metadata.php, engine/lib/plugins.php, engine/lib/system_log.php,
+ engine/lib/tags.php, engine/lib/users.php, engine/lib/widgets.php,
+ engine/start.php, languages/en.php, mod/apiadmin/actions/generate.php,
+ mod/groups/actions/edit.php, mod/groups/views/default/forms/groups/edit.php,
+ mod/profile/actions/edit.php, mod/profile/views/default/profile/edit.php,
+ mod/reportedcontent/actions/add.php,
+ .../views/default/reportedcontent/form.php: Moves access permissions
+ references over to using the ACCESS_* constants defined in access.php. Refs
+ #687
+
+ * engine/lib/access.php, engine/lib/elgglib.php: Moved the access constant
+ definitions from access.php to elgglib.php, so they'll be loaded initially.
+
+ * engine/lib/users.php: When a new password is generated, the salt is now
+ regenerated first. Fixes #726.
+
+2009-02-03 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/navigation/pagination.php: Corrected pagination limit error.
+
+ * engine/lib/elgglib.php, views/default/embed/addcontentjs.php,
+ views/default/embed/js.php: Elgg Javascript update content function
+
+2009-02-03 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/start.php: ticket #728 dealt with
+
+ * mod/groups/views/default/groups/members.php: changed the number of group
+ members to display on group frontpages from 9999 to 10 (This should become
+ configurable)
+
+ * engine/lib/entities.php: patch number #724 applied
+
+2009-02-03 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/useradd.php, languages/en.php: Users are now notified when an
+ account has been created for them on a site.
+
+2009-02-02 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Fixed bug in get_entities allowing for multiple
+ subtypes.
+
+2009-02-01 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/forms/forums/addtopic.php: removed redundant post
+ options
+
+2009-01-30 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Moving over to use file_exists to check for
+ default entity icons, which should be lighter to load and produce fewer
+ errors.
+
+2009-01-29 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/install.php: Tentatively downgraded version requirement.
+
+2009-01-28 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/notification.php: notify_user now traps exceptions and logs
+ them.
+
+2009-01-27 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/notification.php: Change to notification object registration
+ function.
+
+2009-01-27 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php, views/default/friends/picker.php: friendspicker /
+ collections updated to work with more than 12+ friends per pane
+
+2009-01-27 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/userdetails.php: tweak to banned user
+
+ * views/default/admin/statistics.php: small admin tweak
+
+2009-01-27 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Added container_guid to ElggEntity->save() and
+ update_entity() functions. Fixes #711
+
+ * engine/lib/elgglib.php: Moving actions into the boot event.
+
+2009-01-26 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Moved actions out of init files
+
+ * engine/lib/notification.php: Added object notifications plugin hook
+ override.
+
+ * engine/lib/annotations.php: Fixed pagination issue with count annotations
+ function.
+
+2009-01-25 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/languages.php: Fixes #709: get_language() now fails correctly.
+ @ben Why is this introduced? Surely this is the same as
+ get_current_language()?
+
+2009-01-24 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/metadata.php: Fixing access controls on multi-metadata
+
+2009-01-23 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * README.txt: Refs #706: Copyright in readme
+
+ * views/default/admin/plugins_opt/plugin.php: Support for people who can't
+ spell
+
+ * _css/css.php, account/forgotten_password.php, account/register.php,
+ actions/admin/plugins/disable.php, actions/admin/plugins/enable.php,
+ actions/admin/plugins/reorder.php, actions/admin/site/update_basic.php,
+ actions/admin/user/ban.php, actions/admin/user/delete.php,
+ actions/admin/user/makeadmin.php, actions/admin/user/removeadmin.php,
+ actions/admin/user/resetpassword.php, actions/admin/user/unban.php,
+ actions/comments/add.php, actions/comments/delete.php,
+ actions/email/save.php, actions/entities/delete.php, actions/friends/add.php,
+ actions/friends/addcollection.php, actions/friends/deletecollection.php,
+ actions/friends/editcollection.php, actions/friends/remove.php,
+ actions/import/opendd.php, actions/login.php, actions/logout.php,
+ .../notifications/settings/usersettings/save.php,
+ actions/plugins/settings/save.php, actions/plugins/usersettings/save.php,
+ actions/register.php, actions/systemsettings/install.php,
+ actions/user/language.php, actions/user/name.php, actions/user/password.php,
+ actions/user/passwordreset.php, actions/user/requestnewpassword.php,
+ actions/useradd.php, actions/usersettings/save.php, actions/widgets/add.php,
+ actions/widgets/reorder.php, actions/widgets/save.php, admin/index.php,
+ admin/plugins/index.php, admin/site/index.php, admin/statistics/index.php,
+ admin/user/index.php, dashboard/index.php, dashboard/latest.php,
+ engine/handlers/action_handler.php, engine/handlers/cron_handler.php,
+ engine/handlers/pagehandler.php, engine/handlers/xml-rpc_handler.php,
+ engine/lib/access.php, engine/lib/actions.php, engine/lib/admin.php,
+ engine/lib/annotations.php, engine/lib/api.php, engine/lib/cache.php,
+ engine/lib/calendar.php, engine/lib/configuration.php, engine/lib/cron.php,
+ engine/lib/database.php, engine/lib/elgglib.php, engine/lib/entities.php,
+ engine/lib/exceptions.php, engine/lib/export.php, engine/lib/extender.php,
+ engine/lib/filestore.php, engine/lib/group.php, engine/lib/input.php,
+ engine/lib/install.php, engine/lib/languages.php, engine/lib/location.php,
+ engine/lib/memcache.php, engine/lib/metadata.php, engine/lib/metastrings.php,
+ engine/lib/notification.php, engine/lib/objects.php, engine/lib/opendd.php,
+ engine/lib/pagehandler.php, engine/lib/pageowner.php, engine/lib/pam.php,
+ engine/lib/ping.php, engine/lib/plugins.php, engine/lib/query.php,
+ engine/lib/relationships.php, engine/lib/river.php, engine/lib/sessions.php,
+ engine/lib/sites.php, engine/lib/social.php, engine/lib/statistics.php,
+ engine/lib/system_log.php, engine/lib/tags.php, engine/lib/users.php,
+ engine/lib/usersettings.php, engine/lib/version.php, engine/lib/widgets.php,
+ engine/lib/xml-rpc.php, engine/lib/xml.php, engine/schema/mysql.sql,
+ engine/start.php, entities/index.php, entities/list.php, friends/add.php,
+ friends/collections.php, friends/edit.php, friends/index.php, friends/of.php,
+ friends/pickercallback.php, htaccess_dist, index.php, install.php,
+ mod/activity/all.php, mod/activity/friends.php, mod/activity/index.php,
+ mod/activity/languages/en.php, mod/activity/manifest.xml,
+ mod/activity/opendd.php, mod/activity/start.php,
+ mod/activity/views/default/activity/css.php,
+ mod/activity/views/default/activity/wrapper.php, mod/apiadmin/index.php,
+ mod/apiadmin/languages/en.php, mod/apiadmin/manifest.xml,
+ mod/apiadmin/start.php, mod/crontrigger/manifest.xml,
+ mod/crontrigger/start.php, mod/diagnostics/actions/download.php,
+ mod/diagnostics/index.php, mod/diagnostics/languages/en.php,
+ mod/diagnostics/manifest.xml, mod/diagnostics/start.php,
+ .../views/default/diagnostics/forms/download.php,
+ mod/garbagecollector/languages/en.php, mod/garbagecollector/manifest.xml,
+ mod/garbagecollector/start.php, mod/groups/actions/addtogroup.php,
+ mod/groups/actions/edit.php, mod/groups/actions/forums/addpost.php,
+ mod/groups/actions/forums/addtopic.php,
+ mod/groups/actions/forums/deletepost.php,
+ mod/groups/actions/forums/deletetopic.php,
+ mod/groups/actions/forums/edittopic.php, mod/groups/actions/join.php,
+ mod/groups/actions/joinrequest.php, mod/groups/actions/leave.php,
+ mod/groups/addtopic.php, mod/groups/all.php, mod/groups/discussions.php,
+ mod/groups/edit.php, mod/groups/edittopic.php, mod/groups/forum.php,
+ mod/groups/graphics/icon.php, mod/groups/groupprofile.php,
+ mod/groups/index.php, mod/groups/invite.php, mod/groups/languages/en.php,
+ mod/groups/manifest.xml, mod/groups/membership.php, mod/groups/new.php,
+ mod/groups/start.php, mod/groups/topicposts.php,
+ mod/groups/views/default/forms/forums/addpost.php,
+ mod/groups/views/default/forms/forums/addtopic.php,
+ .../views/default/forms/forums/edittopic.php,
+ mod/groups/views/default/forms/groups/edit.php,
+ mod/groups/views/default/forms/groups/invite.php,
+ mod/groups/views/default/forum/topicposts.php,
+ mod/groups/views/default/forum/topics.php,
+ mod/groups/views/default/forum/viewposts.php,
+ mod/groups/views/default/group/group.php,
+ mod/groups/views/default/groups/css.php,
+ mod/groups/views/default/groups/groupgallery.php,
+ mod/groups/views/default/groups/grouplisting.php,
+ mod/groups/views/default/groups/groupprofile.php,
+ mod/groups/views/default/groups/icon.php,
+ mod/groups/views/default/groups/members.php,
+ mod/groups/views/default/groups/profileitems.php,
+ .../views/default/object/groupforumtopic.php,
+ .../default/river/relationship/member/create.php,
+ mod/groups/views/default/sharing/invite.php,
+ .../default/widgets/group_entities_widget/edit.php,
+ .../default/widgets/group_entities_widget/view.php,
+ .../default/widgets/group_members_widget/edit.php,
+ .../default/widgets/group_members_widget/view.php,
+ mod/groups/views/rss/forum/topics.php,
+ mod/groups/views/rss/forum/viewposts.php,
+ mod/groups/views/rss/groups/profileitems.php, mod/guidtool/export.php,
+ mod/guidtool/format_picker.php, mod/guidtool/import.php,
+ mod/guidtool/index.php, mod/guidtool/languages/en.php,
+ mod/guidtool/manifest.xml, mod/guidtool/start.php, mod/guidtool/view.php,
+ .../views/default/forms/guidtool/export.php,
+ .../views/default/forms/guidtool/format.php,
+ .../views/default/forms/guidtool/import.php,
+ mod/guidtool/views/default/guidtool/gallery.php,
+ mod/guidtool/views/default/guidtool/listing.php,
+ mod/guidtool/views/default/guidtool/profile.php,
+ .../views/default/object/guidtoolwrapper.php, mod/logbrowser/index.php,
+ mod/logbrowser/languages/en.php, mod/logbrowser/manifest.xml,
+ mod/logbrowser/start.php, mod/logbrowser/views/default/object/logwrapper.php,
+ mod/logrotate/languages/en.php, mod/logrotate/manifest.xml,
+ mod/logrotate/start.php, mod/profile/actions/cropicon.php,
+ mod/profile/actions/deletedefaultprofileitem.php,
+ mod/profile/actions/edit.php, mod/profile/actions/editdefault.php,
+ mod/profile/actions/iconupload.php,
+ mod/profile/actions/resetdefaultprofile.php, mod/profile/defaultprofile.php,
+ mod/profile/edit.php, mod/profile/editicon.php, mod/profile/icon.php,
+ mod/profile/index.php, mod/profile/javascript.php, mod/profile/manifest.xml,
+ mod/profile/start.php, mod/profile/views/default/profile/edit.php,
+ .../views/default/profile/editdefaultprofile.php,
+ mod/profile/views/default/profile/editicon.php,
+ mod/profile/views/default/profile/gallery.php,
+ mod/profile/views/default/profile/hoverover.php,
+ mod/profile/views/default/profile/icon.php,
+ mod/profile/views/default/profile/javascript.php,
+ mod/profile/views/default/profile/listing.php,
+ mod/profile/views/default/profile/menu/actions.php,
+ .../views/default/profile/menu/adminlinks.php,
+ mod/profile/views/default/profile/menu/links.php,
+ .../views/default/profile/menu/linksownpage.php,
+ mod/profile/views/default/profile/metatags.php,
+ mod/profile/views/default/profile/profilelinks.php,
+ mod/profile/views/default/profile/submenu.php,
+ mod/profile/views/default/profile/userdetails.php,
+ mod/reportedcontent/actions/add.php, mod/reportedcontent/actions/archive.php,
+ mod/reportedcontent/actions/delete.php, mod/reportedcontent/add.php,
+ mod/reportedcontent/index.php, mod/reportedcontent/languages/en.php,
+ mod/reportedcontent/manifest.xml, mod/reportedcontent/start.php,
+ .../views/default/reportedcontent/form.php, mod/river/manifest.xml,
+ mod/river/start.php, .../views/default/widgets/river_widget/edit.php,
+ .../views/default/widgets/river_widget/view.php,
+ .../default/widgets/river_widget_friends/edit.php,
+ .../default/widgets/river_widget_friends/view.php,
+ .../actions/email/confirm.php, mod/uservalidationbyemail/languages/en.php,
+ mod/uservalidationbyemail/manifest.xml, mod/uservalidationbyemail/start.php,
+ search/index.php, search/users.php, services/api/rest.php,
+ services/export/handler.php, settings/index.php, settings/plugins.php,
+ settings/statistics.php, settings/user.php, upgrade.php, version.php,
+ views/default/account/forms/forgotten_password.php,
+ views/default/account/forms/login.php,
+ views/default/account/forms/register.php,
+ views/default/account/forms/useradd.php, views/default/admin/main.php,
+ views/default/admin/main_opt/plugins.php,
+ views/default/admin/main_opt/site.php,
+ views/default/admin/main_opt/statistics.php,
+ views/default/admin/main_opt/user.php, views/default/admin/plugins.php,
+ views/default/admin/plugins_opt/plugin.php, views/default/admin/site.php,
+ views/default/admin/statistics.php,
+ views/default/admin/statistics_opt/basic.php,
+ views/default/admin/statistics_opt/numentities.php,
+ views/default/admin/statistics_opt/online.php, views/default/admin/user.php,
+ views/default/admin/user_opt/adduser.php,
+ views/default/admin/user_opt/search.php, views/default/ajax/loader.php,
+ views/default/annotation/generic_comment.php, views/default/api/output.php,
+ views/default/basic_elements/welcome.php, views/default/canvas/default.php,
+ views/default/canvas/layouts/one_column.php,
+ .../canvas/layouts/two_column_left_sidebar.php,
+ .../canvas/layouts/two_column_right_sidebar.php,
+ views/default/canvas/layouts/widgets.php,
+ views/default/comments/forms/edit.php, views/default/css.php,
+ views/default/export/entity.php, views/default/export/metadata.php,
+ views/default/export/relationship.php, views/default/friends/collection.php,
+ views/default/friends/collections.php,
+ views/default/friends/forms/collectionfields.php,
+ views/default/friends/forms/edit.php, views/default/friends/list.php,
+ views/default/friends/picker.php, views/default/friends/tablelist.php,
+ views/default/friends/tablelistcountupdate.php,
+ views/default/graphics/icon.php, views/default/group/default.php,
+ views/default/input/access.php, views/default/input/button.php,
+ views/default/input/calendar.php, views/default/input/checkboxes.php,
+ views/default/input/email.php, views/default/input/file.php,
+ views/default/input/form.php, views/default/input/hidden.php,
+ views/default/input/longtext.php, views/default/input/password.php,
+ views/default/input/pulldown.php, views/default/input/radio.php,
+ views/default/input/reset.php, views/default/input/submit.php,
+ views/default/input/tags.php, views/default/input/text.php,
+ views/default/input/url.php, views/default/messages/errors/error.php,
+ views/default/messages/errors/list.php,
+ views/default/messages/exceptions/exception.php,
+ views/default/messages/list.php, views/default/messages/messages/list.php,
+ views/default/messages/messages/message.php,
+ views/default/navigation/pagination.php,
+ views/default/navigation/topbar_tools.php,
+ views/default/navigation/viewtype.php,
+ .../notifications/settings/usersettings.php,
+ views/default/object/default.php, views/default/object/object.php,
+ views/default/object/plugin.php, views/default/object/widget.php,
+ views/default/output/calendar.php, views/default/output/checkboxes.php,
+ views/default/output/confirmlink.php, views/default/output/date.php,
+ views/default/output/email.php, views/default/output/iframe.php,
+ views/default/output/longtext.php, views/default/output/pulldown.php,
+ views/default/output/radio.php, views/default/output/tagcloud.php,
+ views/default/output/tags.php, views/default/output/text.php,
+ views/default/output/url.php, views/default/page_elements/elgg_topbar.php,
+ views/default/page_elements/footer.php,
+ views/default/page_elements/owner_block.php,
+ views/default/page_elements/spotlight.php,
+ views/default/page_elements/title.php,
+ views/default/pageshells/pageshell.php, views/default/river/dashboard.php,
+ views/default/river/relationship/friend/create.php,
+ views/default/river/wrapper.php, views/default/search/gallery.php,
+ views/default/search/gallery_listing.php, views/default/search/listing.php,
+ views/default/settings/install.php, views/default/settings/system.php,
+ views/default/site/default.php, views/default/spotlight/default.php,
+ views/default/text/about.php, views/default/text/privacy_view.php,
+ views/default/text/tos_view.php, views/default/user/default.php,
+ views/default/user/settings/email.php,
+ views/default/user/settings/language.php,
+ views/default/user/settings/name.php,
+ views/default/user/settings/password.php,
+ views/default/usersettings/main.php,
+ views/default/usersettings/main_opt/plugins.php,
+ views/default/usersettings/main_opt/statistics.php,
+ views/default/usersettings/main_opt/user.php,
+ views/default/usersettings/plugins.php,
+ views/default/usersettings/plugins_opt/plugin.php,
+ views/default/usersettings/statistics.php,
+ .../usersettings/statistics_opt/numentities.php,
+ .../default/usersettings/statistics_opt/online.php,
+ views/default/welcome.php, views/default/welcome/logged_in.php,
+ views/default/welcome/logged_out.php, views/default/widgets/editwrapper.php,
+ views/default/widgets/wrapper.php, views/failsafe/canvas/default.php,
+ views/failsafe/input/button.php, views/failsafe/input/checkboxes.php,
+ views/failsafe/input/form.php, views/failsafe/input/hidden.php,
+ views/failsafe/input/longtext.php, views/failsafe/input/pulldown.php,
+ views/failsafe/input/reset.php, views/failsafe/input/submit.php,
+ views/failsafe/input/text.php, views/failsafe/messages/errors/error.php,
+ views/failsafe/messages/errors/list.php,
+ views/failsafe/messages/exceptions/exception.php,
+ views/failsafe/messages/list.php, views/failsafe/messages/messages/list.php,
+ views/failsafe/messages/messages/message.php,
+ views/failsafe/messages/sanitisation/htaccess.php,
+ views/failsafe/messages/sanitisation/settings.php,
+ views/failsafe/pageshells/pageshell.php, views/failsafe/settings/install.php,
+ views/failsafe/settings/system.php, views/foaf/canvas/default.php,
+ views/foaf/pageshells/pageshell.php, views/foaf/user/default.php,
+ views/js/object/default.php, views/js/pageshells/pageshell.php,
+ views/js/user/default.php, views/json/api/output.php,
+ views/json/canvas/default.php, views/json/export/entity.php,
+ views/json/export/metadata.php, views/json/export/relationship.php,
+ views/json/group/default.php, views/json/messages/exceptions/exception.php,
+ views/json/object/default.php, views/json/pageshells/pageshell.php,
+ views/json/search/entity_list.php, views/json/site/default.php,
+ views/json/user/default.php, views/opendd/canvas/default.php,
+ views/opendd/export/entity.php, views/opendd/export/metadata.php,
+ views/opendd/export/relationship.php, views/opendd/group/default.php,
+ views/opendd/messages/exceptions/exception.php,
+ views/opendd/object/default.php, views/opendd/pageshells/pageshell.php,
+ views/opendd/site/default.php, views/opendd/user/default.php,
+ views/php/api/output.php, views/php/canvas/default.php,
+ views/php/export/entity.php, views/php/export/metadata.php,
+ views/php/export/relationship.php, views/php/group/default.php,
+ views/php/messages/exceptions/exception.php, views/php/object/default.php,
+ views/php/pageshells/pageshell.php, views/php/search/entity_list.php,
+ views/php/site/default.php, views/php/user/default.php,
+ views/rss/annotation/default.php, views/rss/canvas/default.php,
+ views/rss/group/default.php, views/rss/object/default.php,
+ views/rss/pageshells/pageshell.php, views/rss/user/default.php,
+ views/xml/api/output.php, views/xml/messages/exceptions/exception.php,
+ views/xml/pageshells/pageshell.php, views/xml/xml-rpc/output.php: Refs #706
+
+2009-01-23 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/notifications/languages/en.php, mod/notifications/start.php: Menu
+ heading changes
+
+ * mod/notifications/actions/save.php: Added save action for the notification
+ subscriptions.
+
+ * mod/notifications/index.php, mod/notifications/languages/en.php,
+ mod/notifications/start.php,
+ .../default/notifications/subscriptions/form.php: Added notification
+ subscriptions page.
+
+ * engine/lib/languages.php: Moved current language detection out into the
+ function get_language();
+
+2009-01-23 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php, views/default/js/initialise_elgg.php,
+ views/default/navigation/topbar_tools.php: new cross-browser js toolbar menu
+
+2009-01-23 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/sessions.php: Refs #562: Configuration flag to disable database
+ sessions.
+
+ * languages/en.php: Fixes missing translations
+
+ * version.php: Bumped version to today
+
+2009-01-22 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../default/usersettings/statistics_opt/online.php: Closes #702: Correct
+ parameters fed to get_system_log
+
+2009-01-22 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/css.php,
+ .../jquery/jquery-easing-compatibility.1.2.pack.js,
+ vendors/jquery/jquery-easing.1.2.pack.js,
+ .../jquery/jquery-ui-personalized-1.5.3.packed.js,
+ .../jquery/jquery-ui-personalized-1.5.packed.js,
+ vendors/jquery/jquery.easing.1.3.packed.js,
+ views/default/js/friendsPickerv1.php, views/default/js/initialise_elgg.php,
+ views/default/page_elements/header.php: jquery framework and avatar menu
+ updated
+
+2009-01-22 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/notification.php: A further change to ensure notification
+ messages don't get changed for every method if one method needs to make a
+ change.
+
+ * engine/lib/notification.php: Slight change to notifications.
+
+ * engine/lib/notification.php: Added more granular notification hook
+
+2009-01-22 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, engine/lib/metadata.php: Closes #701: Blank tags
+ not returned by string_to_tag_array
+
+2009-01-22 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/notification.php: Granular notification: a start
+
+2009-01-22 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/relationships.php: Relationships no longer double added
+
+2009-01-21 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/plugins.php: Closes #700: If a plugin doesn't physically exist
+ then it is deleted.
+
+ * views/default/usersettings/plugins_opt/plugin.php: User settings
+ translated
+
+ * views/default/admin/plugins_opt/plugin.php,
+ views/default/usersettings/plugins_opt/plugin.php: Reverted.
+
+ * views/default/admin/plugins_opt/plugin.php,
+ views/default/usersettings/plugins_opt/plugin.php: Tools menus now elgg_echo
+ folder name
+
+2009-01-21 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php: Introducing a function that orders by sums of
+ an annotation AND limits by a metadata value
+
+2009-01-21 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/activity/all.php, mod/activity/friends.php, mod/activity/index.php,
+ mod/activity/languages/en.php, mod/activity/manifest.xml,
+ mod/activity/opendd.php, mod/activity/start.php,
+ mod/activity/views/default/activity/css.php,
+ mod/activity/views/default/activity/dashboard.php,
+ mod/activity/views/default/activity/wrapper.php,
+ .../views/default/settings/activity/edit.php,
+ mod/activity/views/opendd/activity/dashboard.php: Added Activity stream back
+ in
+
+ * engine/lib/users.php: Closes #699: get_user_by_username now using access
+ controls. Will no longer throw exception when accessing disabled users.
+
+2009-01-20 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php: Added toggling for the annotation sum list
+ functions.
+
+ * engine/lib/annotations.php: Added to and fixed the list by annotation
+ count functions.
+
+ * engine/lib/annotations.php: Fix for previous
+
+ * engine/lib/annotations.php: Added the missing 'group by' clause to the get
+ annotations by sum SQL query.
+
+2009-01-19 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/plugins.php: Added user to user settings
+
+ * engine/lib/plugins.php: Refs #697: Now using plugin hook so one can do
+ live validation of values
+
+ * engine/lib/widgets.php: Closes #698: Typo corrected - nice spot!
+
+ * actions/plugins/settings/save.php, actions/plugins/usersettings/save.php,
+ engine/lib/plugins.php: Closes #697: Events triggered when plugin settings
+ are updated.
+
+2009-01-19 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php: Fix for previous.
+
+ * engine/lib/annotations.php: Added annotation sum functions
+
+2009-01-19 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/metastrings.php: Closes #691: Should have been
+ is_memcache_available()
+
+2009-01-16 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/email/save.php, actions/user/language.php, actions/user/name.php:
+ Closes #689: Email now only changed if it is different than the one
+ registered, also a number of other settings changed in this way.
+
+ * actions/widgets/add.php, actions/widgets/reorder.php: Widget save errors
+ now use correct message box
+
+2009-01-15 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/header.php: Elgg version info now present in
+ headers.
+
+ * mod/crontrigger/manifest.xml, mod/crontrigger/start.php: Closes #672: Poor
+ mans cron plugin enabled. This triggers events according to page load.
+
+ * engine/lib/elgglib.php:
+
+ * engine/lib/elgglib.php: Added shutdown, system event. Refs #672
+
+ * mod/groups/actions/forums/edittopic.php: Editing post no longer takes
+ ownership
+
+ * actions/email/save.php: Refs #641: Email changer now prevents changing to
+ the same as another user.
+
+2009-01-14 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/sessions.php, languages/en.php: Closes #669: Logins rate
+ limited. Accounts are limited to 5 fails in a 5 minute period, meaning an
+ attacker can try one password per minute.
+
+2009-01-13 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/system_log.php: Comment correction.
+
+ * engine/lib/elgglib.php: Fix for template handler override.
+
+2009-01-13 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/group.php, engine/lib/objects.php, engine/lib/sites.php,
+ engine/lib/users.php: Closes #676: Entity subtables now deleted on failed
+ connections.
+
+2009-01-13 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Better trigger_plugin_hook documentation.
+
+2009-01-13 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/user/resetpassword.php, engine/lib/users.php: Closes #675:
+ Salt changed during password reset
+
+ * engine/lib/sessions.php:
+
+2009-01-12 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/tags.php: Tag updates.
+
+2009-01-11 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/owner_block.php: Added rel="nofollow" rss and
+ opendd links in ownerblock
+
+2009-01-09 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/user/makeadmin.php, actions/admin/user/removeadmin.php,
+ languages/en.php, .../views/default/profile/menu/adminlinks.php: Closes #240:
+ It is now possible to downgrade an admin user.
+
+ * engine/lib/users.php: Closes #560: Pagination parameters added.
+
+ * mod/groups/languages/en.php, .../views/default/object/groupforumtopic.php:
+ Closes #544: Logged out view now shows correct dates, also tidied up some
+ translation issues.
+
+ * engine/lib/elgglib.php, engine/lib/entities.php: Minor variable name and
+ comment change.
+
+ * actions/admin/user/unban.php, engine/lib/api.php, engine/lib/sessions.php,
+ engine/lib/users.php, engine/schema/mysql.sql,
+ engine/schema/upgrades/2009010901.sql, languages/en.php,
+ mod/profile/views/default/profile/css.php,
+ mod/profile/views/default/profile/gallery.php,
+ mod/profile/views/default/profile/icon.php,
+ mod/profile/views/default/profile/listing.php,
+ .../views/default/profile/menu/adminlinks.php,
+ mod/profile/views/default/profile/profilelinks.php,
+ mod/profile/views/default/profile/userdetails.php, version.php: Closes #668:
+ Banning now works through a flag in the users_entity table. Database upgrade
+ required. * Added ElggUser::isBanned(); * Added 'banned' column to
+ users_entity * Modified ban() and unban() * Modified pam functions to check
+ $user->isBanned() * Modified login() to check $user->isBanned() * Modified
+ sessions_init() to check isBanned() and destroy session accordingly *
+ Modified profile views to highlight banned users and prevent menus for
+ non-admin users.
+
+2009-01-08 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/system_log.php: Closes #588: Don't remember adding this
+ check... but anyway, double entries regression fixed.
+
+ * engine/lib/entities.php: Minor error message improvement
+
+ * engine/lib/entities.php: Added extra belts and braces check (minor)
+
+ * engine/lib/entities.php: Added extra belts and braces check (minor)
+
+ * engine/lib/users.php: Refs #668: Building on [2546] and providing ban and
+ unban functions. Later these will be replaced with "banning" functions.
+
+ * mod/groups/views/default/forms/groups/edit.php: Closes #664: Defaults
+ added to radio buttons
+
+ * engine/lib/statistics.php: Limit max display of active users to 10.
+
+ * actions/admin/user/ban.php, engine/lib/users.php: Refs #668, #640: Cleaner
+ interface provided for banning.
+
+ * actions/admin/user/ban.php, engine/lib/entities.php, engine/lib/users.php:
+ Refs #640: Renamed and moved entities.php:disable_entities to
+ user.php:disable_user_entities
+
+ * engine/lib/entities.php: Delete replaced by disable in disable_entity
+
+ * engine/schema/mysql.sql, engine/schema/upgrades/2009010801.sql,
+ version.php: Closes #666: Added some extra indexes
+
+ * languages/en.php, mod/uservalidationbyemail/languages/en.php: Closes #546:
+ Translation moved
+
+ * engine/lib/annotations.php: Closes #663: Added access checks on entity on
+ get_entities_from_annotation and count functions.
+
+ * engine/lib/metadata.php: Closes #667: Minor tweak, an e should be an m
+
+2009-01-07 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/relationships.php,
+ .../default/widgets/group_members_widget/view.php: Closes #410: Pagination
+ parameter now passed in relationship list view.
+
+ * engine/lib/access.php, mod/groups/groupprofile.php,
+ mod/groups/languages/en.php: Closes #286: Group access restrictions issue
+ fixed, modified from patch supplied with #315
+
+ * views/failsafe/settings/system.php: Refs #622: Missing command from
+ failsafe view
+
+ * mod/groups/views/default/groups/forum_latest.php: Closes #660: Minor text
+ tweak
+
+ * actions/admin/site/update_basic.php, actions/systemsettings/install.php,
+ languages/en.php, views/default/account/forms/login.php,
+ views/default/settings/system.php: Closes #662: https on login.
+
+2009-01-06 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/navigation/pagination.php: Closes #587: The area of code in
+ question hasn't been coded as defencively as it could have been.
+
+ * engine/lib/annotations.php: Fixes #648: Copy and paste error corrected.
+
+2009-01-05 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: * Added addRelationship to ElggEntity... bit of
+ an omission!
+
+ * htaccess_dist: Closes #656: Disabled mod_deflate for all actions so that
+ active downloads will no longer be double compressed.
+
+ * engine/lib/configuration.php, engine/lib/elgglib.php,
+ engine/lib/sites.php: Fixes #655, Refs #628: Install now working with correct
+ event chain (#628).
+
+2009-01-02 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/friends/add.php: Closes #649: Added checking to report when friend
+ add fails.
+
+2009-01-01 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php: bug #634 fixed
+
+ * mod/groups/views/default/groups/forum_latest.php: lang tweak in group
+ forums
+
+ * mod/groups/actions/edit.php, mod/groups/languages/en.php,
+ mod/groups/start.php, mod/groups/views/default/forms/groups/edit.php,
+ mod/groups/views/default/groups/forum_latest.php: groups owners can choose if
+ they want pages/files/forum enabled or not. Still a little more to do, this
+ is just the beginning code.
+
+ * mod/groups/languages/en.php: missing group lang items added
+
+2008-12-31 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/notification.php, engine/settings.example.php: Closes #579:
+ Allows configurable option $CONFIG->broken_mta = true. If set, this uses "\n"
+ instead of "\r\n" in email headers.
+
+2008-12-30 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/metadata.php: Closes #643: Confirmed bug, applied fix as
+ suggested.
+
+ * views/default/page_elements/owner_block.php: Closes #633: User name in
+ owner block is now link. This may require additional skinning.
+
+ * engine/lib/plugins.php: Closes #608: Placing a file called "disabled" in
+ mod now disables all plugins, allowing easier recovery of an elgg site with
+ screwed up modules.
+
+ * views/default/input/button.php: Closes #519: Typo. Well spotted.
+
+ * languages/en.php: Closes #500
+
+ * mod/reportedcontent/languages/en.php,
+ .../views/default/reportedcontent/form.php,
+ .../views/default/reportedcontent/listing.php: Closes #504
+
+2008-12-29 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/input.php: Closes #396: Form should probably not be permitted
+ on input.
+
+2008-12-23 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Closes #628: Event change now terminates on false.
+ Nice spot :)
+
+2008-12-09 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/updateclient/index.php, mod/updateclient/languages/en.php,
+ mod/updateclient/manifest.xml, mod/updateclient/start.php,
+ .../views/default/settings/updateclient/edit.php: Removed upgrade client
+ since it doesn't really matter.
+
+ * README.txt, version.php: Ticked up version number
+
+2008-12-08 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/schema/mysql.sql, engine/schema/upgrades/2008120501.sql: Fixes #615
+
+2008-12-08 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/user/ban.php: Fixes to user banning (action side).
+
+ * engine/lib/elgglib.php: Fixes to user banning.
+
+2008-12-05 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Prototype view caching
+
+ * engine/lib/notification.php: Closes #597: GUID must now be non-zero for
+ messages to be sent
+
+2008-12-05 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: unused rule removed #583
+
+2008-12-05 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/schema/mysql.sql, engine/schema/upgrades/2008111901.sql,
+ engine/schema/upgrades/2008120501.sql, version.php: Closes #611: Made name
+ field longer
+
+2008-12-04 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/access.php: Closes #421 : Catches the DB Exception, so
+ hopefully this will prevent the error from showing up in the future :)
+
+ * languages/en.php, views/default/friends/collectiontabs.php: Closes #501
+
+ * engine/lib/users.php, mod/uservalidationbyemail/start.php: Closes #609
+
+ * engine/schema/upgrades/2008112002.sql: Fixed update script
+
+ * htaccess_dist: ETag support
+
+2008-12-04 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/groups/forum_latest.php: latest group discussion
+ bug fixed
+
+2008-12-03 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/location.php: Some location tweaks
+
+ * engine/lib/users.php: Closes #550: Code metadata now moved to private
+ storage
+
+ * mod/groups/membership.php: Closes #604
+
+2008-11-28 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/input/radio.php: Improved radio button value retention
+
+ * views/default/input/checkboxes.php: Improved checkbox value retention
+
+2008-11-26 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/metatags.php: FOAF header added to
+ profile
+
+ * views/foaf/user/default.php: Foaf ident on mailbox for friends
+
+ * engine/schema/upgrades/2008112601.sql: Closes #571: Widgets now use
+ private store for settings
+
+ * engine/lib/entities.php, engine/lib/widgets.php: Closes #571: Widgets now
+ use private store for settings
+
+ * engine/lib/opendd.php:
+
+2008-11-25 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, engine/lib/location.php, engine/schema/mysql.sql,
+ engine/schema/upgrades/2008112501.sql, version.php: Hook for future geocoding
+ library added.
+
+ * engine/schema/mysql.sql:
+
+2008-11-24 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/metadata.php: Closes #580: Fixed tagging trim and strtolower
+
+2008-11-21 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/calendar.php: Refs #452: Basic entity listing functions. Needs
+ canvas.
+
+ * engine/lib/users.php: Closes #554: Validation procedure now correctly
+ screening for ascii space
+
+2008-11-20 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/sessions.php:
+
+ * engine/lib/sessions.php: Added site secret to fingerprint to make it
+ harder to guess
+
+ * engine/lib/sessions.php, engine/lib/users.php: Fixes #548: Introducing
+ set_last_login($user_guid). Called from login(), but call from any
+ authentication code where appropriate.
+
+ * engine/lib/calendar.php, engine/lib/entities.php: Refs #452: Calendar
+ interface on entities
+
+ * engine/lib/entities.php: Introducing get_entities_from_private_setting();
+
+2008-11-20 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/start.php, mod/groups/topicposts.php, upgrade.php: No need to
+ trigger the init event on upgrade.
+
+2008-11-20 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/schema/upgrades/2008112001.sql,
+ engine/schema/upgrades/2008112002.sql, version.php: Refs #569, #570, #571:
+ Update script for both users and plugins plugin settings
+
+ * engine/schema/upgrades/2008111901.sql,
+ engine/schema/upgrades/2008112001.sql, version.php: Migrate plugin settings
+ from plugin to new settigns store.
+
+ * engine/lib/entities.php, engine/lib/plugins.php: Refs #571. Fixes #569,
+ #570: * Plugin functions set to use private data store. * Fixed some db
+ queries * Modified plugin class overriding get/set functions
+
+ * engine/schema/mysql.sql, engine/schema/upgrades/2008111901.sql: Refs #569,
+ #570, #571: Minor database schema errors corrected
+
+ * engine/lib/entities.php: Refs #569, #570, #571: Corrected and optimised
+ insert query
+
+ * engine/schema/mysql.sql, engine/schema/upgrades/2008111901.sql: Refs #569,
+ #570, #571: Minor database schema errors corrected
+
+ * engine/lib/entities.php: Refs #569, #570, #571: dbprefix not prefix;
+
+ * engine/lib/entities.php: Refs #569, #570, #571: Added
+ remove_all_private_settings()
+
+ * engine/lib/entities.php: Refs #569, #570, #571: Added
+ get_all_private_settings();
+
+ * engine/lib/metadata.php: Fixes #531: Access permissions enforced
+
+2008-11-19 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, engine/lib/export.php, engine/lib/extender.php,
+ engine/lib/group.php, engine/lib/objects.php, engine/lib/relationships.php,
+ engine/lib/sites.php, engine/lib/users.php, views/default/export/entity.php,
+ views/json/export/entity.php, views/json/export/metadata.php,
+ views/json/export/relationship.php, views/php/export/entity.php,
+ views/php/export/metadata.php, views/php/export/relationship.php: Fixes #568:
+ Exportable code now inclusive not exclusive. * Added extra function
+ Exportable interface * OpenDD export modified * PHP & JSON export views
+ modified * Default export view will still show all data if you are logged in
+ as admin (since this view is used by the guidtool)
+
+2008-11-19 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/schema/upgrades/2008111901.sql: Introducing the new private
+ settings table.
+
+2008-11-19 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/calendar.php: Added get notable enities on relationship
+
+ * engine/lib/calendar.php: Added get notable enities on metadata
+
+ * engine/lib/calendar.php: Added get notable enities
+
+2008-11-18 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/start.php: Closes #557
+
+2008-11-14 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * dashboard/index.php, engine/lib/access.php, engine/lib/annotations.php,
+ engine/lib/entities.php, engine/lib/extender.php, engine/lib/filestore.php,
+ engine/lib/group.php, engine/lib/languages.php, engine/lib/metadata.php,
+ engine/lib/notification.php, engine/lib/plugins.php, engine/lib/sessions.php,
+ engine/lib/tags.php, engine/lib/users.php, engine/lib/usersettings.php: *
+ Introducing get_loggedin_user() and get_loggedin_userid() * ACLs now using
+ get_loggedin_user* * Some logic cleaned up * Some "Undefined..." messages
+ cleaned up
+
+ * views/default/search/entity_list.php:
+
+ * views/default/search/entity_list.php: Removed some "Undefined" messages
+
+ * engine/lib/elgglib.php: Removed some "Undefined" messages
+
+ * views/default/input/access.php, views/default/input/button.php,
+ views/default/input/submit.php: Removed some "Undefined" messages
+
+ * mod/profile/views/default/profile/userdetails.php: Removed some
+ "Undefined" messages
+
+ * views/default/canvas/layouts/widgets.php: Removed some "Undefined"
+ messages
+
+ * engine/lib/elgglib.php: "Undefined" messages tidied up
+
+ * engine/lib/metastrings.php: "Undefined" messages tidied up
+
+ * engine/lib/river.php: "Undefined" messages tidied up
+
+ * engine/lib/sessions.php:
+
+ * engine/lib/sessions.php: * Candidate for initial ElggSession magic class *
+ Session now properly cleared on init and logout - this has knock on effect
+ that you can no longer assume that $_SESSION['id'] or 'guid' will be integer,
+ making it necessary to cast.
+
+ * engine/lib/admin.php: Minor tidying of code
+
+2008-11-13 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/sessions.php: Experimental ElggCache
+
+2008-11-12 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php:
+
+ * engine/lib/cache.php: No longer blanks all caches when clearing
+
+ * engine/lib/cache.php: Closes #543
+
+2008-11-11 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * htaccess_dist: Ifdef expires
+
+ * htaccess_dist: Minor tweaks to mod_gzip config. Note that gzip can't
+ compress some things due to the way that the apache 1.3 stack works
+
+ * htaccess_dist: Simplified GZIP
+
+ * htaccess_dist: Mod deflate support added
+
+ * htaccess_dist: Turned on mod_gzip if available
+
+ * htaccess_dist: Added default expiry
+
+ * mod/groups/graphics/icon.php: Expires header added to group icons
+
+2008-11-10 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/icon.php: Content length on images
+
+ * mod/groups/graphics/icon.php: Content length on images
+
+ * _css/css.php, engine/lib/elgglib.php: Content length headers on JS and css
+
+ * engine/lib/elgglib.php: Javascript now correctly marked as cacheable
+
+ * _css/css.php: Fixes #541: CSS Now not being cached. Error is being caused
+ somewhere in the elgg framework which prevents pragma caching from working...
+ not sure what
+
+ * languages/en.php: Refs #520
+
+ * languages/en.php, views/default/friends/collections.php: Closes #501
+
+ * mod/groups/languages/en.php,
+ mod/groups/views/default/groups/forum_latest.php: Closes #507
+
+ * engine/lib/memcache.php: Tidied.
+
+2008-11-07 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/database.php: Profiling now showing queries executed before
+ debug flag being set
+
+ * engine/lib/metadata.php: Refs #529: Experimental memcaching for metadata
+
+ * engine/lib/elgglib.php: Fixed datalists error
+
+ * engine/lib/elgglib.php: Refs #529: Experimental memcaching on datalists
+
+ * engine/start.php: Removing unnecessary call to datalists
+
+ * index.php: Fixes #530
+
+ * engine/lib/metastrings.php: Refs #529: Experimental caching of metastrings
+
+ * engine/lib/entities.php: Refs #529: Experimental object level caching
+
+2008-11-06 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Reverted limited caching back to array since
+ caching objects don't work so well for these.
+
+ * engine/lib/elgglib.php, engine/schema/mysql.sql,
+ engine/schema/upgrades/2008110601.sql, version.php: datalists now has primary
+ key, datalist set function optimised
+
+ * engine/lib/elgglib.php: Not possible to get any more, so tell them so
+
+ * engine/lib/users.php: Last action query update delayed to avoid
+ invalidating query cache unnecessarily.
+
+ * engine/lib/database.php: * Database functions optimised and centralised a
+ bit * Caching now caches queries which return no data * Introducing
+ execute_delayed_query family for executing queries AFTER the page has loaded.
+
+ * engine/lib/cache.php: isset fixes
+
+ * engine/lib/export.php, engine/lib/extender.php,
+ engine/lib/relationships.php: Some tidying of objects and opendd export
+
+ * engine/lib/cache.php, engine/lib/memcache.php: Added test to see if
+ memcache is available.
+
+ * engine/lib/cache.php, engine/lib/memcache.php: Class hierachy changed to
+ separate shared memory caching
+
+2008-11-05 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/river.php:
+
+ * engine/lib/river.php: Minor extension to river
+
+ * engine/lib/memcache.php: Minor tweak
+
+ * engine/lib/river.php: Slight rejig in the way the river works
+
+ * engine/lib/elgglib.php: Extends elgg_view_tree to support other view
+ types.
+
+ * engine/lib/cache.php: Added "add" method to cache only if it doesn't exist
+ already.
+
+ * engine/lib/database.php: Revert use of memcache for database query cache
+
+ * engine/lib/memcache.php: Changed default timeout
+
+2008-11-04 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/memcache.php: Removed cache clearing for now.
+
+ * engine/lib/database.php, engine/lib/memcache.php: More tweaks
+
+ * engine/lib/memcache.php: Experimental memcache support
+
+ * engine/lib/memcache.php, languages/en.php: Closes #516: Experimental
+ memcache support
+
+ * engine/settings.example.php: Installation notes
+
+ * languages/en.php: Refs #514: Memcache error messages
+
+ * engine/settings.example.php: Refs #514: Memcache settings
+
+ * engine/lib/cache.php: Refs #514 : Using memcache if available
+
+ * engine/settings.example.php: Refs #514
+
+ * engine/lib/memcache.php: First draft memcache object. No testing yet
+ carried out, submitted 'cos I'm moving computers.
+
+ * engine/lib/cache.php:
+
+ * engine/lib/cache.php, engine/lib/database.php, engine/lib/entities.php:
+ Moved caching to function so it can be easily replaced
+
+2008-11-03 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Refs #514: Entity and subtype caching
+
+ * engine/lib/cache.php: Minor tweaks
+
+ * engine/lib/cache.php: back to private
+
+ * engine/lib/cache.php: Initialisation correct
+
+ * engine/lib/database.php: Database using new memory cache object
+
+ * engine/lib/api.php, engine/lib/cache.php: * ElggCache interface improved *
+ ElggStaticVariableCache introduced as a placeholder for more advanced
+ caching.
+
+ * engine/lib/database.php: Experimental database query caching, please
+ report problems asap.
+
+ * engine/lib/calendar.php: Stub added refs #452
+
+ * engine/lib/database.php: Experimental database query caching, please
+ report problems asap.
+
+ * engine/schema/mysql.sql, engine/schema/upgrades/2008110301.sql,
+ version.php: Some missing keys added.
+
+ * engine/lib/access.php, engine/lib/annotations.php,
+ engine/lib/configuration.php, engine/lib/elgglib.php,
+ engine/lib/entities.php, engine/lib/group.php, engine/lib/objects.php,
+ engine/lib/query.php, engine/lib/relationships.php, engine/lib/sites.php,
+ engine/lib/users.php: Minor tweaks 'cos case does matter...
+
+2008-11-01 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/object/groupforumtopic.php: Minor style fix.
+
+ * mod/groups/discussions.php, .../views/default/object/groupforumtopic.php:
+ Latest discussion fix
+
+2008-10-31 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/group.php: Closes #378: Fixed incorrect relationship direction.
+
+ * engine/lib/notification.php: Closes #446: * Errant \r\n and \r chars
+ coverted to \n. * newlines stripped from subject headers. * Lines starting
+ with From are changed to >From
+
+ * engine/handlers/cron_handler.php, mod/garbagecollector/start.php: Changed
+ cron to use output buffering (letting you simply echo rather than needing to
+ pass variables around)
+
+ * mod/groups/views/default/forms/groups/edit.php: Closes #461: Localisations
+ added
+
+ * mod/guidtool/views/default/guidtool/gallery.php,
+ mod/guidtool/views/default/guidtool/listing.php: Refs #494: GUID listed
+
+ * mod/garbagecollector/start.php: Added system, gc plugin hook to garbage
+ collector
+
+ * engine/lib/notification.php: Closes #488: This is indeed a typo... well
+ spotted :)
+
+ * engine/lib/database.php, engine/lib/metastrings.php,
+ mod/garbagecollector/start.php: Closes #493: Moved database queries out of
+ module and in to core in line with best practice.
+
+2008-10-30 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/groups/groupprofile.php: Closes #486
+
+2008-10-30 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * README.txt: Version number fail.
+
+ * version.php: Elgg 1.1 final.
+
+ * version.php: Further version update
+
+ * README.txt: Version number change in the readme file.
+
+2008-10-30 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: profile block layout issue in IE7 fixed
+
+2008-10-29 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * version.php: Version number change
+
+ * languages/en.php: A better description of the RESTful API
+
+2008-10-29 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/access.php: Reverted database access permission caching.
+
+2008-10-29 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/languages/en.php: Group topic error message
+
+ * _graphics/upgrader.gif, upgrade.php, views/default/settings/upgrading.php:
+ Replacement upgrade notifier
+
+ * INSTALL.txt, UPGRADE.txt: Slight update to crontab instructions
+
+ * mod/activity/all.php, mod/activity/friends.php, mod/activity/index.php,
+ mod/activity/languages/en.php, mod/activity/manifest.xml,
+ mod/activity/start.php, mod/activity/views/default/activity/dashboard.php,
+ mod/activity/views/default/activity/wrapper.php,
+ .../views/default/settings/activity/edit.php: Farewell, activity, we hardly
+ knew ye.
+
+2008-10-29 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * INSTALL.txt, UPGRADE.txt: Modification to install and upgrade text files
+ to include details about the crontab
+
+2008-10-29 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/settings/upgrading.php: Added upgrading screen
+
+ * _graphics/upgrader.gif, languages/en.php, upgrade.php: Visual upgrader.
+
+2008-10-29 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/activity/manifest.xml, mod/apiadmin/manifest.xml,
+ mod/diagnostics/manifest.xml, mod/garbagecollector/manifest.xml,
+ mod/groups/manifest.xml, mod/guidtool/manifest.xml,
+ mod/logbrowser/manifest.xml, mod/logrotate/manifest.xml,
+ mod/profile/manifest.xml, mod/river/manifest.xml,
+ mod/updateclient/manifest.xml, mod/uservalidationbyemail/manifest.xml:
+ manifest files sync'ed
+
+2008-10-29 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/account/forms/login.php, views/default/css.php: persistent
+ login checkbox styled
+
+2008-10-29 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php: Menu link text change, to be more descriptive
+
+2008-10-29 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/start.php, mod/profile/views/default/profile/userdetails.php:
+ Hide 'about me' on custom profiles
+
+2008-10-29 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Annotation pagination is now corrected where more
+ than 10 items are being displayed at once.
+
+2008-10-29 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * javascript/friendsPickerv1.js, javascript/initialise_elgg.js: remove js,
+ moved into views
+
+ * javascript/initialise_elgg.js, views/default/css.php,
+ views/default/js/initialise_elgg.php: updates for v1.1, css cleanup, removal
+ of redundant classes, redundant js removed
+
+2008-10-29 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/system_log.php, mod/logrotate/start.php: Logrotate and archive
+ containing offset. Table still created as $now but containing < $now-period.
+
+2008-10-28 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/garbagecollector/languages/en.php, mod/garbagecollector/manifest.xml,
+ mod/garbagecollector/start.php,
+ .../default/settings/garbagecollector/edit.php: Introducing the Elgg garbage
+ collector.
+
+2008-10-28 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/groups/css.php: layout bug when not group owner
+
+2008-10-28 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/navigation/toolbox.php: Removed the toolbox.
+
+ * views/default/page_elements/header.php: Removed needless comment
+
+2008-10-28 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: added additional styles for code, pre, blockquote,
+ dl, dt etc
+
+2008-10-28 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, engine/lib/plugins.php: Views are now more
+ granular.
+
+2008-10-28 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/login.php, languages/en.php,
+ views/default/account/forms/login.php: Closes #306
+
+2008-10-28 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/failsafe/messages/errors/list.php,
+ views/failsafe/messages/messages/list.php: messages in failsafe mode moved to
+ inline ones - so they don't obscure text below
+
+2008-10-28 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../river/annotation/generic_comment/create.php: Hoiking out the generic
+ comment view again. Needs to be rethought.
+
+ * languages/en.php, .../river/annotation/generic_comment/create.php:
+ Committed a generic comment view.
+
+2008-10-28 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/social.php, languages/en.php: Closes #440
+
+ * engine/lib/access.php: Flags to prevent queries being run multiple times.
+
+ * engine/lib/access.php: Removed spurious notice
+
+ * languages/en.php, views/default/admin/plugins_opt/plugin.php: Closes #476:
+ Well spotted.
+
+2008-10-27 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/owner_block.php: additional dicv added to
+ owner block for theme purposes
+
+2008-10-27 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * crontab.example:
+
+ * mod/groups/languages/fr.php, mod/groups/languages/it.php,
+ mod/groups/languages/ru.php, mod/groups/languages/th.php,
+ mod/groups/languages/zh.php: REMOVED LANGUAGE FILES FROM CORE. This is due to
+ a stupid and frustrating legal reason.
+
+ * languages/de.php, languages/es.php, languages/fr.php, languages/it.php,
+ languages/ru.php, languages/th.php, languages/zh.php,
+ mod/activity/languages/ru.php, mod/apiadmin/languages/ru.php,
+ mod/diagnostics/languages/fr.php, mod/diagnostics/languages/it.php,
+ mod/diagnostics/languages/ru.php, mod/diagnostics/languages/th.php,
+ mod/diagnostics/languages/zh.php, mod/guidtool/languages/it.php,
+ mod/guidtool/languages/ru.php, mod/guidtool/languages/th.php,
+ mod/guidtool/languages/zh.php, mod/logbrowser/languages/fr.php,
+ mod/logbrowser/languages/it.php, mod/logbrowser/languages/ru.php,
+ mod/logbrowser/languages/th.php, mod/logbrowser/languages/zh.php,
+ mod/reportedcontent/languages/fr.php, mod/reportedcontent/languages/it.php,
+ mod/reportedcontent/languages/ru.php, mod/reportedcontent/languages/th.php,
+ mod/reportedcontent/languages/zh.php, mod/river/languages/fr.php,
+ mod/river/languages/it.php, mod/river/languages/ru.php,
+ mod/river/languages/th.php, mod/river/languages/zh.php,
+ mod/updateclient/languages/fr.php, mod/updateclient/languages/it.php,
+ mod/updateclient/languages/ru.php, mod/updateclient/languages/th.php,
+ mod/updateclient/languages/zh.php,
+ mod/uservalidationbyemail/languages/ru.php: REMOVED LANGUAGE FILES FROM CORE.
+ This is due to a stupid and tedious legal reason.
+
+ * mod/logrotate/languages/en.php, mod/logrotate/manifest.xml,
+ mod/logrotate/start.php, .../views/default/settings/logrotate/edit.php:
+ Closes #215: Log rotation added.
+
+2008-10-27 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/actions/leave.php, mod/groups/start.php,
+ mod/groups/views/default/forum/topicposts.php,
+ mod/groups/views/default/forum/topics.php,
+ .../views/default/object/groupforumtopic.php: Removing WSOD errors in groups
+
+2008-10-27 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/system_log.php: Refs #215: Minor tweak to logic
+
+2008-10-27 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php: Hopefully slightly friendlier token mismatch errors.
+
+2008-10-27 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/system_log.php: Refs #215: System hook for archiving log. Cron
+ yet to be added.
+
+ * .../views/default/profile/menu/adminlinks.php: Closes #448: Functionality
+ was present, but was lacking interface. Interface provided.
+
+ * views/default/object/default.php: Closes #460: Good spot, thanks for the
+ contribution!
+
+ * languages/en.php: Closes #473: Good spot
+
+2008-10-24 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * account/register.php, actions/register.php, engine/lib/users.php,
+ views/default/account/forms/register.php: The friend invite infrastructure is
+ now secure.
+
+2008-10-24 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/activity/languages/ru.php:
+
+ * mod/activity/languages/ru.php: Closes #469: Thankyou for the translation!
+
+2008-10-24 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * account/register.php, register.php: Further additions to the registration
+ form.
+
+ * views/default/account/forms/register.php: Added friend guid handling to
+ the registration form.
+
+ * engine/lib/users.php: Added the ability to add a user to a user's friends
+ list on registration.
+
+ * actions/register.php: Added the ability to add a user to a user's friends
+ list on registration.
+
+ * engine/lib/entities.php: Revert.
+
+ * engine/lib/entities.php: Improvements for container overrides.
+
+2008-10-22 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/input/radio.php: Fix for input/radio where keys aren't
+ specified
+
+2008-10-22 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/usersettings/plugins_opt/plugin.php: Minor tweaks to tools
+ settings
+
+ * languages/en.php: Added reply
+
+2008-10-22 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php: Small language additions for open and close.
+
+2008-10-22 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/shout_speech_bubble.gif: removed and added to thewire plugin
+
+2008-10-22 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/cron.php: Better default forward
+
+2008-10-22 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/groups/css.php: Fixed typo! Well spotted,
+ @notomodungwa
+
+2008-10-22 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * crontab.example, engine/lib/cron.php: Increasing sub-hour cron granularity
+
+ * engine/schema/mysql.sql, engine/schema/upgrades/2008102101.sql: Removed
+ unnecessary column
+
+ * engine/lib/sessions.php: Closes #453 and #463: Completed work. Sessions
+ now stored in database.
+
+ * engine/lib/sessions.php, engine/schema/mysql.sql,
+ engine/schema/upgrades/2008102101.sql: Semi-working session code. Still won't
+ permit logging in, commented out until there is time to fix. Problem seems
+ to be based around the action_gatekeeper() and the values set for the
+ __elgg_session. Removing this component from the key causes the token to be
+ valid. My feeling is that the session is not being saved or loaded
+ correctly.
+
+2008-10-21 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/navigation/topbar_tools.php: #458 fixed - IE7 conditional
+ statement bug
+
+2008-10-21 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/sessions.php, engine/schema/mysql.sql,
+ engine/schema/upgrades/2008102101.sql, version.php: Database session code.
+ IMPORTANT NOTE: The trigger for this is commented out, so old session code
+ is used. There are serious problems with using the new code since it causes a
+ chicken and egg problem with the upgrade script.
+
+ * engine/lib/group.php, engine/lib/objects.php, engine/lib/sites.php,
+ engine/lib/users.php: Closes #457: Now returns $guid on success, false on
+ fail
+
+ * engine/lib/sites.php, languages/en.php: Added safety rail to prevent
+ disabling/deleting of currently viewed site.
+
+2008-10-20 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/output/checkboxes.php: Output/checkboxes now defers to
+ output/tags rather than output/text; much more sensible.
+
+ * engine/lib/input.php: The input filter now deals with two-dimensional
+ input arrays.
+
+2008-10-20 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/apiadmin/views/default/object/api_key.php:
+
+ * mod/apiadmin/views/default/object/api_key.php: Minor tweaks to avoid
+ breaking non-admin css
+
+2008-10-20 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/plugins.php: Important fix for deleted plugins.
+
+2008-10-17 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/output/checkboxes.php, views/default/output/radio.php:
+ Default output fields for radio and checkboxes
+
+ * search/users.php: Fixed double layout issue
+
+2008-10-17 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/usersettings.php, languages/en.php, settings/index.php,
+ settings/plugins.php, settings/statistics.php, settings/statistics/index.php,
+ settings/user.php, settings/user/index.php, views/default/object/plugin.php,
+ views/default/usersettings/plugins.php,
+ views/default/usersettings/plugins_opt/plugin.php: Closes #335: Marcus Povey
+ : * Per user tools settings now present again (only displays if there are
+ settings present AND the plugin is enabled - needs skinning accordingly) *
+ Re-jigged the settings code to behave like a proper page handler. * Minor
+ tweak to language
+
+ * engine/lib/system_log.php, engine/schema/mysql.sql,
+ engine/schema/upgrades/2008101701.sql, version.php: Changes to access any
+ system log code. Introducing owner guid on items which is necessary for
+ access control. Requires upgrade.php to be run
+
+2008-10-17 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/admin/plugins_opt/plugin.php, views/default/css.php:
+ activity stream basic style applied, and plugin reorder links adjusted
+
+2008-10-16 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: widget gallery more info spacing fixed
+
+2008-10-16 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php: Minor language tweak.
+
+ * actions/admin/plugins/reorder.php, admin/plugins/index.php,
+ engine/lib/plugins.php, languages/en.php, views/default/admin/plugins.php,
+ views/default/admin/plugins_opt/plugin.php: You can now reorder plugins.
+
+2008-10-16 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/css.php: gap in user menu removed
+
+2008-10-16 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/activity/all.php, mod/activity/friends.php, mod/activity/index.php,
+ mod/activity/languages/en.php, mod/activity/manifest.xml,
+ mod/activity/start.php, mod/activity/views/default/activity/dashboard.php,
+ mod/activity/views/default/activity/wrapper.php,
+ .../views/default/settings/activity/edit.php: Initial activity view. Missing
+ functionality: comments, tabs and drill down ability.
+
+2008-10-16 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/statistics.php: Improved online users stats so that more than
+ 10 get displayed
+
+2008-10-16 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Moving internal function out of elgg_view_tree()
+ since this caused problems
+
+ * engine/lib/configuration.php: Closes #438
+
+ * engine/lib/river.php:
+
+ * engine/lib/river.php, engine/lib/system_log.php, engine/schema/mysql.sql,
+ engine/schema/upgrades/2008101601.sql, version.php: Closes #442: Requires
+ schema upgrade. Side effect - friends activity rivers will be blanked.
+
+ * engine/lib/river.php: River performance tweak
+
+2008-10-15 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/languages/ru.php: Committing groups translation
+
+ * .../notifications/settings/usersettings.php: Notification methods now
+ better translated
+
+ * languages/ru.php: Closes #433: Merged changes from trac and email
+ submissions. Thanks for all submissions!
+
+ * languages/ru.php: Initial core russian translation
+
+ * mod/apiadmin/languages/ru.php, mod/diagnostics/languages/ru.php,
+ mod/guidtool/languages/ru.php, mod/logbrowser/languages/ru.php,
+ mod/reportedcontent/languages/ru.php, mod/river/languages/ru.php,
+ mod/updateclient/languages/ru.php,
+ mod/uservalidationbyemail/languages/ru.php: Refs #433: Russian translations
+ for core plugins
+
+2008-10-15 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php: view link added to en.php
+
+2008-10-14 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/social.php: Removed an annoying variable cast that was
+ destroying everything.
+
+ * engine/lib/social.php: The word blacklist for filter_string is now loaded
+ on init.
+
+2008-10-14 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: Edit profile form modified
+
+2008-10-14 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php:
+
+ * mod/profile/actions/deletedefaultprofileitem.php,
+ mod/profile/actions/editdefault.php,
+ mod/profile/actions/resetdefaultprofile.php, mod/profile/defaultprofile.php,
+ mod/profile/start.php, .../views/default/profile/editdefaultprofile.php:
+ Closes #235: Simple profile editor in place
+
+2008-10-13 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/friends_picker_arrow_left.gif,
+ _graphics/friends_picker_arrow_right.gif,
+ _graphics/friends_picker_arrows.gif, _graphics/gallery_back_icon.gif,
+ _graphics/gallery_back_icon_on.gif, views/default/css.php: Friends picker
+ arrows combined into one resource, and updated with hover effect. Redundant
+ image gallery icons removed.
+
+2008-10-13 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php:
+
+ * engine/lib/elgglib.php: Catching error on datalists
+
+2008-10-13 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/avatar_menu_arrow.gif, _graphics/avatar_menu_arrow_hover.gif,
+ _graphics/avatar_menu_arrow_open.gif, _graphics/avatar_menu_arrows.gif,
+ mod/profile/views/default/profile/css.php: Avatar user menu arrows updated to
+ use one image rather than 3
+
+2008-10-13 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/river.php: Closes #412
+
+ * engine/lib/river.php: Refs #431: Belts and braces added to river view
+
+2008-10-13 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/account/forms/useradd.php: Adjusted spacing on add user form
+
+2008-10-13 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/start.php: Refs #432: Typo
+
+ * mod/groups/start.php: Closes #432: Hook added as profile
+
+ * mod/profile/start.php: Minor commenting
+
+2008-10-13 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * INSTALL.txt, UPGRADE.txt: Updated the install and upgrade text.
+
+2008-10-13 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/reportedcontent/languages/zh.php: Refs #424: reportedcontent
+
+ * mod/groups/languages/zh.php, mod/guidtool/languages/zh.php,
+ mod/river/languages/zh.php: Refs #424: guidtool, groups, river
+
+ * mod/diagnostics/languages/zh.php, mod/logbrowser/languages/zh.php,
+ mod/updateclient/languages/zh.php: Refs #424: Diagnostics, logbrowser,
+ updateclient
+
+ * languages/zh.php: Refs #424: Core translations added.
+
+ * UPGRADE.txt, engine/lib/version.php, upgrade.php: Closes #430
+
+2008-10-13 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/graphics/defaultlarge.gif,
+ mod/groups/graphics/defaultmedium.gif, mod/groups/graphics/defaultsmall.gif,
+ mod/groups/graphics/defaulttiny.gif,
+ .../views/default/icon/group/default/large.php,
+ .../views/default/icon/group/default/medium.php,
+ .../views/default/icon/group/default/small.php,
+ .../views/default/icon/group/default/tiny.php,
+ mod/profile/graphics/defaultlarge.jpg,
+ mod/profile/graphics/defaultmedium.jpg,
+ mod/profile/graphics/defaultsmall.jpg, mod/profile/graphics/defaulttiny.jpg,
+ mod/profile/graphics/defaulttopbar.jpg: Groups updated to use new icon method
+ and new groups icons added. Profile updated with new default avatars
+
+2008-10-13 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/upgrades/2008101303.php, version.php: Fixed the previous user
+ login issue.
+
+2008-10-13 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/languages.php: Introducing get_current_language(). Refs #235
+
+ * mod/profile/start.php: Refs #235: Added hooks for future admin interface
+
+ * mod/profile/start.php:
+
+2008-10-13 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/annotation/generic_comment.php: default message styled
+
+2008-10-13 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/start.php: Refs #235: Now possible for plugins to change
+ default profile (plugin hook 'profile:fields', 'profile'.
+
+2008-10-10 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: widget more info tooltip improvements
+
+ * views/default/canvas/layouts/widgets.php: further fixes to the widget
+ gallery to use css-based images
+
+ * views/default/canvas/layouts/widgets.php, views/default/css.php: Updated
+ widget picker gallery to use classes for images rather than hard-coded
+ resources.
+
+2008-10-08 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/css.php,
+ mod/profile/views/default/profile/icon.php,
+ mod/profile/views/default/profile/javascript.php: user avatar menu method
+ adjusted to avoid hard-coded image resources
+
+2008-10-08 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php, views/default/messages/errors/list.php,
+ views/default/messages/messages/list.php: system message strings moved to
+ elgg_echo
+
+2008-10-08 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, mod/profile/icon.php,
+ .../views/default/icon/user/default/large.php,
+ .../views/default/icon/user/default/master.php,
+ .../views/default/icon/user/default/medium.php,
+ .../views/default/icon/user/default/small.php,
+ .../views/default/icon/user/default/tiny.php,
+ .../views/default/icon/user/default/topbar.php: Default icon overrides.
+
+ * engine/lib/entities.php, mod/profile/icon.php: Simple hook to allow for
+ default user and entity icons.
+
+2008-10-08 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php:
+
+ * engine/lib/entities.php, mod/groups/graphics/defaultlarge.jpg,
+ mod/groups/graphics/defaultmedium.jpg, mod/groups/graphics/defaultsmall.jpg,
+ mod/groups/graphics/defaulttiny.jpg, mod/groups/start.php,
+ mod/groups/views/default/groups/icon.php: Closes #417 & #418
+
+ * engine/lib/filestore.php, mod/profile/start.php: Minor optimisations.
+ Introducing exists() on filestores
+
+ * _graphics/icons/default/topbar.png, engine/lib/entities.php,
+ mod/profile/icon.php, mod/profile/start.php,
+ mod/profile/views/default/profile/editicon.php,
+ mod/profile/views/default/profile/icon.php,
+ views/default/page_elements/elgg_topbar.php: Closes #301: Profile icons now
+ use getIcon() API. Overrides now possible: For themes to override, create a
+ plugin hook listening to 'entity:icon:url' and object 'user'. In the hook
+ return a different url. To replace default user icons in a plugin one might
+ create a hook: function profile_usericon_hook($hook, $entity_type,
+ $returnvalue, $params) { if ((!$returnvalue) && ($params['entity'] instanceof
+ ElggUser)) { // return your default graphic here. } } And set it to priority
+ 900 (lower priority than the code that displays a pretty icon for users but
+ higher than the default object display code in entities.php)
+
+ * engine/lib/users.php: Allowing '.' in username, refs #415
+
+ * views/rss/pageshells/pageshell.php: Fixes #413: Rss feeds with GET query
+ strings now functioning. Thanks for your patch, nice spot!
+
+ * languages/en.php: Fixes #415
+
+2008-10-08 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/ajax/loader.php, views/default/css.php: ajax loader updated
+ to use an image from _graphics rather than a hard-coded image resource (to
+ make theming possible).
+
+2008-10-07 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/statistics.php: Removing pagination from online users.
+
+2008-10-07 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/river.php: Removed debug
+
+ * engine/lib/entities.php: Minor tweaks to the getIcon code: * Default now
+ running on priority 1000 * Renamed default hook to a better name Marcus Povey
+
+2008-10-07 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/relationships.php,
+ .../views/default/widgets/a_users_groups/view.php: Removed pagination for the
+ groups widget
+
+2008-10-07 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/schema/mysql.sql, engine/schema/upgrades/2008100601.sql: Bugfixes
+ to river script
+
+ * engine/schema/mysql.sql: Added river key to main schema
+
+2008-10-07 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/failsafe/messages/errors/list.php,
+ views/failsafe/messages/messages/list.php: updated failsafe message divs
+ dimensions
+
+2008-10-07 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/upgrades/2008100601.php, engine/lib/upgrades/2008100701.php:
+ Changed the name. Refs #409
+
+ * engine/lib/database.php, engine/lib/upgrades/2008100601.php,
+ engine/lib/version.php, languages/en.php, version.php: Closes #409: PHP
+ update script to update core added
+
+ * mod/uservalidationbyemail/start.php: removed debug
+
+ * mod/groups/languages/th.php: Removing BOM
+
+ * mod/updateclient/languages/th.php: Thai language pack
+
+ * mod/river/languages/th.php: Thai language pack
+
+ * mod/reportedcontent/languages/th.php: Thai language pack
+
+ * mod/logbrowser/languages/th.php: Thai language pack
+
+ * mod/guidtool/languages/th.php: Thai language pack
+
+ * mod/groups/languages/th.php: Thai language pack
+
+ * mod/diagnostics/languages/th.php: Thai language pack
+
+ * languages/th.php: Closes #406: Thanks for your submission
+
+2008-10-06 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/metadata.php, mod/profile/start.php: Introduced a way to either
+ tether metadata access to entities, or to make them independent - in either
+ case, explicitly.
+
+2008-10-06 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/notification.php:
+
+ * engine/lib/river.php: Deleted old gumpf refs #402 and #407
+
+ * engine/lib/river.php, engine/schema/mysql.sql,
+ engine/schema/upgrades/2008100601.sql,
+ .../default/river/ElggRelationship/create.php,
+ .../default/river/relationship/member/create.php,
+ .../default/river/ElggUser/profileiconupdate.php,
+ .../views/default/river/ElggUser/profileupdate.php,
+ .../river/user/default/profileiconupdate.php,
+ .../default/river/user/default/profileupdate.php,
+ views/default/river/ElggRelationship/create.php,
+ views/default/river/relationship/friend/create.php: Closes #402 and #407 :
+ Right! Finally nailed this fscking issue to the wall (hopefully). Modified
+ system log to hold extra information about the class being stored, this lets
+ us pull only the required rows from the event log. This drastically
+ simplifies and speeds up the query. Requires: Database upgrade. Outstanding
+ issues: Query is using filesort despite using correct index. Still much
+ faster than the last method!
+
+ * engine/lib/elgglib.php: Removed unnecessary debug
+
+2008-10-06 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, engine/lib/entities.php, engine/lib/metadata.php,
+ views/default/search/entity_list.php: You can now remove pagination from a
+ list
+
+ * search/users.php: User browsing is revamped.
+
+ * engine/lib/users.php: List_user_friends_objects now lets you hide the
+ toggle to gallery view
+
+2008-10-06 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/logbrowser/index.php: Refs #402 and #407: Extra fields added to
+ system_log
+
+ * engine/lib/extender.php, engine/lib/relationships.php,
+ engine/lib/system_log.php, engine/schema/mysql.sql,
+ engine/schema/upgrades/2008100601.sql, version.php: Refs #402 and #407: Extra
+ fields added to system_log
+
+2008-10-06 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Minor fix for previous.
+
+ * engine/lib/elgglib.php: Introducing elgg_view_tree, for getting an array
+ of views
+
+ * engine/lib/elgglib.php, views/default/js/friendsPickerv1.php,
+ views/default/js/initialise_elgg.php, views/default/page_elements/header.php:
+ Elgg Javascript now goes through the views system
+
+ * engine/lib/access.php: A massive speed increase for access permissions. :)
+
+2008-10-06 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Closes #405: If -> else if. Nice spot.
+
+2008-10-04 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/comments/forms/edit.php: removed ratings hook from comments.
+ It will now go into plugins.
+
+2008-10-03 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php, views/default/messages/errors/list.php,
+ views/default/messages/messages/list.php: updated messaging system with
+ 'click to dismiss' and moved it's position to not overlap the top toolbar
+
+2008-10-03 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/systemsettings/install.php: Closes #399
+
+ * engine/lib/version.php: Fixes #401
+
+ * languages/en.php, mod/uservalidationbyemail/languages/en.php,
+ mod/uservalidationbyemail/start.php: Refs #311: Modified message slightly
+
+ * actions/register.php, engine/lib/users.php, .../actions/email/confirm.php,
+ mod/uservalidationbyemail/languages/en.php,
+ mod/uservalidationbyemail/start.php: Fixes #311, closes #345: Validation now
+ called via event 'user', 'validate'. Email validation now a plugin.
+
+2008-10-03 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php, views/default/messages/errors/list.php,
+ views/failsafe/messages/errors/list.php,
+ views/failsafe/messages/messages/list.php: updated messages system
+
+2008-10-03 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php: Removed old validation code
+
+ * languages/en.php: Refs #311 : Removing old email confirmation code.
+
+ * actions/email/confirm.php: Refs #311 : Removing old email confirmation
+ code.
+
+ * engine/lib/install.php: Fixing whitescreen on settings file creation
+
+ * mod/uservalidationbyemail/languages/en.php,
+ mod/uservalidationbyemail/manifest.xml, mod/uservalidationbyemail/start.php:
+ Refs #311: Saving stub prior to relocation...
+
+ * actions/systemsettings/install.php: Refs #311: Enable email validation
+ module by default
+
+ * engine/lib/users.php: Refs #311
+
+ * engine/lib/sessions.php: Refs #311
+
+ * actions/register.php: Refs #311
+
+ * actions/email/save.php: Refs #311
+
+ * actions/plugins/settings/save.php, actions/plugins/usersettings/save.php:
+ Closes #398
+
+ * engine/lib/install.php, engine/settings.example.php: Fixing installer
+ issue.
+
+2008-10-02 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/start.php: Fitter, happier group links
+
+ * engine/lib/admin.php: Added an admin override for container permissions
+ checking.
+
+ * mod/groups/start.php: Improved group menu items.
+
+2008-10-01 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * crontab.example, engine/handlers/cron_handler.php, engine/lib/cron.php,
+ htaccess_dist, languages/en.php: Improved cron support
+
+ * engine/lib/metadata.php: Closes #379
+
+ * engine/lib/metadata.php: Closes #389
+
+ * engine/lib/database.php, engine/lib/install.php: Fixes #395
+
+2008-09-30 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/actions.php: Minor tweaks
+
+ * engine/lib/actions.php: Changed to plugin hook
+
+ * engine/lib/actions.php: Closes #390: Triggers an event 'action', $action
+ before action is included. This is rather kludgy and we need to rethink this
+ a bit.
+
+ * engine/lib/plugins.php: Actually, bad idea...
+
+ * engine/lib/plugins.php: Events now triggered on plugin enable/disable
+
+ * actions/friends/remove.php, engine/lib/configuration.php,
+ engine/lib/users.php: Closes #388: Additionally the site check in
+ configuration_init() should be instanceof. Nice spot, thanks.
+
+2008-09-30 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php, views/default/messages/errors/list.php,
+ views/default/messages/messages/list.php: Messages/error notification system
+ updated with dismiss button, longer delay, and sticky/fixed position (at top
+ of viewport).
+
+2008-09-30 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/version.php: Install now works again
+
+2008-09-30 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/comments/forms/edit.php: ratings hook added to comments
+ block
+
+2008-09-29 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Added IP address tools, todo check licence.
+
+ * engine/lib/notification.php, languages/en.php: Set the notification
+ settings
+
+ * engine/lib/notification.php, languages/en.php: Notifications get
+ notification stuff in API form.
+
+ * actions/admin/site/update_basic.php, actions/systemsettings/install.php,
+ languages/en.php, views/default/settings/system.php,
+ views/failsafe/settings/system.php: Closes #383 & #384
+
+ * engine/lib/notification.php: Closes #369: For entities which are not users
+ the ->email field is used, failing that it tries to work out an address from
+ ->url and lastly from $CONFIG->site_guid. Closes #382: Fix applied as
+ suggested.
+
+2008-09-29 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/input.php: get_input can now be properly overridden by
+ set_input. Fixes #324
+
+2008-09-25 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/sessions.php:
+
+ * engine/lib/api.php:
+
+ * engine/lib/api.php: Debug
+
+ * engine/lib/api.php, engine/lib/sessions.php, services/api/rest.php:
+ Committing what I have at the end of the day, api still not 100%
+
+ * engine/lib/cache.php: Caching support fixed.
+
+ * engine/lib/api.php: Fixing auth_token code
+
+ * engine/lib/pam.php: Fixed issue with pam handler, now if required method
+ throws an exception the authentication short circuits with false.
+
+2008-09-25 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php: Clear annotations now clears annotations
+ properly when no name is specified. Fixes #357
+
+ * engine/lib/annotations.php: Count annotations now fails properly if a
+ metastring is not found. Fixes #377
+
+2008-09-25 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php: Make statisics nicer
+
+ * mod/apiadmin/languages/en.php: Make statisics nicer
+
+ * engine/lib/api.php: view now set by endpoint
+
+ * engine/lib/widgets.php: Closes #326: add_widget uses the specified
+ $user_guid as $widget->owner_guid
+
+2008-09-24 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/install.php:
+
+ * languages/en.php: Closes #360: Will now install on 5.1.6 or greater with a
+ warning, 5.2 with now warning.
+
+ * engine/lib/install.php: Closes #360: Will now install on 5.1.6 or greater
+ with a warning, 5.2 with now warning.
+
+ * mod/updateclient/start.php: Modified pinger to use new style endpoint
+ format. Note, this will require ping.elgg.org to be upgraded come next
+ release!
+
+ * engine/lib/ping.php: Modified pinger to use new style endpoint format.
+ Note, this will require ping.elgg.org to be upgraded come next release!
+
+ * engine/lib/ping.php, mod/diagnostics/start.php,
+ mod/updateclient/start.php, views/default/admin/statistics_opt/basic.php:
+ Closes 374: Using get_version function instead of including the version file.
+
+ * engine/lib/api.php, languages/en.php: Minor improvements to failure mode.
+
+ * engine/lib/api.php: auth_token api call now functional
+
+ * services/api/rest.php: Addapted to be called from pagehandler
+
+ * engine/lib/api.php:
+
+2008-09-24 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/access.php: Returning cache control back to access permissions.
+
+2008-09-24 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/apiadmin/actions/generate.php, mod/apiadmin/actions/revokekey.php,
+ mod/apiadmin/views/default/object/api_key.php: Added action_gatekeeper() code
+
+ * engine/lib/api.php:
+
+ * engine/lib/api.php: Added endpoint page handler.
+
+ * mod/apiadmin/actions/generate.php, mod/apiadmin/actions/revokekey.php,
+ mod/apiadmin/index.php, mod/apiadmin/languages/en.php,
+ mod/apiadmin/manifest.xml, mod/apiadmin/start.php,
+ .../views/default/apiadmin/forms/add_key.php,
+ mod/apiadmin/views/default/object/api_key.php: Introducing API key
+ administration panel
+
+ * engine/lib/api.php: Create keypair code added
+
+ * engine/lib/api.php: Added create_api_user
+
+ * engine/lib/api.php: Added remove_api_user function
+
+ * engine/lib/api.php: Will now handle banned users
+
+2008-09-24 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/access.php, engine/lib/metadata.php: Metadata permissions now
+ work as advertised, but had to remove caching in the process. Will attempt to
+ re-enable it shortly.
+
+2008-09-24 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/user/settings/name.php: Closes #362: Nice spot. Cause was
+ an omission of the user being edited being passed. No security issues
+ introduced by having this user set, since editing user must still pass a
+ canEdit() in the action itself.
+
+2008-09-23 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/database.php, engine/lib/version.php,
+ engine/schema/upgrades/2008092301.sql, languages/en.php, version.php: The
+ database schema will now automatically update itself on upgrade.
+
+ * engine/lib/api.php, engine/start.php: The cache library is now loaded
+ before the main library boot.
+
+2008-09-23 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/cache.php: Belts and braces
+
+2008-09-23 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/schema/upgrades/2008092301.sql: Database upgrade script from 1.0 to
+ latest schema.
+
+2008-09-22 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/api.php: API improvement. Authentication via token now logs
+ user in for that session.
+
+ * mod/profile/index.php: Closes #367: Widgets only displayed for found
+ profiles.
+
+2008-09-20 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/database.php: Apparently non-p connections are better in many
+ cases, see http://www.slideshare.net/techdude/how-to-kill-mysql-performance
+
+ * languages/it.php: Closes #363
+
+2008-09-19 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * friends/index.php, friends/of.php: Closes #358 and #359. Well spotted.
+
+ * languages/fr.php: Closes #356: Thanks for the contribution!
+
+2008-09-17 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php: API explanations added to language file
+
+ * engine/lib/access.php: Access permissions now cached correctly.
+
+ * engine/lib/plugins.php: Optimised plugin query.
+
+ * engine/lib/metadata.php: Short circuit added for missing values.
+
+ * engine/lib/plugins.php: Removed old gunk
+
+ * engine/lib/api.php: Load cache php
+
+ * engine/lib/api.php: Changed caching to memory.
+
+ * engine/schema/mysql.sql: Added hmac cache of hmacs we have seen before
+ (MEMORY TABLE)
+
+ * engine/schema/mysql.sql: Added hmac cache of hmacs we have seen before
+ (MEMORY TABLE)
+
+ * engine/lib/api.php: Some api improvements: * User tokens setable * List
+ api no longer requires token
+
+ * engine/schema/mysql.sql: Changed users_apisessions to use memory, since
+ there is no reason to store this forever.
+
+ * languages/de.php: Added german core from Elgg development group
+
+ * engine/lib/system_log.php: System log now using delayed writes.
+
+2008-09-15 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/schema/mysql.sql: Marcus Povey: * Some missing indexes added to
+ database. * Removed privileged path table since this is no longer used.
+
+ * engine/lib/elgglib.php: Removed privileged path code since this is no
+ longer used
+
+ * engine/lib/entities.php: Closes #346: More granularity on enable/disable.
+
+ * mod/reportedcontent/languages/it.php: Italian language patch
+
+ * mod/updateclient/languages/it.php: Italian language patch
+
+ * mod/river/languages/it.php: Italian language patch
+
+ * mod/logbrowser/languages/it.php: Italian language patch
+
+ * mod/guidtool/languages/it.php: Italian language patch
+
+ * mod/groups/languages/it.php: Italian language patch
+
+ * mod/diagnostics/languages/it.php: Italian language patch
+
+2008-09-12 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/gallery.php,
+ mod/profile/views/default/profile/listing.php,
+ mod/profile/views/default/profile/userdetails.php: Basic XFN support
+
+2008-09-11 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/reportedcontent/languages/en.php: Added missing translation
+
+2008-09-10 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/extender.php: Closes #349: We need to improve
+ detect_extender_valuetype
+
+ * languages/it.php: Closes #348: Thanks for the patch!
+
+ * languages/it.php: Closes #339 : Italian alpha core language pack applied.
+ Thanks for the contribution!
+
+ * engine/lib/languages.php: Improved language completeness algorithm.
+
+2008-09-09 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/fr.php: Closes #342: Thanks for the updates!
+
+ * engine/lib/languages.php: Minor tweak: Only shows percentage on things
+ less than 100% complete
+
+ * engine/lib/languages.php: Some language helper tools.
+
+ * engine/lib/annotations.php, engine/lib/metadata.php: Closes #338: You're
+ quite right, this is was an error on our part. Nice spot!
+
+ * engine/lib/languages.php, languages/en.php: Introducing: Admin only
+ translation "completeness" helper.
+
+2008-09-08 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/es.php: Preliminary spanish translation
+
+ * engine/lib/xml-rpc.php: Refs #172: Removed line endings on spec.
+
+ * mod/diagnostics/languages/fr.php, mod/groups/languages/fr.php,
+ mod/logbrowser/languages/fr.php, mod/reportedcontent/languages/fr.php,
+ mod/river/languages/fr.php, mod/updateclient/languages/fr.php: Closes #298:
+ Thankyou for your contribution!
+
+ * languages/fr.php: Closes #300: Thanks for the translation!
+
+ * languages/en.php, mod/groups/actions/forums/edittopic.php,
+ mod/groups/languages/en.php: Closes #320: Filled in missing translations
+
+2008-09-07 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/input/checkboxes.php, views/default/input/radio.php,
+ .../notifications/settings/usersettings.php: Fixes 334: Radio buttons were
+ part of the problem, label and option were the wrong way round (compare with
+ input/checkboxes). Second part of the problem was actually with
+ views/default/notifications/settings/usersettings.php, where the value of the
+ field was incorrectly being extracted from the settings array. Thanks for
+ the spot!
+
+2008-09-06 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/plugins/disable.php, actions/admin/plugins/enable.php,
+ views/default/admin/plugins_opt/plugin.php: Closes #327: Thanks for the
+ pointer. In the end I modified the fix from the patch you sent, it still uses
+ get but the generated token should make a CSRF attack much harder.
+
+ * actions/admin/user/ban.php, actions/admin/user/delete.php,
+ actions/admin/user/makeadmin.php, actions/admin/user/resetpassword.php,
+ actions/admin/user/unban.php, .../views/default/profile/menu/adminlinks.php:
+ Fixes #332: Added tokens and action_gatekeeper()
+
+ * engine/lib/database.php: Fixes #330: I implemented the changes you
+ suggested (except for quotes around the table name). What problems did you
+ encounter?
+
+ * mod/reportedcontent/languages/en.php: Refs #331: Trying this again...
+
+ * mod/reportedcontent/languages/en.php,
+ views/default/page_elements/owner_block.php: Closes 331: Removed fixed string
+ in translation. Thanks for the spot!
+
+2008-09-02 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/schema/mysql.sql: Set default db to UTF 8... for what it's worth.
+
+2008-09-01 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/input.php: Closes #305
+
+ * actions/admin/site/update_basic.php: Fixes #244
+
+ * views/default/settings/system.php: Fixes the regression introduced by
+ [2023]
+
+ * engine/lib/actions.php, engine/lib/sessions.php: Additional per-session
+ random token, additional randomness protection against CSRF. Report problems.
+
+2008-08-31 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/actions.php, engine/lib/sessions.php: Some session
+ fingerprinting code. Requires user agent to be consistent across requests.
+
+ * engine/lib/sessions.php: Regenerates session ID on user login. This helps
+ prevent some hijacking attacks.
+
+ * engine/lib/sessions.php: Minor tweak, logout now calls session_destroy()
+
+2008-08-28 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/languages.php, engine/start.php: Closes #313, Fixes #274:
+ Resolved strange OSX language file browsing, including speculative windows
+ fix. Thanks for the patch!
+
+2008-08-26 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php, views/default/canvas/layouts/widgets.php,
+ views/default/navigation/toolbox.php,
+ views/default/navigation/topbar_tools.php: Closes #304: Thanks for the patch!
+
+ * languages/en.php: Fixes #275: Thanks for the report!
+
+ * engine/lib/statistics.php, languages/en.php,
+ views/default/admin/statistics_opt/basic.php: Minor tweak to basic stats to
+ clarify number of users in the system.
+
+2008-08-25 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/filestore.php, engine/lib/users.php, languages/en.php: Closes
+ #254: If mb_string is installed then internationalised usernames are
+ supported in file system. Because of this I have raised mbstring from
+ recommended to a (non-fatal) core requirement. Because of the lack of a
+ unicode ctype_alnum function the validation occurs at username input. Because
+ of this I have improved the user registration code: This code now validates
+ for special chars etc in the username. I have also introduced the following
+ new plugin hooks (which are run after primary validation) which provide
+ plugins with the ability to add other requirements (extra security etc).
+ 'registeruser:validate:password' 'registeruser:validate:username'
+ 'registeruser:validate:email' Marcus Povey 25/8/08
+
+2008-08-22 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/input.php: Committing kses fix
+
+2008-08-21 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/systemsettings/install.php: Fixed ping error. Fixes #269
+
+ * engine/lib/elgglib.php, engine/lib/input.php: Fixed input for people with
+ magic quotes on.
+
+2008-08-21 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/systemsettings/install.php: Actually fixes #269
+
+ * actions/systemsettings/install.php: Fixes #269
+
+2008-08-21 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Added plugin hook to elgg_view.
+
+2008-08-21 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * version.php: Prelude to release
+
+ * engine/lib/elgglib.php: Fixes #280
+
+ * engine/lib/sessions.php: Blanking session code on initialisation fail
+
+2008-08-20 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/river/dashboard.php: Closes #253: Patch provided by
+ edfactor. Thanks for your contribution!
+
+2008-08-20 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/failsafe/pageshells/pageshell.php: install process password box
+ added
+
+ * views/failsafe/messages/errors/list.php,
+ views/failsafe/pageshells/pageshell.php: increased the size of the input
+ boxes on the install pages to faciliate url checking
+
+2008-08-20 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/systemsettings/install.php: Making it case insensitive...
+
+2008-08-20 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/avatar_mini.gif: removed unused image
+
+2008-08-20 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/site/update_basic.php, actions/systemsettings/install.php,
+ engine/lib/input.php, languages/en.php: Refs #273: Extra sanity checking on
+ install
+
+2008-08-20 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php: Language change
+
+ * languages/en.php, views/default/settings/system.php,
+ views/failsafe/settings/system.php: Installation clarity fixes
+
+2008-08-20 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Refs #272: Speculative fix. Added PORT support to
+ current_page_url(). Please test to see if this solves your problem...
+
+ * version.php: Oopsy, wrong package number
+
+ * version.php: Raising point number pre-package
+
+2008-08-20 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * htaccess_dist: Revised rewrite rules.
+
+2008-08-19 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/database.php: Refs #266: Error given if no database has been
+ set up.
+
+ * engine/lib/database.php, engine/lib/elgglib.php, engine/lib/install.php,
+ install.php, languages/en.php,
+ views/failsafe/messages/exceptions/exception.php: Refs #256: Basic
+ installation parameters checked. Fixes #266: If the install flag isn't set
+ then elgg_view will no longer attempt to load data from the database.
+
+ * engine/lib/elgglib.php: Refs #265: If $CONFIG->view not blank then it
+ overrides the session even if the session is set.
+
+ * engine/schema/mysql.sql: Fixes #260: Done. Nice spot.
+
+2008-08-18 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/access.php: Small access fix
+
+ * CONTRIBUTORS.txt: And again.
+
+ * CONTRIBUTORS.txt: Minor contributor file fix.
+
+ * engine/lib/access.php: Corrected minor friend collection issue
+
+2008-08-18 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * CONTRIBUTORS.txt: changed bens twitter account url
+
+2008-08-18 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/user/ban.php: Moving the disable_entities call
+
+ * engine/lib/elgglib.php: More submenu corrections
+
+ * actions/admin/user/ban.php: Banning a user disables their entities
+
+ * engine/lib/entities.php, engine/lib/users.php: Removing a user disables
+ their entities
+
+2008-08-18 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * version.php: Updated for release
+
+2008-08-17 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Fixed the submenu
+
+2008-08-17 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/graphics/defaultmaster.jpg: default image for cropper tool
+
+2008-08-17 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/navigation/topbar_tools.php: The top tool menu is now
+ alphabetically sorted.
+
+ * engine/lib/elgglib.php: Submenu items are now sorted.
+
+ * mod/groups/start.php: Renamed groups submenus
+
+ * mod/groups/languages/en.php: Added a missing translation string to groups.
+
+ * mod/groups/start.php: Corrected the 'your groups' link
+
+2008-08-17 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/spotlight/default.php: spotlight tweaks
+
+2008-08-17 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/friends/picker.php: Removed the context menu in the friends
+ picker.
+
+2008-08-17 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: force tinyMCE editor initial width for safari
+
+ * views/default/page_elements/footer.php: footer updated to fit more links
+
+ * _graphics/spotlight_back.gif, views/default/css.php: Opera fixes and new
+ spotlight back
+
+2008-08-17 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * dashboard/index.php, dashboard/latest.php, engine/lib/elgglib.php,
+ languages/en.php, views/default/dashboard/blurb.php: Wired in latest
+ activity, so new users aren't as lonely as they otherwise might be.
+
+2008-08-17 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/groups/css.php,
+ .../views/default/object/groupforumtopic.php: groups listing icon changed
+ from tiny to small latest discussion listing info block styled up
+
+2008-08-17 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/footer.php: footer tweak
+
+2008-08-17 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/actions/edit.php: Ensuring that tags are saved correctly in
+ profile
+
+2008-08-17 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/css.php, views/default/css.php: avatar
+ menu fixes for IE
+
+ * mod/groups/views/default/groups/css.php,
+ mod/profile/views/default/profile/css.php,
+ mod/profile/views/default/profile/javascript.php: avatar menu fix for IE
+ groups and profile pages
+
+2008-08-17 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * INSTALL.txt: Updated installation instructions
+
+2008-08-16 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/css.php,
+ mod/profile/views/default/profile/javascript.php: avatar menu in IE, z-index
+ bug work-around
+
+ * mod/profile/views/default/profile/javascript.php, views/default/css.php:
+ tools menu removed dynamic z-index
+
+2008-08-16 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/icon.php,
+ mod/profile/views/default/profile/userdetails.php: Removed avatar context
+ menu from large profile picture
+
+ * mod/logbrowser/start.php, .../views/default/logbrowser/adminlinks.php,
+ mod/profile/start.php, .../views/default/profile/menu/adminlinks.php,
+ .../views/default/profile/menu/adminwrapper.php: Admin links are now
+ consolidated
+
+ * engine/lib/users.php: Removing gallery on search user list function
+
+2008-08-16 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: menu selected item ie6 fix
+
+2008-08-16 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/spotlight/default.php: a little content added to the
+ spotlight
+
+ * .../views/default/reportedcontent/listing.php: reported content tweak
+
+2008-08-16 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/actions/edit.php, mod/profile/start.php,
+ mod/profile/views/default/profile/edit.php,
+ mod/profile/views/default/profile/javascript.php,
+ mod/profile/views/default/profile/metatags.php: Individual profile items can
+ now have access restrictions; also made the profile JS more cache friendly
+
+2008-08-16 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/reportedcontent/css.php,
+ .../views/default/reportedcontent/listing.php, views/default/css.php:
+ reported content updates
+
+ * views/default/css.php: tools settings updated
+
+2008-08-16 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php: lang string missing
+
+2008-08-16 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/groups/css.php: groups widget fixed for ie6
+
+2008-08-16 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/diagnostics/languages/en.php, mod/diagnostics/start.php: Globals and
+ PHP info added to diagnostics
+
+2008-08-16 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/admin/plugins_opt/plugin.php: further tweaks on admin
+ settings
+
+ * views/default/admin/plugins_opt/plugin.php: plugin settings moved to
+ hidden dropdown
+
+2008-08-16 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Code also useless
+
+ * engine/lib/entities.php: Salt free diet
+
+2008-08-16 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/css.php, views/default/css.php: avatar
+ menu fixed in admin area, admin area h3's fixed
+
+2008-08-15 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/owner_block_menu_dot.gif, views/default/css.php: owner block
+ menu
+
+2008-08-15 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php: Correction for the search list users by name
+ function.
+
+ * mod/reportedcontent/languages/en.php: A rookie error.
+
+2008-08-15 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/actions/addtogroup.php, mod/groups/actions/joinrequest.php:
+ Closes #234: Please use brackets defensively; The statement: if ($user =
+ get_entity($foo) && $group = get_entity($bar)) Will not do what you expect
+ it to do!
+
+2008-08-15 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php, languages/en.php, search/users.php,
+ views/default/user/search/finishblurb.php: Added proper search for users by
+ name
+
+ * mod/groups/views/rss/forum/topics.php,
+ mod/groups/views/rss/forum/viewposts.php,
+ mod/groups/views/rss/groups/profileitems.php: RSS views for forums
+
+ * views/rss/group/default.php: Group default RSS view
+
+2008-08-15 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: sidebar arrow position fixed for ie 6 & 7
+
+2008-08-15 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _css/css.php, mod/profile/icon.php, mod/profile/javascript.php,
+ views/default/friends/picker.php, views/default/page_elements/header.php:
+ Caching enhancements
+
+2008-08-15 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: screwed up profile page on ie6 fixed
+
+2008-08-15 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/reportedcontent/languages/en.php: Added a language entry for reported
+ items (again)
+
+2008-08-15 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/membership.php: gallery view removed from group lists
+
+ * mod/reportedcontent/index.php: reportedcontent bug sorted
+
+2008-08-15 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/forms/groups/invite.php: Ensured that all friends
+ come through for group invite
+
+ * mod/groups/views/default/forms/groups/invite.php: Ensured that all friends
+ come through for group invite
+
+ * views/default/page_elements/owner_block.php: Owner block defaults to
+ current logged in user.
+
+ * engine/lib/input.php: Improved the kses whitelist
+
+2008-08-15 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * install.php, views/failsafe/canvas/default.php,
+ views/failsafe/input/button.php, views/failsafe/input/checkboxes.php,
+ views/failsafe/input/form.php, views/failsafe/input/hidden.php,
+ views/failsafe/input/longtext.php, views/failsafe/input/pulldown.php,
+ views/failsafe/input/reset.php, views/failsafe/input/submit.php,
+ views/failsafe/input/text.php, views/failsafe/messages/messages/list.php,
+ views/failsafe/messages/messages/message.php,
+ views/failsafe/pageshells/pageshell.php, views/failsafe/settings/install.php,
+ views/failsafe/settings/system.php: Closes #224: Install now using failsafe
+ views. Please test from scratch (including blanking setup) and make sure it
+ works for you!
+
+2008-08-15 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/membership.php: groups view tweaked
+
+2008-08-15 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * entities/index.php, views/default/navigation/pagination.php,
+ views/default/widgets/wrapper.php: Removed pagination in widgets
+
+ * engine/lib/relationships.php: Added the ability to hide the gallery view
+ on list_entities_from_relationships
+
+ * languages/en.php: Language addition to make it clearer that you need to
+ validate before you can log in.
+
+2008-08-15 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/languages/en.php: group lang bug fixed
+
+ * mod/reportedcontent/actions/add.php,
+ mod/reportedcontent/actions/archive.php,
+ mod/reportedcontent/actions/delete.php, mod/reportedcontent/languages/en.php,
+ mod/reportedcontent/start.php, .../views/default/reportedcontent/css.php,
+ .../views/default/reportedcontent/listing.php: reported content updated
+
+2008-08-15 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/reportedcontent/languages/en.php: Added a language entry for reported
+ items
+
+2008-08-15 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/owner_block.php: owner block head - divider
+ line method changed to work in ie6
+
+ * views/default/css.php: owner block fixes and blog post tweaks
+
+2008-08-15 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/pagehandler.php, mod/profile/views/default/profile/listing.php,
+ views/default/admin/statistics_opt/numentities.php,
+ views/default/input/checkboxes.php, views/default/search/entity_list.php:
+ Refs #249
+
+2008-08-15 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, index.php: The front page now only shows content
+ and groups.
+
+2008-08-15 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/edit.php, views/default/page_elements/owner_block.php: Refs
+ #249
+
+2008-08-15 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/reportedcontent/languages/en.php,
+ .../views/default/reportedcontent/listing.php: reported content tweaks
+
+2008-08-15 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/css.php,
+ mod/profile/views/default/profile/userdetails.php, views/default/css.php: IE
+ 6 & 7 profile page avatar menu and submenu fixed, toolbar new msg icon
+ position,
+
+2008-08-14 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: owner block changes
+
+2008-08-14 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/reportedcontent/listing.php: reportedcontent list tweaks
+
+2008-08-14 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Fixed an issue with the submenu.
+
+2008-08-14 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/notification.php: Fixes #245: Tags now stripped from email
+ messages.
+
+2008-08-14 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/diagnostics/index.php, mod/logbrowser/index.php,
+ mod/reportedcontent/index.php: more owner blocks added
+
+ * admin/plugins/index.php, admin/site/index.php, admin/statistics/index.php,
+ admin/user/index.php: owner block added to admin pages
+
+2008-08-14 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: collections, friends picker, status, search items
+ updated for IE6
+
+ * views/default/friends/picker.php, views/default/friends/tablelist.php:
+ friends picker table bug fixed and spacing adjusted on collections
+
+2008-08-14 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Redefined the default submenu item group to 'a',
+ so most usually it will appear on top
+
+ * mod/groups/start.php, views/default/canvas_header/submenu_group.php,
+ views/default/page_elements/owner_block.php: Further submenu clustering
+
+ * engine/lib/elgglib.php, views/default/canvas_header/submenu_group.php:
+ Added the facility to group submenus
+
+2008-08-14 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * javascript/friendsPickerv1.js, views/default/css.php: friends picker
+ modified for IE 6
+
+ * _graphics/friends_picker_arrow_left.gif,
+ _graphics/friends_picker_arrow_right.gif: new picker arrows
+
+2008-08-13 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/email/confirm.php, actions/register.php, engine/lib/entities.php,
+ engine/lib/users.php: Closes #227 and #243: Hopefully this has the fscking
+ thing nailed to the wall. Please report any problems, especially is they
+ relate to access permissions (granted when you shouldn't or denied when you
+ should)
+
+ * mod/diagnostics/languages/en.php, mod/diagnostics/start.php: Added session
+ to diagnostics.
+
+ * engine/lib/sessions.php: Fixing some session blanking problems.
+
+ * actions/email/confirm.php, actions/register.php, engine/lib/entities.php,
+ engine/lib/users.php: Reverted changes so users can now register again.
+ There are critical issues with enable/disable. Primarily: 1) A number of
+ functions do not use the access controls, these throw an exception when
+ accessing a disabled entity. 2) #243 does not seem possible.
+
+2008-08-13 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/owner_block.php: owner block fixed for safari
+ and ie6
+
+2008-08-13 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, languages/en.php: Correct menus being used
+
+2008-08-13 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/groups/css.php,
+ mod/groups/views/default/groups/members.php, views/default/css.php: numerous
+ polishing and rendering fixes to groups
+
+2008-08-13 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php:
+
+ * actions/admin/user/unban.php, engine/lib/admin.php,
+ engine/lib/entities.php, languages/en.php,
+ .../views/default/profile/menu/adminlinks.php: Refs #237: Added option, now
+ just needs a search listing banned users. Depends #243
+
+ * actions/email/confirm.php, actions/register.php, engine/lib/entities.php:
+ Closes #227. Depends on #243 to work.
+
+ * engine/lib/access.php: Refs #237, #46 and #227: Added override code.
+
+ * engine/lib/entities.php: Actually closes #46
+
+ * views/default/admin/plugins_opt/plugin.php: placeholder for settings
+ toggle
+
+2008-08-13 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: login and register boxes fixed
+
+2008-08-13 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/owner_block.php: Now only displays 'report
+ this' when plugin is enabled.
+
+2008-08-13 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/owner_block.php: Sturdier enhancement for the
+ owner block.
+
+ * index.php, languages/en.php, mod/pages/actions/pages/delete.php,
+ mod/pages/actions/pages/edit.php, mod/pages/actions/pages/editwelcome.php,
+ mod/pages/edit.php, mod/pages/history.php, mod/pages/images/application.png,
+ mod/pages/images/code.png, mod/pages/images/css.png, mod/pages/images/db.png,
+ mod/pages/images/directory.png, mod/pages/images/doc.png,
+ mod/pages/images/file.gif, mod/pages/images/file.png,
+ mod/pages/images/film.png, mod/pages/images/flash.png,
+ mod/pages/images/folder-closed.gif, mod/pages/images/folder.gif,
+ mod/pages/images/folder_open.png, mod/pages/images/html.png,
+ mod/pages/images/java.png, mod/pages/images/linux.png,
+ mod/pages/images/minus.gif, mod/pages/images/music.png,
+ mod/pages/images/pdf.png, mod/pages/images/php.png,
+ mod/pages/images/picture.png, mod/pages/images/plus.gif,
+ mod/pages/images/ppt.png, mod/pages/images/psd.png,
+ mod/pages/images/ruby.png, mod/pages/images/script.png,
+ mod/pages/images/spinner.gif, mod/pages/images/treeview-black-line.gif,
+ mod/pages/images/treeview-black.gif,
+ mod/pages/images/treeview-default-line.gif,
+ mod/pages/images/treeview-default.gif,
+ mod/pages/images/treeview-famfamfam-line.gif,
+ mod/pages/images/treeview-famfamfam.gif,
+ mod/pages/images/treeview-gray-line.gif, mod/pages/images/treeview-gray.gif,
+ mod/pages/images/treeview-red-line.gif, mod/pages/images/treeview-red.gif,
+ mod/pages/images/txt.png, mod/pages/images/xls.png, mod/pages/images/zip.png,
+ mod/pages/index.php, mod/pages/javascript/jquery.treeview.async.js,
+ mod/pages/javascript/jquery.treeview.js, mod/pages/languages/en.php,
+ mod/pages/manifest.xml, mod/pages/new.php, mod/pages/pagesTree.php,
+ mod/pages/start.php, mod/pages/view.php,
+ mod/pages/views/default/annotation/icon.php,
+ mod/pages/views/default/annotation/page.php,
+ mod/pages/views/default/forms/pages/edit.php,
+ .../views/default/forms/pages/editwelcome.php,
+ mod/pages/views/default/object/page.php,
+ mod/pages/views/default/object/page_top.php,
+ mod/pages/views/default/pages/breadcrumbs.php,
+ mod/pages/views/default/pages/css.php,
+ .../views/default/pages/groupprofile_pages.php,
+ mod/pages/views/default/pages/menu.php,
+ mod/pages/views/default/pages/metatags.php,
+ mod/pages/views/default/pages/pagegallery.php,
+ mod/pages/views/default/pages/pagelisting.php,
+ mod/pages/views/default/pages/pageprofile.php,
+ .../views/default/pages/sidebar/sidebarthis.php,
+ mod/pages/views/default/pages/sidebar/starter.php,
+ mod/pages/views/default/pages/sidebar/tree.php,
+ mod/pages/views/default/pages/sidebar/wrapper.php,
+ mod/pages/views/default/pages/welcome.php, mod/pages/welcome.php,
+ mod/pages/world.php: Added a new latest activity title to the front page
+
+ * views/default/page_elements/owner_block.php: The owner block now doesn't
+ display at all if there's no page owner
+
+2008-08-13 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/account/forms/login.php, views/default/css.php: front page
+ login box
+
+2008-08-13 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/user/ban.php: Banned now gives a reason why user disabled.
+
+ * engine/lib/entities.php: Added a reason for disabled objects.
+
+ * engine/lib/entities.php: Closes #46: Method for activating an entity. No
+ hookup yet.
+
+ * engine/lib/access.php: Refs #237, #46 and #227: Added active only override
+ to get_access_sql_suffix
+
+2008-08-13 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/actions/add.php, mod/blog/actions/delete.php,
+ mod/blog/actions/edit.php, mod/blog/add.php, mod/blog/edit.php,
+ mod/blog/everyone.php, mod/blog/friends.php, mod/blog/index.php,
+ mod/blog/languages/en.php, mod/blog/manifest.xml, mod/blog/read.php,
+ mod/blog/start.php, mod/blog/views/default/blog/css.php,
+ mod/blog/views/default/blog/forms/edit.php,
+ mod/blog/views/default/blog/gallery.php,
+ mod/blog/views/default/blog/listing.php,
+ mod/blog/views/default/blog/menu.php,
+ mod/blog/views/default/blog/notfound.php,
+ mod/blog/views/default/blog/view.php, mod/blog/views/default/object/blog.php,
+ mod/blog/views/default/river/blog/annotate.php,
+ mod/blog/views/default/river/blog/create.php,
+ mod/blog/views/default/river/blog/update.php: This shouldn't be here!
+
+2008-08-13 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/user/delete.php, engine/lib/group.php,
+ engine/lib/objects.php, engine/lib/sites.php, engine/lib/users.php: Fixes
+ #241: Changed order, delete user using object's delete method rather than the
+ low level function
+
+2008-08-13 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/pagehandler.php: Removed page handler default errors
+
+2008-08-13 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: widget boxes fixed for IE
+
+2008-08-13 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php: Added a page handler for the dashboard
+
+2008-08-13 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/useradd.php, engine/lib/sessions.php: Fixes #238: Problem was
+ caused by authenticate not having the user email validated flag set.
+ Introduced new flag ->admin_created to distinguish between the two types.
+
+2008-08-13 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/canvas/layouts/widgets.php: A warning fix for the dashboard
+ layout.
+
+ * views/default/input/button.php, views/default/input/form.php: Some safety
+ fixes for the new form views
+
+ * engine/lib/elgglib.php: Only log warnings in debug mode.
+
+ * mod/blog/actions/add.php, mod/blog/actions/delete.php,
+ mod/blog/actions/edit.php, mod/blog/add.php, mod/blog/edit.php,
+ mod/blog/everyone.php, mod/blog/friends.php, mod/blog/index.php,
+ mod/blog/languages/en.php, mod/blog/manifest.xml, mod/blog/read.php,
+ mod/blog/start.php, mod/blog/views/default/blog/css.php,
+ mod/blog/views/default/blog/forms/edit.php,
+ mod/blog/views/default/blog/gallery.php,
+ mod/blog/views/default/blog/listing.php,
+ mod/blog/views/default/blog/menu.php,
+ mod/blog/views/default/blog/notfound.php,
+ mod/blog/views/default/blog/view.php, mod/blog/views/default/object/blog.php,
+ mod/blog/views/default/river/blog/annotate.php,
+ mod/blog/views/default/river/blog/create.php,
+ mod/blog/views/default/river/blog/update.php,
+ .../canvas/layouts/two_column_left_sidebar.php,
+ .../canvas/layouts/two_column_right_sidebar.php,
+ views/default/input/form.php: Removing some messages
+
+ * engine/lib/pagehandler.php: Removing an error log entry in the page
+ handler function
+
+ * engine/lib/elgglib.php: Fixed select class issue for submenus
+
+ * search/index.php: Reinstated search label.
+
+ * mod/logbrowser/start.php, mod/profile/start.php: Admin links on profile
+ pages and in user context menus appear at the bottom.
+
+ * mod/profile/views/default/profile/userdetails.php: The profile edit button
+ is now wired up so that admins can edit any user.
+
+ * engine/lib/access.php, engine/lib/admin.php: Admins now see all!
+
+2008-08-13 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/owner_block.php: extra float clear for ie6
+
+ * _graphics/icon_gallery.gif: new gallery icon
+
+ * _graphics/blockquote.png, _graphics/dummy_river_icon.gif,
+ _graphics/footer_logo.gif: removed images not used
+
+ * _graphics/icon_bookmarkthis.gif, _graphics/icon_reportthis.gif,
+ views/default/css.php: owner block additional icons
+
+2008-08-12 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/reportedcontent/listing.php: small tweak to reported
+ content
+
+ * mod/reportedcontent/actions/add.php, mod/reportedcontent/add.php,
+ mod/reportedcontent/index.php, mod/reportedcontent/languages/en.php,
+ mod/reportedcontent/manifest.xml, mod/reportedcontent/start.php,
+ .../views/default/reportedcontent/form.php,
+ .../views/default/reportedcontent/listing.php,
+ .../views/default/reportedcontent/user_report.php: report content added as a
+ core plugin. Still needs a couple of bits wired up.
+
+ * views/default/page_elements/owner_block.php: owner block tweaked to add
+ report this
+
+2008-08-12 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/access.php: Removed superadmin access controls. You can't call
+ get_entity from within get_access_sql_suffix and get_access_array as it
+ causes an infinite loop. The only safe way to do this is via a plugin hook.
+
+ * actions/systemsettings/install.php: Refs #232: Diagnostics now on by
+ default on new installs.
+
+ * mod/diagnostics/actions/download.php, mod/diagnostics/index.php,
+ mod/diagnostics/languages/en.php, mod/diagnostics/manifest.xml,
+ mod/diagnostics/start.php, .../views/default/diagnostics/forms/download.php:
+ Closes #232: Produces a basic report plus checksums of all files in md5sum
+ format. To add extra information to this report add a plugin hook listening
+ to: 'diagnostics:report' And append to $returnvalue which is a simple text
+ buffer.
+
+2008-08-12 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: sidebar menu additions
+
+ * _graphics/icons/default/large.png, _graphics/icons/default/medium.png,
+ _graphics/icons/default/small.png, _graphics/icons/default/tiny.png: new
+ default entity icons
+
+2008-08-12 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/access.php: Further access additions for admins.
+
+ * engine/lib/access.php: Admins can now see private data.
+
+ * mod/groups/views/default/forms/groups/invite.php: Group invites now uses
+ the friends picker.
+
+2008-08-12 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/owner_block.php: bookmark this and report this
+ (still to be wired up) added to the owner block
+
+2008-08-12 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/register.php, actions/useradd.php, engine/lib/users.php: Closes
+ #229: Admin signup now using different view + no password validation for
+ admin signup
+
+ * actions/register.php, engine/lib/exceptions.php, engine/lib/users.php,
+ languages/en.php, views/default/account/forms/register.php: Refs #229 :
+ Register now has more granular messages, dupe email checkiong, sanitisation +
+ fill in the blanks failure mode! (yay)
+
+2008-08-12 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/groups/css.php,
+ mod/groups/views/default/groups/members.php, views/default/css.php: groups
+ pages interface fixes/cleanup
+
+2008-08-12 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * index.php: Improved front page.
+
+ * mod/groups/start.php: Groups now links to a better place when you're
+ logged out.
+
+2008-08-12 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * htaccess_dist: Slight tweak to rewrite rules.
+
+ * actions/systemsettings/install.php: Forward not gatekeeper
+
+2008-08-12 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/navigation/pagination.php: pagination display tweaked
+
+2008-08-11 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/logbrowser/views/default/logbrowser/css.php, views/default/css.php:
+ settings and admin pages cleaned
+
+2008-08-11 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, index.php, views/default/welcome/logged_out.php:
+ Added latest content to the front page
+
+2008-08-11 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/input/form.php: Logic error
+
+ * actions/friends/deletecollection.php: Refs #228: Will now error if
+ collection can not be deleted
+
+2008-08-11 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php:
+
+ * javascript/initialise_elgg.js: toggle more info button for admin panel
+ added
+
+2008-08-11 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/input/form.php: Added ability to disable security
+ enhancements on form (for certain cases)
+
+2008-08-11 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php, search/index.php: Clearer titles for search
+
+2008-08-11 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/friends/forms/edit.php:
+
+ * actions/friends/addcollection.php, views/default/friends/forms/edit.php:
+ Refs #210 & #211: Friends form moved to action_gatekeeper code.
+
+2008-08-11 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * search/index.php: Improved search submenu items
+
+ * languages/en.php: A clearer registration message.
+
+ * engine/lib/notification.php: Downgraded UTF support in the email
+ notification function to optional
+
+2008-08-11 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/admin/plugins_opt/plugin.php: plugin admin layout tweaks
+
+2008-08-11 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/actions.php, languages/en.php: Minor bugfix to
+ actions_gatekeeper()
+
+ * engine/schema/mysql.sql: Usernames now unique
+
+2008-08-11 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/settings/system.php: further admin layout tweak
+
+ * views/default/admin/statistics_opt/basic.php,
+ views/default/admin/statistics_opt/numentities.php,
+ views/default/admin/statistics_opt/online.php: further admin layout tweaks
+
+ * .../usersettings/statistics_opt/numentities.php,
+ .../default/usersettings/statistics_opt/online.php: user settings tweaks
+
+ * .../notifications/settings/usersettings.php,
+ views/default/user/settings/email.php,
+ views/default/user/settings/language.php,
+ views/default/user/settings/name.php,
+ views/default/user/settings/password.php: user settings tweaked
+
+2008-08-11 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/comments/add.php, views/default/comments/forms/edit.php: Refs #210
+ & #211 : Updated comments form
+
+ * actions/widgets/save.php, views/default/widgets/editwrapper.php: Refs #210
+ & #211 : Widgets edit now using action_gatekeeper
+
+ * views/default/input/form.php: Minor modifications to form.
+
+2008-08-11 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/groups/grouplisting.php,
+ mod/profile/views/default/profile/listing.php: Added class to user and group
+ details
+
+2008-08-11 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/site/update_basic.php, actions/systemsettings/install.php,
+ actions/usersettings/save.php, views/default/settings/system.php,
+ views/default/usersettings/form.php: Refs #210 & #211 : Some more core
+ settings / admin pages moved to use admin_gatekeeper. Install script action
+ now also refuses to be run if the site has already been installed.
+
+ * actions/plugins/settings/save.php, actions/plugins/usersettings/save.php,
+ views/default/object/plugin.php: Refs #210 & #211 : Plugins settings
+ converted.
+
+2008-08-11 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/toolbar_messages_icon.gif, views/default/css.php,
+ views/default/welcome/logged_in.php, views/default/welcome/logged_out.php:
+ toolbar messages icon rollover state added. Logged in/out front page wrapped
+ in paragraph tags
+
+2008-08-11 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/basic_elements/login.php: Removed basic_elements/login ...
+ didn't seem to be used and is duped by main login.
+
+ * actions/register.php, actions/useradd.php,
+ views/default/account/forms/register.php,
+ views/default/account/forms/useradd.php: Refs #210 & #211
+
+2008-08-11 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, engine/lib/group.php, engine/lib/users.php,
+ search/index.php: Added a search submenu.
+
+2008-08-11 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/login.php, actions/user/requestnewpassword.php, languages/en.php:
+ Refs #210 & #211
+
+2008-08-10 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/widgets/a_users_groups/view.php: group profile widget
+ tweaked
+
+2008-08-10 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/schema/mysql.sql: Closes #223
+
+2008-08-09 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: top toolbar elements fixed for ie
+
+ * views/default/css.php, views/default/navigation/pagination.php: pagination
+ overlap in ie fixed
+
+ * javascript/friendsPickerv1.js, views/default/css.php:
+
+ * views/default/css.php:
+
+2008-08-09 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/languages/en.php, mod/groups/start.php,
+ .../views/default/widgets/a_users_groups/edit.php,
+ .../views/default/widgets/a_users_groups/view.php: a simple widget that
+ displays a users groups on their profile
+
+ * mod/groups/views/default/groups/grouplisting.php: group listings now
+ include the brief description
+
+2008-08-08 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/group.php, engine/lib/users.php,
+ mod/groups/actions/forums/addtopic.php, mod/groups/addtopic.php,
+ mod/groups/topicposts.php: Major access fix.
+
+ * engine/lib/entities.php, engine/lib/group.php: Solved write issues in
+ groups for non-admin users.
+
+ * README.txt: Slight readme update.
+
+ * CONTRIBUTORS.txt, account/forgotten_password.php,
+ actions/admin/plugins/disable.php, actions/admin/plugins/enable.php,
+ actions/admin/site/update_basic.php, actions/admin/user/ban.php,
+ actions/admin/user/delete.php, actions/admin/user/makeadmin.php,
+ actions/admin/user/resetpassword.php, actions/email/save.php,
+ actions/entities/delete.php, actions/import/opendd.php,
+ .../notifications/settings/usersettings/save.php,
+ actions/plugins/settings/save.php, actions/plugins/usersettings/save.php,
+ actions/user/language.php, actions/user/name.php, actions/user/password.php,
+ actions/user/passwordreset.php, actions/user/requestnewpassword.php,
+ actions/useradd.php, admin/plugins/index.php, admin/site/index.php,
+ admin/statistics/index.php, admin/user/index.php,
+ engine/handlers/cron_handler.php, engine/handlers/xml-rpc_handler.php,
+ engine/lib/admin.php, engine/lib/annotations.php, engine/lib/api.php,
+ engine/lib/cache.php, engine/lib/entities.php, engine/lib/exceptions.php,
+ engine/lib/export.php, engine/lib/extender.php, engine/lib/filestore.php,
+ engine/lib/group.php, engine/lib/input.php, engine/lib/metadata.php,
+ engine/lib/metastrings.php, engine/lib/notification.php,
+ engine/lib/opendd.php, engine/lib/pam.php, engine/lib/ping.php,
+ engine/lib/plugins.php, engine/lib/query.php, engine/lib/relationships.php,
+ engine/lib/river.php, engine/lib/sites.php, engine/lib/statistics.php,
+ engine/lib/system_log.php, engine/lib/tags.php, engine/lib/usersettings.php,
+ engine/lib/xml-rpc.php, engine/lib/xml.php,
+ mod/groups/actions/addtogroup.php, mod/groups/actions/edit.php,
+ mod/groups/actions/join.php, mod/groups/actions/joinrequest.php,
+ mod/groups/actions/leave.php, mod/groups/all.php, mod/groups/discussions.php,
+ mod/groups/edit.php, mod/groups/graphics/icon.php,
+ mod/groups/groupprofile.php, mod/groups/index.php, mod/groups/invite.php,
+ mod/groups/languages/en.php, mod/groups/membership.php, mod/groups/new.php,
+ mod/groups/start.php, mod/groups/topicposts.php,
+ mod/groups/views/default/forms/groups/edit.php,
+ mod/groups/views/default/forms/groups/invite.php,
+ mod/groups/views/default/forum/topicposts.php,
+ mod/groups/views/default/group/group.php,
+ mod/groups/views/default/groups/css.php,
+ mod/groups/views/default/groups/groupgallery.php,
+ mod/groups/views/default/groups/grouplisting.php,
+ mod/groups/views/default/groups/icon.php,
+ .../default/river/ElggRelationship/create.php,
+ mod/groups/views/default/sharing/invite.php,
+ .../default/widgets/group_entities_widget/edit.php,
+ .../default/widgets/group_entities_widget/view.php,
+ .../default/widgets/group_members_widget/edit.php,
+ .../default/widgets/group_members_widget/view.php, mod/guidtool/export.php,
+ mod/guidtool/format_picker.php, mod/guidtool/import.php,
+ mod/guidtool/index.php, mod/guidtool/languages/en.php,
+ mod/guidtool/start.php, mod/guidtool/view.php,
+ .../views/default/forms/guidtool/export.php,
+ .../views/default/forms/guidtool/format.php,
+ .../views/default/forms/guidtool/import.php,
+ mod/guidtool/views/default/guidtool/gallery.php,
+ mod/guidtool/views/default/guidtool/listing.php,
+ mod/guidtool/views/default/guidtool/profile.php,
+ .../views/default/object/guidtoolwrapper.php, mod/logbrowser/index.php,
+ mod/logbrowser/languages/en.php, mod/logbrowser/start.php,
+ mod/logbrowser/views/default/object/logwrapper.php,
+ mod/profile/actions/cropicon.php, mod/profile/actions/edit.php,
+ mod/profile/actions/iconupload.php, mod/profile/edit.php,
+ mod/profile/editicon.php, mod/profile/icon.php, mod/profile/index.php,
+ mod/profile/javascript.php, mod/profile/start.php,
+ mod/profile/views/default/profile/edit.php,
+ mod/profile/views/default/profile/gallery.php,
+ mod/profile/views/default/profile/hoverover.php,
+ mod/profile/views/default/profile/icon.php,
+ mod/profile/views/default/profile/listing.php,
+ mod/profile/views/default/profile/menu/actions.php,
+ .../views/default/profile/menu/adminlinks.php,
+ mod/profile/views/default/profile/menu/links.php,
+ .../views/default/profile/menu/linksownpage.php,
+ mod/profile/views/default/profile/metatags.php,
+ mod/profile/views/default/profile/profilelinks.php,
+ mod/profile/views/default/profile/userdetails.php, mod/river/start.php,
+ .../views/default/widgets/river_widget/edit.php,
+ .../views/default/widgets/river_widget/view.php,
+ .../default/widgets/river_widget_friends/edit.php,
+ .../default/widgets/river_widget_friends/view.php,
+ mod/updateclient/languages/en.php, mod/updateclient/start.php,
+ .../views/default/settings/updateclient/edit.php, services/api/rest.php,
+ services/export/handler.php, settings/statistics/index.php,
+ settings/user/index.php, views/default/account/forms/forgotten_password.php,
+ views/default/account/forms/useradd.php, views/default/admin/main.php,
+ views/default/admin/main_opt/plugins.php,
+ views/default/admin/main_opt/site.php,
+ views/default/admin/main_opt/statistics.php,
+ views/default/admin/main_opt/user.php, views/default/admin/plugins.php,
+ views/default/admin/plugins_opt/plugin.php, views/default/admin/site.php,
+ views/default/admin/statistics.php,
+ views/default/admin/statistics_opt/basic.php,
+ views/default/admin/statistics_opt/numentities.php,
+ views/default/admin/statistics_opt/online.php, views/default/admin/user.php,
+ views/default/admin/user_opt/adduser.php,
+ views/default/admin/user_opt/search.php, views/default/api/output.php,
+ views/default/comments/forms/edit.php, views/default/export/entity.php,
+ views/default/export/metadata.php, views/default/export/relationship.php,
+ views/default/graphics/icon.php, views/default/group/default.php,
+ views/default/input/button.php, views/default/input/form.php,
+ views/default/input/hidden.php, views/default/input/reset.php,
+ views/default/input/submit.php, .../notifications/settings/usersettings.php,
+ views/default/object/default.php, views/default/object/object.php,
+ views/default/object/plugin.php, views/default/output/iframe.php,
+ views/default/river/ElggRelationship/create.php,
+ views/default/river/dashboard.php, views/default/site/default.php,
+ views/default/user/settings/email.php,
+ views/default/user/settings/language.php,
+ views/default/user/settings/name.php,
+ views/default/user/settings/password.php,
+ views/default/usersettings/main.php,
+ views/default/usersettings/main_opt/plugins.php,
+ views/default/usersettings/main_opt/statistics.php,
+ views/default/usersettings/main_opt/user.php,
+ views/default/usersettings/plugins.php,
+ views/default/usersettings/plugins_opt/plugin.php,
+ views/default/usersettings/statistics.php,
+ .../usersettings/statistics_opt/numentities.php,
+ .../default/usersettings/statistics_opt/online.php,
+ views/failsafe/pageshells/pageshell.php, views/foaf/pageshells/pageshell.php,
+ views/json/api/output.php, views/json/canvas/default.php,
+ views/json/export/entity.php, views/json/export/metadata.php,
+ views/json/export/relationship.php, views/json/group/default.php,
+ views/json/messages/exceptions/exception.php, views/json/object/default.php,
+ views/json/pageshells/pageshell.php, views/json/search/entity_list.php,
+ views/json/site/default.php, views/json/user/default.php,
+ views/opendd/canvas/default.php, views/opendd/export/entity.php,
+ views/opendd/export/metadata.php, views/opendd/export/relationship.php,
+ views/opendd/group/default.php,
+ views/opendd/messages/exceptions/exception.php,
+ views/opendd/object/default.php, views/opendd/pageshells/pageshell.php,
+ views/opendd/site/default.php, views/opendd/user/default.php,
+ views/php/api/output.php, views/php/canvas/default.php,
+ views/php/export/entity.php, views/php/export/metadata.php,
+ views/php/export/relationship.php, views/php/group/default.php,
+ views/php/messages/exceptions/exception.php, views/php/object/default.php,
+ views/php/pageshells/pageshell.php, views/php/search/entity_list.php,
+ views/php/site/default.php, views/php/user/default.php,
+ views/xml/api/output.php, views/xml/messages/exceptions/exception.php,
+ views/xml/pageshells/pageshell.php, views/xml/xml-rpc/output.php: Adjusted
+ contributors file.
+
+2008-08-08 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/forms/guidtool/export.php,
+ mod/profile/views/default/profile/icon.php,
+ views/default/canvas/layouts/widgets.php, views/default/input/button.php,
+ views/default/messages/exceptions/exception.php,
+ views/failsafe/messages/exceptions/exception.php: Remaining htmlentities
+ calls converted to utf-8
+
+2008-08-08 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * INSTALL.txt: Small formatting correction to the install file.
+
+ * CONTRIBUTORS.txt, INSTALL.txt, README.txt: Added content to stub files
+
+2008-08-08 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/email/confirm.php, engine/lib/notification.php,
+ engine/lib/users.php, languages/en.php: Closes #221: Body and subject now
+ internationalised.
+
+2008-08-08 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/title.php: The title no longer causes a WSOD
+
+2008-08-08 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/input/checkboxes.php, views/default/input/hidden.php,
+ views/default/input/radio.php, views/default/input/tags.php,
+ views/default/input/text.php, views/default/input/url.php: Refs #206:
+ Hopefully the last of it. Please remember to use htmlentities($foo, null,
+ 'UTF-8'); whenever using htmlentities!
+
+2008-08-08 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php:
+
+2008-08-08 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/icon.php: Refs #206: A better solution
+
+ * mod/profile/views/default/profile/icon.php: Refs #206; Icons title now
+ displayed correctly
+
+2008-08-08 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php, views/default/canvas/layouts/widgets.php: Added extra
+ translation strings to the widget canvas
+
+2008-08-08 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php, views/default/navigation/pagination.php: pagination
+ "..." fixed
+
+2008-08-08 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/addtopic.php, mod/groups/discussions.php,
+ mod/groups/edittopic.php, mod/groups/topicposts.php: Removing some wsod
+ issues
+
+2008-08-08 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * entities/index.php, views/default/page_elements/header.php,
+ views/default/pageshells/pageshell.php: Refs #206: Character encoding on both
+ widget and main page now UTF-8
+
+2008-08-08 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/start.php: Group forums should work
+
+2008-08-08 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/actions.php, views/default/input/form.php: Closes #220: Removed
+ action from hash. Timestamp should make this unpredictable enough.
+
+ * views/default/account/forms/forgotten_password.php,
+ views/default/account/forms/login.php, views/default/input/access.php,
+ views/default/input/button.php, views/default/input/checkboxes.php,
+ views/default/input/email.php, views/default/input/file.php,
+ views/default/input/longtext.php, views/default/input/password.php,
+ views/default/input/pulldown.php, views/default/input/radio.php,
+ views/default/input/reset.php, views/default/input/submit.php,
+ views/default/input/tags.php, views/default/input/text.php,
+ views/default/input/url.php: Refs #211: Two forms converted + extra
+ functionality to input views
+
+ * engine/lib/dummy.php: Removed dummy
+
+2008-08-08 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/forum/topics.php,
+ mod/groups/views/default/forum/viewposts.php,
+ mod/groups/views/default/groups/css.php: continuation of cleanup on groups
+
+2008-08-08 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Friendly titles no longer have more than one
+ hyphen in a row
+
+2008-08-08 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/groups/css.php,
+ mod/groups/views/default/groups/forum_latest.php: latest discussions widget
+
+2008-08-08 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/title.php: Put minor fix back
+
+2008-08-08 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/languages/en.php: lang tweak on groups
+
+2008-08-07 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/pageowner.php, engine/lib/sessions.php: Removed ElggDummy live
+ code for now.
+
+2008-08-07 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/groups/css.php: groups css added
+
+2008-08-07 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/admin/statistics.php: Elgg.org -> elgg.com
+
+ * mod/groups/languages/en.php,
+ mod/groups/views/default/groups/forum_latest.php,
+ mod/groups/views/default/groups/members.php: Group forum additions
+
+ * mod/groups/languages/en.php: Small grammar correction
+
+2008-08-07 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/actions/forums/deletepost.php, mod/groups/start.php,
+ mod/groups/views/default/forum/topicposts.php,
+ mod/groups/views/default/groups/forum_latest.php: more group tweaks
+
+2008-08-07 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * javascript/friendsPickerv1.js: updated, cleaned-up, credited, and tweaked
+ friends picker
+
+2008-08-07 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, engine/lib/group.php, engine/lib/objects.php,
+ engine/lib/sites.php, engine/lib/users.php: Centralised set and get magic
+ functions to the tlc. Added isset and unset magic methods. Report any
+ problems!
+
+2008-08-07 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/languages/en.php: Slight change to group vocabulary.
+
+ * mod/groups/topicposts.php,
+ mod/groups/views/default/groups/groupprofile.php: Wired up group member count
+ and fixed topic page title
+
+2008-08-07 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/actions/forums/addpost.php: group tweak
+
+ * .../views/default/object/groupforumtopic.php: changed the latest
+ discussion view
+
+2008-08-07 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/sessions.php: removed print_r
+
+ * engine/lib/dummy.php, engine/lib/pageowner.php, engine/lib/sessions.php,
+ views/default/page_elements/title.php: Refs #174: Introducing the ElggDummy
+ class which returns false for all methods and set variables called on it.
+ This is what the logged out $_SESSION['user'] is set to and is also what
+ page_owner_entity will return if page_owner is invalid. This means that
+ calls to things like $_SESSION['user']->getGUID() are now safe and will no
+ longer cause a parsing error. Please report any issues.
+
+2008-08-07 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php: Adjusted list_entities_from_annotations to
+ hide the gallery view by default
+
+ * .../views/default/object/groupforumtopic.php: Minor language change to
+ group topics
+
+2008-08-07 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/discussions.php, mod/groups/languages/en.php,
+ mod/groups/views/default/groups/forum_latest.php,
+ .../views/default/object/groupforumtopic.php: more group profile work
+
+2008-08-07 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/index.php, mod/groups/languages/en.php,
+ mod/groups/membership.php, mod/groups/start.php: Added a 'member of' groups
+ page and made it default
+
+ * engine/lib/access.php, mod/groups/start.php, mod/profile/start.php: Fixed
+ access permissions wrt groups
+
+2008-08-07 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/languages/en.php, mod/groups/start.php: new tools menu added -
+ latest discussion
+
+ * mod/groups/languages/en.php,
+ mod/groups/views/default/groups/forum_latest.php: forum latest for group
+ profile page
+
+2008-08-07 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php: Removed an extra sanitise_string call in
+ update_annotations
+
+2008-08-07 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/actions/forums/addpost.php,
+ mod/groups/actions/forums/addtopic.php,
+ mod/groups/actions/forums/deletetopic.php,
+ mod/groups/actions/forums/edittopic.php, mod/groups/addtopic.php,
+ mod/groups/edittopic.php, mod/groups/forum.php, mod/groups/languages/en.php,
+ mod/groups/start.php, mod/groups/topicposts.php,
+ mod/groups/views/default/forms/forums/addpost.php,
+ mod/groups/views/default/forms/forums/addtopic.php,
+ .../views/default/forms/forums/edittopic.php,
+ mod/groups/views/default/forum/topicposts.php,
+ mod/groups/views/default/forum/topics.php,
+ mod/groups/views/default/forum/viewposts.php,
+ mod/groups/views/default/groups/forum_latest.php,
+ mod/groups/views/default/groups/profileitems.php: groups now have forums
+
+2008-08-07 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/toolbar_arrow_ie.gif, views/default/css.php,
+ views/default/navigation/topbar_tools.php: new dropdown toolbar menu that
+ works corss platform. Widget page layout fixed for ie6 & 7
+
+2008-08-07 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/actions.php: Refs #210: Will trigger a plugin hook
+ 'action_gatekeeper:permissions:check'.
+
+ * views/default/page_elements/title.php: Refs #174: Checking that session
+ user exists before calling methods on it
+
+ * install.php: Install site secret
+
+2008-08-07 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/logbrowser/languages/en.php, mod/logbrowser/start.php,
+ .../views/default/logbrowser/adminlinks.php: Added explore log option to user
+ context menu, albeit in the wrong place.
+
+ * languages/en.php, views/default/admin/statistics.php: Direct link from
+ statistics through to elgg.org
+
+ * languages/en.php: Adjusted statistics message
+
+ * languages/en.php, views/default/admin/statistics_opt/basic.php,
+ views/default/admin/statistics_opt/numentities.php: Updated admin statistics
+
+ * engine/lib/system_log.php: You can now filter by ID.
+
+2008-08-07 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/graphics/icon.php: Minor tweaks to the default icon
+
+2008-08-07 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/logbrowser/views/default/object/logwrapper.php: Easy drilldown into
+ logs
+
+ * engine/lib/system_log.php: System log functions now allow searching.
+
+ * mod/logbrowser/index.php, mod/logbrowser/languages/en.php,
+ mod/logbrowser/start.php, mod/logbrowser/views/default/logbrowser/css.php,
+ mod/logbrowser/views/default/logbrowser/form.php,
+ mod/logbrowser/views/default/object/logwrapper.php: The log browser is now
+ searchable.
+
+2008-08-07 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/actions.php, languages/en.php: Closes #218
+
+2008-08-07 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/logbrowser/index.php, mod/logbrowser/start.php,
+ .../views/default/logbrowser/gallery.php,
+ .../views/default/logbrowser/listing.php,
+ mod/logbrowser/views/default/object/logwrapper.php: Initial changes to log
+ browser
+
+2008-08-07 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/input/form.php: Todo has been done
+
+2008-08-06 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: collections, pages nav and numerous other fixes
+
+ * views/default/css.php: breadcrumbs sorted
+
+ * mod/groups/views/default/groups/groupprofile.php, views/default/css.php:
+ groups styles and group owner layout changed
+
+2008-08-06 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/title.php: title block tweaked
+
+2008-08-06 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/owner_block_menu_arrow.gif, views/default/css.php: owner block
+ menu 'selected' style added
+
+2008-08-06 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/groups/groupprofile.php,
+ mod/groups/views/default/groups/profileitems.php: group profile layout
+ tweaked
+
+2008-08-06 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Edited container-related permissions.
+
+2008-08-06 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/groups/profileitems.php: group profile tweak
+
+ * mod/groups/groupprofile.php: group profile tweak
+
+ * mod/groups/views/default/groups/groupprofile.php,
+ mod/groups/views/default/groups/members.php,
+ mod/groups/views/default/groups/profileitems.php: group profile layout
+ tweaked
+
+2008-08-06 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/import/opendd.php, .../views/default/forms/guidtool/import.php:
+ Example of usage (Refs #210), also refs #211.
+
+ * views/default/messages/exceptions/exception.php: Tidied up exception
+
+ * engine/lib/actions.php, languages/en.php, views/default/input/button.php,
+ views/default/input/form.php: Refs #210 and #211
+
+2008-08-06 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php, views/default/page_elements/footer.php: footer
+ links update, changes to gallery view
+
+2008-08-06 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/input/button.php: Images and security fixes
+
+ * views/default/input/button.php, views/default/input/reset.php,
+ views/default/input/submit.php: Closes #212
+
+2008-08-06 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php, views/default/navigation/topbar_tools.php: tool menu
+ slide effect removed, language file changed for dashboatrd instructions
+
+2008-08-06 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/input/hidden.php: Closes #209
+
+ * views/default/input/form.php: Closes #208
+
+ * account/forgotten_password.php: Fixes #207: Thanks for your report eike!
+
+2008-08-05 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: river additions
+
+ * views/default/css.php: toolbar fixes and register box positioning fixed
+
+2008-08-05 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, engine/lib/sessions.php: Tweaked the way
+ forwarding works.
+
+ * engine/lib/access.php, engine/lib/group.php, mod/groups/start.php: Fixed
+ group-specific access controls, and site-specific access controls
+
+2008-08-05 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/river_icons/river_icon_bookmarks.gif,
+ _graphics/river_icons/river_icon_shares.gif,
+ _graphics/river_icons/river_icon_status.gif,
+ _graphics/toolbar_messages_icon.gif, views/default/css.php: numerous fixes to
+ messageboard, status, river icons,
+
+2008-08-05 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Log though will now not store a url relating to an
+ action... it is now possible to log in again if you are logged out! :D
+
+ * engine/lib/river.php, engine/lib/system_log.php: Fixes #121 and #143.
+ Please confirm.
+
+2008-08-05 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/logbrowser/index.php, mod/logbrowser/start.php: The log browser is now
+ a part of the admin panel.
+
+ * engine/lib/widgets.php: Fixed an issue where the third widget column drops
+ widgets.
+
+ * views/default/canvas/layouts/widgets.php: Widget layout update
+
+2008-08-05 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/canvas/layouts/widgets.php: Fixes #204
+
+ * actions/login.php, engine/lib/elgglib.php: Closes #196: Stores last
+ forwarded page in session, this is used by logon to forward page to correct
+ location. Also fixed current_page_url() which was introducing a superfluous
+ "/" before $_SERVER['REQUEST_URI']
+
+2008-08-05 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/toolbar_messages_icon.gif, views/default/css.php,
+ views/default/page_elements/elgg_topbar.php: topbar with message count and
+ icon
+
+2008-08-05 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/actions/iconupload.php: largest avatar size changed from 600
+ to 550
+
+ * mod/profile/editicon.php: icon crop area layout tweaked
+
+2008-08-05 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Tagging issue on unsaved entities: solved.
+
+2008-08-05 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Speculative fix for metadata issue.
+
+ * engine/lib/entities.php: Actually fixes #202
+
+ * engine/lib/elgglib.php, engine/lib/entities.php: Fixes #202 : Listen to
+ plugin hook 'entity:icon:url', which passes parameters: 'entity' The entity
+ 'viewtype' The view (eg 'default') 'size' The size (eg, large, tiny etc) You
+ should return a url for the object's icon if you can.. otherwise return
+ nothing at all.
+
+2008-08-05 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/start.php: Fixed accidental group link error
+
+ * views/default/account/forms/login.php: Removed an annoying notify error
+
+2008-08-05 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/guidtool/views/default/guidtool/listing.php: Using correct entity for
+ icon.
+
+2008-08-05 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * install.php: The install script uses the one column layout
+
+2008-08-05 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/groups/groupprofile.php: more group profile
+ layout tweaks
+
+ * mod/groups/views/default/groups/groupprofile.php: group profile tweaked
+
+2008-08-05 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php:
+
+2008-08-05 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/edit.php, mod/groups/index.php, mod/groups/invite.php,
+ mod/groups/languages/en.php, mod/groups/start.php,
+ mod/groups/views/default/groups/grouplinks.php,
+ mod/groups/views/default/groups/groupprofile.php,
+ mod/groups/views/default/groups/menu/actions.php,
+ .../views/default/groups/menu/adminlinks.php,
+ mod/groups/views/default/groups/menu/links.php,
+ .../views/default/groups/menu/ownerlinks.php: Moved group links to the
+ sidebar
+
+2008-08-05 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/powered_by_elgg_badge_drk_bckgnd.gif,
+ _graphics/powered_by_elgg_badge_light_bckgnd.gif,
+ views/default/page_elements/footer.php: powered by badge in footer
+
+2008-08-05 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/groupprofile.php, mod/groups/views/default/groups/items.php,
+ mod/groups/views/default/groups/profileitems.php: group profile view tweaked
+
+2008-08-04 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * admin/index.php, admin/plugins/index.php, admin/site/index.php,
+ admin/statistics/index.php, admin/user/index.php, engine/lib/admin.php,
+ engine/lib/entities.php, views/default/account/forms/useradd.php,
+ views/default/admin/user_opt/adduser.php,
+ views/default/admin/user_opt/search.php: Further changes to the admin panel
+
+2008-08-04 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/views/default/groups/items.php: groups profile page layout
+ tweak
+
+ * mod/groups/edit.php, mod/groups/groupprofile.php,
+ mod/groups/languages/en.php, mod/groups/views/default/groups/items.php,
+ mod/groups/views/default/groups/members.php: new group profile views
+
+2008-08-04 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/guidtool/views/default/guidtool/gallery.php,
+ mod/guidtool/views/default/guidtool/listing.php: Minor improvement to guid
+ tool
+
+2008-08-04 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * settings/index.php, settings/statistics/index.php,
+ settings/user/index.php, .../notifications/settings/usersettings.php,
+ views/default/user/settings/email.php,
+ views/default/user/settings/language.php,
+ views/default/user/settings/name.php,
+ views/default/user/settings/password.php: User changes to settings
+
+ * engine/lib/users.php, engine/lib/usersettings.php, languages/en.php,
+ mod/profile/languages/en.php, mod/profile/start.php, settings/index.php,
+ settings/plugins/index.php, settings/statistics/index.php,
+ settings/user/index.php, .../notifications/settings/usersettings.php,
+ views/default/page_elements/elgg_topbar.php,
+ views/default/user/settings/email.php,
+ views/default/user/settings/language.php,
+ views/default/user/settings/name.php,
+ views/default/user/settings/password.php,
+ views/default/usersettings/form.php,
+ views/default/usersettings/statistics.php,
+ .../usersettings/statistics_opt/numentities.php,
+ .../default/usersettings/statistics_opt/online.php: User settings
+
+2008-08-04 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/xml-rpc.php: Error message bugfix
+
+ * mod/guidtool/index.php, mod/guidtool/languages/en.php,
+ mod/guidtool/start.php: Adapted to use new menus.
+
+ * services/export/handler.php: Handler output made a little more pretty.
+
+ * mod/guidtool/export.php, mod/guidtool/format_picker.php,
+ mod/guidtool/import.php, mod/guidtool/index.php, mod/guidtool/view.php,
+ mod/logbrowser/index.php: Using the new view
+
+2008-08-04 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Fixed the container_guid override.
+
+2008-08-04 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php, views/default/navigation/topbar_tools.php,
+ views/default/page_elements/footer.php: updates to toolbar menu fixes, error
+ messages, owner block
+
+2008-08-04 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/entities/delete.php, languages/en.php,
+ views/default/group/default.php, views/default/object/default.php,
+ views/default/site/default.php: Fixes #199
+
+ * engine/lib/xml-rpc.php: XML-RPC helper functions suggested by Misja
+
+2008-08-04 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * search/index.php: search layout tweaked
+
+2008-08-04 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, engine/lib/metadata.php, search/index.php,
+ views/default/search/entity_list.php: Hidden viewtype toggle on search.
+
+ * views/default/page_elements/owner_block.php: Added owner_block/extend to
+ the owner block
+
+2008-08-04 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * LICENCE.txt: Refs #197: Added placeholders & gpl 2 licence.
+
+ * engine/lib/sessions.php, engine/lib/users.php, engine/schema/mysql.sql:
+ Closes #193: Added salt field to database and new users will be generated
+ with salted passwords. Existing users remain unchanged and should still be
+ able to log in. Requires a schema change and the following code run against
+ the database: alter table elggusers_entity add column salt varchar(8)
+ NOT NULL default '' after password;
+
+2008-08-04 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../canvas/layouts/narrow_right_sidebar.php,
+ views/default/canvas/layouts/two_column.php: Removed old, no-longer-necessary
+ canvas areas.
+
+2008-08-04 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * account/forgotten_password.php, account/register.php,
+ actions/systemsettings/install.php, languages/en.php,
+ views/default/account/forms/forgotten_password.php,
+ views/default/account/forms/login.php: Closes #195 & #191
+
+2008-08-04 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/navigation/pagination.php: Altered pagination so that it
+ does display if we already have an offset.
+
+2008-08-03 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/edit.php: profile edit layout altered
+
+ * views/default/page_elements/title.php: tweaked the old title block - we no
+ longer need the user avatar now we have the owner block.
+
+ * mod/groups/all.php, mod/groups/groupprofile.php, mod/groups/index.php,
+ mod/groups/invite.php, mod/groups/languages/en.php, mod/groups/new.php,
+ mod/groups/start.php: some work on groups to make them work with the new
+ layout
+
+ * mod/profile/languages/en.php, mod/profile/start.php,
+ views/default/page_elements/owner_block.php: brief description added to the
+ owner block and profile
+
+ * views/default/navigation/pagination.php: pagination now only displays if
+ there is content to paginate through
+
+2008-08-02 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: minor interface fixes
+
+2008-08-02 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/rss/annotation/default.php: Default annotation RSS view.
+
+2008-08-01 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/icon_odd.gif, _graphics/icon_rss.gif,
+ _graphics/owner_block_back.jpg,
+ mod/profile/views/default/profile/userdetails.php, views/default/css.php:
+ more interface fixes and improvements. Icons and background added to owner
+ block
+
+2008-08-01 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/foaf/canvas/default.php, views/foaf/friends/list.php,
+ views/foaf/search/entity_list.php, views/foaf/user/default.php: Fixed FOAF
+ view
+
+ * friends/index.php, friends/of.php,
+ mod/profile/views/default/user/default.php, views/default/user/default.php,
+ views/rss/user/default.php: Fixed RSS and OpenDD feeds for users
+
+2008-08-01 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/json/canvas/default.php, views/json/group/default.php,
+ views/json/object/default.php, views/json/search/entity_list.php,
+ views/json/site/default.php, views/json/user/default.php,
+ views/php/canvas/default.php, views/php/group/default.php,
+ views/php/object/default.php, views/php/search/entity_list.php,
+ views/php/site/default.php, views/php/user/default.php: PHP and JSON entity
+ list views... which should work.
+
+2008-08-01 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php: Fixed erroneous friends link issue
+
+ * views/default/page_elements/header.php,
+ views/default/page_elements/owner_block.php,
+ views/opendd/search/entity_list.php: Fixed OpenDD feeds
+
+ * languages/en.php, views/default/page_elements/owner_block.php: RSS and
+ OpenDD feeds autolink in owner block
+
+ * actions/user/spotlight.php, engine/lib/users.php,
+ views/default/page_elements/spotlight.php: Spotlight state persists.
+
+2008-08-01 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: friends picker modified, minor fixes and css
+ cleanup / re-sort
+
+2008-08-01 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/user/passwordreset.php, actions/user/requestnewpassword.php,
+ engine/lib/users.php, languages/en.php: Refs #191: Actions for resetting
+ passwords, but no front end as yet. To request a password reset access
+ http://..../actions/user/requestnewpassword/?username=username
+
+2008-08-01 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/canvas/layouts/widgets.php, views/default/css.php: river
+ updated, widget layout fixed, page block tweaked,
+
+2008-08-01 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/guidtool/views/default/guidtool/gallery.php,
+ mod/guidtool/views/default/guidtool/listing.php: GUIDTool now displays some
+ generic information about entities where available.
+
+2008-08-01 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/widgets/reorder.php, engine/lib/widgets.php, entities/index.php,
+ views/default/canvas/layouts/widgets.php: Widgets now work in three columns
+
+ * engine/lib/elgglib.php: Introducing the new pagesetup event.
+
+2008-08-01 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php: Message changes, refs #190
+
+ * mod/guidtool/start.php: Guidtool only available to admins
+
+ * engine/lib/entities.php, engine/lib/users.php: Changed so that
+ validated_email flag written as null user. Refs #190. Also confirms fix and
+ closes #104.
+
+2008-08-01 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, engine/lib/metadata.php: The metadata permissions
+ check now passes along (optionally) the specific piece of metadata. Refs #104
+
+2008-08-01 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/sessions.php: Closes #190. Note however that logins will be
+ broken until #104 has been resolved!
+
+ * actions/import/opendd.php, engine/lib/input.php: Fixes #194
+
+ * actions/import/opendd.php: Refs #194
+
+2008-08-01 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, entities/index.php,
+ .../canvas/layouts/two_column_left_sidebar.php,
+ views/default/page_elements/owner_block.php: Introducing the consistent owner
+ block
+
+2008-08-01 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/sites.php: Fixed what I presume was an error: namely it was
+ using $site instead of $guid in get_entity
+
+2008-07-31 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/friends/collection.php: delete collection action - div
+ prevented from showing
+
+2008-07-31 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/friends/deletecollection.php, languages/en.php: Collections now
+ delete successfully
+
+2008-07-31 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/friends/collections.php: temporarily allow h2 click to
+ propagate through to delete button
+
+2008-07-31 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/import/opendd.php: Bugfix
+
+2008-07-31 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * friends/pickercallback.php, views/default/friends/collection.php,
+ views/default/friends/collectiontabs.php,
+ views/default/friends/tablelist.php,
+ views/default/friends/tablelistcountupdate.php: Counts now update when you
+ save a friends collection
+
+ * engine/lib/access.php, views/default/friends/picker.php: More updates for
+ collections - save now works properly.
+
+ * actions/friends/editcollection.php: Removing the reference to the
+ collection name for now
+
+ * actions/friends/editcollection.php, engine/lib/access.php,
+ friends/pickercallback.php, languages/en.php,
+ views/default/friends/collection.php,
+ views/default/friends/collectiontabs.php,
+ views/default/friends/forms/collectionfields.php,
+ views/default/friends/forms/edit.php, views/default/friends/picker.php:
+ Picker saves correctly!
+
+2008-07-31 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/output/iframe.php: Added iframe output
+
+ * mod/guidtool/export.php, mod/guidtool/format_picker.php,
+ mod/guidtool/import.php, mod/guidtool/index.php,
+ mod/guidtool/languages/en.php, mod/guidtool/start.php, mod/guidtool/view.php,
+ .../views/default/forms/guidtool/export.php,
+ .../views/default/forms/guidtool/format.php,
+ mod/guidtool/views/default/guidtool/gallery.php,
+ mod/guidtool/views/default/guidtool/listing.php,
+ mod/guidtool/views/default/guidtool/profile.php,
+ .../views/default/object/guidtoolwrapper.php: Guid tool for import, export
+ and browsing.
+
+ * views/default/graphics/icon.php: Refs #151: graphics/icon view
+
+2008-07-31 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/footer_logo.gif, views/default/canvas/layouts/widgets.php,
+ views/default/css.php, views/default/navigation/pagination.php,
+ views/default/page_elements/footer.php: footer logo added, widget gallery
+ instructions changed, pagination block styles added to all pagination
+
+2008-07-31 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/friends/addcollection.php, actions/friends/deletecollection.php,
+ actions/friends/editcollection.php, engine/lib/users.php, friends/add.php,
+ friends/collections.php, friends/edit.php, languages/en.php,
+ views/default/friends/forms/edit.php: Friends collections are now fully part
+ of the main core
+
+2008-07-31 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/icons/default/large.png, _graphics/icons/default/medium.png,
+ _graphics/icons/default/small.png, _graphics/icons/default/tiny.png,
+ engine/lib/elgglib.php, engine/lib/entities.php: Closes #151: Introducing
+ getIcon($size)
+
+ * mod/logbrowser/graphics/defaultlarge.jpg,
+ mod/logbrowser/graphics/defaultmedium.jpg,
+ mod/logbrowser/graphics/defaultsmall.jpg,
+ mod/logbrowser/graphics/defaulttiny.jpg,
+ .../views/default/logbrowser/gallery.php,
+ mod/logbrowser/views/default/logbrowser/icon.php,
+ .../views/default/logbrowser/listing.php: Logbrowser now using getIcon for
+ graphics
+
+ * mod/logbrowser/index.php: Title added
+
+ * engine/lib/elgglib.php: Introducing elgg_get_view_location()
+
+2008-07-31 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/toolbar_arrow.png, views/default/css.php: arrow icon added to
+ dropdown menu
+
+ * views/default/css.php, views/default/friends/picker.php: save and cancel
+ buttons added to collections
+
+2008-07-31 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * friends/pickercallback.php, javascript/friendsPickerv1.js,
+ views/default/friends/collection.php, views/default/friends/collections.php,
+ views/default/friends/collectiontabs.php, views/default/friends/picker.php:
+ Hurrah! Made the friendspickers work
+
+2008-07-31 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/guidtool/import.php, mod/guidtool/index.php,
+ mod/guidtool/languages/en.php, mod/guidtool/manifest.xml,
+ mod/guidtool/start.php, .../views/default/forms/guidtool/import.php: Added
+ guidtool and switching to desktop.
+
+2008-07-31 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/friends/collection.php,
+ views/default/friends/collectiontabs.php: Friends picker tab initialisation
+ updates
+
+2008-07-30 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/friends/picker.php, views/default/friends/tablelist.php:
+ small change to collection members display - as a table
+
+ * javascript/friendsPickerv1.js, views/default/friends/collectiontabs.php:
+ minor fixes from earlier today - re-instating the a-z slider, and correctly
+ switching the picker tabs
+
+2008-07-30 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/friends/collection.php: Removing the members from the
+ collections content
+
+ * views/default/friends/picker.php: Picker works better on ajax load.
+
+ * views/default/friends/collectiontabs.php: Added specific numbering to tab
+ classes for the picker
+
+ * views/default/friends/picker.php: Removing js on picker load
+
+ * views/default/friends/collection.php, views/default/friends/picker.php:
+ Collections open blank (for now)
+
+ * views/default/friends/collectiontabs.php: Committing in loads
+
+2008-07-30 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/friends/collectiontabs.php: pete's collectiontabs code
+
+2008-07-30 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/import/odd.php, actions/import/opendd.php, engine/lib/export.php,
+ services/export/handler.php, views/odd/canvas/default.php,
+ views/odd/export/entity.php, views/odd/export/metadata.php,
+ views/odd/export/relationship.php, views/odd/group/default.php,
+ views/odd/messages/exceptions/exception.php, views/odd/object/default.php,
+ views/odd/pageshells/pageshell.php, views/odd/site/default.php,
+ views/odd/user/default.php, views/opendd/canvas/default.php,
+ views/opendd/export/entity.php, views/opendd/export/metadata.php,
+ views/opendd/export/relationship.php, views/opendd/group/default.php,
+ views/opendd/messages/exceptions/exception.php,
+ views/opendd/object/default.php, views/opendd/pageshells/pageshell.php,
+ views/opendd/site/default.php, views/opendd/user/default.php: Closes #189:
+ ODD -> OpenDD
+
+2008-07-30 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/friends/collection.php,
+ views/default/friends/collectiontabs.php, views/default/friends/picker.php:
+ AJAX improvements to picker
+
+ * engine/lib/elgglib.php, views/default/navigation/pagination.php: Lists of
+ comments etc no longer display "none found" if there aren't any.
+
+2008-07-30 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/import/odd.php: Forward to referer
+
+ * services/export/handler.php:
+
+ * actions/import/odd.php: Admin gatekeeper
+
+2008-07-30 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/elgg_toolbar_logout.gif, _graphics/elgg_toolbar_logout_over.gif,
+ _graphics/icon_customise_remove.png, views/default/css.php,
+ views/default/friends/collection.php: toolbar logout icon changed to work as
+ a single image resource (for caching purposes), delete icon on collections
+ changed to work as a single image rollover
+
+2008-07-30 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php, views/default/export/entity.php: Minor improvements to
+ default entity view.
+
+ * views/default/export/metadata.php, views/default/export/relationship.php:
+ Improved views
+
+2008-07-30 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * friends/pickercallback.php, views/default/friends/picker.php,
+ views/default/friends/tablelist.php: Added friends picker callback
+
+2008-07-30 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/extender.php, engine/lib/relationships.php: Refs #186: Get URL
+ for relationships
+
+2008-07-30 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/friends/collection.php,
+ views/default/friends/collectiontabs.php, views/default/friends/picker.php:
+ Moved collection tabs out of the main picker view
+
+2008-07-30 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/extender.php: Refs #186
+
+ * engine/lib/annotations.php, engine/lib/extender.php,
+ engine/lib/metadata.php: Introducing url handlers for extenders.
+
+ * engine/lib/export.php: Changed export uuid, refs #186
+
+ * htaccess_dist: Changed export rewrite rules.
+
+2008-07-30 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/friends/collection.php, views/default/friends/picker.php,
+ views/default/friends/tablelist.php: Added friends collections members list
+
+2008-07-30 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/relationships.php: Using guid_to_uuid
+
+2008-07-30 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/friends/tablelist.php: Added the tablelist view
+
+2008-07-30 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * javascript/friendsPickerv1.js, views/default/css.php,
+ views/default/friends/collection.php, views/default/friends/picker.php: the
+ beginnings of the tabbed friends picker
+
+2008-07-30 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php, engine/lib/extender.php,
+ engine/lib/metadata.php: Extender getURL() support (no overide as of yet)
+
+ * mod/opendd/actions/opendd/feed/delete.php,
+ mod/opendd/actions/opendd/feed/subscribe.php, mod/opendd/edit.php,
+ mod/opendd/feeds.php, mod/opendd/graphics/defaultlarge.jpg,
+ mod/opendd/graphics/defaultmedium.jpg, mod/opendd/graphics/defaultsmall.jpg,
+ mod/opendd/graphics/defaulttiny.jpg, mod/opendd/index.php,
+ mod/opendd/languages/en.php, mod/opendd/manage.php, mod/opendd/manifest.xml,
+ mod/opendd/start.php, mod/opendd/viewfeed.php,
+ .../views/default/forms/opendd/subscribe.php,
+ mod/opendd/views/default/object/oddentity.php,
+ mod/opendd/views/default/object/oddfeed.php,
+ mod/opendd/views/default/object/oddmetadata.php,
+ .../views/default/object/oddrelationship.php,
+ mod/opendd/views/default/opendd/css.php,
+ mod/opendd/views/default/opendd/gallery.php,
+ mod/opendd/views/default/opendd/icon.php,
+ mod/opendd/views/default/opendd/link_logo.php,
+ mod/opendd/views/default/opendd/links.php,
+ mod/opendd/views/default/opendd/listing.php,
+ mod/opendd/views/default/opendd/metatags.php,
+ mod/opendd/views/default/opendd/profile.php,
+ mod/opendd/views/default/output/uuid.php,
+ .../widgets/opendd_friends_elsewhere/edit.php,
+ .../widgets/opendd_friends_elsewhere/view.php, mod/opendd/viewuuid.php: Moved
+ OpenDD out of the central repo.
+
+ * mod/logbrowser/index.php: Logbrowser using admin gatekeeper.
+
+2008-07-30 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/widgets.php: Widget state cookie is now removed on widget
+ delete.
+
+2008-07-29 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * javascript/initialise_elgg.js, views/default/canvas/layouts/widgets.php,
+ views/default/widgets/wrapper.php: individual widgets state now saved in
+ cookies
+
+2008-07-29 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * htaccess_dist: Default view added to export, for completeness
+
+ * engine/lib/system_log.php:
+
+ * views/odd/group/default.php, views/odd/site/default.php: OpenDD default
+ views on entities
+
+ * views/default/export/entity.php: Improvements to export view
+
+ * views/default/object/default.php, views/default/site/default.php: Added
+ some default views.
+
+ * .../views/default/logbrowser/gallery.php: And in gallery view
+
+ * .../views/default/logbrowser/listing.php: Handle anonymous events.
+
+ * actions/systemsettings/install.php,
+ mod/logbrowser/graphics/defaultlarge.jpg,
+ mod/logbrowser/graphics/defaultmedium.jpg,
+ mod/logbrowser/graphics/defaultsmall.jpg,
+ mod/logbrowser/graphics/defaulttiny.jpg, mod/logbrowser/index.php,
+ mod/logbrowser/languages/en.php, mod/logbrowser/manifest.xml,
+ mod/logbrowser/start.php, .../views/default/logbrowser/gallery.php,
+ mod/logbrowser/views/default/logbrowser/icon.php,
+ .../views/default/logbrowser/listing.php,
+ mod/logbrowser/views/default/object/logwrapper.php: Added basic log browser.
+ updateclient & logbrowser are switched on by default in new installs.
+
+ * engine/lib/system_log.php: Fixed count
+
+ * engine/lib/system_log.php: Typo
+
+ * engine/lib/system_log.php: Added some useful helper functions.
+
+ * engine/lib/system_log.php: Count support added
+
+2008-07-29 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/canvas/layouts/widgets.php, views/default/css.php: final
+ changes to widgets - all ready to wire up now
+
+2008-07-29 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/opendd/start.php: Typo
+
+ * actions/register.php, languages/en.php,
+ views/default/account/forms/login.php: Registration disabled option (no admin
+ screen yet), closes #184
+
+2008-07-29 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * dashboard/index.php: dashboard widget tweak
+
+ * views/default/canvas/layouts/widgets.php: tweak to the profile widget
+ picker
+
+2008-07-29 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/updateclient/languages/en.php, mod/updateclient/start.php,
+ .../views/default/settings/updateclient/edit.php: Update client gets updates
+
+ * engine/lib/admin.php: Admin notify method added, view 'admin_message' for
+ this.
+
+2008-07-29 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php, views/default/canvas/layouts/widgets.php: widget layout
+ help context sensitive.
+
+2008-07-29 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/site/update_basic.php: Only on install
+
+ * mod/updateclient/languages/en.php, mod/updateclient/start.php: Update
+ client
+
+2008-07-28 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/updateclient/languages/en.php,
+ .../views/default/settings/updateclient/edit.php: Added configuration to
+ client.
+
+ * views/default/admin/plugins_opt/plugin.php: Minor look and feel tweaks
+
+ * mod/updateclient/index.php, mod/updateclient/languages/en.php,
+ mod/updateclient/manifest.xml, mod/updateclient/start.php,
+ .../views/default/settings/updateclient/edit.php: Adding updateclient
+ (non-functional) and now switching back to base station.
+
+2008-07-28 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php, views/failsafe/messages/errors/list.php,
+ views/failsafe/messages/exceptions/exception.php,
+ views/failsafe/messages/sanitisation/settings.php,
+ views/failsafe/pageshells/pageshell.php: new start page layout/style
+
+ * views/default/css.php: fixes to toolbar and owner box ie 6 issues
+
+ * views/default/css.php: top toolbar styled up, and owner block items
+
+ * _graphics/tools_over.png, javascript/initialise_elgg.js, languages/en.php,
+ views/default/canvas/layouts/widgets.php, views/default/css.php,
+ views/default/navigation/topbar_tools.php: new 3 column widget gallery (needs
+ wiring up), profile page profile box alignment sorted, topbar menu tweaked
+ and image added,
+
+2008-07-28 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/start.php: Fixes #182
+
+2008-07-27 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/userdetails.php,
+ views/default/canvas/layouts/widgets.php, views/default/css.php: profile and
+ dashboard pages altered for 3 widget columns
+
+2008-07-26 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/footer.php: fixed a small footer error
+
+ * views/default/page_elements/footer.php: wired up the footer menu links
+
+ * views/default/pageshells/pageshell.php: the spotlight is now only
+ available for logged in users.
+
+2008-07-26 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * javascript/friendsPickerv1.js, views/default/css.php,
+ views/default/friends/picker.php: friends picker fixed for new page layout,
+ and hopefully I've fixed the load issue too
+
+2008-07-25 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/userdetails.php,
+ views/default/canvas/layouts/widgets.php, views/default/css.php,
+ views/default/page_elements/elgg_topbar.php,
+ views/default/pageshells/pageshell.php: canvas layout updates, ie6&7 layout
+ fixes, new 3-column widget view
+
+2008-07-25 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/ping.php: Some notes
+
+ * engine/lib/ping.php: Using correct ping address
+
+ * engine/lib/entities.php: User test negative non-fatal on permissions check
+
+ * engine/lib/entities.php: Actually fetching the user
+
+2008-07-25 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * friends/index.php, friends/of.php: friends pages altered
+
+2008-07-25 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/opendd/views/default/output/uuid.php: Introducing UUID view
+
+2008-07-25 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * entities/index.php, entities/list.php: changed list and entity canvas
+ layout
+
+2008-07-25 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/site/update_basic.php, actions/systemsettings/install.php,
+ engine/lib/ping.php: Main pinging mechanism now functional
+
+ * engine/lib/ping.php: Temp disabled
+
+ * engine/schema/mysql.sql: Fixing access collections table
+
+2008-07-25 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/canvas/layouts/one_column.php, views/default/css.php,
+ views/default/page_elements/title.php: more canvas layout additions, and page
+ owner block added to sidebar
+
+2008-07-25 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../canvas/layouts/two_column_left_sidebar.php: tweak to the two_column
+ left to add the owner block
+
+2008-07-25 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/navigation/topbar_tools.php: menu fix
+
+ * views/default/css.php: new canvas layouts
+
+ * .../canvas/layouts/two_column_left_sidebar.php,
+ .../canvas/layouts/two_column_right_sidebar.php: new canvas layouts
+
+2008-07-25 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/ping.php: Sends url with version (to retrieve site if already
+ loaded)
+
+2008-07-25 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/navigation/topbar_tools.php: bug in tools fixed
+
+2008-07-25 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/ping.php: Set to ping ping.elgg.org
+
+ * actions/admin/site/update_basic.php, actions/systemsettings/install.php,
+ engine/lib/ping.php, languages/en.php, views/default/settings/system.php:
+ Ping now configurable.
+
+2008-07-25 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/navigation/topbar_tools.php: tools added to topbar
+
+ * views/default/pageshells/pageshell.php: removed toolbox from main content
+ area
+
+2008-07-25 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/elgg_topbar.php: minor tweak
+
+ * views/default/navigation/topbar_tools.php,
+ views/default/page_elements/elgg_topbar.php: tools menu item fixed and jquery
+ animation added
+
+2008-07-25 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php, views/default/settings/system.php: Moving to laptop
+
+2008-07-25 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/access.php, views/default/friends/collection.php,
+ views/default/friends/picker.php: Improved friend picker.
+
+2008-07-25 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/site/update_basic.php, engine/lib/configuration.php:
+ sitedescription now loaded into config and displayed
+
+2008-07-25 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/navigation/topbar_tools.php,
+ views/default/page_elements/elgg_topbar.php: added tools to the topbar
+
+2008-07-25 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/site/update_basic.php, actions/systemsettings/install.php,
+ languages/en.php, views/default/settings/system.php: Now possible to specify
+ a site description.
+
+ * engine/lib/ping.php:
+
+ * views/default/output/url.php: Fixed another oopsy
+
+ * engine/lib/api.php:
+
+ * engine/lib/entities.php: Support multiple metadata values on entities,
+ closes #179
+
+2008-07-24 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/ping.php:
+
+ * engine/lib/api.php: API Client bugfixes
+
+ * engine/lib/api.php:
+
+2008-07-24 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * javascript/friendsPickerv1.js, views/default/friends/picker.php: Tabs on
+ the friend picker now work.
+
+2008-07-24 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/api.php: Fixing oopsy...
+
+2008-07-24 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/friends/picker.php: Fixed the friends picker.
+
+ * views/default/friends/picker.php: The picker now selects the
+ friendspickerN variable correctly.
+
+ * engine/lib/access.php, engine/lib/relationships.php,
+ engine/schema/mysql.sql, languages/en.php,
+ views/default/friends/collection.php, views/default/friends/collections.php,
+ views/default/friends/picker.php: Introducing the (still issue-packed) friend
+ picker.
+
+2008-07-24 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Allowing multiple
+
+ * views/default/output/url.php: Support HTTPS
+
+ * engine/lib/api.php: Api tweaks refs #149
+
+ * engine/lib/ping.php: New site pinger, refs #149
+
+ * engine/lib/entities.php: Correctly exporting site and container as opendd
+
+2008-07-23 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/api.php, services/api/rest.php: Updated to use pam for auth
+ token. Added anonymous function support.
+
+ * engine/lib/pam.php: PAM now supports 'required' and 'sufficient'
+
+2008-07-23 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/friends_picker_arrow_left.gif,
+ _graphics/friends_picker_arrow_right.gif, javascript/friendsPickerv1.js,
+ .../jquery/jquery-easing-compatibility.1.2.pack.js,
+ vendors/jquery/jquery-easing.1.2.pack.js, views/default/css.php,
+ views/default/page_elements/header.php: new files for friends picker
+
+2008-07-23 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/api.php: Fixed typo
+
+ * engine/lib/api.php, languages/en.php: API client added to code & changed
+ order of expose_function
+
+ * engine/lib/entities.php, engine/lib/group.php: Moving canWriteToContainer
+
+2008-07-23 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/footer_logo.gif, views/default/page_elements/footer.php: removal
+ of the official elgg logo from the footer, this is not GPL.
+
+2008-07-22 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/groupprofile.php: The group profile now sets page ownership to
+ the group
+
+ * mod/groups/views/default/groups/grouplinks.php: Slight modification to
+ group links.
+
+ * engine/lib/objects.php: Yet more container refactoring.
+
+2008-07-22 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/input/access.php, views/default/input/checkboxes.php,
+ views/default/input/file.php, views/default/input/longtext.php,
+ views/default/input/password.php, views/default/input/pulldown.php,
+ views/default/input/radio.php, views/default/input/tags.php,
+ views/default/input/text.php, views/default/input/url.php: Disabled support
+ added to forms.
+
+2008-07-22 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/group.php, engine/lib/metadata.php,
+ engine/lib/relationships.php: More owner_guid to container_guid transfer
+
+ * engine/lib/metadata.php, engine/lib/tags.php: Updated further functions to
+ work from container_guids rather than owner_guids
+
+ * mod/groups/start.php, mod/groups/views/default/groups/icon.php,
+ mod/profile/views/default/profile/icon.php: Icons now handle elegantly if you
+ try and display a non-ElggUser entity.
+
+ * engine/lib/group.php, engine/lib/pageowner.php,
+ mod/groups/languages/en.php: Groups now have usernames of a sort
+
+ * engine/lib/elgglib.php, engine/lib/group.php, engine/lib/users.php:
+ Introducing the Friendable interface
+
+ * mod/profile/views/default/user/default.php,
+ mod/profile/views/default/user/user.php: Moved the default user view from
+ user/user to user/default.
+
+2008-07-22 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php:
+
+ * engine/lib/entities.php: Saving cached annotations
+
+2008-07-21 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/new.php: Typo
+
+ * mod/opendd/edit.php, mod/opendd/feeds.php, mod/opendd/index.php,
+ mod/opendd/manage.php, mod/opendd/viewfeed.php, mod/opendd/viewuuid.php:
+ OpenDD using elgg_view_title
+
+ * engine/lib/entities.php: Exporting full profile
+
+ * mod/groups/all.php, mod/groups/edit.php, mod/groups/groupprofile.php,
+ mod/groups/index.php, mod/groups/invite.php, mod/groups/new.php: Groups using
+ elgg_view_title
+
+ * engine/lib/access.php: Fixes #175
+
+2008-07-18 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Fix for can_write_to_container
+
+ * engine/lib/entities.php: Fixed the can_write_to_container function when
+ you're logged out.
+
+ * engine/lib/entities.php, engine/lib/users.php: Further fixes.
+
+ * engine/lib/entities.php: Fix for previous.
+
+ * engine/lib/entities.php: Container_guid is set intelligently for
+ get_entities when owner_guid is set.
+
+ * engine/lib/users.php: Slight fix to previous.
+
+ * engine/lib/users.php: Altered the get_user_friends_objects functions to
+ work with containers.
+
+ * engine/lib/entities.php, engine/lib/group.php: Moved
+ can_write_to_container into elgglib
+
+ * engine/lib/annotations.php, engine/lib/elgglib.php,
+ engine/lib/entities.php, engine/lib/group.php, engine/lib/objects.php,
+ engine/lib/pageowner.php, engine/lib/users.php, engine/schema/mysql.sql,
+ mod/groups/actions/addtogroup.php, views/default/page_elements/title.php:
+ Moved container_guid to entities, modified a bunch of functions.
+
+ * engine/lib/plugins.php: Standardised plugin loading
+
+2008-07-18 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/group.php, mod/groups/languages/en.php, mod/groups/start.php:
+ Closes #166
+
+2008-07-18 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/access.php: Slight change to write access permissions function
+
+ * engine/lib/access.php: Reinstating plugin hooks for access collections.
+
+ * engine/lib/input.php, mod/profile/views/default/profile/userdetails.php,
+ views/default/admin/main.php, views/default/admin/plugins.php,
+ views/default/admin/site.php, views/default/admin/statistics.php,
+ views/default/admin/user.php, views/default/messages/errors/error.php,
+ views/default/messages/exceptions/exception.php,
+ views/default/messages/messages/message.php,
+ views/default/output/longtext.php, views/default/settings/install.php,
+ views/default/usersettings/main.php, views/default/usersettings/plugins.php,
+ views/default/usersettings/statistics.php,
+ views/failsafe/messages/exceptions/exception.php,
+ views/failsafe/messages/sanitisation/htaccess.php,
+ views/failsafe/messages/sanitisation/settings.php,
+ views/odd/messages/exceptions/exception.php, views/rss/object/default.php,
+ views/rss/user/default.php, views/xml/messages/exceptions/exception.php:
+ Added the autop function, replaced any references to nl2br
+
+ * engine/lib/access.php, engine/schema/mysql.sql: Fixed a bug with the
+ revamped access collections
+
+ * engine/lib/pageowner.php, engine/lib/plugins.php: Improvements to
+ get_context
+
+ * engine/lib/access.php: Fix for access collections
+
+ * views/default/input/text.php: Short text values are now properly escaped
+ in the input/text view.
+
+ * engine/schema/mysql.sql: Added auto increment initial val. Fixes #171
+
+2008-07-18 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/access.php: new functions added to access in order to allow
+ collections to be created
+
+2008-07-17 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/schema/mysql.sql: access_collections now auto_increment
+
+2008-07-17 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/access.php: access groups renamed to access collections
+
+2008-07-17 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/schema/mysql.sql: access_groups -> access_collections
+
+ * engine/lib/access.php: Fix to previous
+
+ * engine/lib/access.php: New access control hooks
+
+2008-07-17 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/systemsettings/install.php, mod/groups/groupprofile.php,
+ mod/groups/start.php: Closes #165 & #167
+
+ * services/export/handler.php:
+
+2008-07-17 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/access.php: Added new access group control functions
+
+2008-07-17 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/sessions.php: Fixes #164: Seems there is something erroniously
+ setting 'guid' to something in logged in systems (or alternatively this is
+ just a session problem on my system) either way I have modified isloggedin to
+ check both guid and id... which seems to work.
+
+ * engine/lib/entities.php, engine/lib/extender.php,
+ services/export/handler.php: Closes #160
+
+2008-07-17 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php: Fixed get_entities_by_annotation
+
+ * engine/lib/annotations.php: Introducing list_entities_from_annotations
+
+2008-07-16 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, mod/opendd/viewuuid.php,
+ views/default/friends/list.php, views/default/search/entity_list.php,
+ views/js/object/default.php, views/js/user/default.php: Fixed numerous
+ occurrances of elgg_view_entity being called incorrectly. Fixes #163
+
+2008-07-16 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * entities/index.php: Fixed the single entity viewer
+
+2008-07-16 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/index.php: Fixes #162
+
+ * mod/opendd/viewuuid.php: Closes #161
+
+2008-07-16 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/friends/add.php, actions/friends/remove.php: Add and remove friend
+ actions now use try / catch
+
+2008-07-16 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, engine/lib/extender.php: Closes #155: Introduces
+ 'volatile' type of metadata in odd feeds.
+
+ * engine/lib/elgglib.php: Closes #157: Introducing elgg_[get|set]_viewtype()
+
+ * engine/lib/river.php: Reversed ben's changes
+
+2008-07-16 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/river.php: Fix for river items when the item is an annotation,
+ not an entity in itself
+
+2008-07-16 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Closes #158: Renamed $location to $view_location
+ within elgg_view. This seems to be a successful workaround.
+
+2008-07-16 misja <misja@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/handlers/xml-rpc_handler.php: Set the view with set_input rather
+ than via the session
+
+2008-07-16 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/opendd.php: Closes #156 & #139
+
+2008-07-16 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/river.php: The friends river now grabs more friends ...
+
+2008-07-16 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/actions/joinrequest.php: Fixes #154
+
+ * engine/lib/metadata.php, mod/groups/actions/join.php: Closes #116: Groups
+ join clears invite flags http://trac.elgg.org/elgg/ticket/116
+
+ * mod/profile/actions/edit.php, mod/profile/actions/iconupload.php,
+ mod/profile/languages/en.php,
+ .../default/river/ElggUser/profileiconupdate.php,
+ .../views/default/river/ElggUser/profileupdate.php,
+ .../views/default/river/ElggUser/update.php: Closes #152 and as a bonus you
+ get a river notification for icon upload as well. Aren't I nice?
+
+2008-07-16 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: river blog updated - added
+
+2008-07-15 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/opendd/start.php: Fixes #147
+
+ * mod/opendd/start.php:
+
+ * mod/opendd/start.php: Closes #150
+
+ * mod/opendd/start.php, .../widgets/opendd_friends_elsewhere/view.php,
+ mod/opendd/viewuuid.php: Closes #145: Override getURL on imported entities.
+
+2008-07-15 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: You can now override entity URLs with the
+ setURL($string) method.
+
+2008-07-15 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/actions/addtogroup.php, mod/groups/actions/edit.php,
+ mod/groups/actions/joinrequest.php, mod/groups/languages/en.php,
+ mod/groups/start.php, mod/groups/views/default/forms/groups/invite.php,
+ mod/groups/views/default/groups/groupgallery.php,
+ mod/groups/views/default/groups/grouplisting.php,
+ mod/groups/views/default/groups/groupprofile.php,
+ .../default/river/ElggRelationship/create.php: Fixes #144
+
+2008-07-15 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/css.php,
+ mod/profile/views/default/profile/javascript.php: javascript menu dropdown IE
+ bug fixed for friends widget, still an issue with friends of
+
+2008-07-15 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/river/ElggRelationship/create.php,
+ views/default/river/wrapper.php,
+ views/json/messages/exceptions/exception.php,
+ views/php/messages/exceptions/exception.php,
+ views/xml/messages/exceptions/exception.php: Closes #140
+
+ * engine/lib/extender.php, mod/opendd/languages/en.php,
+ mod/opendd/manage.php, mod/opendd/start.php, mod/opendd/viewfeed.php,
+ .../widgets/opendd_friends_elsewhere/edit.php,
+ .../widgets/opendd_friends_elsewhere/view.php: Closes #135: First version of
+ the OpenDD friends elsewhere feed.
+
+ * engine/lib/river.php, .../default/river/ElggRelationship/create.php,
+ .../views/default/river/ElggUser/update.php: Using ElggRiverStatement. Plugin
+ writers update your views! Refs #135.
+
+ * engine/lib/entities.php: Moved temp array caching stuff to the correct
+ functions.
+
+ * engine/lib/entities.php: Entity metadata can now be saved using
+ setMetaData() interface even if guid not specified.
+
+2008-07-15 misja <misja@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/handlers/xml-rpc_handler.php, engine/lib/xml-rpc.php: Remove rogue
+ print_r() statement, rightly set the xml view and fix incorrect xml-rpc view
+ call.
+
+ * engine/lib/xml-rpc.php: Fix uninitialized XML-RPC handler value
+
+ * engine/lib/xml-rpc.php: Fixed registering of XML-RPC handlers
+
+2008-07-14 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/extender.php: Time_created should be time_updated.
+
+ * engine/lib/opendd.php: Refs #139: Using UTF-8 encoding as a speculative
+ fix. Test and confirm.
+
+2008-07-14 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: updated toolabr logout for old IE 6's
+
+ * views/default/css.php: updates to log out, pagination, search box
+
+2008-07-14 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/extender.php: Introducing oddmetadata_to_elggextender(), a
+ function used for import but functionised to let you use it from elsewhere.
+
+2008-07-12 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Introducing oddentity_to_elggentity(), a function
+ used for import but functionised to let you use it from elsewhere.
+
+2008-07-11 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: and again
+
+ * views/default/css.php: river_forums_update added
+
+2008-07-11 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php: new date and time lang
+
+2008-07-11 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, views/default/search/entity_list.php,
+ views/rss/search/entity_list.php: The lists now go through the
+ search/entity_list view.
+
+2008-07-11 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/opendd.php: Added getNumElements()
+
+ * engine/lib/entities.php: Fixes #137: annotate and getAnnotations now
+ functions for unsaved entities. Maths functions non-functional at present.
+ Refs #135.
+
+ * engine/lib/entities.php: Added Group entity support to import.
+
+ * languages/en.php, services/export/handler.php: Better errors for attempt
+ to access a GUID you can't
+
+ * views/odd/messages/exceptions/exception.php: Exceptions in OpenDD export
+ now appear as comments for debugging.
+
+ * engine/lib/relationships.php, services/export/handler.php: relationships
+ are addressable and have working UUIDs, refs #132
+
+ * actions/comments/add.php, actions/comments/delete.php,
+ actions/email/confirm.php, actions/email/save.php, actions/friends/add.php,
+ actions/friends/remove.php, actions/import/odd.php, actions/login.php,
+ actions/logout.php, .../notifications/settings/usersettings/save.php,
+ actions/plugins/settings/save.php, actions/plugins/usersettings/save.php,
+ actions/register.php, actions/user/language.php, actions/user/name.php,
+ actions/user/password.php, actions/useradd.php, actions/widgets/save.php,
+ languages/en.php: Using register_error on errors rather than system_message.
+ Also, fixed widget save to handle arrays (Refs #135)
+
+ * actions/admin/user/ban.php, actions/admin/user/delete.php,
+ actions/admin/user/makeadmin.php, actions/admin/user/resetpassword.php: Using
+ register_error on errors rather than system_message
+
+ * actions/admin/plugins/disable.php, actions/admin/plugins/enable.php,
+ mod/groups/actions/addtogroup.php, mod/groups/actions/edit.php,
+ mod/groups/actions/join.php, mod/groups/actions/joinrequest.php,
+ mod/groups/actions/leave.php, mod/opendd/actions/opendd/feed/delete.php,
+ mod/opendd/actions/opendd/feed/subscribe.php: Using register_error on errors
+ rather than system_message
+
+2008-07-11 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: defaut font reset to verdana
+
+ * views/default/css.php: full list of pc names for Lucida Sans added
+
+2008-07-10 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/canvas/layouts/two_column.php, views/default/css.php: two
+ column layout fix
+
+ * views/default/canvas/layouts/two_column.php, views/default/css.php: two
+ column canvas layout fixed
+
+2008-07-10 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, views/default/page_elements/title.php:
+ elgg_view_title can now suppress the submenu
+
+2008-07-10 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/elgg_toolbar_logout_over.gif, views/default/css.php,
+ views/default/page_elements/elgg_topbar.php: elgg topbar logout re-done with
+ rollover
+
+2008-07-10 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/admin/plugins.php: Killed pagination on the plugin admin
+ page
+
+ * engine/lib/elgglib.php: Added a plugin hook for counting comments.
+
+2008-07-10 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/widgets.php: Working with multiple values in array
+
+2008-07-10 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/elgg_bookmarklet.gif: bookmarklet graphic
+
+2008-07-10 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Fixes #134: Using else between statements to
+ ensure that they are only ever triggered once. Please confirm that there are
+ no other issues attached.
+
+2008-07-10 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/css.php,
+ mod/profile/views/default/profile/menu/actions.php,
+ .../views/default/profile/menu/adminlinks.php, views/default/css.php: avatar
+ submenu altered and messages div coloured up
+
+2008-07-10 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/messages/errors/list.php,
+ views/default/messages/messages/list.php: Error messages now have a different
+ div name
+
+ * views/default/page_elements/title.php: The submenu div doesn't appear if
+ there is no submenu.
+
+ * engine/lib/plugins.php: Fixed issue with get_plugin_name and pagehandlers.
+
+2008-07-09 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, views/default/comments/forms/edit.php: Fixed
+ comments!
+
+ * engine/lib/elgglib.php: Fixed submenu tab contexts
+
+2008-07-09 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Blocking some information from export, closes
+ #130
+
+2008-07-09 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/editicon.php: Updated the edit icon form
+ view
+
+ * mod/profile/editicon.php: Restoring old edit icon page
+
+2008-07-09 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/river.php, mod/opendd/actions/opendd/feed/delete.php,
+ mod/opendd/actions/opendd/feed/subscribe.php, mod/opendd/edit.php,
+ mod/opendd/feeds.php, mod/opendd/graphics/defaultlarge.jpg,
+ mod/opendd/graphics/defaultmedium.jpg, mod/opendd/graphics/defaultsmall.jpg,
+ mod/opendd/graphics/defaulttiny.jpg, mod/opendd/index.php,
+ mod/opendd/languages/en.php, mod/opendd/manage.php, mod/opendd/manifest.xml,
+ mod/opendd/start.php, mod/opendd/viewfeed.php,
+ .../views/default/forms/opendd/subscribe.php,
+ mod/opendd/views/default/object/oddentity.php,
+ mod/opendd/views/default/object/oddfeed.php,
+ mod/opendd/views/default/object/oddmetadata.php,
+ .../views/default/object/oddrelationship.php,
+ mod/opendd/views/default/opendd/css.php,
+ mod/opendd/views/default/opendd/gallery.php,
+ mod/opendd/views/default/opendd/icon.php,
+ mod/opendd/views/default/opendd/link_logo.php,
+ mod/opendd/views/default/opendd/links.php,
+ mod/opendd/views/default/opendd/listing.php,
+ mod/opendd/views/default/opendd/metatags.php,
+ mod/opendd/views/default/opendd/profile.php: Closes #81: OpenDD aggregator
+ http://trac.elgg.org/elgg/ticket/81
+
+2008-07-09 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/start.php: Further profile text fix
+
+ * mod/profile/languages/en.php, mod/profile/start.php: Added profile submenu
+
+ * engine/lib/elgglib.php: Fixed minor profile tab issue
+
+2008-07-09 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: mini avatar in page title area fixed
+
+2008-07-09 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * admin/user/index.php: The user administator page now results in an RSS
+ feed
+
+ * engine/lib/elgglib.php, views/default/canvas_header/submenu_template.php,
+ views/default/page_elements/title.php: Introducing the submenu
+
+2008-07-09 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: edit profile button moved
+
+2008-07-09 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/userdetails.php: profile edit link moved
+
+ * friends/of.php: friends of title
+
+ * friends/index.php, friends/of.php: titles on friend list pages
+
+2008-07-09 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: css for page tabs submenu
+
+2008-07-09 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/rss/pageshells/pageshell.php: RSS feeds live again when you're
+ logged out!
+
+2008-07-09 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * htaccess_dist: Fixed opendd metadata .htaccess rules
+
+ * engine/lib/elgglib.php, engine/lib/entities.php: Refs #81: Subtype can be
+ returned on a non-saved entity.
+
+2008-07-09 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Added a comment hook so that other plugins can
+ handle comments instead
+
+ * vendors/kses/kses.php: Removed a kses warning.
+
+ * views/default/comments/forms/edit.php: The comment form only appears if
+ you are logged in.
+
+2008-07-09 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/notification.php: Removed toggle since it broke the handler
+ prototype
+
+2008-07-09 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/title.php: new view added to the canvas title
+ view.
+
+2008-07-09 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php, views/default/page_elements/elgg_topbar.php: topbar
+ altered for messages and links style
+
+2008-07-09 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/object/object.php: Closes #129: Placeholder object default
+ view
+
+2008-07-09 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/editicon.php, mod/profile/languages/en.php,
+ views/default/css.php: new avatar crop page and instructions
+
+2008-07-09 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/languages/en.php, mod/groups/start.php,
+ .../default/widgets/group_entities_widget/edit.php,
+ .../default/widgets/group_entities_widget/view.php: Refs #129, #109 & #106
+
+2008-07-09 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * index.php: Plugins can now override the front page
+
+2008-07-09 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Introducing list_entities_groups()
+
+2008-07-09 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/relationships.php: The relationship listing function wasn't
+ taking offsets properly.
+
+ * views/default/navigation/pagination.php: Further pagination change.
+
+2008-07-09 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/elgg_topbar.php: new topbar
+
+2008-07-09 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/navigation/pagination.php: Further pagination change.
+
+ * views/default/navigation/pagination.php: Slightly more descriptive
+ pagination.
+
+2008-07-09 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * htaccess_dist: Modified rewrite rules for page handler to support - and _
+
+2008-07-09 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/input.php, vendors/kses/AUTHORS, vendors/kses/COPYING,
+ vendors/kses/ChangeLog, vendors/kses/README, vendors/kses/TODO,
+ vendors/kses/docs/attribute-value-checks, vendors/kses/docs/hooks,
+ vendors/kses/docs/stripping-everything, vendors/kses/docs/supported-formats,
+ vendors/kses/docs/whitelisted-url-protocols,
+ vendors/kses/examples/filter.php, vendors/kses/examples/test.php,
+ vendors/kses/kses.php, vendors/kses/oop/oop.kses.changelog.txt,
+ vendors/kses/oop/oop.simple.api.txt, vendors/kses/oop/php4.class.kses.php,
+ vendors/kses/oop/php5.class.kses.php, vendors/kses/oop/test.oop.kses.php:
+ Elgg 1.0, meet kses. Kses, Elgg 1.0.
+
+2008-07-09 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/opendd.php: Minor tweak to OpenDD library
+
+2008-07-09 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/editicon.php, mod/profile/languages/en.php,
+ mod/profile/views/default/profile/editicon.php: new profile avatar page
+
+2008-07-08 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/gallery.php: users gallery view sorted
+
+2008-07-08 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * htaccess_dist: Closes #128
+
+2008-07-08 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/title.php: new canvas title
+
+2008-07-08 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/river_icons/river_icon_friends.gif, views/default/css.php: river
+ icon for friends
+
+2008-07-08 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/vendors/calendarpopup/CalendarPopup.js,
+ engine/vendors/jquery/jquery.js, vendors/calendarpopup/CalendarPopup.js,
+ views/default/input/calendar.php: Moved the calendar popup, erased the
+ duplicate jQuery
+
+ * actions/comments/add.php, engine/lib/notification.php, languages/en.php:
+ Introducing notifications on generic comments.
+
+ * entities/list.php: Rough and ready entity list page
+
+2008-07-08 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/title.php: reverted the new title
+
+ * views/default/page_elements/title.php: title tweaks
+
+2008-07-08 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/header.php: OpenDD autodiscovery header
+
+2008-07-08 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: moved generic comments css from plugin to core
+
+2008-07-08 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php: Closes #125: get_entities_by_latest_annotation
+ function
+
+2008-07-08 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/widgets.php, views/default/output/tags.php: widget are now
+ logged in by default, tag output tweaked
+
+2008-07-08 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/database.php, engine/lib/elgglib.php,
+ engine/lib/metastrings.php, mod/profile/actions/iconupload.php: Removing
+ annoying warning messages
+
+2008-07-07 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php: Users online, not user's ...
+
+ * actions/admin/user/makeadmin.php, engine/lib/admin.php,
+ engine/lib/entities.php, engine/lib/metadata.php, engine/lib/sessions.php,
+ engine/lib/users.php, views/default/admin/statistics_opt/numentities.php,
+ views/default/admin/statistics_opt/online.php: Administration tweaks; fixed
+ users online functions, as well as last_action functions, and the 'make
+ admin' functionality
+
+ * views/default/page_elements/title.php: Removed the 'your' prefix from
+ titles
+
+ * engine/lib/pageowner.php: page_owner() now works off owner_guid, not
+ owner_id
+
+ * languages/en.php: Added the word 'all' to the vocabulary
+
+2008-07-07 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/title.php: changes to the title view
+
+2008-07-07 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/river/wrapper.php: Relationship river items are now
+ correctly wrapped in divs
+
+ * mod/profile/graphics/defaulttopbar.jpg, mod/profile/graphics/topbar.jpg:
+ Default topbar graphic should now be included
+
+2008-07-07 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/graphics/topbar.jpg: default avatar added for topbar
+
+2008-07-07 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, engine/lib/metadata.php: Introducing
+ canEditMetadata
+
+2008-07-07 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/objects.php: Closes #107, this has been superceded by groups
+ functionality (#106).
+
+ * engine/lib/notification.php: Option to hide sender.
+
+2008-07-07 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/gallery_back_icon.gif, _graphics/gallery_back_icon_on.gif:
+ gallery overview icons
+
+ * _graphics/icon_tag.gif: piccy for tags
+
+ * views/default/css.php: tags icon and files update
+
+2008-07-07 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/output/tags.php: class wrapped around tag string.
+
+2008-07-07 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/group.php: Closes #123
+
+2008-07-07 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/comments/forms/edit.php: removed the title from generic
+ comments
+
+2008-07-07 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/objects.php: Fixes #122
+
+2008-07-07 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php, views/default/search/gallery.php: updated file
+ gallery
+
+2008-07-07 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/opendd.php: Decode on import
+
+ * engine/lib/group.php, mod/groups/actions/edit.php,
+ mod/groups/actions/join.php, mod/groups/languages/en.php,
+ mod/groups/views/default/forms/groups/edit.php,
+ mod/groups/views/default/groups/menu/actions.php: Closes #120
+
+2008-07-07 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Fixed friendly_time
+
+2008-07-04 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/actions/edit.php, mod/groups/languages/en.php,
+ mod/groups/views/default/forms/groups/edit.php: Closes #109: Group icons can
+ be set. Fixed recreate group on edit.
+
+ * mod/groups/graphics/defaultlarge.jpg,
+ mod/groups/graphics/defaultmedium.jpg, mod/groups/graphics/defaultsmall.jpg,
+ mod/groups/graphics/defaulttiny.jpg, mod/groups/graphics/icon.php,
+ mod/groups/views/default/groups/icon.php: Refs #109: Default graphics set.
+ Custom graphics must be in groups/{$GROUP_GUID}{$size}.jpg
+
+2008-07-04 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/editicon.php: changes to the icon
+ editing page.
+
+2008-07-04 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../views/default/profile/menu/adminlinks.php: Removed blank space on menu
+
+2008-07-04 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/menu/actions.php: REmoved duplicate
+ admin links
+
+2008-07-04 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/statistics.php: Fixes #119
+
+ * languages/en.php, mod/groups/actions/addtogroup.php,
+ mod/groups/actions/edit.php, mod/groups/edit.php, mod/groups/invite.php,
+ mod/groups/languages/en.php, mod/groups/start.php,
+ mod/groups/views/default/forms/groups/invite.php,
+ mod/groups/views/default/groups/groupprofile.php,
+ mod/groups/views/default/groups/icon.php,
+ mod/groups/views/default/groups/menu/actions.php,
+ mod/groups/views/default/sharing/invite.php: Refs #109: Invite support added
+
+2008-07-04 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: updates for friends widget
+
+2008-07-04 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/schema/mysql.sql: Fixed regression
+
+2008-07-04 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * javascript/initialise_elgg.js: updated js
+
+2008-07-04 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/group.php, engine/lib/pageowner.php,
+ mod/groups/languages/en.php, mod/groups/start.php,
+ .../default/widgets/group_members_widget/edit.php,
+ .../default/widgets/group_members_widget/view.php: Refs #109: Group members
+ widget.
+
+ * mod/groups/groupprofile.php, mod/groups/languages/en.php,
+ mod/groups/start.php: Refs #109 : Widget support
+
+ * mod/groups/groupprofile.php, mod/groups/start.php,
+ mod/groups/views/default/groups/grouplinks.php,
+ mod/groups/views/default/groups/groupprofile.php,
+ mod/groups/views/default/groups/menu/actions.php,
+ .../views/default/groups/menu/ownerlinks.php: Refs #109 - Basic profile
+ layout
+
+ * mod/groups/languages/en.php,
+ .../default/river/ElggRelationship/create.php: Refs #109: River functionality
+
+2008-07-04 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: updates to river(ie fixes), and sharing
+
+2008-07-04 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/river/ElggRelationship/create.php: Using entities rather
+ than object
+
+2008-07-04 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php, languages/en.php, search/index.php,
+ views/default/search/startblurb.php,
+ views/default/user/search/finishblurb.php,
+ views/default/user/search/startblurb.php: First pass on user search
+
+2008-07-04 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: more river updates
+
+2008-07-04 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/groups/actions/addtogroup.php: Refs #109 : Private group membership
+ full exchange.
+
+ * engine/lib/notification.php: Unnecessary sanitise string causing issues on
+ some subjects
+
+ * mod/groups/actions/addtogroup.php, mod/groups/actions/joinrequest.php,
+ mod/groups/languages/en.php, mod/groups/start.php: Join requests on closed
+ groups, full loop. Refs #109
+
+2008-07-04 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: more river icon fixes
+
+2008-07-03 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/graphics/defaultlarge.jpg,
+ mod/profile/graphics/defaultmedium.jpg,
+ mod/profile/graphics/defaultsmall.jpg, mod/profile/graphics/defaulttiny.jpg:
+ new default avatar (your's was good Ben - just changed the blue a wee bit)
+
+ * _graphics/river_icons/river_icon_blog.gif,
+ _graphics/river_icons/river_icon_comment.gif,
+ _graphics/river_icons/river_icon_feed.gif,
+ _graphics/river_icons/river_icon_files.gif,
+ _graphics/river_icons/river_icon_forum.gif,
+ _graphics/river_icons/river_icon_messageboard.gif,
+ _graphics/river_icons/river_icon_plugin.gif,
+ .../river_icons/river_icon_privatemessage.gif,
+ _graphics/river_icons/river_icon_profile.gif,
+ _graphics/river_icons/river_icon_shares.gif,
+ _graphics/river_icons/river_icon_status.gif: icons for the river
+
+2008-07-03 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/group.php: Refs #109
+
+2008-07-03 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: river icons added
+
+2008-07-03 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/spotlight/default.php: content added to the spotlight
+
+2008-07-03 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/river/wrapper.php: Fixed a subtype bug in the river item
+ wrapper.
+
+2008-07-03 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/group.php: It would help if I added the functions to the right
+ scope. Oops! Refs #106
+
+ * engine/lib/opendd.php: Fixes #113
+
+ * admin/user/index.php: Fixes #111
+
+ * views/default/input/access.php: Extended access to support options
+ override
+
+ * engine/lib/elgglib.php: Collection to group, refs #106
+
+2008-07-02 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/css.php,
+ mod/profile/views/default/profile/userdetails.php,
+ .../canvas/layouts/narrow_right_sidebar.php, views/default/css.php: profile,
+ forum and canvas updated
+
+ * views/default/css.php: status widget updates
+
+ * views/default/css.php: generic comments moved/cleaned
+
+2008-07-02 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/schema/mysql.sql: Typo on database table
+
+2008-07-02 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/css.php,
+ views/default/canvas/layouts/one_column.php, views/default/css.php: profile
+ menu, single view layout, and comments all updated
+
+2008-07-01 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/css.php,
+ mod/profile/views/default/profile/userdetails.php: avatar menu fixed for
+ non-200px wide avatars
+
+2008-07-01 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * dashboard/index.php, languages/en.php,
+ views/default/canvas/layouts/widgets.php: Default text for the dashboard.
+
+2008-07-01 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/start.php: Closes #112
+
+ * .../views/default/profile/menu/adminlinks.php,
+ mod/profile/views/default/profile/userdetails.php: Closes #112
+
+2008-07-01 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/canvas/layouts/widgets.php: The widget layout now uses areas
+ 3 and 4 as the column content if there aren't any widgets
+
+ * views/default/canvas/layouts/widgets.php: The widget layout now uses areas
+ 3 and 4 as the column content if there aren't any widgets
+
+2008-07-01 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/elgg_topbar.php: created settings link
+
+2008-07-01 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/menu/actions.php,
+ mod/profile/views/default/profile/userdetails.php: Admin menus to the
+ contextual menu
+
+2008-07-01 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/systemsettings/install.php: Closes #110
+
+ * actions/systemsettings/install.php: NEW - #109: Groups core plugin
+ http://trac.elgg.org/elgg/ticket/109
+
+ * actions/systemsettings/install.php: Refs #109: Groups plugin active by
+ default
+
+ * engine/lib/users.php: Closes #108
+
+2008-07-01 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/javascript.php,
+ views/default/widgets/wrapper.php: Fixed avatar menu on widgets
+
+2008-07-01 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/relationships.php: Fixed notification subject
+
+2008-07-01 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/elgg_topbar.php: small tweak to topbar
+
+2008-07-01 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/css.php: lines in avatar menu
+
+2008-07-01 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/river.php: Correction to get_river_entities
+
+2008-07-01 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php, views/default/page_elements/elgg_topbar.php: mini
+ avatar style and position and link
+
+2008-07-01 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/group.php: Membership functions, and introducing
+ can_write_to_container, and its hook group_permission_check. Refs #106.
+
+2008-07-01 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/reset.css: unnecessary file
+
+2008-07-01 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Limits properly propagate to the pagination views.
+
+ * mod/profile/views/default/profile/gallery.php: Sanitising the profile
+ gallery view for now
+
+2008-07-01 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php, views/default/pageshells/pageshell.php: pageshell /
+ logged out modification
+
+2008-07-01 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/search/gallery.php: Gallery view now displays 3 per row
+
+2008-07-01 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/elgg_topbar.php: further topbar tweak
+
+ * views/default/page_elements/elgg_topbar.php: topbar tweaks
+
+2008-07-01 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/account/forms/login.php,
+ views/default/account/forms/register.php,
+ views/default/account/forms/useradd.php,
+ views/default/canvas/layouts/one_column.php, views/default/css.php: login
+ front door tidied up
+
+2008-07-01 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Entity loader support for ElggGroup, refs #106
+
+ * engine/lib/group.php: Bugfix, refs #106
+
+2008-07-01 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/elgg_topbar.php: top_bar icon
+
+2008-07-01 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/manifest.xml: Licence in manifest
+
+2008-07-01 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/dashboard/index.php, mod/dashboard/manifest.xml,
+ mod/dashboard/start.php, mod/dashboard/views/default/dashboard/welcome.php:
+ No need to have the dashboard plugin. Dashboard is part of core.
+
+ * mod/profile/actions/cropicon.php, mod/profile/actions/iconupload.php,
+ mod/profile/icon.php, mod/profile/views/default/profile/icon.php: Toolbar ->
+ topbar in profile icons
+
+ * mod/profile/actions/cropicon.php, mod/profile/actions/iconupload.php,
+ mod/profile/icon.php, mod/profile/views/default/profile/icon.php: Added
+ toolbar size for the icons
+
+2008-07-01 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/group.php, engine/lib/objects.php, languages/en.php: Group
+ class, refs #106
+
+ * engine/schema/mysql.sql: Added groups, refs #106
+
+2008-07-01 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/schema/mysql.sql: Fix for the schema
+
+ * views/default/search/gallery.php: Gallery should probably only be three
+ squares wide for now.
+
+ * views/default/css.php: Small fix for gallery view users
+
+ * mod/profile/views/default/profile/gallery.php: Small fix for gallery view
+ users
+
+ * mod/profile/views/default/profile/gallery.php,
+ mod/profile/views/default/user/user.php,
+ views/default/search/gallery_listing.php, views/default/search/listing.php:
+ Gallery view for users
+
+ * views/default/css.php: CSS additions
+
+2008-07-01 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/navigation/topmenu.php: previous top menu removed - replaced
+ by new elgg_topbar view
+
+ * _graphics/avatar_mini.gif, _graphics/elgg_toolbar_logo.gif,
+ _graphics/elgg_toolbar_logout.gif, _graphics/toptoolbar_background.gif,
+ views/default/css.php, views/default/page_elements/elgg_topbar.php,
+ views/default/page_elements/header.php: topbar menu
+
+2008-07-01 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php: The $entity_guid on get_annotations can now be
+ an array.
+
+2008-07-01 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/objects.php: Added object in container search, refs #106.
+
+2008-07-01 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php: get_annotations can now optionally take an
+ array as owner_guid
+
+ * actions/login.php: Users are now logged into the dashboard.
+
+ * engine/lib/elgglib.php, views/default/navigation/gallery.php,
+ views/default/search/gallery.php: Moved navigation/gallery to search/gallery,
+ which makes more sense.
+
+2008-07-01 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/group.php: Refs #106: Group prototype class
+
+ * engine/lib/entities.php: Added site_guid to internal db fields
+
+2008-07-01 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/widgets/wrapper.php: Widgets now load after the main page
+
+2008-07-01 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/schema/mysql.sql: Refs #106: Added schema
+
+2008-06-30 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/widgets.php, views/default/canvas/layouts/widgets.php: Added
+ the ability to control widget contexts
+
+2008-06-30 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/user/makeadmin.php, languages/en.php,
+ mod/profile/views/default/profile/userdetails.php: Closes #105: Make admin
+ option http://trac.elgg.org/elgg/ticket/105
+
+ * actions/useradd.php, engine/lib/users.php, languages/en.php,
+ views/default/account/forms/useradd.php,
+ views/default/admin/user_opt/adduser.php: Added some user code - needs div
+ hiding
+
+2008-06-30 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * entities/index.php: Fixed entity page
+
+2008-06-30 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Fixed minor cache counting issue
+
+ * engine/lib/plugins.php, languages/en.php: Refs #102 - Speculative fix
+
+2008-06-30 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * entities/index.php, views/default/widgets/wrapper.php: Partial fix for
+ constantly-shrinking widgets bug.
+
+2008-06-29 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/footer_logo.gif, views/default/css.php,
+ views/default/page_elements/footer.php: page footer added and msg div fixed
+ for IE
+
+ * _graphics/page_back_linen.gif, _graphics/page_back_linen_shadow.gif,
+ views/default/css.php: new page style, new floating msg box, other fixes &
+ cleanup
+
+2008-06-29 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/listing.php: changed the friends list
+ view order
+
+2008-06-28 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: more listings items cleanup
+
+ * views/default/css.php: fixed several listing item spacing issues
+
+ * views/default/canvas/layouts/widgets.php, views/default/css.php: cancel
+ button added to widget gallery
+
+2008-06-28 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/listing.php: added user status to the
+ friends view
+
+2008-06-27 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * search/index.php: Sanitising search
+
+ * engine/lib/widgets.php: Fixed widget saving
+
+2008-06-27 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * javascript/initialise_elgg.js, views/default/canvas/layouts/widgets.php,
+ views/default/css.php: new widget gallery
+
+2008-06-27 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/database.php:
+
+ * engine/lib/entities.php: Caching (most) subtype queries, drastically
+ reduces database queries in most use cases, refs #101
+
+2008-06-27 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Introducing friendly_title
+
+ * languages/en.php: Some more translations for comments
+
+2008-06-27 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/metastrings.php:
+
+ * engine/lib/metastrings.php: Metastrings now keeps a record of strings it
+ knows aren't present and so removes the need to futher look for them. Refs
+ #101
+
+2008-06-27 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Bug fix for previous.
+
+ * engine/lib/elgglib.php: Introducing elgg_count_comments
+
+ * views/default/annotation/generic_comment.php,
+ views/default/comments/forms/edit.php, views/default/css.php: Tweaks to
+ generic comment CSS
+
+ * actions/comments/add.php, actions/comments/delete.php,
+ engine/lib/elgglib.php, languages/en.php,
+ views/default/annotation/generic_comment.php,
+ views/default/comments/forms/edit.php: Brought generic comments into Elgg
+ core
+
+2008-06-27 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/database.php: Using persistent db connections. This should
+ speed up pages with numerous small DB calls. Please report any issues asap.
+ Refs #101
+
+ * engine/lib/metastrings.php: Minor textual changes
+
+ * engine/lib/users.php: Added mapping to cache username => guid & code =>
+ guid. Refs #101.
+
+2008-06-27 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/icon.php: Icon menus now work when
+ you're logged out.
+
+2008-06-27 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/database.php: Removed explains since these weren't much use
+
+ * engine/lib/install.php: Db installed flag on config, Refs #101
+
+ * engine/lib/elgglib.php: Caching datalists. Refs #101
+
+2008-06-27 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: Fixed the contextual nubbin on search indices
+ (really)
+
+ * views/default/css.php: Fixed the contextual nubbin on search indices
+
+2008-06-27 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Short circuited query if could not possibly
+ return a value. Refs #101
+
+2008-06-27 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: Forced search result icon sizes to be 40px
+
+2008-06-27 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/database.php: Refs #101 - DB Profiling in debug mode
+ (recommended usage means putting $CONFIG->debug = true in settings.php)
+
+2008-06-27 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * search/index.php: Search now sets context appropriately
+
+2008-06-27 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/languages.php: Removed debug warning
+
+2008-06-27 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, engine/lib/entities.php, engine/lib/metadata.php,
+ engine/lib/relationships.php, engine/lib/sites.php, engine/lib/users.php,
+ friends/index.php, friends/of.php, search/index.php: Added a 'display full
+ view' parameter to all of the listings functions
+
+ * engine/lib/elgglib.php, mod/profile/views/default/profile/listing.php,
+ views/default/search/listing.php: Introducing elgg_view_listing
+
+ * mod/profile/views/default/profile/icon.php: Minor fix to the icon code
+
+ * mod/profile/views/default/profile/icon.php: Fix to icon links, so they
+ display actions too
+
+ * mod/profile/views/default/profile/listing.php, views/default/css.php:
+ Added search listing styles
+
+ * mod/profile/views/default/profile/icon.php: Fix to previous
+
+ * mod/profile/views/default/profile/icon.php: Further contextual menu work
+
+ * mod/profile/javascript.php, mod/profile/profile.js,
+ mod/profile/views/default/profile/icon.php,
+ mod/profile/views/default/profile/javascript.php,
+ mod/profile/views/default/profile/metatags.php,
+ views/default/navigation/topmenu.php: Initial inclusion of profile icon
+ navigation
+
+2008-06-27 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/notification.php: Fixes #100 - User not having any notification
+ method no longer a hard error.
+
+2008-06-27 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/languages/en.php: tweak to profile language
+
+2008-06-27 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/avatar_menu_arrow.gif, _graphics/avatar_menu_arrow_hover.gif,
+ _graphics/avatar_menu_arrow_open.gif, mod/profile/profile.js,
+ mod/profile/views/default/profile/css.php, views/default/css.php: avatar
+ contextual menus
+
+2008-06-27 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/metastrings.php: Refs #86 - Better debug
+
+ * engine/lib/metastrings.php: Closes #86
+
+2008-06-26 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php, .../notifications/settings/usersettings.php,
+ views/default/settings/system.php, views/default/user/settings/language.php:
+ Closes #98
+
+ * views/default/input/pulldown.php: Pulldown menu view now supports passing
+ values as well as options as an associative array "value" => "option text"
+ called $vars['options_values']
+
+2008-06-26 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/css.php,
+ mod/profile/views/default/profile/listing.php,
+ mod/profile/views/default/user/user.php: Improved profile listings
+
+2008-06-26 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/languages.php: Added get_installed_translations() to return an
+ array of available translations together with native language translation of
+ the text. Refs #98
+
+ * languages/en.php: Added ISo-639 language codes, refs #98
+
+2008-06-26 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: Tweaked the title CSS now that it's going to appear
+ inline within canvases.
+
+ * engine/lib/river.php: Widget river items are now of the form
+ river/widget/handler/event.
+
+2008-06-26 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/user/resetpassword.php, engine/lib/admin.php,
+ engine/lib/users.php, languages/en.php,
+ mod/profile/views/default/profile/userdetails.php: Closes #16:
+ Administration: Reset password functionality on user admin screen
+ http://trac.elgg.org/elgg/ticket/16
+
+2008-06-26 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/email/save.php, .../notifications/settings/usersettings/save.php,
+ actions/user/language.php, actions/user/name.php, actions/user/password.php,
+ actions/usersettings/save.php, engine/lib/notification.php,
+ engine/lib/users.php, settings/user/index.php,
+ .../notifications/settings/usersettings.php,
+ views/default/user/settings/email.php,
+ views/default/user/settings/language.php,
+ views/default/user/settings/name.php,
+ views/default/user/settings/password.php,
+ views/default/usersettings/form.php: Unified user settings form
+
+2008-06-26 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/account/forms/register.php: Closes #96: Administration: Add
+ user (with optional admin flag) http://trac.elgg.org/elgg/ticket/96
+
+ * views/default/admin/user_opt/adduser.php: Refs #96: Administration: Add
+ user (with optional admin flag) http://trac.elgg.org/elgg/ticket/96
+
+ * actions/register.php, languages/en.php,
+ views/default/account/forms/register.php, views/default/admin/user.php,
+ views/default/admin/user_opt/adduser.php: Refs #96: Administration: Add user
+ (with optional admin flag) http://trac.elgg.org/elgg/ticket/96
+
+ * actions/user/language.php, engine/lib/languages.php, engine/lib/users.php,
+ languages/en.php, views/default/user/settings/language.php: Closes #92:
+ Settings: Set user language http://trac.elgg.org/elgg/ticket/92
+
+2008-06-26 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/input/checkboxes.php: Checkboxes now work happily with
+ arrays.
+
+2008-06-26 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/user/name.php, actions/user/password.php, engine/lib/sessions.php,
+ engine/lib/users.php, languages/en.php,
+ views/default/user/settings/password.php: Fixes #91 and #97
+
+ * actions/email/save.php, actions/user/name.php,
+ engine/lib/notification.php, engine/lib/users.php, languages/en.php,
+ views/default/notifications/settings/email.php,
+ views/default/user/settings/email.php, views/default/user/settings/name.php:
+ Closes #90: Settings: Change name http://trac.elgg.org/elgg/ticket/90
+
+2008-06-26 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * entities/index.php: Layout update for the single entity view.
+
+2008-06-26 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php: Fixes #95 - Now keying off system log entries so
+ that whenever a user does something that triggers an event they are boosted.
+
+ * views/default/admin/statistics_opt/basic.php: Fixes #94
+
+ * actions/email/save.php, engine/lib/notification.php, languages/en.php,
+ views/default/notifications/settings/email.php: Closes #89: Provide
+ functionality for a user to set their email address in user settings
+ http://trac.elgg.org/elgg/ticket/89
+
+2008-06-25 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/email/confirm.php, actions/register.php,
+ engine/lib/notification.php, engine/lib/sites.php, engine/lib/users.php,
+ languages/en.php: Closes #79: Email confirmation on registration & email
+ change http://trac.elgg.org/elgg/ticket/79
+
+2008-06-25 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: river icon additions
+
+ * javascript/initialise_elgg.js, views/default/css.php: widget gallery
+ tooltip positioning and layer issue fixed
+
+2008-06-25 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/metadata.php, search/index.php: Search and metadata functions
+ now support multiple user GUIDs
+
+2008-06-25 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/email/confirm.php, engine/lib/users.php, languages/en.php: Refs
+ #79
+
+2008-06-25 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/tags.php: Small ownership tweak to get_tags
+
+ * search/index.php: The search page now takes more options.
+
+2008-06-25 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/shout_speech_bubble.gif: shouts bubble
+
+2008-06-25 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/tags.php: get_tags can now take an array as owner_guid.
+
+ * engine/lib/filestore.php: Fixes for filestore.
+
+ * engine/lib/filestore.php: Added grabFile() to the filestore, for much more
+ efficient file reading.
+
+ * engine/lib/river.php, engine/lib/system_log.php: Made the friends river
+ entities functions loads more efficient.
+
+2008-06-25 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php, engine/lib/metadata.php: Fixes #87 & #88
+
+ * engine/lib/notification.php, engine/lib/relationships.php,
+ languages/en.php: Refs #78: Email notifications on friending, comments
+ http://trac.elgg.org/elgg/ticket/78
+
+2008-06-25 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/river.php, views/default/river/wrapper.php: The river now
+ passes entities to each river view as $vars['entity']
+
+ * engine/lib/annotations.php: Adding an annotation triggers an 'annotate'
+ event
+
+2008-06-25 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/spotlight_back.gif, _graphics/temp_spotlight.gif,
+ views/default/css.php, views/default/spotlight/default.php: default spotlight
+
+2008-06-25 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/notification.php, engine/lib/sites.php, languages/en.php: Fixes
+ #84: Method for retrieving preferences for a user and notifying accordingly
+ http://trac.elgg.org/elgg/ticket/84
+
+2008-06-25 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: updated staus box
+
+ * views/default/css.php: moved message styles into plugin
+
+2008-06-24 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: messaging styles
+
+2008-06-24 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/filestore.php: Removed the circular delete mechanism that was
+ plaguing ElggFile
+
+2008-06-24 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/ajax/loader.php: centred loader gif
+
+2008-06-24 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/database.php: Caching the show_db_tables function
+
+2008-06-24 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/userdetails.php, views/default/css.php:
+ profile box fixes
+
+2008-06-24 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/filestore.php: Serious memory optimisation for the photo resize
+ functions
+
+2008-06-24 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/odd/canvas/default.php, views/odd/object/default.php,
+ views/odd/user/default.php: Refs #82 - oops... forgot to add these...
+
+ * .../notifications/settings/usersettings/save.php,
+ engine/lib/notification.php, languages/en.php,
+ .../notifications/settings/usersettings.php: Closes #83 - API & Interface for
+ selecting notification methods
+
+ * actions/plugins/settings/save.php, actions/plugins/usersettings/save.php:
+ Added gatekeeper
+
+2008-06-24 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/objects.php, engine/lib/sites.php: Removing more notices.
+
+ * engine/lib/entities.php: Removing an annoying notice
+
+ * engine/lib/elgglib.php: Minor fix to elgg_view_entity where ElggEntities
+ are subclassed
+
+2008-06-24 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/edit.php: Fixes #69 - Clicking on profile "edit" causes crash.
+ I have retrieved the user again from $_SESSION['id'] since
+ $_SESSION['user'] is not being set. This may point to a larger problem since
+ the $_SESSION looks far from complete.
+
+2008-06-24 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/river.php: Fix for previous river functionality
+
+ * engine/lib/river.php: Minor formatting fix
+
+ * views/default/admin/plugins.php: Update plugins list so 50 display on a
+ page
+
+ * engine/lib/river.php: A fix to the river function.
+
+2008-06-24 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/export.php, views/odd/export/entity.php,
+ views/odd/export/metadata.php, views/odd/export/relationship.php,
+ views/odd/pageshells/pageshell.php: Closes #82: OpenDD feeds in the same vein
+ as RSS http://trac.elgg.org/elgg/ticket/82
+
+2008-06-24 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/widgets/editwrapper.php: Fix to edit wrapper saving
+
+ * views/default/pageshells/pageshell.php: Removing title from pageshell
+ outright
+
+ * views/default/page_elements/title.php,
+ views/default/widgets/editwrapper.php: Introducing elgg_view_title
+
+ * engine/lib/elgglib.php: Introducing elgg_view_title
+
+2008-06-24 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: updated
+
+2008-06-24 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/widgets/save.php, views/default/widgets/editwrapper.php: Save
+ widget improvements.
+
+2008-06-24 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/css.php,
+ mod/profile/views/default/profile/userdetails.php,
+ mod/profile/views/default/user/user.php,
+ .../canvas/layouts/narrow_right_sidebar.php,
+ views/default/canvas/layouts/two_column.php,
+ views/default/canvas/layouts/widgets.php, views/default/css.php,
+ views/default/pageshells/pageshell.php: updated profile box
+
+2008-06-24 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/plugins/usersettings/save.php, engine/lib/plugins.php,
+ languages/en.php, settings/plugins/index.php,
+ views/default/object/plugin.php, views/default/usersettings/plugins.php,
+ views/default/usersettings/plugins_opt/plugin.php: Refs #76: User settings
+ page (to Elgg Classic standard)
+
+2008-06-24 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/river.php: River views now run off subtypes
+
+ * views/default/ajax/loader.php: Introducing the AJAX loader
+
+ * _graphics/ajax-loader.gif, _graphics/ajax_loader.gif,
+ views/default/widgets/editwrapper.php, views/default/widgets/wrapper.php:
+ Widgets now reload properly
+
+2008-06-24 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/ajax-loader.gif: ajax loader gif
+
+2008-06-24 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/statistics.php, languages/en.php,
+ .../default/usersettings/statistics_opt/online.php: Refs #76: User settings
+ page (to Elgg Classic standard)
+
+2008-06-24 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/actions/cropicon.php,
+ views/default/canvas/layouts/widgets.php: Widget fixes
+
+2008-06-23 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/actions/cropicon.php: Added mission crop icon action
+
+2008-06-23 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: container box fixes
+
+2008-06-23 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/userdetails.php: No need to duplicate
+ the user's name.
+
+ * engine/lib/filestore.php, mod/profile/actions/iconupload.php,
+ mod/profile/languages/en.php, mod/profile/start.php,
+ mod/profile/views/default/profile/editicon.php: Image cropping works!
+
+2008-06-23 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/userdetails.php: rearranged the main
+ profile area
+
+ * mod/profile/views/default/profile/userdetails.php: moved the about me
+ below the other profile info
+
+2008-06-23 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php, views/default/widgets/editwrapper.php: Some tweaks to
+ the 'saving' box
+
+2008-06-23 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/statistics.php, languages/en.php, settings/index.php,
+ settings/plugins/index.php, settings/statistics/index.php,
+ settings/user/index.php, usersettings/index.php,
+ usersettings/plugins/index.php, usersettings/statistics/index.php,
+ usersettings/user/index.php, views/default/usersettings/main_opt/plugins.php,
+ views/default/usersettings/main_opt/statistics.php,
+ views/default/usersettings/main_opt/user.php,
+ views/default/usersettings/plugins.php,
+ .../usersettings/statistics_opt/numentities.php: Refs #76: User settings page
+ (to Elgg Classic standard). Committing some work before shutting down for the
+ day.
+
+2008-06-23 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/editicon.php: small bug fix on icon
+ cropper
+
+2008-06-23 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/dummy_river_icon.gif, views/default/css.php: river updates
+
+2008-06-23 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/river/dashboard.php: Removed extra divs.
+
+2008-06-23 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/editicon.php: more icon cropper tweaks,
+ form added
+
+2008-06-23 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * entities/index.php, views/default/widgets/editwrapper.php,
+ views/default/widgets/wrapper.php: Widgets now save on a per-widget basis.
+ TODO: get the collapsing widgets to work once they've saved ..
+
+2008-06-23 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/plugins.php:
+
+2008-06-23 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/editicon.php: coordinates in for the
+ icon cropper
+
+2008-06-23 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/canvas/layouts/widgets.php: Widgets are now loaded as
+ separate JS scripts
+
+ * engine/lib/elgglib.php, views/js/object/default.php,
+ views/js/pageshells/pageshell.php, views/js/user/default.php: You can now get
+ a Javascript version of any entity
+
+2008-06-23 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/plugins.php, engine/lib/usersettings.php, engine/start.php,
+ languages/en.php, usersettings/index.php, usersettings/plugins/index.php,
+ usersettings/statistics/index.php, usersettings/user/index.php,
+ views/default/admin/main_opt/plugins.php,
+ views/default/admin/main_opt/site.php,
+ views/default/admin/main_opt/statistics.php,
+ views/default/admin/main_opt/user.php, views/default/object/plugin.php,
+ views/default/usersettings/main.php,
+ views/default/usersettings/main_opt/plugins.php,
+ views/default/usersettings/main_opt/statistics.php,
+ views/default/usersettings/main_opt/user.php,
+ views/default/usersettings/plugins.php,
+ views/default/usersettings/plugins_opt/plugin.php,
+ views/default/usersettings/statistics.php,
+ views/default/usersettings/user.php: Refs #76: User settings page (to Elgg
+ Classic standard). Committing some work before shutting down for the day.
+
+2008-06-23 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/editicon.php: added coordinates to the
+ icon cropper
+
+ * .../views/default/profile/hoverover/actions.php,
+ .../views/default/profile/hoverover/links.php,
+ mod/profile/views/default/profile/menu/actions.php,
+ mod/profile/views/default/profile/menu/links.php,
+ .../views/default/profile/menu/linksownpage.php,
+ mod/profile/views/default/profile/profilelinks.php: new profile links system.
+
+2008-06-23 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/canvas/layouts/widgets.php, views/default/css.php: css
+ updates
+
+2008-06-23 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/actions/iconupload.php,
+ .../views/default/js/jquery.imgareaselect-0.4.2.js,
+ .../default/js/jquery.imgareaselect-0.4.2.min.js,
+ mod/profile/views/default/profile/editicon.php: beginnings of the icon
+ cropper tool
+
+2008-06-23 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * settings/index.php, settings/plugins/index.php: Refs #76: User settings
+ page (to Elgg Classic standard)
+
+ * settings/plugins/index.php: Refs #76: User settings page (to Elgg Classic
+ standard)
+
+2008-06-23 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/actions/iconupload.php: Fix to icon upload
+
+ * views/default/css.php, views/default/page_elements/header.php,
+ views/default/pageshells/pageshell.php: Page title is now displayed at the
+ top of the canvas.
+
+2008-06-23 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * settings/index.php:
+
+2008-06-23 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/icon.php, mod/profile/views/default/profile/icon.php: Added
+ master icon size (part 2)
+
+2008-06-23 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/user/ban.php, actions/admin/user/delete.php: Forwards to
+ http_referer
+
+2008-06-23 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/actions/iconupload.php: Added master icon size
+
+2008-06-23 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/plugins/disable.php, actions/admin/plugins/enable.php:
+ Forwards to http_referer
+
+ * views/default/admin/plugins.php: Pagination on admin page
+
+ * engine/handlers/cron_handler.php, htaccess_dist: Closes #77 - Cron
+ endpoint and event
+
+ * languages/en.php: Refs #75
+
+ * views/default/admin/statistics_opt/basic.php,
+ views/default/admin/statistics_opt/online.php: Refs #75
+
+2008-06-23 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/navigation/pagination.php: Update for pagination, to allow
+ it to properly take a word other than 'offset' as the word to work from.
+
+2008-06-23 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/navigation/pagination.php: greater than, less than
+ pagination symbol changed
+
+2008-06-23 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/messages/messages/list.php: system messages now fade out
+ after 3 seconds.
+
+2008-06-22 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php, engine/lib/elgglib.php,
+ views/default/navigation/pagination.php: Introducing the annotation listing
+ functions.
+
+ * engine/lib/elgglib.php, engine/lib/metastrings.php: Introducing
+ elgg_view_annotation
+
+2008-06-22 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/profilelinks.php,
+ mod/profile/views/default/profile/userdetails.php: added a new profile links
+ view
+
+2008-06-21 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/systemsettings/install.php: Fixes #72
+
+2008-06-21 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php, views/default/spotlight/default.php: tweaks to the
+ spotlight
+
+ * mod/profile/views/default/profile/userdetails.php: a further temp tweak to
+ the profile layout
+
+ * mod/profile/views/default/profile/userdetails.php,
+ views/default/canvas/layouts/widgets.php: a couple of small, temp, profile
+ layout tweaks.
+
+2008-06-21 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/start.php: Plugin init only called on sanitised installs where
+ installation is complete.
+
+2008-06-20 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php, views/default/page_elements/spotlight.php: Improvements
+ to spotlight
+
+ * views/default/page_elements/spotlight.php,
+ views/default/spotlight/default.php: Plugins can set their own context
+
+2008-06-20 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/plugins.php: Added licence example
+
+2008-06-20 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/actions/edit.php, mod/profile/edit.php,
+ mod/profile/views/default/profile/edit.php: Fixed profile, and allowed admins
+ to edit other profiles
+
+2008-06-20 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/site/update_basic.php: Fixes #71
+
+ * actions/admin/user/ban.php, actions/admin/user/delete.php: Additional
+ fixes to #70
+
+2008-06-20 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/plugins/disable.php, actions/admin/plugins/enable.php:
+ Changed the engine reference in the action
+
+2008-06-20 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/plugins.php:
+
+ * actions/plugins/settings/save.php, engine/lib/plugins.php,
+ languages/en.php, views/default/admin/plugins_opt/plugin.php,
+ views/default/object/plugin.php: Closes #66: Per site plugin settings
+ configuration panel. Use the same technique as edit pages on widgets, i.e.
+ 1) Create a new view in your plugins view/default called
+ settings/PLUGINNAME/edit Where PLUGINNAME is the plugin directory, eg
+ "river" or "profile". 2) Place your edit code in edit.php, fields should
+ save to params[fieldname]. 3) The view will be passed $vars['entity'] which
+ holds any configuration values already set in the metadata. Note. Settings
+ are PER SITE.
+
+ * .../views/default/widgets/river_widget/edit.php,
+ .../default/widgets/river_widget_friends/edit.php: Using a different test to
+ handle metadata better
+
+ * languages/en.php, mod/river/manifest.xml,
+ views/default/admin/plugins_opt/plugin.php: Closes #67 : Added new displayed
+ field "licence"
+
+2008-06-20 misja <misja@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/dashboard/manifest.xml, mod/profile/manifest.xml: Adding manifest
+ files to plugins
+
+2008-06-20 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/plugins/disable.php, actions/admin/plugins/enable.php,
+ admin/plugins/index.php, engine/lib/plugins.php, languages/en.php,
+ views/default/admin/plugins.php, views/default/admin/plugins_opt/plugin.php,
+ views/default/object/plugin.php: Closes #20: Plugin management and config
+ panel http://trac.elgg.org/elgg/ticket/20
+
+ * actions/admin/site/update_basic.php: Added admin gatekeeper
+
+2008-06-20 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php, mod/profile/edit.php,
+ views/default/canvas/layouts/widgets.php: Widget gallery updates -
+ internationalised and more
+
+2008-06-20 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/plugins.php: Further fixes #59
+
+ * mod/river/languages/en.php, mod/river/manifest.xml, mod/river/start.php:
+ Using new manifest code (via manifest.xml)
+
+ * engine/lib/plugins.php: Bypass plugin loading disable code
+
+ * engine/lib/elgglib.php, engine/lib/plugins.php, engine/start.php: Closes
+ #60: Enable/disable plugins on a site by site basis
+ http://trac.elgg.org/elgg/ticket/60
+
+ * engine/lib/elgglib.php: Bugfixes on privileged code execution.
+
+ * engine/lib/database.php: Removed database gatekeepers to avoid chicken and
+ egg problems with privileged codeblock execution, and because it is too
+ problematic.
+
+ * engine/lib/plugins.php: Actually closes #60: Enable/disable plugins on a
+ site by site basis http://trac.elgg.org/elgg/ticket/60
+
+ * engine/lib/metadata.php, engine/lib/plugins.php: Closes #60:
+ Enable/disable plugins on a site by site basis
+
+2008-06-20 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/database.php: update_data now returns true on a successful
+ update.
+
+ * mod/profile/views/default/profile/userdetails.php: Let's use a slightly
+ smaller image on the main profile page ..
+
+ * mod/profile/graphics/defaultlarge.jpg,
+ mod/profile/graphics/defaultmedium.jpg,
+ mod/profile/graphics/defaultsmall.jpg, mod/profile/graphics/defaulttiny.jpg:
+ New default profile pics
+
+2008-06-20 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/plugins.php: Refs #61 - Added ability to find settings for
+ plugins other than the one you are in.
+
+ * engine/lib/plugins.php: Closes #61: Enable plugins to store site specific
+ configurations
+
+2008-06-19 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, views/default/canvas/default.php,
+ views/default/page_elements/header.php, views/foaf/pageshells/pageshell.php,
+ views/rss/canvas/default.php, views/rss/object/default.php,
+ views/rss/pageshells/pageshell.php, views/rss/user/default.php: Automatic RSS
+ feeds!
+
+2008-06-19 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/database.php: Re-enabled database gatekeepers, please report
+ problems. Refs #3, #45
+
+2008-06-19 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/widgettest/start.php, .../views/default/widgets/widgettest/edit.php,
+ .../views/default/widgets/widgettest/view.php: Removing widgettest, which has
+ done its job.
+
+ * mod/river/languages/en.php, mod/river/start.php,
+ .../views/default/widgets/river_widget/view.php,
+ .../default/widgets/river_widget_friends/view.php,
+ views/default/widgets/wrapper.php: Put titles back in their correct place for
+ widgets.
+
+ * engine/lib/system_log.php: System_log no longer adds double entries.
+
+ * engine/lib/river.php, views/default/river/wrapper.php: Minor improvement
+ to previous - river items now are wrapped in a number of divs that make sense
+ according to what they refer to
+
+2008-06-19 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php, mod/river/languages/en.php,
+ .../views/default/widgets/river_widget/edit.php,
+ .../views/default/widgets/river_widget/view.php,
+ .../default/widgets/river_widget_friends/edit.php,
+ .../default/widgets/river_widget_friends/view.php: Fixes #50
+
+2008-06-19 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/river.php, .../views/default/river/ElggUser/update.php,
+ views/default/river/wrapper.php: Modified river functions to use a common
+ wrapper
+
+ * mod/profile/languages/en.php, .../views/default/river/ElggUser/update.php:
+ Profile updates now show up in the river.
+
+2008-06-19 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php:
+
+ * engine/lib/plugins.php, languages/en.php, mod/river/languages/en.php,
+ mod/river/start.php: Closes #59: Plugin manifest. See
+ register_plugin_manifest() & register_plugin_manifest_basic(). Ref #20.
+
+2008-06-19 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/profile.js, mod/profile/views/default/profile/metatags.php:
+ Removing the hoverover menu. Refs #58
+
+ * mod/profile/views/default/profile/css.php: CSS update for hoverover
+
+2008-06-19 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/statistics.php, engine/lib/users.php,
+ views/default/admin/statistics_opt/online.php: Fixes #55 : "Users online now"
+ function
+
+2008-06-19 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/navigation/topmenu.php: Wired up search
+
+ * mod/profile/views/default/profile/hoverover.php,
+ .../views/default/profile/hoverover/actions.php,
+ .../views/default/profile/hoverover/links.php: Sorting hoverover links into
+ actions and plain links
+
+ * mod/profile/views/default/profile/hoverover.php: Whoops! Replacing
+ 'bwerdmuller' with $vars['entity']->username
+
+ * mod/profile/profile.js, mod/profile/start.php,
+ mod/profile/views/default/profile/css.php,
+ mod/profile/views/default/profile/hoverover.php,
+ mod/profile/views/default/profile/icon.php,
+ mod/profile/views/default/profile/menu.php,
+ mod/profile/views/default/profile/metatags.php,
+ mod/profile/views/default/user/user.php: Added a hover-over menu, which can
+ be added to by plugins.
+
+2008-06-19 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Ref #57
+
+ * engine/lib/river.php, languages/en.php, mod/river/start.php,
+ .../views/default/widgets/river_widget/edit.php,
+ .../views/default/widgets/river_widget/view.php,
+ .../default/widgets/river_widget_friends/edit.php,
+ .../default/widgets/river_widget_friends/view.php,
+ views/default/river/dashboard.php: Fixed #50: River widgets
+ http://trac.elgg.org/elgg/ticket/50
+
+ * mod/profile/views/default/profile/userdetails.php: Fixes #56
+
+2008-06-19 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/relationships.php, friends/index.php, friends/of.php: The
+ friends pages now use listing functions; also fixed a minor bug in the
+ get_entities_from_relationship function.
+
+2008-06-19 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/river.php: *actually* fixes #48: Elgg_view_river wrapper
+ function http://trac.elgg.org/elgg/ticket/48
+
+ * engine/lib/river.php: Fixes #48: Elgg_view_river wrapper function
+ http://trac.elgg.org/elgg/ticket/48
+
+2008-06-19 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * javascript/initialise_elgg.js, views/default/canvas/layouts/widgets.php,
+ views/default/css.php: final amends to popups
+
+2008-06-19 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/schema/mysql.sql: Fixes #54 - 'Enabled' functionality needs to be
+ added to metadata, refs #53
+
+2008-06-19 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Minor change to the navigation placement on list
+ view.
+
+ * engine/schema/mysql.sql: Added 'enabled' field to annotations. Refs #51
+
+2008-06-18 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * javascript/initialise_elgg.js, views/default/canvas/layouts/widgets.php,
+ views/default/css.php: widget description popups added
+
+2008-06-18 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/configuration.php: Hopefully fixed default wwwroot. refs #51 -
+ Misja, if this works for you, could you please close the ticket?
+
+2008-06-18 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/user/ban.php, actions/admin/user/delete.php,
+ engine/lib/entities.php: Fixes #15: Ban user functionality
+
+ * engine/lib/access.php, engine/schema/mysql.sql: Fixes #12: Active variable
+ on entities table. Update db schema.
+
+2008-06-18 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * dashboard/index.php: Introducing the dashboard!
+
+ * engine/lib/entities.php, engine/lib/languages.php, engine/lib/sites.php,
+ engine/lib/users.php, engine/lib/widgets.php: Removing some error notices
+
+2008-06-18 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Speculative fix for mac install
+
+2008-06-18 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php, views/default/widgets/editwrapper.php: Better access
+ control labels.
+
+ * engine/lib/database.php: Re-commented out callpath_gatekeeper. refs #37
+
+2008-06-18 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: added default class for submit buttons
+
+2008-06-18 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/widgets/reorder.php, languages/en.php: Corrected widget panel save
+ messages.
+
+ * actions/widgets/reorder.php, engine/lib/widgets.php,
+ views/default/canvas/layouts/widgets.php, views/default/input/access.php:
+ Added widget handling.
+
+2008-06-18 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * admin/user/index.php, views/default/admin/user_opt/search.php: Minor tweak
+ to make compatible with search form
+
+ * engine/lib/sites.php: Fixes #43: Site full text search over name,
+ description and url
+
+ * engine/lib/objects.php: Fixes #42: Object full text search on description
+
+ * admin/user/index.php, engine/lib/users.php, views/default/admin/user.php:
+ Further fixes to #41 with admin panel support
+
+ * admin/user/index.php, engine/lib/users.php, engine/schema/mysql.sql: Fixes
+ #41: User full text search. See caveats in function search_for_user()
+
+2008-06-18 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, views/default/navigation/pagination.php: Interface
+ tweak when no results are found.
+
+ * views/default/canvas/layouts/widgets.php: Descriptions are now registered
+ in widgets as a hidden field.
+
+ * engine/lib/elgglib.php, engine/lib/entities.php, engine/lib/metadata.php,
+ engine/lib/relationships.php, engine/lib/sites.php, engine/lib/users.php,
+ languages/en.php, search/index.php, views/default/navigation/pagination.php:
+ Added generic listing and pagination functions
+
+2008-06-18 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/site/update_basic.php, actions/systemsettings/install.php,
+ engine/lib/elgglib.php, languages/en.php, views/default/settings/system.php:
+ Fixes #18: Set default view type in admin http://trac.elgg.org/elgg/ticket/18
+
+2008-06-18 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * javascript/initialise_elgg.js, views/default/canvas/layouts/widgets.php:
+ widget drag n drop
+
+2008-06-18 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/site/update_basic.php, actions/systemsettings/install.php,
+ engine/lib/configuration.php, languages/en.php,
+ views/default/input/checkboxes.php, views/default/settings/system.php: Fixes
+ #17 - Debug mode toggle. Introduced unset_config() which is also called
+ automatically by set_config(). Also modified the view input/checkboxes to set
+ values on the checkbox.
+
+ * actions/admin/site/update_basic.php, actions/systemsettings/install.php,
+ engine/lib/configuration.php, languages/en.php,
+ views/default/settings/system.php: Fixes #19: Default language selector
+ http://trac.elgg.org/elgg/ticket/19
+
+2008-06-18 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/account/forms/login.php,
+ views/default/account/forms/register.php, views/default/css.php: tweaked
+ login boxes n buttons
+
+2008-06-18 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/canvas/layouts/widgets.php: Added GUIDs and handlers to the
+ widget panel
+
+2008-06-17 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../canvas/layouts/narrow_right_sidebar.php, views/default/css.php:
+ updated page layout
+
+2008-06-17 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, languages/en.php: Fixes #24 - Added a funky
+ display function called friendly_time($timestamp).
+
+ * engine/start.php: Fixed #36 - CSS now works on the install page.
+
+ * engine/lib/sessions.php: isloggedin() doesn't touch the session if we're
+ not fully installed yet.
+
+2008-06-17 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * install.php: - To use main site config Marcus Povey
+
+ * install.php: CLOSED - #36: CSS broken on initial install page.
+ http://trac.elgg.org/elgg/ticket/36
+
+ * engine/lib/elgglib.php, engine/lib/input.php, engine/lib/install.php,
+ engine/settings.example.php, engine/start.php, htaccess_dist,
+ languages/en.php, views/default/messages/sanitisation/htaccess.php,
+ views/default/messages/sanitisation/settings.php,
+ views/failsafe/messages/errors/error.php,
+ views/failsafe/messages/errors/list.php,
+ views/failsafe/messages/exceptions/exception.php,
+ views/failsafe/messages/list.php,
+ views/failsafe/messages/sanitisation/htaccess.php,
+ views/failsafe/messages/sanitisation/settings.php,
+ views/failsafe/pageshells/pageshell.php: CLOSED - #34: Install process must
+ be completely graphical http://trac.elgg.org/elgg/ticket/34
+
+2008-06-17 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/database.php: Fixed #37. It turns out callpath_gatekeeper
+ worked fine; PHP 5.2 with the Zend Optimizer 3.2 does not, which was borking
+ our OSX MAMP installation. We're going to need a great big red warning box
+ for people installing on a Mac, alerting them to the fact that they'll need
+ to switch off the Optimizer.
+
+2008-06-17 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: updated css
+
+2008-06-17 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/database.php: Removed references to callpath_gatekeeper.
+
+ * engine/lib/database.php: Disabling the gatekeeper for now. Reopened #3
+
+ * engine/start.php: We need actions to work when the installation hasn't
+ been settled. Refs #3
+
+2008-06-17 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php, views/default/river/ElggRelationship/create.php,
+ views/default/river/ElggUser/login.php,
+ views/default/river/ElggUser/logout.php, views/default/river/dashboard.php,
+ views/default/river/shell.php: CLOSED - #35: Create specific river views for
+ dashboard http://trac.elgg.org/elgg/ticket/35
+
+2008-06-17 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/install.php: is_installed now returns a much more
+ representative result. Refs #3
+
+ * engine/lib/filestore.php, mod/profile/actions/iconupload.php,
+ mod/profile/languages/en.php: Fixed #33 - all profile icons except for the
+ largest size are cropped to squares. Additionally, the image resampling
+ functions now take an extra boolean parameter to specify squareness (or not).
+
+2008-06-17 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * admin/index.php, admin/plugins/index.php, admin/site/index.php,
+ admin/statistics/index.php, admin/user/index.php: pushed the admin panel
+ through the correct canvas area
+
+ * mod/apitest/index.php, mod/apitest/start.php,
+ mod/apitest/views/default/apitest/configform.php,
+ mod/apitest/views/default/apitest/main.php, mod/exporttest/index.php,
+ mod/exporttest/start.php, mod/exporttest/views/default/exporttest/main.php,
+ .../views/default/exporttest/outputxml.php, mod/guidbrowser/index.php,
+ mod/guidbrowser/languages/en.php, mod/guidbrowser/start.php,
+ .../views/default/guidbrowser/browser.php,
+ .../views/default/guidbrowser/entity.php,
+ .../views/default/guidbrowser/entity_full.php,
+ .../views/default/guidbrowser/newentity.php,
+ .../views/default/guidbrowser/prevnext.php: removed guid exporter, exporttest
+ and apitest from core and put them into the plugins folder
+
+2008-06-16 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/pageowner.php, engine/lib/plugins.php,
+ views/default/navigation/toolbox.php: Sticky menu items, but also, much more
+ intelligent context detection. Plugin authors need not bother with
+ set_context() any more - the context should be set automatically. This is
+ overruled by page handling settings, if set, which use the first element of
+ the URL to determine context. Fixes #32
+
+ * engine/lib/plugins.php: More intelligent context detection. Refs #32
+
+ * engine/lib/elgglib.php, engine/lib/plugins.php, mod/profile/start.php,
+ views/default/navigation/toolbox.php: Registers contexts for each menu item.
+ Refs #32
+
+2008-06-16 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/configuration.php: CLOSED - #30: $CONFIG is not populated from
+ elggconfig or datalists http://trac.elgg.org/elgg/ticket/30
+
+2008-06-16 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/edit.php,
+ mod/profile/views/default/profile/editicon.php,
+ mod/profile/views/default/profile/userdetails.php: updated profile interface
+
+ * views/default/css.php: updated css
+
+2008-06-16 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/schema/mysql.sql: CLOSED - #26: Lengthing metadata fields
+ http://trac.elgg.org/elgg/ticket/26
+
+2008-06-16 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * .../canvas/layouts/narrow_right_sidebar.php,
+ views/default/canvas/layouts/one_column.php,
+ views/default/canvas/layouts/two_column.php,
+ views/default/canvas/layouts/widgets.php: new canvas area added
+
+2008-06-16 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/metadata.php: CLOSED - #5: Boolean metadata values
+ http://trac.elgg.org/elgg/ticket/5
+
+ * engine/lib/xml-rpc.php: - Minor tweak to exception report. Marcus Povey
+
+ * engine/handlers/xml-rpc_handler.php, engine/lib/xml-rpc.php,
+ htaccess_dist, languages/en.php, views/xml/xml-rpc/output.php: CLOSED - #14:
+ XML-RPC handler http://trac.elgg.org/elgg/ticket/14
+
+2008-06-16 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * javascript/initialise_elgg.js: updated interface js to fix submit button
+ bug
+
+2008-06-16 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/xml/pageshells/pageshell.php: Added Content-Length to XML pageshell
+ for compatibility with most XML based formats.
+
+ * engine/lib/sessions.php: CLOSED - #27: Standard login system to go through
+ PAM http://trac.elgg.org/elgg/ticket/27
+
+2008-06-16 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * search/index.php: Search once again displays results. Fixes #28
+
+ * views/default/widgets/wrapper.php: Widget edit controls now only appear if
+ you can edit the widget in question.
+
+2008-06-15 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/widgets/wrapper.php: small tweak to the widget wrapper
+
+2008-06-13 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _graphics/icon_customise_drag.gif, _graphics/icon_customise_info.gif,
+ _graphics/icon_customise_remove.gif, javascript/initialise_elgg.js,
+ .../jquery/jquery-ui-personalized-1.5.packed.js,
+ views/default/canvas/layouts/widgets.php, views/default/css.php,
+ views/default/navigation/toolbox.php, views/default/page_elements/header.php:
+ updated and new stuff pertaining to the customise panel, new jquery also
+
+2008-06-13 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/profile/userdetails.php:
+
+ * views/default/admin/statistics_opt/basic.php,
+ views/default/admin/statistics_opt/numentities.php: - Fixed basic statistics
+ Marcus Povey
+
+ * actions/admin/user/ban.php:
+
+2008-06-13 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: added $order to docs
+
+2008-06-13 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Fixed typo
+
+2008-06-13 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php, engine/lib/entities.php: getAnnotations now
+ has an extra param $order
+
+2008-06-13 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/metadata.php: Metadata now inherits its parent entity's
+ permissions
+
+ * engine/lib/widgets.php: using_widgets();
+
+ * views/default/input/access.php, views/default/widgets/editwrapper.php:
+ Added access controls to widgets and fixed the access control input
+
+2008-06-13 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/user/ban.php, actions/admin/user/delete.php,
+ languages/en.php, mod/profile/views/default/profile/userdetails.php,
+ views/default/admin/user.php: ASSIGNED - # 2: Administration panel
+ http://trac.elgg.org/elgg/ticket/2 - Added ban template and delete
+
+2008-06-13 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/objects.php, engine/lib/sites.php, engine/lib/users.php: Fixed
+ update/insert issue on all top-level entities
+
+ * views/default/canvas/layouts/widgets.php: Added the stub for Pete's widget
+ reorderer
+
+ * engine/lib/widgets.php: Widget fix
+
+2008-06-13 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * admin/user/index.php: - Some thoughts Marcus Povey
+
+ * engine/lib/database.php, engine/lib/entities.php, languages/en.php,
+ .../views/default/guidbrowser/entity_full.php: Marcus Povey: CLOSED - # 9:
+ FilePluginFile not found http://trac.elgg.org/ticket/9
+
+ * admin/user/index.php, languages/en.php, views/default/admin/site.php,
+ views/default/admin/statistics_opt/online.php, views/default/admin/user.php,
+ views/default/admin/user_opt/search.php: - User search box Marcus Povey
+
+2008-06-12 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/admin.php, engine/lib/statistics.php,
+ views/default/admin/statistics.php,
+ views/default/admin/statistics_opt/basic.php,
+ views/default/admin/statistics_opt/numentities.php,
+ views/default/admin/statistics_opt/online.php: - Moved statistics to their
+ own component views. Yay. Marcus Povey
+
+2008-06-12 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/apitest/index.php, mod/exporttest/index.php,
+ mod/guidbrowser/index.php: put remaining core plugins through the canvas
+ views
+
+ * mod/profile/edit.php, mod/profile/editicon.php: piped remaining profile
+ pages through the canvas system
+
+ * views/default/editmenu.php, views/default/pageshell.php: removing some old
+ views
+
+2008-06-12 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/widgets/add.php, actions/widgets/save.php, engine/lib/widgets.php,
+ mod/profile/index.php, mod/profile/start.php, mod/widgettest/start.php,
+ .../views/default/widgets/widgettest/edit.php,
+ .../views/default/widgets/widgettest/view.php,
+ views/default/canvas/layouts/widgets.php, views/default/widgets/wrapper.php:
+ Widgets, including a test widget plugin
+
+ * views/default/canvas/layouts/widgets.php: The widget canvas layout now
+ automatically grabs widgets.
+
+ * engine/lib/elgglib.php: We only have one pageshell.
+
+ * engine/lib/widgets.php: Extra helpful widgets functions
+
+ * actions/widgets/save.php, engine/lib/widgets.php, languages/en.php,
+ views/default/widgets/editwrapper.php: Widget API changes, as well as edit
+ and action infrastructure
+
+2008-06-12 marcus <marcus@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/statistics.php:
+
+2008-06-12 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/object/widget.php: Added a simple widget alias so widgets
+ display automatically.
+
+ * views/default/canvas/layouts/one_column.php,
+ views/default/canvas/layouts/two_column.php,
+ views/default/canvas/layouts/widgets.php,
+ views/default/widgets/editwrapper.php, views/default/widgets/wrapper.php:
+ Widget wrapper, widget views
+
+2008-06-12 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/pageshells/pageshell.php: logic for not displaying toolbar
+ when non-logged
+
+ * views/default/welcome/logged_in.php: updated welcome pages
+
+ * views/default/page_elements/spotlight.php: new view for page spotlight
+
+ * views/default/welcome/logged_out.php: toolbar switched off for non-logged
+ users
+
+ * _graphics/skyscraper.gif: placeholder skyscaper ad for non logged-in pages
+
+ * views/default/canvas/layouts/one_column.php,
+ views/default/canvas/layouts/two_column.php, views/default/css.php,
+ views/default/pageshells/pageshell.php: new layout using canvas view
+
+2008-06-12 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/relationships.php: Further documentation fixes.
+
+ * engine/lib/entities.php: Some extra entity documentation
+
+2008-06-12 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/admin.php, engine/lib/statistics.php,
+ views/default/admin/site.php, views/default/admin/statistics.php: Marcus
+ Povey <marcus@dushka.co.uk> * Added stub for statistics * Added stub
+ statistics library
+
+2008-06-12 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/widgets.php: Added use_widgets function to register a context
+ for widget use.
+
+ * engine/lib/widgets.php: Added widgets library to core.
+
+2008-06-12 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/pageshells/pageshell_loggedout.php,
+ views/default/pageshells/pageshell_sidebar.php: removed old pageshells
+
+2008-06-11 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/admin.php, engine/lib/entities.php: Edit permissions changes
+ for admins
+
+2008-06-11 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * javascript/initialise_elgg.js: new subdirectory added to house the init js
+ for the page - feel free to move elsewhere
+
+ * views/default/css.php, views/default/navigation/toolbox.php,
+ views/default/navigation/topmenu.php, views/default/page_elements/footer.php,
+ views/default/page_elements/header.php,
+ views/default/pageshells/pageshell.php: latest interface stuff
+
+ * _graphics/footer_back.gif, _graphics/spacer.gif,
+ _graphics/temp_spotlight.gif: new images added
+
+ * _graphics/pagebackground.gif, _graphics/pagebackground.jpg: old images
+ removed
+
+2008-06-11 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/admin/site/update_basic.php: Marcus Povey <marcus@dushka.co.uk> *
+ Removed debug
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Default of
+ can_edit_entity trigger plugin hook set to true.
+
+ * actions/admin/site/update_basic.php, engine/lib/admin.php,
+ engine/lib/entities.php, engine/lib/sites.php, languages/en.php,
+ views/default/admin/site.php: Marcus Povey <marcus@dushka.co.uk> * Basic site
+ admin
+
+ * engine/lib/database.php: Marcus Povey <marcus@dushka.co.uk> * All queries
+ echoed in debug mode
+
+ * views/default/admin/plugins.php: Marcus Povey <marcus@dushka.co.uk> *
+ Comments
+
+ * engine/lib/admin.php: Marcus Povey <marcus@dushka.co.uk> * Documentation
+
+ * admin/index.php, admin/plugins/index.php, admin/site/index.php,
+ admin/user/index.php, engine/lib/admin.php, engine/lib/elgglib.php,
+ languages/en.php, views/default/admin/main.php,
+ views/default/admin/main_opt/plugins.php,
+ views/default/admin/main_opt/site.php, views/default/admin/main_opt/user.php,
+ views/default/admin/plugins.php, views/default/admin/site.php,
+ views/default/admin/user.php: Marcus Povey <marcus@dushka.co.uk> * Initial
+ administration panel
+
+ * engine/lib/admin.php, engine/lib/elgglib.php:
+
+ * engine/lib/sessions.php: Marcus Povey <marcus@dushka.co.uk> * actions with
+ admin only support
+
+ * engine/lib/actions.php: Marcus Povey <marcus@dushka.co.uk> * actions with
+ admin only support
+
+ * admin/index.php, admin/plugins/index.php, admin/settings/index.php,
+ admin/users/index.php, engine/lib/admin.php: Marcus Povey
+ <marcus@dushka.co.uk> * Starting admin and relocating to the garden...
+
+2008-06-11 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/actions/iconupload.php, mod/profile/icon.php,
+ mod/profile/views/default/profile/icon.php: Fixed icon cache issue.
+
+2008-06-11 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/sessions.php: Marcus Povey <marcus@dushka.co.uk> * Introducing
+ admin_gatekeeper()
+
+2008-06-10 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/systemsettings/install.php, languages/en.php: Marcus Povey
+ <marcus@dushka.co.uk> * Debug added to site installation
+
+ * engine/lib/database.php: Marcus Povey <marcus@dushka.co.uk> * Spelling
+ error
+
+2008-06-10 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/output/tags.php: Sorting out tag links.
+
+ * engine/schema/mysql.sql: Added an explicit conversion to MyISAM in the db
+ tables when a FULLTEXT key is used.
+
+2008-06-10 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/languages.php:
+
+ * engine/lib/languages.php:
+
+2008-06-10 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/languages.php: A better fix for language pack loading ...
+
+ * mod/profile/languages/en.php: Fixed a bad header in profile
+
+ * engine/lib/languages.php: Fix for language pack loading.
+
+2008-06-10 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/languages.php: Marcus Povey <marcus@dushka.co.uk> * Modified
+ elgg_echo to return $message_key if no translations found, meaning you will
+ always get _something_ from the command and will serve to prompt people for
+ missing translations.
+
+2008-06-10 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/start.php: Error text change.
+
+ * engine/start.php: Languages are now loaded before the main engine starts.
+
+ * engine/lib/actions.php, engine/lib/configuration.php,
+ engine/lib/database.php, engine/lib/elgglib.php, engine/lib/entities.php,
+ engine/lib/export.php, engine/lib/filestore.php, engine/lib/install.php,
+ engine/lib/languages.php, engine/lib/notification.php,
+ engine/lib/objects.php, engine/lib/sessions.php, engine/lib/sites.php,
+ engine/lib/system_log.php, engine/lib/users.php, engine/start.php,
+ mod/apitest/start.php, mod/dashboard/start.php, mod/exporttest/start.php,
+ mod/guidbrowser/start.php, mod/profile/start.php: register_event_handler and
+ trigger_event have been renamed to register_elgg_event_handler and
+ trigger_elgg_event respectively.
+
+2008-06-10 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/database.php, languages/en.php: Marcus Povey
+ <marcus@dushka.co.uk> * Debug added to db connect
+
+ * engine/lib/filestore.php: Marcus Povey <marcus@dushka.co.uk> * Bugfix on
+ filestore
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Removed
+ debug
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Bugfixed
+ canEdit and isFullyLoaded
+
+2008-06-09 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, engine/lib/objects.php, engine/lib/sites.php,
+ engine/lib/users.php: Marcus Povey <marcus@dushka.co.uk> * Caching complete
+
+ * engine/lib/objects.php, engine/lib/sites.php, engine/lib/users.php:
+
+ * engine/lib/objects.php, engine/lib/sites.php, engine/lib/users.php: Marcus
+ Povey <marcus@dushka.co.uk> * Added the code to the correct method. Doh!
+
+ * engine/lib/entities.php, engine/lib/users.php:
+
+ * engine/lib/users.php:
+
+ * engine/lib/entities.php, engine/lib/objects.php, engine/lib/sites.php,
+ engine/lib/users.php:
+
+ * engine/lib/entities.php, engine/lib/objects.php, engine/lib/sites.php,
+ engine/lib/users.php: Marcus Povey <marcus@dushka.co.uk> * Added table
+ counter
+
+2008-06-09 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/river.php: Annoying typo bugfix
+
+ * views/default/page_elements/header.php: Moving the location of metatags so
+ that we can add jQuery plugins after jQuery gets loaded.
+
+2008-06-09 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/objects.php:
+
+2008-06-09 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/river.php: Fixed class instanceof errors
+
+2008-06-09 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/sites.php, engine/lib/users.php: Marcus Povey
+ <marcus@dushka.co.uk> * Reverted caching error
+
+ * engine/lib/entities.php, engine/lib/sites.php, engine/lib/users.php:
+ Marcus Povey <marcus@dushka.co.uk> * Added caching to entities. Please report
+ any problems.
+
+2008-06-09 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, engine/lib/users.php: Interim fix for user saving
+
+2008-06-09 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/messages/exceptions/exception.php: Marcus Povey
+ <marcus@dushka.co.uk> * Updated to show improved debug in debug mode.
+
+ * views/default/messages/exceptions/exception.php: Marcus Povey
+ <marcus@dushka.co.uk> * Updated to show improved debug in debug mode.
+
+2008-06-09 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Fixed previously-introduced entity save issue.
+
+ * engine/lib/entities.php: There are line breaks in my query. Hrm.
+
+ * engine/lib/entities.php: Fixed issue on save
+
+2008-06-06 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/api.php, languages/en.php: Marcus Povey <marcus@dushka.co.uk> *
+ More internationalisation
+
+ * languages/en.php, services/api/rest.php: Marcus Povey
+ <marcus@dushka.co.uk> * More internationalisation
+
+ * languages/en.php, services/export/handler.php: Marcus Povey
+ <marcus@dushka.co.uk> * More internationalisation
+
+ * languages/en.php, views/default/export/entity.php: Marcus Povey
+ <marcus@dushka.co.uk> * More internationalisation
+
+ * engine/lib/query.php, languages/en.php: Marcus Povey <marcus@dushka.co.uk>
+ * More internationalisation
+
+ * actions/register.php: Marcus Povey <marcus@dushka.co.uk> * Blank passwords
+ and blank second password no longer permitted
+
+ * engine/lib/entities.php, engine/lib/extender.php,
+ engine/lib/relationships.php, engine/lib/river.php,
+ engine/lib/system_log.php: Marcus Povey <marcus@dushka.co.uk> * Limit to
+ specific users and/or relationships
+
+2008-06-05 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/metadata.php, engine/lib/users.php, mod/profile/start.php:
+ Fixes for metadata and for the run order.
+
+2008-06-05 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/river.php:
+
+ * engine/lib/river.php: Marcus Povey <marcus@dushka.co.uk> * River now
+ passes performed_by_guid user as an object to sub views
+
+ * engine/lib/system_log.php, engine/schema/mysql.sql: Marcus Povey
+ <marcus@dushka.co.uk> * System log performs the id of the user who performed
+ a given action (or 0 if no user was logged in). Update your DB schema.
+
+ * views/default/river/shell.php:
+
+ * views/default/river/ElggUser/login.php,
+ views/default/river/ElggUser/logout.php:
+
+ * views/default/river/shell.php:
+
+ * languages/en.php, views/default/river/ElggUser/login.php,
+ views/default/river/ElggUser/logout.php, views/default/river/shell.php:
+ Marcus Povey <marcus@dushka.co.uk> * Some basic river code
+
+ * mod/profile/index.php, views/default/river/ElggUser/login.php,
+ views/default/river/ElggUser/logout.php, views/default/river/shell.php:
+ Marcus Povey <marcus@dushka.co.uk> *
+
+2008-06-05 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/system_log.php, engine/settings.example.php: Removing assorted
+ errors which will allow for excellent river operation
+
+2008-06-05 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/start.php:
+
+2008-06-05 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, engine/lib/filestore.php,
+ views/default/pageshells/pageshell.php: Further cleanup
+
+ * views/default/page_elements/header.php, views/default/pageshell.php:
+ Further sitename fix.
+
+2008-06-05 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/filestore.php, engine/lib/notification.php, languages/en.php:
+ Marcus Povey <marcus@dushka.co.uk> * More text internationalised
+
+2008-06-05 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/filestore.php: Fixed a foreach bug.
+
+ * views/default/page_elements/header.php: Returned the site name to the
+ header.
+
+2008-06-05 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php, engine/lib/cache.php, engine/lib/database.php,
+ engine/lib/elgglib.php, engine/lib/entities.php, engine/lib/export.php,
+ engine/lib/extender.php, engine/lib/filestore.php, engine/lib/metadata.php,
+ engine/lib/objects.php, engine/lib/plugins.php, engine/lib/relationships.php,
+ engine/lib/sites.php, engine/lib/users.php, languages/en.php: Marcus Povey
+ <marcus@dushka.co.uk> * Partial internationalisation of exceptions
+
+2008-06-05 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/systemsettings/install.php, engine/lib/elgglib.php, install.php,
+ views/default/account/forms/register.php, views/default/settings/system.php:
+ Installation and initial account creation should now be stable.
+
+2008-06-04 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: A more elegant event passthrough mechanism.
+
+ * engine/lib/configuration.php, engine/lib/elgglib.php,
+ engine/lib/languages.php, engine/lib/sessions.php, engine/lib/sites.php:
+ Fixed the installation labels issue!
+
+2008-06-04 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/query.php: Marcus Povey <marcus@dushka.co.uk> * Documentation
+ added to query.
+
+2008-06-04 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/actions.php, engine/lib/install.php, engine/lib/sessions.php,
+ engine/lib/users.php, views/default/account/forms/login.php: Fixed actions
+ issues
+
+ * engine/lib/elgglib.php: Fixed the callpath_gatekeeper on Windows machines.
+
+2008-06-04 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/query.php:
+
+ * engine/lib/system_log.php:
+
+ * engine/lib/notification.php: Marcus Povey <marcus@dushka.co.uk> * Belts
+ and braces
+
+ * engine/lib/notification.php: Marcus Povey <marcus@dushka.co.uk> * Supports
+ multiple delivery methods as an array
+
+ * engine/lib/system_log.php: Marcus Povey <marcus@dushka.co.uk> * Minor
+ documentation
+
+ * engine/lib/notification.php: Marcus Povey <marcus@dushka.co.uk> *
+ Documentation
+
+ * engine/lib/elgglib.php: Marcus Povey <marcus@dushka.co.uk> * Minor
+ documentation
+
+ * engine/lib/query.php:
+
+ * engine/lib/query.php: Marcus Povey <marcus@dushka.co.uk> * More
+ documentation added
+
+ * engine/lib/database.php, engine/lib/query.php: Marcus Povey
+ <marcus@dushka.co.uk> * Moved Query object et al to their own file
+
+2008-06-03 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/database.php: Marcus Povey <marcus@dushka.co.uk> * Minor doc
+ tweak
+
+ * engine/lib/database.php: Marcus Povey <marcus@dushka.co.uk> * Added
+ documentation
+
+ * engine/lib/elgglib.php: Marcus Povey <marcus@dushka.co.uk> * Removed
+ superfluous event
+
+ * engine/lib/annotations.php: Marcus Povey <marcus@dushka.co.uk> * Debug
+ removed
+
+ * engine/lib/annotations.php, engine/lib/entities.php,
+ engine/lib/metadata.php, engine/lib/relationships.php: Marcus Povey
+ <marcus@dushka.co.uk> * Fixed annotations not returning anything * Access
+ control on db now disabled when run from privileged code block.
+
+ * engine/lib/access.php: Marcus Povey <marcus@dushka.co.uk> * Fixed
+ restricted mode
+
+2008-06-02 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/header.php: Added the metatags element into
+ the page header.
+
+2008-06-02 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/access.php: Marcus Povey <marcus@dushka.co.uk> * Removed and
+ because you can always add it.
+
+ * engine/lib/access.php: Marcus Povey <marcus@dushka.co.uk> * Added access
+ control shorthand function. REPLACE THIS WHEN QUERY OBJECT COMPLETE
+
+ * engine/lib/database.php:
+
+ * engine/lib/database.php: Marcus Povey <marcus@dushka.co.uk> * Fixed set
+ ommission
+
+ * engine/lib/elgglib.php: Marcus Povey <marcus@dushka.co.uk> * Path check no
+ longer an event, forces path check
+
+ * engine/lib/objects.php, engine/lib/sites.php, engine/lib/users.php: Marcus
+ Povey <marcus@dushka.co.uk> * Update and creates now handled separately, with
+ the appropriate events triggered.
+
+ * action_handler.php, pagehandler.php: Marcus Povey <marcus@dushka.co.uk> *
+ Moved handlers to engine/handlers/ to avoid them running foul of the
+ callpath_gatekeeper.. UPDATE YOUR .htaccess!
+
+ * engine/handlers/action_handler.php, engine/handlers/pagehandler.php,
+ htaccess_dist: Marcus Povey <marcus@dushka.co.uk> * Moved handlers to
+ engine/handlers/ to avoid them running foul of the callpath_gatekeeper..
+ UPDATE YOUR .htaccess!
+
+ * engine/lib/elgglib.php: Marcus Povey <marcus@dushka.co.uk> * Minor bugfix
+
+ * engine/lib/database.php: Marcus Povey <marcus@dushka.co.uk> * BUGFIX:
+ Missing $CONFIG from insert_data
+
+ * engine/lib/elgglib.php, engine/schema/mysql.sql: Marcus Povey
+ <marcus@dushka.co.uk> * Privileged path check to privileged function
+ execution. ... i really don't like this exception stuff. I think it would be
+ better to say that you can't access stuff you can't access.
+
+2008-05-30 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Marcus Povey <marcus@dushka.co.uk> * Removed debug
+
+ * engine/lib/database.php, engine/lib/elgglib.php: Marcus Povey
+ <marcus@dushka.co.uk> * Bugfix on gatekeeper and forcing data functions to
+ privileged only
+
+ * engine/lib/elgglib.php: Marcus Povey <marcus@dushka.co.uk> * Renamed
+ limited to strict
+
+ * engine/lib/elgglib.php: Marcus Povey <marcus@dushka.co.uk> * Improved
+ callpath_gatekeeper
+
+2008-05-29 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/foaf/export/entity.php: Marcus Povey <marcus@dushka.co.uk> * Removed
+ foaf/export since this has been superceded.
+
+ * engine/lib/elgglib.php: Marcus Povey <marcus@dushka.co.uk> * Turns out i
+ needed reflection afterall.
+
+2008-05-29 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/foaf/friends/list.php, views/foaf/pageshells/pageshell.php: Added
+ FOAF
+
+ * views/default/canvas/layouts/one_column.php: Correcting one column font
+ size issue
+
+2008-05-29 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Marcus Povey <marcus@dushka.co.uk> * Introducing
+ callpath_gatekeeper
+
+ * engine/lib/elgglib.php: Marcus Povey <marcus@dushka.co.uk> * Simplified
+ call_gatekeeper()
+
+ * engine/lib/elgglib.php:
+
+ * engine/lib/elgglib.php: Marcus Povey <marcus@dushka.co.uk> * Introducing
+ call_gatekeeper()
+
+2008-05-29 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/friends/add.php, actions/friends/remove.php,
+ engine/lib/social.php, engine/lib/users.php, friends/index.php,
+ friends/of.php, languages/en.php, mod/profile/views/default/profile/menu.php,
+ mod/profile/views/default/profile/userdetails.php,
+ mod/profile/views/default/user/user.php, views/default/friends/list.php:
+ Added friends pages and related functionality
+
+2008-05-29 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/database.php:
+
+2008-05-29 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/user/user.php: Slight change to the user view in
+ profiles, to facilitate the friends page
+
+2008-05-28 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/export.php: Marcus Povey <marcus@dushka.co.uk> * Import now
+ does simple checks for failure
+
+ * engine/lib/opendd.php: Marcus Povey <marcus@dushka.co.uk> * Sanity checks
+ added to OpenDD import
+
+ * mod/exporttest/views/default/exporttest/main.php:
+
+2008-05-28 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/tags.php: Query fixes for previous.
+
+ * engine/lib/tags.php: Adding support for db prefixes to previous
+
+2008-05-28 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/import/odd.php: Marcus Povey <marcus@dushka.co.uk> * Added
+ gatekeeper
+
+ * mod/exporttest/views/default/exporttest/main.php: Marcus Povey
+ <marcus@dushka.co.uk> * export test import set to use new import action
+
+2008-05-28 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/tags.php, views/default/output/tagcloud.php: Added new tagcloud
+ functions
+
+2008-05-28 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/import/odd.php, languages/en.php: Marcus Povey
+ <marcus@dushka.co.uk> * Importing ODD action added
+
+2008-05-28 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * search/index.php, views/default/output/tagcloud.php,
+ views/default/output/tags.php: Subtypes and object types for search.
+
+2008-05-28 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/database.php: Marcus Povey <marcus@dushka.co.uk> * Minor doc
+ update
+
+ * engine/lib/database.php: Marcus Povey <marcus@dushka.co.uk> * Minor doc
+ update
+
+ * engine/lib/database.php: Marcus Povey <marcus@dushka.co.uk> * Fixed Where,
+ WhereStatic and WhereSet
+
+ * engine/lib/database.php: Marcus Povey <marcus@dushka.co.uk> * Fixed
+ order/limit
+
+ * engine/lib/database.php: Marcus Povey <marcus@dushka.co.uk> * Fixed tables
+
+ * engine/lib/database.php: Marcus Povey <marcus@dushka.co.uk> * Fixed
+ spacing issue
+
+ * engine/lib/database.php: Marcus Povey <marcus@dushka.co.uk> * Throws
+ exception if fields are missing on a select query
+
+ * engine/lib/database.php: Marcus Povey <marcus@dushka.co.uk> * Fixed
+ $CONFIG->prefix now $CONFIG->dbprefix * Exception thrown on missing tables
+
+ * engine/lib/database.php: Marcus Povey <marcus@dushka.co.uk> * Fixed ACL
+ where "where" is missing * Added catch for exceptions
+
+2008-05-28 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/canvas/layouts/one_column.php: small tweak to the canvas
+ view - this is temp
+
+2008-05-28 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/sessions.php: A further fix for previous.
+
+ * engine/lib/sessions.php: Solidifying the login() function so that the
+ session data is always consistent.
+
+ * actions/login.php, engine/lib/sessions.php: Revamped the login functions
+ to separate login from authentication.
+
+ * engine/lib/sessions.php: login() can now be extended by other
+ authentication methods. TODO: wrap this up with the PAM functionality.
+
+ * engine/lib/sessions.php: Adding login and logout events.
+
+2008-05-27 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/schema/mysql.sql: Marcus Povey <marcus@dushka.co.uk> * Fixed DB
+ code
+
+ * engine/lib/elgglib.php: Marcus Povey <marcus@dushka.co.uk> * Fixed
+ incorrect event trigger code - problem caused by incorrect is_array clause on
+ event trigger functions
+
+2008-05-27 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/input.php, engine/lib/social.php: create a new social library
+ in the engine. Moved string parsing for links to input.php and removed
+ converting strings to tags from the shouts plugin and added them to the
+ social library.
+
+2008-05-27 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/river.php: Marcus Povey <marcus@dushka.co.uk> * Offset
+ incremented each pass, will no longer get stuck in the infinite loop
+
+ * engine/lib/system_log.php: Marcus Povey <marcus@dushka.co.uk> *
+ Restrictions checked
+
+ * engine/lib/river.php: Marcus Povey <marcus@dushka.co.uk> * Views looked
+ for now river/$CLASSNAME/$EVENT
+
+2008-05-26 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: new fixed width
+
+ * views/default/css.php: fixed width page
+
+ * vendors/jquery/init.js, vendors/jquery/jquery-1.2.4a.js,
+ vendors/jquery/jquery-1.2.6.pack.js, vendors/jquery/jquery.js,
+ vendors/jquery/ui.base.js, vendors/jquery/ui.draggable.js,
+ vendors/jquery/ui.droppable.js, vendors/jquery/ui.sortable.js: new jquery,
+ removed old
+
+ * _graphics/footer.gif, _graphics/header.gif, _graphics/sidebar-bottom.gif,
+ _graphics/sidebar-top.gif: removed old graphics
+
+ * _graphics/pagebackground.gif, _graphics/pagebackground.jpg: pics needed
+
+ * views/default/css.php, views/default/navigation/toolbox.php,
+ views/default/page_elements/header.php, views/default/reset.css: simple
+ default theme as a starting point
+
+2008-05-26 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/canvas/layouts/one_column.php: added a new canvas area to
+ views, this is for plugins to layout their content.
+
+2008-05-26 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Fix for elgg_view_layout
+
+ * engine/lib/elgglib.php: Added layout manager to elgglib
+
+2008-05-26 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/relationships.php: added some relationship helper functions for
+ relationship type 'attached'
+
+2008-05-23 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Slight tweak to the entities
+ getEntitiesFromRelationship method. We need inverse relationships!
+
+ * views/default/pageshells/pageshell.php: Sticking the sidebar somewhere for
+ now
+
+ * engine/lib/access.php, languages/en.php: Added a plugin hook and
+ translations for previous.
+
+ * engine/lib/access.php, views/default/input/access.php: Introducing a
+ visual widget for inputting access permissions.
+
+2008-05-23 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php, views/default/pageshells/pageshell.php: reverted
+ back to a simple layout for now
+
+2008-05-23 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/views/default/user/user.php: Similar profile fix to previous
+
+2008-05-23 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php, engine/lib/entities.php,
+ engine/lib/extender.php, engine/lib/metadata.php,
+ engine/lib/relationships.php, engine/lib/river.php,
+ engine/lib/system_log.php, engine/schema/mysql.sql: Marcus Povey
+ <marcus@dushka.co.uk> * Support for river entries
+
+2008-05-23 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/languages/en.php, mod/profile/views/default/profile/edit.php:
+ You can now edit your profile again ..
+
+2008-05-23 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Marcus Povey <marcus@dushka.co.uk> * View debug
+ messages now echoed to system log instead of to the screen. * Minor doc fix
+
+2008-05-23 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/metadata.php: Removing double sanitise_string on save metadata
+
+2008-05-23 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/schema/mysql.sql: Marcus Povey <marcus@dushka.co.uk> * Added
+ access_id
+
+2008-05-23 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Removing conflict over 'event' keyword in views
+
+ * engine/lib/elgglib.php: ... and again ...
+
+2008-05-23 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/system_log.php:
+
+2008-05-23 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Fix for previous
+
+ * mod/profile/actions/iconupload.php, mod/profile/icon.php,
+ mod/profile/views/default/profile/icon.php: Introducing the 'tiny' profile
+ icon size
+
+2008-05-23 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, engine/lib/extender.php,
+ engine/lib/relationships.php, engine/lib/system_log.php, engine/start.php:
+ Marcus Povey <marcus@dushka.co.uk> * System log event code
+
+2008-05-23 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Similar tweak as for events, but with plugin hooks
+
+ * engine/lib/elgglib.php: trigger_event now passes the actual event details
+ on 'all'
+
+ * engine/lib/entities.php: An improvement to the clearMetadata method on
+ ElggEntities - specify a name and it only clears metadata of that type.
+
+2008-05-22 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/start.php: Marcus Povey <marcus@dushka.co.uk> * Added system log to
+ prerequisite loader
+
+ * engine/lib/system_log.php: Marcus Povey <marcus@dushka.co.uk> * Can now
+ run
+
+ * engine/lib/river.php, engine/lib/system_log.php: Marcus Povey
+ <marcus@dushka.co.uk> * Moving back to desktop
+
+ * engine/schema/mysql.sql: Marcus Povey <marcus@dushka.co.uk> * Added
+ system_log table
+
+2008-05-22 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/metadata.php: Removing duplicate metastring issue
+
+2008-05-22 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Marcus Povey <marcus@dushka.co.uk> * undid
+ previous as it was a dumb idea
+
+ * engine/lib/elgglib.php: Marcus Povey <marcus@dushka.co.uk> *
+ Clarification: Trigger event can only be passed a context related to a
+ ElggEntity
+
+2008-05-22 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, engine/lib/objects.php, engine/lib/sites.php,
+ engine/lib/users.php: Rearranging events: stage 1
+
+2008-05-22 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/river.php: Marcus Povey <marcus@dushka.co.uk> * River stub for
+ the pub.
+
+2008-05-22 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/pagehandler.php: The context is now set automatically when the
+ page handler is in use.
+
+ * engine/lib/pageowner.php: Plugins can now set context
+
+2008-05-21 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: And again
+
+ * engine/lib/entities.php: Minor fix for setting metadata
+
+2008-05-21 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/tags.php: Marcus Povey <marcus@dushka.co.uk> * Tag cloud for
+ files
+
+ * engine/lib/metadata.php: Marcus Povey <marcus@dushka.co.uk> * Introducing
+ find_metadata as a counterpart to get_entities_from_metadata
+
+2008-05-21 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, engine/lib/relationships.php: Entities now clear
+ all associated relationships on delete
+
+ * engine/lib/relationships.php: Added function to remove all entity
+ relationships of a particular kind associated with an entity
+
+ * engine/lib/access.php: Creating the constants ACCESS_PUBLIC,
+ ACCESS_PRIVATE, ACCESS_LOGGED_IN
+
+2008-05-21 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/tags.php: Marcus Povey <marcus@dushka.co.uk> * Added tag cloud
+ functions
+
+2008-05-21 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/actions/iconupload.php, mod/profile/icon.php: Assorted profile
+ icon fixes
+
+ * engine/lib/entities.php: Metadata can now be added to before an entity is
+ saved. It will be added to the db on save.
+
+ * views/default/pageshells/pageshell.php: Allowing for further extension to
+ the sidebar
+
+ * engine/lib/elgglib.php, views/default/pageshells/pageshell.php: Added
+ easier sidebar population
+
+2008-05-20 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/filestore.php: Marcus Povey <marcus@dushka.co.uk> * Introducing
+ get_resized_image_from_existing_file * Consolidated
+ get_resized_image_from_uploaded_file to use it
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * BUGFIX:
+ get_subtype_id now shortcircuits returning unmodified $subtype if $subtype is
+ ""
+
+ * engine/lib/objects.php, engine/lib/sites.php, engine/lib/users.php: Marcus
+ Povey <marcus@dushka.co.uk> * Fixed direct load by guid issue
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Fixed
+ strange regression in get_entities, now returns all stuff even if subtype is
+ blank.
+
+ * engine/lib/filestore.php: Marcus Povey <marcus@dushka.co.uk> * Revised
+ matrix generation on filestore
+
+2008-05-20 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/start.php: Removing a PHP notice
+
+2008-05-20 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/atom.php, engine/lib/export.php, engine/lib/opendd.php,
+ views/atom/export/entity.php, views/atom/export/metadata.php,
+ views/atom/export/relationship.php, views/atom/pageshells/pageshell.php:
+ Marcus Povey <marcus@dushka.co.uk> * reverted previous
+
+ * engine/lib/atom.php, engine/lib/export.php, engine/lib/opendd.php,
+ views/atom/export/entity.php, views/atom/export/metadata.php,
+ views/atom/export/relationship.php, views/atom/pageshells/pageshell.php:
+ Marcus Povey <marcus@dushka.co.uk> * Committed abortive Atom wrapper... we
+ need to support different import/export
+
+ * engine/start.php: Marcus Povey <marcus@dushka.co.uk> * Debug mode now
+ lists libraries loaded
+
+ * services/export/handler.php: Marcus Povey <marcus@dushka.co.uk> *
+
+ * engine/lib/elgglib.php: Marcus Povey <marcus@dushka.co.uk> * Introducing:
+ current_page_url()
+
+2008-05-19 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/configuration.php, engine/start.php,
+ views/default/pageshells/pageshell.php: Fixing the install process, step 1.
+
+ * engine/lib/sessions.php: Session init now fails if the db hasn't been
+ installed.
+
+ * engine/start.php: Elgg will now enter light mode (no plugins are loaded,
+ system init doesn't happen) if the parameter lightmode = "true"
+
+2008-05-16 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * services/export/handler.php: Marcus Povey <marcus@dushka.co.uk> * Minor
+ clarification
+
+ * endpoints/rest.php, mod/apitest/start.php, services/api/rest.php: Marcus
+ Povey <marcus@dushka.co.uk> * Refactored API under services
+
+ * export/handler.php, htaccess_dist, services/export/handler.php: Marcus
+ Povey <marcus@dushka.co.uk> * Refactored export to services/export for future
+ expansion of other rest style endpoints
+
+2008-05-15 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/pageshells/pageshell.php: Removed the double body issue to
+ prevent confusion
+
+ * admin/index.php, admin/plugins/index.php, admin/settings/index.php,
+ admin/users/index.php, languages/en.php, mod/blog/actions/add.php,
+ mod/blog/actions/comments/add.php, mod/blog/actions/comments/delete.php,
+ mod/blog/actions/delete.php, mod/blog/actions/edit.php, mod/blog/add.php,
+ mod/blog/edit.php, mod/blog/everyone.php, mod/blog/index.php,
+ mod/blog/languages/en.php, mod/blog/read.php, mod/blog/start.php,
+ mod/blog/views/default/blog/css.php,
+ mod/blog/views/default/blog/forms/edit.php,
+ mod/blog/views/default/blog/notfound.php,
+ mod/blog/views/default/blog/view.php,
+ mod/blog/views/default/object/blog-comment.php,
+ mod/blog/views/default/object/blog-comments.php,
+ mod/blog/views/default/object/blog.php, mod/file/actions/download.php,
+ mod/file/actions/upload.php, mod/file/friends.php, mod/file/index.php,
+ mod/file/languages/en.php, mod/file/start.php, mod/file/upload.php,
+ mod/file/views/default/file/file.php, mod/file/views/default/file/footer.php,
+ mod/file/views/default/file/icon.php, mod/file/views/default/file/upload.php,
+ mod/file/world.php, mod/tasklist/index.php, mod/tasklist/start.php,
+ mod/tasklist/views/default/tasklist/item.php,
+ mod/tasklist/views/default/tasklist/main.php,
+ mod/tasklist/views/default/tasklist/newtask.php,
+ views/default/admin/main.php, views/default/editmenu.php,
+ views/default/navigation/topmenu.php: Moving some stuff into the plugins
+ repo, where it belongs.
+
+ * engine/lib/users.php: Introducing getFriendsObjects to ElggUser
+
+2008-05-14 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/database.php: Marcus Povey <marcus@dushka.co.uk> * Debug mode
+ causes queries that return no data to issue a warning
+
+ * engine/lib/opendd.php: Marcus Povey <marcus@dushka.co.uk> * Fixed
+ ommission: setPublished
+
+2008-05-09 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/opendd.php: Added version
+
+ * engine/lib/opendd.php: Marcus Povey <marcus@dushka.co.uk> * Including XML
+ so it stands as a standalone lib
+
+ * engine/lib/export.php, engine/lib/opendd.php: Marcus Povey
+ <marcus@dushka.co.uk> * Moved ODD stuff to its own lib [untested]
+
+ * views/json/pageshells/pageshell.php: Marcus Povey <marcus@dushka.co.uk> *
+ Being "strictly correct" by returning application/json for json content type.
+
+2008-05-09 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * vendors/jquery/init.js, vendors/jquery/jquery-1.2.4a.js,
+ vendors/jquery/ui.base.js, vendors/jquery/ui.draggable.js,
+ vendors/jquery/ui.droppable.js, vendors/jquery/ui.sortable.js: jquery
+ framework
+
+ * views/default/css.php, views/default/navigation/topmenu.php,
+ views/default/page_elements/header.php,
+ views/default/pageshells/pageshell.php: drag n drop interface test
+
+2008-05-08 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * BUGFIX:
+ Moved shortcut so that subtype of "" doesn't cause fail.
+
+2008-05-07 pete <pete@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/header.php:
+
+ * views/default/css.php, views/default/navigation/toolbox.php,
+ views/default/pageshells/pageshell.php,
+ views/default/pageshells/pageshell_sidebar.php: new toolbar menu
+
+2008-05-02 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Removed a debug message
+
+ * engine/lib/entities.php: A fix for get_entities, which was borking if the
+ subtype of object specified didn't exist.
+
+ * engine/lib/configuration.php, engine/lib/sessions.php,
+ engine/lib/sites.php: Configuration prerequisites are now loaded on boot time
+ rathr than init
+
+ * engine/lib/filestore.php: The filestore is no longer so greedy about its
+ init function, and therefore gets the correct filestore location
+
+ * engine/lib/configuration.php: A small validation check
+
+2008-05-02 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php: reversed my last tweak, another solution will
+ be required
+
+2008-05-02 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php, views/default/navigation/topmenu.php: Adding a user
+ icon to the top menu
+
+2008-05-02 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php: small tweak to get annotations
+
+2008-05-02 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/configuration.php: Minor bug fix in configuration
+
+ * engine/start.php: Update to start.php to fix bug on installation
+
+ * actions/systemsettings/install.php: Corrected an issue with actions.
+
+ * actions/systemsettings/install.php: Fixed a bug where the site was private
+ unless you were logged out ...
+
+ * actions/systemsettings/install.php, engine/lib/configuration.php,
+ engine/lib/database.php, engine/lib/entities.php, engine/lib/install.php,
+ engine/lib/languages.php, engine/lib/metadata.php,
+ engine/lib/relationships.php, engine/lib/users.php, engine/start.php,
+ install.php, languages/en.php, views/default/navigation/toolbox.php,
+ views/default/pageshells/pageshell_sidebar.php,
+ views/default/settings/install.php, views/default/settings/system.php: The
+ system now uses the site GUID to get and set entity data. Also, installation
+ is a great deal more visual.
+
+2008-05-02 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/notification.php: Marcus Povey <marcus@dushka.co.uk> * Now
+ registers email handler (oops)
+
+2008-05-01 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/foaf/export/entity.php: Marcus Povey <marcus@dushka.co.uk> * FOAF
+ view uses correctly formatted UUID
+
+ * views/foaf/export/entity.php, views/foaf/pageshells/pageshell.php: Marcus
+ Povey <marcus@dushka.co.uk> * Added prototype FOAF view
+
+2008-05-01 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/sites.php: Establishing $CONFIG->site
+
+ * engine/lib/install.php: Further changes to the site install procedure.
+
+ * engine/lib/elgglib.php, engine/lib/install.php, engine/lib/sites.php,
+ engine/schema/mysql.sql: Major site config changes
+
+2008-05-01 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/file/actions/upload.php: Marcus Povey <marcus@dushka.co.uk> * Uploads
+ and downloads now work.
+
+ * engine/lib/filestore.php:
+
+2008-05-01 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/schema/mysql.sql: Schema update for configuration
+
+2008-05-01 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/filestore.php: Marcus Povey <marcus@dushka.co.uk> * Bugfixing
+ my sillyness
+
+2008-05-01 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php: Fixed a bug in countAnnotations
+
+2008-05-01 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/filestore.php:
+
+ * engine/lib/filestore.php: Marcus Povey <marcus@dushka.co.uk> * Bugfix
+
+ * mod/file/actions/download.php, mod/file/languages/en.php: Marcus Povey
+ <marcus@dushka.co.uk> * Download support
+
+ * engine/lib/filestore.php: Marcus Povey <marcus@dushka.co.uk> * Added tell
+
+ * engine/lib/filestore.php: Marcus Povey <marcus@dushka.co.uk> * Added eof
+ functionality to datastore
+
+ * mod/file/actions/download.php, mod/file/start.php,
+ mod/file/views/default/file/file.php: Marcus Povey <marcus@dushka.co.uk> *
+ Download page added
+
+2008-05-01 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/configuration.php: Docs for previous
+
+ * engine/lib/actions.php: Added GET variable processing to the action
+ handler.
+
+2008-05-01 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/file/start.php, mod/file/views/default/file/file.php: Marcus Povey
+ <marcus@dushka.co.uk> * Name tweaks
+
+2008-05-01 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/configuration.php: Get and set per-site configuration values
+ from the database
+
+2008-05-01 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/file/actions/upload.php: Marcus Povey <marcus@dushka.co.uk> * Minor
+ tweak
+
+ * mod/file/actions/upload.php: Marcus Povey <marcus@dushka.co.uk> * Error
+ handling
+
+ * mod/file/actions/upload.php: Marcus Povey <marcus@dushka.co.uk> * Save to
+ get the GUID
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * BUGFIX: Typo
+
+2008-05-01 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: $CONFIG->dbprefix, not $CONFIG->prefix
+
+2008-05-01 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/filestore.php, mod/file/upload.php: Marcus Povey
+ <marcus@dushka.co.uk> * Bugfix: uses the correct filename
+
+2008-05-01 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/sessions.php: Introducing gatekeeper();
+
+ * engine/lib/elgglib.php: Introducing run_function_once($functionname,
+ $timelastupdatedcheck).
+
+2008-05-01 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/file/actions/upload.php, mod/file/index.php, mod/file/start.php,
+ mod/file/views/default/file/file.php, mod/file/views/default/file/icon.php:
+ Marcus Povey <marcus@dushka.co.uk> * Using mime types
+
+ * engine/lib/database.php, engine/lib/entities.php: Marcus Povey
+ <marcus@dushka.co.uk> * Fixed regression on custom class loader
+
+ * engine/lib/filestore.php: Marcus Povey <marcus@dushka.co.uk> * Now
+ registers ElggFile for 'object' & 'file'
+
+2008-04-30 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/relationships.php: Fix for previous fix for previous fix
+
+ * engine/lib/entities.php: Slight correction to the count entities from
+ relationship alias in ElggEntity
+
+ * engine/lib/relationships.php: Fix for previous fix
+
+ * engine/lib/relationships.php: Fix for previous
+
+ * engine/lib/entities.php, engine/lib/relationships.php: Fixing some
+ functions relating to relationships
+
+2008-04-30 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/export.php: Marcus Povey <marcus@dushka.co.uk> * Minor tweak:
+ removed $ns references from ODD __toString()
+
+ * engine/lib/export.php, engine/lib/relationships.php: Marcus Povey
+ <marcus@dushka.co.uk> * Changed "verb" to "type" in relationship, as
+ described in ODD spec 0.5
+
+ * engine/lib/notification.php: Marcus Povey <marcus@dushka.co.uk> * Removed
+ get_notifications... you should provide a list of guid's yourself
+
+ * mod/file/views/default/file/footer.php: Marcus Povey <marcus@dushka.co.uk>
+ * Simple footer
+
+2008-04-30 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: get_entities returns false if the subtype name
+ couldn't be found.
+
+2008-04-30 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/file/actions/upload.php, mod/file/friends.php, mod/file/index.php,
+ mod/file/languages/en.php, mod/file/start.php, mod/file/upload.php,
+ mod/file/views/default/file/file.php, mod/file/views/default/file/footer.php,
+ mod/file/views/default/file/upload.php, mod/file/world.php: Marcus Povey
+ <marcus@dushka.co.uk> * First draft file upload
+
+2008-04-30 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, engine/lib/relationships.php: Introducing
+ getEntitiesFromRelationship and countEntitiesFromRelationship methods on
+ ElggEntity
+
+ * mod/blog/views/default/object/blog.php: Fixed a (presumably transient)
+ comments issue in blogs.
+
+ * mod/blog/views/default/object/blog.php: Temporary style fix
+
+ * mod/blog/views/default/object/blog.php: Updating blog view to involve
+ icons
+
+2008-04-30 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/filestore.php: Marcus Povey <marcus@dushka.co.uk> *
+ ElggDiskFilestore now uses matrix formed from username, and supports paths.
+
+ * engine/lib/filestore.php: Marcus Povey <marcus@dushka.co.uk> *
+
+2008-04-30 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/filestore.php: Resizing images now resamples them for better
+ clarity.
+
+ * mod/profile/actions/iconupload.php: Better error reporting on previous.
+
+ * mod/profile/actions/iconupload.php: Fixed profile resizing issue
+
+ * engine/lib/filestore.php: Previous now returns false.
+
+ * engine/lib/filestore.php: Fixed image resizing issue, corrected function
+ to create a jpeg using output buffering rather than a temporary file
+
+2008-04-29 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/page_elements/header.php: added jquery to the main pageshell
+ header
+
+ * vendors/jquery/jquery.js: Uploaded the latest jquery into core.
+
+2008-04-29 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php, mod/profile/actions/iconupload.php,
+ mod/profile/editicon.php, mod/profile/graphics/defaultlarge.jpg,
+ mod/profile/graphics/defaultmedium.jpg,
+ mod/profile/graphics/defaultsmall.jpg, mod/profile/icon.php,
+ mod/profile/languages/en.php, mod/profile/start.php,
+ mod/profile/views/default/profile/editicon.php,
+ mod/profile/views/default/profile/icon.php,
+ mod/profile/views/default/user/user.php: Introducing profile icons.
+
+ * engine/lib/filestore.php: Fix for make_file_matrix
+
+ * engine/lib/filestore.php: Filenames fix
+
+ * engine/lib/filestore.php: Non-invasive fix for previous.
+
+ * engine/lib/filestore.php: Introducing get_uploaded_file and
+ get_resized_image_from_uploaded_file.
+
+ * engine/lib/extender.php: Sledgehammer tactics on can_edit_extender
+
+ * engine/lib/extender.php: Attempt at an extender canEdit fix
+
+ * engine/lib/annotations.php: Fixed typo
+
+ * engine/lib/extender.php: Added getEntity() to ElggExtender
+
+ * engine/lib/annotations.php: Fixed a minor SQL error in annotation sum
+ functions
+
+ * engine/lib/annotations.php: Minor fix involving ratings and get
+ annotations
+
+2008-04-29 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * BUGFIX:
+ Import functionality works, removed update but no change error on update
+
+ * engine/lib/extender.php: Marcus Povey <marcus@dushka.co.uk> * BUGFIX:
+ Missing name
+
+ * engine/lib/users.php: Marcus Povey <marcus@dushka.co.uk> * Fixed bug in
+ getOwner override
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Fixed
+ incorrect if condition logic
+
+2008-04-29 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/input/calendar.php: small tweak to the calendar input
+
+2008-04-29 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/input/calendar.php: Replacing strip_string with
+ sanitise_string in the calendar input
+
+2008-04-28 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/notification.php: Marcus Povey <marcus@dushka.co.uk> * Notify
+ and email notify added (not tested) ... still unsure about get_notify_list,
+ attempted a number of options ... not sure if this is as simple as it could
+ be
+
+2008-04-28 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/vendors/calendarpopup/CalendarPopup.js,
+ views/default/input/calendar.php, views/default/output/calendar.php: Calendar
+ popup input for dates
+
+ * views/default/output/tags.php: Removing undefined index notice
+
+ * views/default/welcome.php: Removed annoying reference to $vars['name'] in
+ the welcome view
+
+ * mod/profile/actions/edit.php, mod/profile/languages/en.php,
+ mod/profile/start.php, mod/profile/views/default/profile/edit.php,
+ mod/profile/views/default/user/user.php: The profile now has fields set in
+ start.php. TODO: make those user editable, once we have the admin panel ...
+
+ * views/default/output/tags.php: The tag output view can now use
+ $vars['value'], bringing it in line with all the others
+
+ * views/default/input/email.php, views/default/output/email.php: Email
+ address input and output fields
+
+2008-04-25 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * endpoints/rest.php: Marcus Povey <marcus@dushka.co.uk> * Removed minor
+ config stuff
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Crude
+ deleteall method added
+
+ * htaccess_dist: Marcus Povey <marcus@dushka.co.uk> * JSON & PHP export
+ rewrite rules
+
+ * views/json/export/entity.php, views/json/export/metadata.php,
+ views/json/export/relationship.php, views/php/export/entity.php,
+ views/php/export/metadata.php, views/php/export/relationship.php: Marcus
+ Povey <marcus@dushka.co.uk> * JSON & PHP Export modes
+
+ * engine/lib/extender.php, engine/lib/relationships.php: Marcus Povey
+ <marcus@dushka.co.uk> * Metadata and relationships can now be accessed as
+ arrays
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Objects can
+ be accessed as arrays
+
+2008-04-25 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/metadata.php: Adding get_entities_from_metadata_multi
+
+2008-04-25 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * export/handler.php, htaccess_dist, odd/handler.php: Marcus Povey
+ <marcus@dushka.co.uk> * Renamed /odd to /export since the handler can now do
+ so much more...
+
+2008-04-25 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/editmenu.php: Editmenu stub view
+
+2008-04-25 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * htaccess_dist: Marcus Povey <marcus@dushka.co.uk> * Using ODD view by
+ default
+
+ * odd/handler.php, views/default/export/entity.php,
+ views/default/export/metadata.php, views/default/export/relationship.php,
+ views/odd/export/entity.php, views/odd/export/metadata.php,
+ views/odd/export/relationship.php, views/odd/pageshells/pageshell.php: Marcus
+ Povey <marcus@dushka.co.uk> * Updated ODD handler, now uses views * Normal
+ and ODD view for all data items... cute eh? :)
+
+ * engine/lib/annotations.php, engine/lib/extender.php,
+ engine/lib/metadata.php: Marcus Povey <marcus@dushka.co.uk> * Using common
+ attribute type var to determine ODD export type for uuid generation, now
+ attributes can support a uuid
+
+ * .../views/default/guidbrowser/entity_full.php: Marcus Povey
+ <marcus@dushka.co.uk> * Uses guid_one as well
+
+ * odd/handler.php: Marcus Povey <marcus@dushka.co.uk> * Removed session
+ debug
+
+ * action.php, engine/lib/api.php, engine/lib/elgglib.php,
+ views/default/api/output.php, views/json/api/output.php,
+ views/json/pageshells/pageshell.php, views/php/api/output.php,
+ views/php/pageshells/pageshell.php, views/xml/api/output.php,
+ views/xml/pageshells/pageshell.php: Marcus Povey <marcus@dushka.co.uk> *
+
+ * endpoints/rest.php: Marcus Povey <marcus@dushka.co.uk> * API converted to
+ use views system
+
+2008-04-25 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Fix to the debug messages for elgg_view
+
+2008-04-25 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, engine/lib/export.php: Marcus Povey
+ <marcus@dushka.co.uk> * Removed all namespacing code
+
+2008-04-24 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/export.php: Marcus Povey <marcus@dushka.co.uk> * Namespace
+ support added to export
+
+2008-04-24 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * version.php: Minor edit to the version number spec
+
+ * engine/lib/elgglib.php, engine/lib/version.php, engine/schema/mysql.sql,
+ version.php: The beginnings of a db upgrade system. See lib/version.php,
+ /version.php and the datalist functions in elgglib.php.
+
+2008-04-24 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/export.php: Marcus Povey <marcus@dushka.co.uk> * Removed header
+ object
+
+ * engine/lib/export.php: Marcus Povey <marcus@dushka.co.uk> * Unhandled
+ elements issue a warning on import
+
+ * engine/lib/export.php: Marcus Povey <marcus@dushka.co.uk> * Removed ODD
+ header element
+
+ * engine/lib/entities.php:
+
+ * engine/lib/annotations.php, engine/lib/entities.php,
+ engine/lib/extender.php, engine/lib/metadata.php: Marcus Povey
+ <marcus@dushka.co.uk> * Generated now published * Metadata and annotations
+ use time from db * Attributes use time from entity
+
+2008-04-23 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/filestore.php: Marcus Povey <marcus@dushka.co.uk> * Improved
+ documentation
+
+ * engine/lib/filestore.php: Marcus Povey <marcus@dushka.co.uk> * Filesize
+ support added, now possible to get all file contents with
+ $file->read($file->size());
+
+2008-04-21 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/profile/actions/edit.php, mod/profile/edit.php, mod/profile/index.php,
+ mod/profile/languages/en.php, mod/profile/start.php,
+ mod/profile/views/default/profile/edit.php,
+ mod/profile/views/default/user/user.php: Introduced profile plugin
+
+ * engine/lib/entities.php, engine/lib/metadata.php, engine/lib/users.php:
+ Fixed user GUID and multiple metadata bugs
+
+ * engine/lib/sessions.php: Session init now gets priority
+
+ * engine/lib/metadata.php: Improving the metadata search in cases where
+ users have multiple tags with the same text
+
+ * mod/blog/start.php: Added doc for blog URL function
+
+ * engine/lib/entities.php: Minor update to the default entity URLs
+
+ * engine/lib/elgglib.php: Fixed an inconsistency in elgg_view_entity
+
+2008-04-21 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php:
+
+ * engine/lib/filestore.php: Marcus Povey <marcus@dushka.co.uk> * Numerous
+ bugs fixed
+
+ * engine/lib/filestore.php: Marcus Povey <marcus@dushka.co.uk> * No longer
+ saves file automatically, this gives you the option as to how to handle files
+ - either as proper objects or adhoc file handles which still use the
+ filestore code.
+
+ * engine/lib/filestore.php: Marcus Povey <marcus@dushka.co.uk> * Save and
+ Load (read/write) work * TODO: Loaded can not be loaded via name, only
+ guid... correct?
+
+2008-04-21 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: can_edit_entity now always returns false if the
+ user is logged out
+
+2008-04-21 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/actions.php: Marcus Povey <marcus@dushka.co.uk> * Removed tiny
+ debug output
+
+2008-04-21 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/sessions.php: Fix for lingering sessions with nonexistent users
+
+ * engine/schema/mysql.sql: Removing extraneous character from schema
+
+ * engine/schema/mysql.sql: Added site_guid to the entities table
+
+2008-04-18 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/filestore.php: Marcus Povey <marcus@dushka.co.uk> * Unfinished
+ filestore code
+
+ * engine/lib/cache.php: Marcus Povey <marcus@dushka.co.uk> * Cleaned up doc
+
+2008-04-18 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: If you feed an entity a metadata array, it
+ assumes multiple values are allowed
+
+ * views/default/input/tags.php: Updated input tag field to take in strings
+ as well as arrays
+
+ * mod/blog/views/default/object/blog.php: Link fix
+
+ * htaccess_dist: Minor page handler mod_rewrite fix
+
+2008-04-18 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/exporttest/index.php, mod/guidbrowser/index.php: Marcus Povey
+ <marcus@dushka.co.uk> * Hardcoded sessions no longer necessary now that login
+ works
+
+2008-04-18 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/views/default/object/blog-comment.php,
+ mod/blog/views/default/object/blog.php,
+ views/default/account/forms/register.php: Removing references to action.php
+
+ * action_handler.php: Searunner?!
+
+2008-04-18 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * action_handler.php, htaccess_dist: Marcus Povey <marcus@dushka.co.uk> *
+ Fixed broken actions code on linux
+
+2008-04-18 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/actions.php: Removing previous
+
+2008-04-18 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * htaccess_dist: Fixed ODD mod-rewrite rules
+
+2008-04-18 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/actions.php: Action debug
+
+ * htaccess_dist, mod/blog/start.php: Fix for page handler bugs
+
+2008-04-17 misja <misja@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * htaccess_dist: Misja Hoebe <misja@curverider.co.uk> Clean up svn cruft
+
+2008-04-16 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php, search/index.php: Introducing search
+
+ * engine/lib/elgglib.php, mod/blog/views/default/object/blog.php: No need
+ for elgg_view_entity to separately load the owner entity
+
+ * engine/lib/entities.php, mod/blog/start.php: ElggEntity->getOwnerUser() ->
+ ElggEntity->getOwnerEntity()
+
+ * mod/blog/start.php, mod/blog/views/default/object/blog.php: URL handling
+ for the blog plugin
+
+ * engine/lib/entities.php: Added the getURL and getOwnerUser methods to
+ ElggEntity (gets the URL to display the current entity and the ElggUser
+ entity that represents the owner respectively)
+
+ * engine/lib/entities.php, engine/lib/users.php, entities/index.php,
+ languages/en.php: Generic entity view system
+
+ * mod/blog/start.php, mod/blog/views/default/object/blog.php: Blog plugin
+ now serves as a fancy URL example
+
+ * views/default/messages/errors/list.php, views/default/messages/list.php,
+ views/default/messages/messages/list.php: Fixing the message views
+
+ * engine/lib/input.php, engine/lib/pagehandler.php: Added better GET query
+ variable handling into the page handler mix
+
+2008-04-15 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * _css/css.php, _graphics/blockquote.png, _graphics/footer.gif,
+ _graphics/header.gif, _graphics/sidebar-bottom.gif,
+ _graphics/sidebar-top.gif, css/css.php, engine/lib/pagehandler.php,
+ graphics/blockquote.png, graphics/footer.gif, graphics/header.gif,
+ graphics/sidebar-bottom.gif, graphics/sidebar-top.gif, htaccess_dist,
+ pagehandler.php, views/default/css.php,
+ views/default/page_elements/header.php: Natty page handling functions. See
+ engine/lib/pagehandler.php
+
+2008-04-15 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * htaccess_dist: Marcus Povey <marcus@dushka.co.uk> * Hopefully correct
+ mod-rewrite rules
+
+2008-04-15 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/database.php, engine/lib/entities.php, engine/lib/users.php:
+ get_entities now allows for arrays of GUIDs to be supplied as an owner, and
+ can be asked to count entities rather than return them; as a result,
+ get_user_friends_objects and count_* functionality is also now available
+
+2008-04-15 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * odd/handler.php: Marcus Povey <marcus@dushka.co.uk> * ODD handler added,
+ still needs modrewrite rules to be specified.
+
+2008-04-15 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/actions/comments/delete.php, mod/blog/languages/en.php,
+ mod/blog/start.php, mod/blog/views/default/object/blog-comment.php,
+ mod/blog/views/default/object/blog-comments.php,
+ mod/blog/views/default/object/blog.php: Blog plugin improvements, including
+ delete on comments
+
+ * languages/en.php: A couple of additions to the language file
+
+ * views/default/input/checkboxes.php, views/default/input/file.php,
+ views/default/input/gender.php, views/default/input/longtext.php,
+ views/default/input/password.php, views/default/input/pulldown.php,
+ views/default/input/radio.php, views/default/input/tags.php,
+ views/default/input/text.php, views/default/input/url.php: Cleaning up input
+ field views
+
+ * views/default/output/confirmlink.php, views/default/output/date.php,
+ views/default/output/file.php, views/default/output/gender.php,
+ views/default/output/longtext.php, views/default/output/pulldown.php,
+ views/default/output/tagcloud.php, views/default/output/tags.php,
+ views/default/output/text.php, views/default/output/timestamp.php,
+ views/default/output/url.php: Tidied up output views
+
+2008-04-15 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Removed
+ canedit shortcut
+
+ * engine/lib/relationships.php: Marcus Povey <marcus@dushka.co.uk> *
+ Modified to not throw exception on missing verb conversion
+
+ * engine/lib/relationships.php: Marcus Povey <marcus@dushka.co.uk> *
+ Relationship import
+
+2008-04-15 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/extender.php: Improvement to canEdit() for extenders, which
+ takes into account the canEdit() status of entities the extender is attached
+ to
+
+ * engine/lib/annotations.php, engine/lib/extender.php,
+ engine/lib/metastrings.php: Removing the multiple slash problem on
+ metastrings in extenders
+
+2008-04-15 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/relationships.php: Marcus Povey <marcus@dushka.co.uk> *
+ Relationships export
+
+ * engine/lib/xml.php: Marcus Povey <marcus@dushka.co.uk> * Improved XML to
+ array code
+
+ * engine/lib/export.php: Marcus Povey <marcus@dushka.co.uk> * Minor tweaks
+
+ * engine/lib/extender.php: Marcus Povey <marcus@dushka.co.uk> * Extender
+ import support. * Removed Importable interface on extender, its easier to
+ just use the entity object interface.
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Blocking
+ create and update dates from ODD export, since these don't make sense to
+ export.
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Added
+ optional set multiple on metadata
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Belts and
+ braces
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Entity
+ import.
+
+ * engine/lib/annotations.php, engine/lib/metadata.php: Marcus Povey
+ <marcus@dushka.co.uk> * Using common detect_extender_valuetype function
+
+2008-04-14 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/export.php: Marcus Povey <marcus@dushka.co.uk> * Undone last as
+ it was a bad idea.
+
+ * engine/lib/export.php: Marcus Povey <marcus@dushka.co.uk> * Exception
+ thrown on unrecognised import tag.
+
+ * engine/lib/export.php: Marcus Povey <marcus@dushka.co.uk> * Import
+ functions now deal in ODD!
+
+ * engine/lib/export.php, engine/lib/xml.php: Marcus Povey
+ <marcus@dushka.co.uk> * XML Import processor
+
+ * engine/lib/relationships.php: Marcus Povey <marcus@dushka.co.uk> *
+ Relationships export
+
+ * engine/lib/export.php: Marcus Povey <marcus@dushka.co.uk> * Relationships
+ export
+
+ * engine/lib/export.php: Marcus Povey <marcus@dushka.co.uk> * ODD
+ relationship verb -> relationship mapping functions
+
+ * mod/guidbrowser/index.php, mod/guidbrowser/start.php,
+ .../views/default/guidbrowser/entity_full.php: Marcus Povey
+ <marcus@dushka.co.uk> * Relationships added to GUID browser
+
+ * engine/schema/mysql.sql: Marcus Povey <marcus@dushka.co.uk> *
+ Relationships table brought in line with code... oops
+
+ * engine/lib/annotations.php, engine/lib/entities.php,
+ engine/lib/extender.php, engine/lib/metadata.php: Marcus Povey
+ <marcus@dushka.co.uk> * ODD Annotation and Metadata export
+
+2008-04-11 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/export.php: Marcus Povey <marcus@dushka.co.uk> * Added owner
+ uuid to type
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Fixed
+ subclass
+
+ * engine/lib/export.php: Marcus Povey <marcus@dushka.co.uk> * Comment tweak
+
+ * engine/lib/annotations.php, engine/lib/entities.php,
+ engine/lib/export.php, engine/lib/extender.php, engine/lib/metadata.php,
+ engine/lib/relationships.php: Marcus Povey <marcus@dushka.co.uk> * Entity ODD
+ export
+
+ * engine/lib/xml.php: Marcus Povey <marcus@dushka.co.uk> * Moved XML stuff
+ to xml.php
+
+ * engine/lib/exceptions.php: Marcus Povey <marcus@dushka.co.uk> * Added
+ DataFormatException
+
+ * engine/lib/api.php: Marcus Povey <marcus@dushka.co.uk> * Minor tweak
+
+2008-04-10 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * endpoints/rest.php, engine/lib/api.php, engine/lib/pam.php: Marcus Povey
+ <marcus@dushka.co.uk> * Created PAM library * API moved to use new PAM
+ functions
+
+2008-04-09 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/apitest/index.php, mod/apitest/start.php,
+ mod/apitest/views/default/apitest/configform.php,
+ mod/apitest/views/default/apitest/main.php: Marcus Povey
+ <marcus@dushka.co.uk> * Package header added
+
+ * mod/tasklist/index.php, mod/tasklist/start.php,
+ mod/tasklist/views/default/tasklist/item.php,
+ mod/tasklist/views/default/tasklist/main.php,
+ mod/tasklist/views/default/tasklist/newtask.php: Marcus Povey
+ <marcus@dushka.co.uk> * Package header added
+
+ * mod/exporttest/index.php, mod/exporttest/start.php,
+ mod/exporttest/views/default/exporttest/main.php,
+ .../views/default/exporttest/outputxml.php: Marcus Povey
+ <marcus@dushka.co.uk> * Package header added
+
+ * mod/guidbrowser/languages/en.php, mod/guidbrowser/start.php,
+ .../views/default/guidbrowser/browser.php,
+ .../views/default/guidbrowser/entity.php,
+ .../views/default/guidbrowser/entity_full.php,
+ .../views/default/guidbrowser/newentity.php,
+ .../views/default/guidbrowser/prevnext.php: Marcus Povey
+ <marcus@dushka.co.uk> * Package header added
+
+2008-04-09 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, engine/start.php: Fixed some bugs including a
+ load order patch from Rolando, and an update issue
+
+ * engine/lib/pam.php: Empty PAM include file
+
+2008-04-08 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php, engine/lib/extender.php,
+ engine/lib/metadata.php, engine/schema/mysql.sql: Marcus Povey
+ <marcus@dushka.co.uk> * Simplified extender types, now only supports integer
+ and text - types deamed not to be necessary (yet), also simplifies import and
+ export. * Types better detected.
+
+2008-04-08 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, engine/lib/users.php, engine/start.php:
+ Incorporating setup fixes from Rolando
+
+2008-04-08 misja <misja@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/api.php, engine/lib/cache.php, engine/lib/database.php: Misja
+ Hoebe <misja@curverider.co.uk> More doctag fixes
+
+ * endpoints/rest.php, engine/lib/annotations.php, engine/lib/entities.php,
+ engine/lib/exceptions.php, engine/lib/export.php, engine/lib/extender.php,
+ engine/lib/metadata.php, engine/lib/objects.php, engine/lib/plugins.php,
+ engine/lib/relationships.php, engine/lib/sites.php, engine/lib/users.php:
+ Misja Hoebe <misja@curverider.co.uk> More docstring fixes
+
+ * action.php, engine/lib/annotations.php, engine/lib/sites.php,
+ engine/lib/users.php: Misja Hoebe <misja@curverider.co.uk> Fixing doctags
+
+2008-04-07 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php, engine/lib/metadata.php: Further annotation /
+ metadata fixes
+
+ * views/default/output/tags.php: Improved tag display
+
+ * engine/lib/annotations.php: Fixing undeclared error
+
+ * mod/blog/actions/delete.php, mod/blog/actions/edit.php,
+ mod/blog/languages/en.php, mod/blog/start.php,
+ mod/blog/views/default/object/blog.php: CRUD for blog posts
+
+ * engine/lib/sites.php, engine/lib/users.php: Same bugfix for sites and
+ users
+
+ * engine/lib/elgglib.php, engine/lib/entities.php, engine/lib/objects.php:
+ Further adventures in getting things to delete correctly
+
+ * engine/lib/annotations.php, engine/lib/elgglib.php,
+ engine/lib/entities.php: Further delete and event trigger fixes
+
+ * engine/lib/entities.php: Triggering events on create, update and delete
+ actions on entities
+
+ * engine/lib/annotations.php, engine/lib/extender.php,
+ engine/lib/metadata.php: Properly extensible canEdit functionality for
+ metadata, annotations, and anything else that supports the ElggExtender
+ interface
+
+ * engine/lib/entities.php, engine/lib/metadata.php: Much better handling for
+ deleting entities and metadata
+
+2008-04-04 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/actions/edit.php, mod/blog/edit.php, mod/blog/start.php,
+ mod/blog/views/default/blog/forms/edit.php,
+ mod/blog/views/default/object/blog.php: Blog edit updates
+
+ * views/default/input/tags.php: Updated the tags input field
+
+ * languages/en.php: Adding 'edit' to the language file
+
+ * engine/lib/entities.php: Added $entity->canEdit() (true|false)
+
+ * mod/blog/everyone.php, mod/blog/index.php, mod/blog/languages/en.php,
+ mod/blog/start.php: Introducing the all blog posts page.
+
+ * views/default/output/tags.php: Tweaking the output/tags view
+
+ * engine/lib/entities.php, engine/lib/metadata.php: Added better support for
+ arrays of metadata
+
+ * mod/blog/actions/add.php, mod/blog/views/default/object/blog.php: Added
+ tags to the blog plugin
+
+ * mod/blog/read.php, mod/blog/views/default/blog/notfound.php: Further blog
+ enhancements
+
+2008-04-03 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, engine/lib/relationships.php: Marcus Povey
+ <marcus@dushka.co.uk> * Relationship related code moved to relationships.php
+ * Added ElggRelationship class as wrapper (to help with import and export) *
+ Import and Export relationship code added (NOT TESTED)
+
+ * mod/exporttest/index.php:
+
+ * engine/lib/metadata.php: Marcus Povey <marcus@dushka.co.uk> * Minor text
+ change
+
+2008-04-03 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/actions/comments/add.php, mod/blog/languages/en.php,
+ mod/blog/start.php, mod/blog/views/default/object/blog-comment.php,
+ mod/blog/views/default/object/blog-comments.php,
+ mod/blog/views/default/object/blog.php: Added comments to blog
+
+ * engine/lib/database.php: Added query display to database exceptions
+
+ * engine/lib/sessions.php: Fixed session initialisation issue
+
+2008-04-03 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, engine/lib/extender.php, engine/lib/metadata.php:
+ Marcus Povey <marcus@dushka.co.uk> * Annotations and metadata now imported
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Bugfixes
+
+ * mod/guidbrowser/index.php, mod/guidbrowser/start.php,
+ .../views/default/guidbrowser/browser.php,
+ .../views/default/guidbrowser/entity.php,
+ .../views/default/guidbrowser/entity_full.php,
+ .../views/default/guidbrowser/navbar.php,
+ .../views/default/guidbrowser/prevnext.php: Marcus Povey
+ <marcus@dushka.co.uk> * Basic guid browser
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Added
+ Iterator interface to override foreach behaviour
+
+ * engine/lib/entities.php, engine/lib/objects.php, engine/lib/sites.php,
+ engine/lib/users.php: Marcus Povey <marcus@dushka.co.uk> * Exception thrown
+ if load fails
+
+2008-04-03 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/languages/en.php, mod/blog/read.php,
+ mod/blog/views/default/blog/css.php, mod/blog/views/default/object/blog.php:
+ Blog: extended comment form, etc.
+
+ * engine/lib/elgglib.php: Slight fix for previous
+
+ * engine/lib/elgglib.php: Update for elgg_view_entity
+
+ * engine/lib/database.php: Slight get_data revert
+
+ * views/default/page_elements/header.php: Changing, once again, the way
+ titles work
+
+ * engine/lib/pageowner.php: Pages can now manually override the page owner
+
+ * mod/blog/languages/en.php, mod/blog/start.php,
+ mod/blog/views/default/blog/css.php, mod/blog/views/default/object/blog.php:
+ Adding name and posted date to blog entries
+
+ * mod/blog/index.php, mod/blog/views/default/blog/view.php,
+ mod/blog/views/default/object/blog.php: Blog update: you can post and view
+ blog entries.
+
+ * engine/lib/elgglib.php: Improved elgg_view_entity
+
+2008-04-03 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Cut and
+ paste is a killer
+
+ * engine/lib/plugins.php: Marcus Povey <marcus@dushka.co.uk> * Fixed
+ language autoload
+
+2008-04-02 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/guidbrowser/index.php, mod/guidbrowser/languages/en.php,
+ mod/guidbrowser/start.php, .../views/default/guidbrowser/entity.php,
+ .../views/default/guidbrowser/entity_full.php,
+ .../views/default/guidbrowser/navbar.php,
+ .../views/default/guidbrowser/newentity.php: Marcus Povey
+ <marcus@dushka.co.uk> * End of day
+
+2008-04-02 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/database.php, engine/lib/entities.php, engine/lib/users.php:
+ Assorted entity fixes
+
+ * engine/lib/plugins.php: Plugins now autoregister translations
+
+ * engine/lib/entities.php: Small fix for get_entities
+
+ * mod/blog/actions/add.php, mod/blog/languages/en.php, mod/blog/start.php,
+ mod/blog/views/default/blog/forms/edit.php: Blog posting
+
+2008-04-02 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Access id
+ better handled
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Subtype is
+ converted to its exportable string version
+
+2008-04-02 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/actions.php: Removed some unnecessary debug junk
+
+ * engine/lib/actions.php: Fixed action registration for plugins
+
+2008-04-02 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/exporttest/index.php: Marcus Povey <marcus@dushka.co.uk> * Minor tweak
+ to actually get the command from it all
+
+2008-04-02 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Modified page_draw to behave correctly depending
+ on sessions
+
+ * languages/en.php: Language updates
+
+ * action.php: Removing debug issue
+
+ * action.php: Debug message in actions
+
+ * views/default/account/forms/register.php: Changing the destination of the
+ register form
+
+ * views/default/css.php, views/default/page_elements/header.php: Separating
+ sitename and title in the header
+
+ * views/default/css.php, views/default/input/tags.php,
+ views/default/input/text.php, views/default/input/url.php: Let's make the
+ classes for input elements consistent
+
+ * views/default/pageshells/pageshell.php: Reinstating the side menu
+
+2008-04-02 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * graphics/blockquote.png, graphics/footer.gif, graphics/header.gif,
+ graphics/sidebar-bottom.gif, graphics/sidebar-top.gif, views/default/css.php,
+ views/default/page_elements/footer.php,
+ views/default/pageshells/pageshell.php: basic skin added
+
+2008-04-02 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, engine/schema/mysql.sql: Marcus Povey
+ <marcus@dushka.co.uk> * BUGFIX: User creation * User import functionality
+ working
+
+ * engine/lib/metadata.php: Marcus Povey <marcus@dushka.co.uk> * BUGFIX:
+ Offset/limit
+
+ * engine/lib/annotations.php: Marcus Povey <marcus@dushka.co.uk> * Value to
+ value_id
+
+ * mod/exporttest/views/default/exporttest/main.php: Marcus Povey
+ <marcus@dushka.co.uk> * Now using method=post
+
+ * engine/lib/entities.php, mod/tasklist/start.php: Marcus Povey
+ <marcus@dushka.co.uk> * REMOVED DEBUG * WARNING!!! THERE IS A PHP BUG ON
+ UBUNTU/APACHE2/PHP5 that will prevent metadata from functioning. Values
+ appear to get unset. No fix known.
+
+ * engine/lib/entities.php:
+
+ * mod/tasklist/start.php:
+
+ * mod/tasklist/start.php:
+
+ * mod/tasklist/start.php:
+
+ * engine/lib/entities.php, engine/lib/metadata.php:
+
+ * engine/lib/entities.php:
+
+ * engine/lib/entities.php:
+
+ * engine/lib/entities.php:
+
+ * mod/tasklist/start.php: debug
+
+ * engine/lib/entities.php: removed debug
+
+ * engine/lib/entities.php: debug
+
+ * engine/lib/entities.php: debug
+
+ * engine/lib/metadata.php: debug
+
+ * engine/lib/annotations.php, engine/lib/metadata.php: Marcus Povey
+ <marcus@dushka.co.uk> * Entity type/ subtype functional
+
+ * engine/lib/metadata.php: Marcus Povey <marcus@dushka.co.uk> *
+ get_metadata_byname better handles single/multiple return values
+
+ * mod/tasklist/start.php, mod/tasklist/views/default/tasklist/newtask.php:
+
+ * engine/lib/metadata.php: Marcus Povey <marcus@dushka.co.uk> *
+ get_metadata_byname better handles single/multiple return values
+
+2008-04-02 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/blog/index.php: Slight change to blogs
+
+2008-04-02 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/metadata.php: debug
+
+ * engine/lib/metadata.php: removed debug
+
+ * engine/lib/metadata.php: debug
+
+ * mod/tasklist/index.php, mod/tasklist/start.php: test
+
+2008-04-02 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * htaccess_dist: htaccess dist
+
+ * engine/lib/users.php: Small fix
+
+ * engine/lib/pageowner.php: Smaller version of previous
+
+ * engine/lib/pageowner.php: Introducing page_owner_entity
+
+ * engine/lib/friends.php: Removing the friends module (it's unnecessary)
+
+ * engine/lib/pageowner.php: Fix to previous
+
+ * engine/lib/pageowner.php: Reverting page_owner
+
+2008-04-01 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/export.php: Marcus Povey <marcus@dushka.co.uk> * End of day...
+
+ * engine/lib/annotations.php, engine/lib/extender.php,
+ engine/lib/metadata.php: Marcus Povey <marcus@dushka.co.uk> * Moved common
+ functionality of metadata and annotations into superclass.
+
+2008-04-01 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * languages/en.php, mod/blog/add.php, mod/blog/edit.php, mod/blog/index.php,
+ mod/blog/languages/en.php, mod/blog/start.php,
+ mod/blog/views/default/blog/forms/edit.php: Further adventures in blogging;
+ saving for now
+
+ * mod/blog/index.php, mod/blog/languages/en.php, mod/blog/start.php,
+ views/default/welcome/logged_in.php: Blog mod and welcome screen updates
+
+ * engine/lib/pageowner.php: Update to docs for previous
+
+ * engine/lib/pageowner.php, engine/lib/users.php: page_owner() now returns
+ an ElggUser or false
+
+ * views/default/navigation/toolbox.php: Modified toolbox to display menu
+ items correctly
+
+ * engine/lib/elgglib.php: Fixed the menu item function
+
+2008-04-01 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * index.php: Marcus Povey <marcus@dushka.co.uk> * Removed debug
+
+2008-04-01 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/languages.php: Added the register_languages function, for
+ easier loading of language files from plugins
+
+ * actions/register.php, engine/lib/entities.php, engine/lib/sessions.php,
+ engine/lib/users.php: Registration, login, logout work. Victory!
+
+ * views/default/account/forms/register.php: Registration form update
+
+ * engine/lib/users.php: Updated the registration function
+
+ * languages/en.php, register.php, views/default/account/forms/login.php,
+ views/default/account/forms/register.php, views/default/login.php,
+ views/default/welcome/logged_out.php: Further adventures in registration
+
+2008-04-01 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/export.php: Marcus Povey <marcus@dushka.co.uk> * Some UUID
+ functions
+
+2008-04-01 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/login.php, actions/logout.php, actions/register.php,
+ engine/lib/entities.php, engine/lib/users.php, languages/en.php,
+ views/default/login.php: User registration gubbins
+
+2008-04-01 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Used wrong
+ function decl
+
+ * mod/exporttest/index.php,
+ mod/exporttest/views/default/exporttest/main.php: Marcus Povey
+ <marcus@dushka.co.uk> * Added import block
+
+ * engine/lib/users.php: Marcus Povey <marcus@dushka.co.uk> * Moved import to
+ ElggEntity
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Moved import
+ to ElggEntity
+
+2008-04-01 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/sessions.php, languages/en.php,
+ views/default/navigation/topmenu.php, views/default/page_elements/header.php,
+ views/default/welcome.php, views/default/welcome/logged_in.php,
+ views/default/welcome/logged_out.php: Fixed some session stuff, modified a
+ few views
+
+2008-04-01 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Moved import
+ to ElggEntity
+
+ * engine/lib/users.php: Marcus Povey <marcus@dushka.co.uk> * Moved import to
+ ElggEntity
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Entities
+ owner_guid exported as owner_uuid
+
+2008-03-31 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/export.php: Marcus Povey <marcus@dushka.co.uk> * Tidied up
+ comments
+
+ * engine/lib/export.php: Marcus Povey <marcus@dushka.co.uk> * Somewhat more
+ robust import logic
+
+ * engine/lib/annotations.php, engine/lib/metadata.php: Marcus Povey
+ <marcus@dushka.co.uk> * Owner guid converted to a uuid
+
+ * engine/lib/export.php: Marcus Povey <marcus@dushka.co.uk> * Initial xml
+ import.
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Export of
+ entities now includes uuid
+
+2008-03-31 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/test/graphics/elgg.powered.png, mod/test/graphics/leaf.jpg,
+ mod/test/graphics/logo.png, mod/test/graphics/orange_small.png,
+ mod/test/graphics/purplecrayon.gif, mod/test/index.php, mod/test/start.php,
+ mod/test/views/default/css.php, mod/test/views/default/menu.php,
+ mod/test/views/default/pageshells/pageshell.php,
+ mod/test/views/default/testplugin/pageshell.php: Removing the mod/test
+ plugin. It doesn't need to be here...
+
+2008-03-31 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/configuration.php: Marcus Povey <marcus@dushka.co.uk> *
+ Reverted regression
+
+2008-03-29 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/export.php: Marcus Povey <marcus@dushka.co.uk> * No such entity
+ error thrown if invalid guid given for export
+
+2008-03-28 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php, engine/lib/api.php, engine/lib/entities.php,
+ engine/lib/export.php, engine/lib/metadata.php, index.php: Marcus Povey
+ <marcus@dushka.co.uk> * Metadata and Annotations now exported.
+
+ * engine/start.php: Marcus Povey <marcus@dushka.co.uk> * Preloads export
+ library - todo: do this better!
+
+ * engine/lib/api.php: Marcus Povey <marcus@dushka.co.uk> *
+
+ * mod/exporttest/index.php, mod/exporttest/start.php,
+ mod/exporttest/views/default/exporttest/main.php,
+ .../views/default/exporttest/outputxml.php: Marcus Povey
+ <marcus@dushka.co.uk> * Export test
+
+ * engine/lib/entities.php, engine/lib/export.php: Marcus Povey
+ <marcus@dushka.co.uk> * Export functionality for ElggEntity and children
+
+ * engine/lib/elgglib.php: Marcus Povey <marcus@dushka.co.uk> * Whitespace
+
+ * engine/lib/api.php: Marcus Povey <marcus@dushka.co.uk> * Using export()
+ instead of toStdClass()
+
+2008-03-28 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Corresponding fix for register_plugin_hook
+
+ * engine/lib/elgglib.php: Fix for trigger_plugin_hook
+
+ * engine/lib/configuration.php: Autoconfiguration update .. again
+
+ * engine/lib/elgglib.php: Some extra params for elgg_view_entity
+
+ * engine/lib/elgglib.php: Added elgg_view_entity(ElggEntity $entity) to
+ intelligently display entities
+
+2008-03-28 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/api.php: Marcus Povey <marcus@dushka.co.uk> * Moved XML
+ serialisation functions to export.php
+
+2008-03-28 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Added custom return values to trigger_plugin_hook
+
+ * engine/lib/elgglib.php: Some extra documentation
+
+ * engine/lib/elgglib.php: Plugin hooks
+
+2008-03-27 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/export.php: Marcus Povey <marcus@dushka.co.uk> * Sketch
+ import/export functions added, pending event handling functions
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Array
+ support added
+
+ * engine/lib/metadata.php: Marcus Povey <marcus@dushka.co.uk> * Add / update
+ meta from array
+
+ * engine/lib/metadata.php: Marcus Povey <marcus@dushka.co.uk> * Multiple
+ meta tag support (requires db schema change)
+
+ * engine/schema/mysql.sql: Marcus Povey <marcus@dushka.co.uk> * Removed sql
+ restriction to allow multiple tag entries
+
+2008-03-26 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/apitest/index.php, mod/apitest/start.php,
+ mod/apitest/views/default/apitest/configform.php,
+ mod/apitest/views/default/apitest/main.php: Marcus Povey
+ <marcus@dushka.co.uk> * Basic API testrig
+
+2008-03-25 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php, engine/schema/mysql.sql: Marcus Povey
+ <marcus@dushka.co.uk> * Subtype subclass instantiation
+
+ * engine/lib/cache.php: Marcus Povey <marcus@dushka.co.uk> * IO Exception if
+ cache directory doesn't exist
+
+ * engine/lib/api.php: Marcus Povey <marcus@dushka.co.uk> * Removed debug.
+
+ * engine/lib/api.php: Marcus Povey <marcus@dushka.co.uk> * Array support
+ added to api
+
+ * engine/lib/api.php: Marcus Povey <marcus@dushka.co.uk> * Call method now
+ specified in api
+
+2008-03-22 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Whitespace
+ removed
+
+2008-03-21 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/api.php: Marcus Povey <marcus@dushka.co.uk> * Tweak for
+ unrecognised output format handling
+
+2008-03-20 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/tasklist/index.php: Marcus Povey <marcus@dushka.co.uk> * tags
+
+ * engine/lib/annotations.php, engine/schema/mysql.sql: Marcus Povey
+ <marcus@dushka.co.uk> * Annotations now using metastrings table for name_ids
+ * Stupid amounts of whitespace removed
+
+ * engine/lib/entities.php, engine/lib/metadata.php, engine/schema/mysql.sql:
+ Marcus Povey <marcus@dushka.co.uk> * Metadata now using metastrings *
+ Entities will now throw an exception when creation is attempted with no owner
+
+ * mod/tasklist/index.php, mod/tasklist/views/default/tasklist/newtask.php:
+ Marcus Povey <marcus@dushka.co.uk> * Tags
+
+ * engine/lib/api.php: Marcus Povey <marcus@dushka.co.uk> * a/cvs/csv ...
+ oops
+
+ * engine/lib/cache.php: Marcus Povey <marcus@dushka.co.uk> * Age checking on
+ cache.
+
+2008-03-19 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/api.php: Marcus Povey <marcus@dushka.co.uk> * Exports working
+ system.api.list
+
+ * engine/lib/api.php: Marcus Povey <marcus@dushka.co.uk> * Removed warning
+ when calling undeclaired functions
+
+ * endpoints/rest.php: Marcus Povey <marcus@dushka.co.uk> * Added some
+ default values - these should be removed!
+
+ * engine/lib/api.php: Marcus Povey <marcus@dushka.co.uk> * Using simple
+ cache for replay protection... still need to clear cache of old files etc.
+
+ * engine/lib/cache.php: Marcus Povey <marcus@dushka.co.uk> * Cache no longer
+ using matrix directory due to issues with mkdir.
+
+ * endpoints/rest.php: Marcus Povey <marcus@dushka.co.uk> * Added expose and
+ call functions.
+
+ * engine/lib/api.php: Marcus Povey <marcus@dushka.co.uk> * Added expose and
+ call functions.
+
+ * endpoints/rest.php, engine/lib/api.php, index.php: Marcus Povey
+ <marcus@dushka.co.uk> * API now uses PAM
+
+2008-03-18 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * endpoints/rest.php, engine/lib/api.php: Marcus Povey <marcus@dushka.co.uk>
+ * API now supporting pluggable output format
+
+ * mod/tasklist/index.php, mod/tasklist/start.php,
+ mod/tasklist/views/default/tasklist/item.php,
+ mod/tasklist/views/default/tasklist/main.php,
+ mod/tasklist/views/default/tasklist/newtask.php: Marcus Povey
+ <marcus@dushka.co.uk> * Added VERY simple tasklist plugin.
+
+ * engine/lib/metadata.php: Marcus Povey <marcus@dushka.co.uk> * Final tweaks
+
+ * engine/lib/metadata.php: Marcus Povey <marcus@dushka.co.uk> * Moved order
+ and limit the right way
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Get Metadata
+ now actually works
+
+ * engine/lib/annotations.php, engine/lib/metadata.php: Marcus Povey
+ <marcus@dushka.co.uk> * Modified to better deal with metastrings table
+
+ * engine/schema/mysql.sql: Marcus Povey <marcus@dushka.co.uk> * Value to
+ value_id
+
+2008-03-17 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php: Marcus Povey <marcus@dushka.co.uk> * getOwner now
+ consistent with class hierachy
+
+ * engine/lib/objects.php, engine/lib/users.php: Marcus Povey
+ <marcus@dushka.co.uk> * getOwner now consistent with class hierachy
+
+ * engine/lib/annotations.php: Marcus Povey <marcus@dushka.co.uk> * Fixed sql
+ error on clear annotaitons
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * limit/offset
+ the wrong way round
+
+ * engine/schema/mysql.sql: Marcus Povey <marcus@dushka.co.uk> * guid not
+ needed in subtype since this is just a label.
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * BUGFIX:
+ Added config
+
+ * engine/lib/sessions.php, engine/lib/users.php: Marcus Povey
+ <marcus@dushka.co.uk> * Some minor tweaks
+
+ * engine/lib/configuration.php: Marcus Povey <marcus@dushka.co.uk> *
+ Reverted regression caused by previous revision
+
+2008-03-15 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/sessions.php, engine/lib/users.php: Session functionality,
+ hello again!
+
+2008-03-14 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/access.php, engine/lib/languages.php: Marcus Povey
+ <marcus@dushka.co.uk> * Removed error outputs * Fixed languages
+
+ * engine/lib/access.php: Marcus Povey <marcus@dushka.co.uk> * Made site test
+ conditional to remove debug message
+
+2008-03-14 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/objects.php: A quick syntax fix for objects
+
+2008-03-14 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php, engine/lib/entities.php: Marcus Povey
+ <marcus@dushka.co.uk> * Get and set annotations seem to be working
+
+ * engine/lib/annotations.php, engine/lib/entities.php: Marcus Povey
+ <marcus@dushka.co.uk> * Get annotations
+
+2008-03-14 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php, engine/lib/usersnew.php: Whoops, that should be
+ users.php
+
+ * engine/lib/usersnew.php: Removed a needless comment
+
+ * engine/lib/usersnew.php: New users module
+
+ * engine/lib/entities.php, engine/lib/objects.php, engine/lib/users.php:
+ Adding a couple of extra functions, deleting the old users module
+
+2008-03-14 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php, engine/lib/entities.php: Marcus Povey
+ <marcus@dushka.co.uk> * Annotations added
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Added
+ average calcs
+
+ * engine/lib/sites.php: Marcus Povey <marcus@dushka.co.uk> * Removed debug
+
+ * engine/lib/entities.php, engine/lib/metadata.php, index.php: Marcus Povey
+ <marcus@dushka.co.uk> * Metadata code
+
+2008-03-14 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/objects.php: Added new objects class
+
+ * engine/lib/entities.php, engine/lib/objects.php: Removing old objects
+ class
+
+2008-03-14 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/metastrings.php: Marcus Povey <marcus@dushka.co.uk> *
+ Metastrings modified for new schema
+
+ * engine/lib/sites.php: Marcus Povey <marcus@dushka.co.uk> * Belts and
+ braces
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Belts and
+ braces
+
+2008-03-13 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/database.php: Marcus Povey <marcus@dushka.co.uk> * Use
+ $CONFIG->debug = true to enable query profiling
+
+ * engine/lib/entities.php, engine/lib/sites.php: Marcus Povey
+ <marcus@dushka.co.uk> * Finally got sites to load :)
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Undone
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Owner ID
+ detected if not specified.
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Now saves
+
+ * engine/schema/mysql.sql: Marcus Povey <marcus@dushka.co.uk> * Access
+ control fields ok
+
+ * engine/lib/access.php: Marcus Povey <marcus@dushka.co.uk> * Access using
+ new schema
+
+ * engine/schema/mysql.sql: Marcus Povey <marcus@dushka.co.uk> * Added access
+ groups
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Fixed insert
+ SQL
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Types
+ initialised for first time creation
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Bugfix:
+ getMetaData
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Bugfix:
+ setMetaData
+
+ * engine/schema/mysql.sql: Marcus Povey <marcus@dushka.co.uk> * Made URL
+ unique
+
+ * engine/lib/sites.php: Marcus Povey <marcus@dushka.co.uk> * Commented out
+ sites_init - this needs to be rewritten!
+
+ * engine/lib/sites.php: Marcus Povey <marcus@dushka.co.uk> * Added ElggSite
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Added
+ relationship code
+
+ * engine/lib/entities.php, engine/schema/mysql.sql: Marcus Povey
+ <marcus@dushka.co.uk> * Removed site_guid references, since this should be
+ handled by relationships
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Ooops...
+ forgot access controls
+
+ * engine/schema/mysql.sql: Marcus Povey <marcus@dushka.co.uk> * Changed a
+ couple of table names
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Added
+ delete_entity
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Initial
+ framework of ElggEntity code
+
+ * engine/schema/mysql.sql: Marcus Povey <marcus@dushka.co.uk> * A little bit
+ of extra info.
+
+ * engine/schema/mysql.sql: Marcus Povey <marcus@dushka.co.uk> * Made subtype
+ a unique key
+
+ * engine/schema/mysql.sql: Marcus Povey <marcus@dushka.co.uk> * New database
+ schema. THIS IS A FLAG DAY!
+
+2008-03-13 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Added the ability to set an alternative template
+ handler
+
+2008-03-12 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/metadata.php: Marcus Povey <marcus@dushka.co.uk> * Multiple
+ searches.
+
+ * engine/lib/annotations.php:
+
+ * engine/lib/annotations.php, engine/lib/metadata.php,
+ engine/lib/metastrings.php, engine/lib/sites.php, index.php: Marcus Povey
+ <marcus@dushka.co.uk> * Subtyping on annotations and metadata
+
+ * engine/lib/database.php: Marcus Povey <marcus@dushka.co.uk> * BUGFIX:
+ get_data now catches mysql_errors
+
+2008-03-12 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/actions.php, mod/test/start.php: Actions fix
+
+ * engine/lib/actions.php, engine/lib/objects.php, languages/en.php,
+ mod/test/index.php, mod/test/start.php,
+ mod/test/views/default/testplugin/pageshell.php: Language updates
+
+2008-03-12 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/entities.php: Marcus Povey <marcus@dushka.co.uk> * Added
+ get_entity_subtypes function
+
+ * engine/schema/mysql.sql: Marcus Povey <marcus@dushka.co.uk> * object_*
+ changed to entity_* in metadata and annotations
+
+2008-03-12 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/schema/mysql.sql: Fixing incorrect primary key
+
+ * engine/schema/mysql.sql, mod/test/index.php: Fixing incorrect primary key
+
+ * engine/lib/access.php, engine/lib/objects.php, mod/test/index.php: Updates
+ to both objects (in order to be able to resave type properly) and access (to
+ add useful constants)
+
+2008-03-12 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/schema/mysql.sql: Marcus Povey <marcus@dushka.co.uk> * object_*
+ changed to entity_* in metadata and annotations
+
+ * engine/lib/annotations.php, engine/lib/metadata.php: Marcus Povey
+ <marcus@dushka.co.uk> * object_* changed to entity_*
+
+2008-03-11 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/test/views/default/css.php,
+ mod/test/views/default/pageshells/pageshell.php: tweak to ben's elgg 0.2
+ theme plugin
+
+2008-03-11 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * mod/test/graphics/elgg.powered.png, mod/test/graphics/leaf.jpg,
+ mod/test/graphics/logo.png, mod/test/graphics/orange_small.png,
+ mod/test/graphics/purplecrayon.gif, mod/test/views/default/css.php,
+ mod/test/views/default/menu.php,
+ mod/test/views/default/pageshells/pageshell.php,
+ views/default/navigation/toolbox.php: Adding a friendly old template to the
+ test plugin
+
+2008-03-11 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/cache.php: Marcus Povey <marcus@dushka.co.uk> * File cache
+ outline
+
+2008-03-11 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/css.php: css tweak
+
+ * index.php, mod/dashboard/views/default/dashboard/welcome.php: index page
+ changes so it now logs you into the dashboard
+
+ * mod/dashboard/index.php, mod/dashboard/start.php,
+ mod/dashboard/views/default/dashboard/welcome.php, views/default/css.php,
+ views/default/navigation/topmenu.php: css, dashboard and topmenu tweaks
+
+2008-03-11 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php, engine/lib/plugins.php, mod/test/index.php: Fixes
+ for previous
+
+ * engine/lib/elgglib.php, engine/lib/plugins.php, mod/test/index.php,
+ mod/test/start.php: God bless 'em! Plugins now autoregister their own views.
+
+2008-03-11 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php, engine/lib/metadata.php: Marcus Povey
+ <marcus@dushka.co.uk> * Removed subtypes until problems with tag system are
+ sorted out.
+
+ * engine/lib/annotations.php, engine/lib/metadata.php: Marcus Povey
+ <marcus@dushka.co.uk> * Added orderby and limits
+
+2008-03-11 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Page_draw echoes
+
+2008-03-11 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/cache.php: Marcus Povey <marcus@dushka.co.uk> * ElggCache
+ superclass
+
+ * engine/lib/metadata.php: Marcus Povey <marcus@dushka.co.uk> * Value type
+ added
+
+2008-03-11 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php: user_info() ftw
+
+2008-03-11 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/api.php: Marcus Povey <marcus@dushka.co.uk> * Added api to list
+ apis
+
+2008-03-11 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/pageowner.php, engine/lib/users.php: Et voila: page ownership!
+
+ * engine/lib/elgglib.php, views/default/pageshell.php,
+ views/default/pageshells/pageshell.php: The pageshell now correctly passes
+ elements to the header, footer etc.
+
+ * mod/test/index.php: Minor test plugin fix
+
+ * engine/lib/elgglib.php, views/default/navigation/toolbox.php: Menu items
+ fix
+
+ * mod/test/start.php: Initial test plugin menu items
+
+ * views/default/navigation/toolbox.php: Toolbox navigation edit
+
+ * engine/lib/elgglib.php: Registers and menu items
+
+2008-03-11 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * endpoints/rest.php, engine/lib/api.php: Marcus Povey <marcus@dushka.co.uk>
+ * Site id specified
+
+ * engine/lib/sites.php: Marcus Povey <marcus@dushka.co.uk> * Removed log
+
+ * engine/lib/annotations.php, engine/lib/metadata.php,
+ engine/lib/metastrings.php, engine/schema/mysql.sql: Marcus Povey
+ <marcus@dushka.co.uk> * Added metadata/metastrings tag stuff. Lorks.
+
+ * engine/schema/mysql.sql: Marcus Povey <marcus@dushka.co.uk> * Fixed db
+ problems
+
+ * engine/schema/mysql.sql: Marcus Povey <marcus@dushka.co.uk> * Removed
+ count based on this conversation: [10:05:38] 鈥 basically, if you add an
+ item, you need to create a tag entry in the metastrings table (as discussed
+ yesterday) [10:05:56] 鈥 if you then delete the item, that leaves a tag
+ [10:06:07] 鈥 if you want to delete the tag when nothing references it
+ [10:06:11] 鈥 it needs a counter [10:06:29] 鈥 which means for every add
+ and delete query you need to check for and sometimes update this counter
+ [10:06:36] 鈥 making three queries for every one [10:06:47] 鈥 but if you
+ don't do that the meta strings table grows over time [10:06:54] 鈥 making it
+ space inefficient [10:07:03] 鈥 so, which do you do? [10:10:31] 鈥 ?
+ [10:10:43] 鈥 i'd be tempted to not delete [10:10:58] 鈥 because the
+ multiple queries will be a pain for everyone all the time [10:11:05] 鈥 and
+ storage costs are cheap [10:11:32] Ben Werdmuller: I would be very tempted to
+ do the same [10:11:35] 鈥 so let's do that :) [10:11:36] Marcus Povey: maybe
+ we could write an admin script to clean up or something [10:11:45] Ben
+ Werdmuller: exactly, we could clean up on a cron if people really want
+ [10:11:59] 鈥 but for now, let's just go with the growing tag cloud
+
+2008-03-10 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/login.php, actions/logout.php, engine/lib/languages.php,
+ languages/en.php, views/default/login.php,
+ views/default/navigation/topmenu.php, views/default/page_elements/footer.php,
+ views/default/welcome.php: More language integration
+
+ * actions/login.php, actions/logout.php, engine/lib/languages.php,
+ languages/en.php: Languages! There's a little more to do, but ...
+
+2008-03-10 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/schema/mysql.sql: Marcus Povey <marcus@dushka.co.uk> * Added count
+
+ * engine/schema/mysql.sql: Marcus Povey <marcus@dushka.co.uk> * Changed
+ metadata database to use metastrings as described (all values in metadata are
+ now storing data only once)
+
+ * endpoints/rest.php, engine/lib/api.php: Marcus Povey <marcus@dushka.co.uk>
+ * First draft api
+
+2008-03-10 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/sites.php: Updated ref to get_objects
+
+ * engine/lib/sites.php: Fixing access permissions error on site update
+
+ * engine/lib/objects.php: Updated objects with metadata and annotations
+
+2008-03-10 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * endpoints/rest.php, engine/lib/api.php, engine/lib/users.php,
+ engine/schema/mysql.sql, index.php: Marcus Povey <marcus@dushka.co.uk> *
+ Tokens and execute code added to api
+
+2008-03-10 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/navigation/toolbox.php,
+ views/default/navigation/topmenu.php, views/default/page_elements/header.php:
+ top level navigation added
+
+ * views/default/text/about.php, views/default/text/privacy_view.php,
+ views/default/text/tos_view.php: changes to the text pages
+
+ * engine/lib/elgglib.php, views/default/basic_elements/login.php,
+ views/default/basic_elements/welcome.php, views/default/css.php,
+ views/default/input/checkboxes.php, views/default/input/file.php,
+ views/default/input/gender.php, views/default/input/longtext.php,
+ views/default/input/password.php, views/default/input/pulldown.php,
+ views/default/input/radio.php, views/default/input/tags.php,
+ views/default/input/text.php, views/default/input/url.php,
+ views/default/navigation/toolbox.php, views/default/navigation/topmenu.php,
+ views/default/output/date.php, views/default/output/file.php,
+ views/default/output/gender.php, views/default/output/longtext.php,
+ views/default/output/pulldown.php, views/default/output/tagcloud.php,
+ views/default/output/tags.php, views/default/output/text.php,
+ views/default/output/timestamp.php, views/default/output/url.php,
+ views/default/page_elements/footer.php,
+ views/default/page_elements/header.php,
+ views/default/pageshells/pageshell.php,
+ views/default/pageshells/pageshell_loggedout.php,
+ views/default/pageshells/pageshell_sidebar.php, views/default/text/about.php,
+ views/default/text/privacy_view.php, views/default/text/tos_view.php: some
+ new views
+
+2008-03-10 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/login.php, engine/lib/users.php: Adding metadata and annotations
+ for users.
+
+2008-03-10 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/sites.php: Marcus Povey <marcus@dushka.co.uk> * Erp
+
+2008-03-10 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * views/default/welcome.php: logout bug fix
+
+2008-03-10 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/sites.php:
+
+ * engine/lib/annotations.php, engine/lib/sites.php: Marcus Povey
+ <marcus@dushka.co.uk> * Simple maths functions added
+
+ * engine/lib/sites.php: Marcus Povey <marcus@dushka.co.uk> * Site objects
+
+2008-03-10 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/login.php, actions/logout.php, engine/lib/actions.php,
+ engine/lib/elgglib.php, engine/lib/users.php,
+ views/default/messages/list.php, views/default/messages/messages/list.php,
+ views/default/pageshell.php: Messages and actions: fixed!
+
+2008-03-10 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/sites.php: Marcus Povey <marcus@dushka.co.uk> * Remove site
+ metadata
+
+ * engine/lib/sites.php: Marcus Povey <marcus@dushka.co.uk> * Get user sites
+
+2008-03-10 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * actions/login.php, views/default/login.php: Fixing the login form
+
+2008-03-09 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * index.php: Marcus Povey <marcus@dushka.co.uk> * Commented out my testing
+
+ * engine/lib/annotations.php:
+
+ * engine/lib/sites.php: Marcus Povey <marcus@dushka.co.uk> * Fixed copy
+ constructor
+
+ * engine/lib/metadata.php: Marcus Povey <marcus@dushka.co.uk> * Will now
+ return objects via metadata
+
+ * engine/schema/mysql.sql:
+
+ * engine/schema/mysql.sql: Marcus Povey <marcus@dushka.co.uk> * Corrected
+ database fault
+
+2008-03-08 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/settings.example.php: add url field to settings
+
+ * views/default/css.php: css tweak
+
+ * actions/login.php, actions/logout.php, engine/lib/users.php, index.php,
+ views/default/css.php, views/default/login.php, views/default/pageshell.php,
+ views/default/welcome.php: crude login and logout functionality
+
+2008-03-08 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/metadata.php: Marcus Povey <marcus@dushka.co.uk> * Added
+ get_objects_from_metadatas (untested)
+
+2008-03-08 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/configuration.php, mod/test/index.php: Configuration fix
+
+2008-03-08 dave <dave@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/vendors/jquery/jquery.js: jquery added to core
+
+2008-03-07 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/metadata.php: Marcus Povey <marcus@dushka.co.uk> * Add name and
+ value to get_metadatas
+
+2008-03-07 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/users.php: Log in and logout
+
+ * mod/test/start.php, mod/test/views/default/testplugin/pageshell.php: Test
+ plugin
+
+ * engine/lib/elgglib.php: Event API fix
+
+ * engine/lib/plugins.php, engine/start.php: Some extra plugins stuff
+
+ * engine/lib/users.php: Grabbing the ElggUser and sticking it in the session
+ as appropriate
+
+ * engine/lib/friends.php, engine/lib/users.php, engine/schema/mysql.sql,
+ index.php: Users and friends
+
+ * engine/lib/sites.php: Fix for ElggSites
+
+2008-03-07 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * endpoints/rest.php, engine/lib/api.php, engine/schema/mysql.sql: Marcus
+ Povey <marcus@dushka.co.uk> * Initial work on API
+
+2008-03-06 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php, engine/lib/sites.php: Marcus Povey
+ <marcus@dushka.co.uk> * Added delete annotations, fixed error on annotation
+ search (missing params)
+
+ * engine/lib/sites.php: Marcus Povey <marcus@dushka.co.uk> * Delete site
+ implemented
+
+ * engine/lib/sites.php: Marcus Povey <marcus@dushka.co.uk> * Count added
+
+ * engine/lib/annotations.php, engine/lib/metadata.php: Marcus Povey
+ <marcus@dushka.co.uk> * Casting done
+
+ * engine/lib/annotations.php: Marcus Povey <marcus@dushka.co.uk> * Updated
+
+ * engine/lib/annotations.php: Marcus Povey <marcus@dushka.co.uk> * Added
+ count to annotations
+
+ * engine/lib/objects.php: Marcus Povey <marcus@dushka.co.uk> * Casting takes
+ advantage of constructor
+
+ * engine/lib/annotations.php, engine/lib/metadata.php, engine/lib/sites.php,
+ index.php: Marcus Povey <marcus@dushka.co.uk> * Initial annotations and
+ metadata get/sets functional, combined with site test
+
+ * engine/lib/annotations.php: Marcus Povey <marcus@dushka.co.uk> * Can
+ retrieve annotations
+
+ * engine/lib/metadata.php: Marcus Povey <marcus@dushka.co.uk> * Metadata
+ creates and updates
+
+ * engine/lib/metadata.php: Marcus Povey <marcus@dushka.co.uk> * Meta now
+ updates if item already exists (if the user has permission)
+
+2008-03-06 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/objects.php: Objects are now cast to ElggObjects on get
+
+2008-03-06 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php, engine/lib/metadata.php: Marcus Povey
+ <marcus@dushka.co.uk> * Cleared up typo
+
+ * engine/lib/annotations.php: Marcus Povey <marcus@dushka.co.uk> * User ID
+ detected if not provided
+
+ * engine/lib/annotations.php: Marcus Povey <marcus@dushka.co.uk> * Very
+ crude type detection for annotation tags, defaults to 'tag'
+
+ * engine/lib/sites.php: Marcus Povey <marcus@dushka.co.uk> * Implemented
+ update site
+
+ * engine/lib/annotations.php: Marcus Povey <marcus@dushka.co.uk> * Ability
+ to change owner
+
+ * engine/lib/metadata.php: Marcus Povey <marcus@dushka.co.uk> * Ability to
+ change owner
+
+ * engine/lib/annotations.php, engine/lib/metadata.php,
+ engine/lib/objects.php: Marcus Povey <marcus@dushka.co.uk> * Fixed get/sets
+
+ * engine/lib/objects.php: Fixed ElggObject attributes.
+
+ * engine/schema/mysql.sql:
+
+ * engine/schema/mysql.sql: Marcus Povey <marcus@dushka.co.uk> * Removed
+ write access id on sites since it seemed superfluous
+
+2008-03-05 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/annotations.php, engine/lib/metadata.php, engine/lib/sites.php,
+ index.php: End of day
+
+ * engine/lib/database.php: Marcus Povey <marcus@dushka.co.uk> * Sanitise
+ trims as well
+
+ * engine/lib/exceptions.php: Marcus Povey <marcus@dushka.co.uk> * A couple
+ more exceptions
+
+ * engine/lib/elgglib.php: Marcus Povey <marcus@dushka.co.uk> * Exceptions
+ that are handled by the default elgg error handler are now echoed to the
+ error log.
+
+ * engine/lib/annotations.php, engine/lib/metadata.php: Minor tweaks
+
+ * engine/lib/annotations.php, engine/lib/metadata.php: Switching to desktop
+
+ * engine/lib/annotations.php, engine/lib/metadata.php: Types forced on
+ $object->value
+
+ * engine/lib/metadata.php: Added metadata object and methods
+
+ * engine/schema/mysql.sql: Added owner id to metadata
+
+ * engine/lib/annotations.php, engine/lib/sites.php: Changed
+ mysql_real_escape_string to sanitise_string
+
+ * engine/lib/annotations.php: Get annotations
+
+2008-03-04 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/metadata.php: Commit so i can switch to the laptop...
+
+ * engine/lib/annotations.php: initial annotations library added (untested)
+
+ * engine/schema/mysql.sql: Added metadata and annotations, removed
+ superfluous tables.
+
+ * engine/lib/sites.php:
+
+ * engine/lib/sites.php: Get site prototype
+
+ * engine/lib/sites.php: Save
+
+ * engine/lib/sites.php: Added a basic ElggSite outline
+
+2008-03-03 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/objects.php: Further additions to the ElggObject, according to
+ spec
+
+2008-03-03 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/schema/mysql.sql: new site db
+
+ * engine/lib/database.php: Fixed get_tables so install works correctly
+
+ * engine/lib/configuration.php: Fixed wwwroot
+
+2008-03-03 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/objects.php: Extending stdClass is silly
+
+ * engine/lib/configuration.php, engine/lib/elgglib.php: Removed some notices
+
+ * engine/lib/database.php: Fixed install issue
+
+2008-03-03 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: removed echo
+
+2008-03-03 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/configuration.php, engine/lib/database.php,
+ engine/schema/mysql.sql, engine/settings.example.php, engine/start.php:
+ Installation issues: fixed
+
+2008-03-03 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Fixed short circuit comparison
+
+2008-03-03 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Some further fixes
+
+ * engine/lib/elgglib.php: Views directory fix
+
+2008-03-03 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Fixed file exist error
+
+ * engine/lib/elgglib.php: Removed test to see if .htaccess exists, this test
+ doesn't work on my machine. Reason unknown.
+
+ * engine/lib/database.php: Candidate for deletion
+
+2008-03-03 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/database.php: Added callbacks to get_data
+
+ * engine/lib/objects.php, index.php: Some misc changes
+
+2008-03-03 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/database.php: Database errors now distinct from "no data",
+ errors are hard fails
+
+2008-02-17 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/objects.php: Further metadata and ElggObject updates.
+
+ * engine/lib/objects.php: Added most of an ElggObject class
+
+ * engine/lib/objects.php: Full object and object metadata CRUD functionality
+
+2008-02-15 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/access.php, engine/lib/database.php, engine/lib/objects.php,
+ engine/lib/sites.php, engine/lib/users.php, engine/schema/mysql.sql,
+ index.php: All kinds of object and database stuff
+
+ * engine/schema/mysql.sql, engine/start.php, install.php: Added the concept
+ of a site_id to msot of the database tables. Also some extra installation
+ stuff.
+
+ * views/default/css.php: Added a CSS file
+
+ * engine/lib/actions.php, engine/lib/database.php, engine/lib/elgglib.php,
+ engine/lib/plugins.php, engine/schema/mysql.sql, engine/settings.example.php:
+ Adding more plugin-related fun
+
+ * engine/lib/configuration.php, views/default/pageshell.php: Various
+ configuration things
+
+ * engine/lib/configuration.php, engine/lib/elgglib.php,
+ engine/lib/plugins.php, engine/start.php: Simple plugin mechanism
+
+ * engine/lib/elgglib.php: Correction to previous
+
+ * engine/lib/elgglib.php: Plugins can now extend views.
+
+ * css/css.php: Importing the dynamic CSS file
+
+2008-02-14 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/install.php, install.php, views/default/pageshell.php: Some
+ further installation tweaks
+
+ * engine/lib/database.php, engine/lib/elgglib.php, install.php: A simple
+ beginning to an install script
+
+ * action.php, htaccess_dist, index.php, views/default/pageshell.php: Changes
+ to site structure
+
+ * engine/lib/actions.php, engine/lib/elgglib.php, engine/schema/mysql.sql,
+ engine/settings.example.php, index.php,
+ views/default/messages/sanitisation/htaccess.php: Actions, .htaccess, and the
+ database schema
+
+2008-02-13 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/database.php: Added more context to the database function notes
+
+ * engine/lib/database.php, engine/start.php: Added some fancy database
+ connection gubbins. If you give it loads of read or write dbs to pick from,
+ Elgg will choose one at random for each category!
+
+ * engine/lib/elgglib.php, engine/start.php, views/default/pageshell.php:
+ Messages and exceptions, working merrily together. Aww.
+
+ * views/default/messages/messages/list.php,
+ views/default/messages/messages/message.php: Standard messages are now
+ displayed.
+
+ * engine/lib/elgglib.php, engine/start.php,
+ views/default/messages/errors/exception.php,
+ views/default/messages/exceptions/exception.php,
+ views/default/messages/list.php, views/default/pageshell.php: Further message
+ handling in the template
+
+ * engine/lib/elgglib.php, engine/lib/input.php, engine/start.php,
+ views/default/messages/errors/exception.php: Exception handling
+
+2008-02-13 icewing <icewing@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/start.php: Moving on to explodenew
+
+ * engine/lib/exceptions.php: Updates
+
+ * engine/lib/input.php: Added input method
+
+ * engine/start.php: Start now reporting errors using exceptions...
+
+ * engine/lib/exceptions.php: Added installation exception
+
+ * engine/start.php: Loads now
+
+ * engine/lib/elgglib.php: Ooops...
+
+ * engine/start.php: Loading exceptions
+
+ * engine/lib/elgglib.php: Added error handler stuff.
+
+ * engine/lib/exceptions.php: Added exceptions
+
+ * engine/start.php: Added CVS exclude to start.php
+
+2008-02-13 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/start.php, views/default/messages/sanitisation/settings.php: A
+ slightly better no-settings error. It's probably a good idea to eventually
+ allow people to edit settings.php directly if they have the access defined.
+
+ * engine/start.php: Let's be a little more friendly.
+
+ * engine/start.php, views/default/messages/errors/error.php,
+ views/default/messages/errors/list.php, views/default/pageshell.php: Some
+ error reporting and initial (very, very basic) templating
+
+ * engine/lib/elgglib.php: A little reoirganisation in elgglib
+
+2008-02-12 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/lib/elgglib.php: Adding priority and a little more description to
+ the events API.
+
+ * engine/lib/elgglib.php, engine/start.php: Adding the events API
+
+ * engine/lib/elgglib.php, engine/start.php: Sanitisation
+
+ * engine/lib/elgglib.php, engine/start.php,
+ views/default/messages/sanitisation/settings.php: Introducing views and some
+ sanitisation
+
+ * engine/lib/elgglib.php, engine/start.php, index.php: The engine starter
+ now functions appropriately
+
+2008-02-11 ben <ben@36083f99-b078-4883-b0ff-0f9b5a30f544>
+
+ * engine/settings.example.php, engine/start.functions.php, engine/start.php:
+ Some more bootstrapping.
diff --git a/INSTALL.txt b/INSTALL.txt
index 33aa23708..f4ecbffe1 100644
--- a/INSTALL.txt
+++ b/INSTALL.txt
@@ -1,4 +1,4 @@
-The latest version of this document is available at:
+The latest version of the installation instructions is available at:
[http://docs.elgg.org/wiki/Installation]
Installation Troubleshooting is available at:
@@ -11,7 +11,8 @@ TECHNICAL REQUIREMENTS
Elgg runs on a combination of the Apache web server, MySQL database
system and the PHP interpreted scripting language. This is the most
-popular web server environment in the world.
+popular web server environment in the world. (Elgg can also run on
+other web servers such a nginx and IIS, but requires further configuration).
Due to Elgg's advanced functionality, there are some extra
configuration requirements:
@@ -20,25 +21,17 @@ configuration requirements:
modules:
o mod_rewrite
o PHP
- * MySQL 5+ with sql_mode in standard mode (ie not in traditional
- or any other mode).
- * PHP 5.2+ needs to be installed as an Apache module (not in CGI
- mode or safe mode) with the following libraries:
- o GD (for graphics processing, eg user icon rescaling)
- o JSON (for API functionality)
- o XML (not installed/compiled by default on all systems)
- o Multibyte String support (for internationalisation)
+ * MySQL 5+.
+ * PHP 5.2+ needs to be installed as an Apache module
+ with the following libraries:
+ o GD (for graphics processing such as avatar cropping)
+ o Multibyte String support (for internationalization)
It is recommended that you increase the memory available to PHP
threads beyond the standard 8 or 12M, and increase the maximum
uploaded filesize (which defaults to 2M). In both cases, this can be
found in your php.ini.
- * The following PHP libraries are also recommended for some
- plugins and extra functionality:
- o SOAP
- o DOM
-
INSTALLING ELGG
@@ -84,25 +77,8 @@ about this, ask your system administrator), create a new database for
Elgg. Make sure you know the username and password necessary to
access this.
-4. Install your crontab (UNIX ONLY)
-
-Cron is a UNIX command which allows programs to be run at set
-times of the day.
-
-If you want to take advantage of some of the maintenance
-functions such as log rotation or garbage collection, you must
-install a cron tab to trigger these events.
-We have provided an example crontab as /crontab.example. Edit this
-with a text editor to provide the details of your site, rename it
-to another filename (eg 'crontab.mine') and install it with the
-following command:
-
- crontab crontab.mine
-
-Substitute your filename for 'crontab.mine'.
-
-5. Visit your Elgg site
+4. Visit your Elgg site
Once you've performed these steps, visit your Elgg site in your web
browser. Elgg will take you through the rest of the installation
@@ -121,11 +97,10 @@ If your web server does not have permission to create these files, you
will need to either
1. Change the permissions on the directory where you are installing
- Elgg and the engine directory and try again.
-
-2. Follow the instructions that Elgg gives you to create them.
+ Elgg and the engine directory and try again. Remember to change the
+ permissions back to the original values after installation is complete.
-3. Copy engine/settings.example.php to engine/settings.php, open
+2. Copy engine/settings.example.php to engine/settings.php, open
it up in a text editor and fill in your database details. Then
copy /htaccess_dist to /.htaccess
diff --git a/LICENCE.txt b/LICENSE.txt
index f833d881e..f833d881e 100644
--- a/LICENCE.txt
+++ b/LICENSE.txt
diff --git a/README.md b/README.md
new file mode 100644
index 000000000..a1b3e1cbf
--- /dev/null
+++ b/README.md
@@ -0,0 +1,28 @@
+Lorea
+=====
+
+(Re-)Taking the Networks!
+
+The [lorea](https://lorea.org) code aims at providing individuals and teams with privacy-aware, security-conscious, and user-controlled-data collaborative tools over the Web, and around it.
+
+The main application is based on [Elgg](http://elgg.org), a PHP-based social networking platform. Lorea extends it with plugins to provide better privacy features, including strong encryption, *OStatus-based federation* with other Lorea/Elgg installations and OStatus-compliant projects, etc.
+
+It also integrates other popular technologies such as [DokuWiki](http://www.dokuwiki.org), [Etherpad](http://etherpad.org), [XMPP](http://xmpp.org), etc., and provides *GPG-encrypted mailing-lists* to groups.
+
+### Installation
+
+Our code is divided in two git repositories: elgg and lorea-plugins. You can get it using the following commands.
+
+<pre>
+$ git clone git://gitorious.org/lorea/elgg.git
+$ cd elgg
+$ git remote add lorea-plugins git://gitorious.org/lorea/lorea-plugins.git
+$ git pull lorea-plugins master
+</pre>
+
+You can update the code to the latest release using:
+
+<pre>
+$ git pull origin master
+$ git pull lorea-plugins master
+</pre>
diff --git a/README.txt b/README.txt
deleted file mode 100644
index 1f4778f81..000000000
--- a/README.txt
+++ /dev/null
@@ -1,16 +0,0 @@
-Elgg version 1.8
-Copyright (c) 2008-2010 See COPYRIGHT.txt
-
-See CONTRIBUTORS.txt for development credits.
-
-The Elgg project was started in 2004 by:
-Ben Werdmuller <ben@benwerd.com, http://benwerd.com> and
-Dave Tosh <davidgtosh@gmail.com>
-
-The project site can be found at http://elgg.org/
-
-Elgg is released under the GNU Public License (GPL Version 2) and the
-Massachusetts Institute of Technology (MIT) License. See LICENSE.txt
-in the root of the package you downloaded.
-
-For installation instructions, please see the INSTALL.txt file.
diff --git a/UPGRADE.txt b/UPGRADE.txt
index 032d285e9..e9610fe39 100644
--- a/UPGRADE.txt
+++ b/UPGRADE.txt
@@ -1,19 +1,71 @@
+Elgg Upgrade Instructions
+=========================
-ELGG UPGRADE INSTRUCTIONS
+Note: Upgrades are one way--You cannot downgrade once you run the upgrade
+ script. Always back up your database, code, and data directory
+ before upgrading!
-1. Backup your Elgg database and code
-2. Download the new version of Elgg from elgg.org.
+Upgrading from Elgg 1.7 to 1.8.
+----------------------------------------------------
-3. Overwrite your existing Elgg files. Any modifications should
- have been written within plugins, so that they are not lost
- on overwriting. If this is not the case, take care to
- maintain your modifications, although Elgg is not guaranteed
- to work correctly in this instance.
+1. Back up your Elgg database, code, and data directory.
-4. Visit http://your-elgg-site-URL/upgrade.php
+2. Download the latest version of Elgg from http://elgg.org/.
-5. Copy htaccess_dist to .htaccess, replacing your existing version.
- Any modifications to the original .htaccess should be moved to the
- new .htaccess file.
+3. Identify and save any changes to core files. Pay special attention to
+ the views and actions directories. You should put these changes in plugins
+ once you have installed Elgg 1.8.
+3. Delete the following directories in the Elgg root on your server:
+ * _css
+ * account
+ * actions
+ * admin
+ * dashboard
+ * entities
+ * friends
+ * search
+ * settings
+ * simplecache
+ * views
+
+4. Delete the following core plugins from the Elgg mod directory. Most of these
+ plugins are deprecated and no longer supported by Elgg's core developers.
+ Others have been significantly changed and need to be replaced by new versions.
+ If you modified these plugins, you will need to upgrade the plugin to work
+ in Elgg 1.8.
+ * captcha
+ * crontrigger
+ * default_widgets
+ * friends
+ * riverdashboard
+ * twitter_service
+ * profile
+
+5. Upload and overwrite your existing Elgg files with the Elgg 1.8 files.
+
+6. Copy htaccess_dist to .htaccess, replacing your existing version. Any
+ modifications to the original .htaccess should be moved to the new
+ .htaccess file.
+
+7. Visit http://your-elgg-site/upgrade.php
+
+
+Upgrading to the latest release
+-----------------------------------------
+
+1. Back up your Elgg database, code, and data directory.
+
+2. Download the latest version of Elgg from http://elgg.org/.
+
+3. Upload and overwrite your existing Elgg files. Any modifications should
+ have been written within plugins so that they are not lost. If this is
+ not the case, take care to maintain your modifications. If you have
+ modified core files, Elgg may not work correctly.
+
+4. Copy htaccess_dist to .htaccess, replacing your existing version. Any
+ modifications to the original .htaccess should be moved to the new
+ .htaccess file.
+
+5. Visit http://your-elgg-site/upgrade.php
diff --git a/_css/css.php b/_css/css.php
deleted file mode 100644
index 4a5152afb..000000000
--- a/_css/css.php
+++ /dev/null
@@ -1,37 +0,0 @@
-<?php
-/**
- * Outputs the main CSS view.
- *
- * Requests to $url/css.css are rewritten via
- * mod_rewrite rules in .htaccess (or similar) to this file.
- *
- * The main CSS is a view located at 'css'. The location of the
- * file used to generate this view changes based upon current viewtype
- * and plugins enabled. By default the viewtype is 'default' (HTML) and the
- * view file is views/default/css.php. Plugins can override or extend this view.
- *
- * This view is cached via simplecache.
- *
- * @see views/default/css.php
- * @see simplecache/view.php
- * @see elgg_extend_view()
- *
- * @uses $_GET['viewtype'] The current viewtype. Determines where to look for the
- * css.php view.
- * @uses $override A global that tells simplecache to ignore caching.
- *
- * @package Elgg
- * @subpackage Core
- */
-
-global $viewinput, $override;
-
-$viewinput['view'] = 'css';
-$viewinput['viewtype'] = $_GET['viewtype'];
-
-header("Content-type: text/css", true);
-header('Expires: ' . date('r', time() + 86400000), true);
-header("Pragma: public", true);
-header("Cache-Control: public", true);
-
-require_once(dirname(dirname(__FILE__)) . '/simplecache/view.php');
diff --git a/_css/js.php b/_css/js.php
deleted file mode 100644
index 41b7901f9..000000000
--- a/_css/js.php
+++ /dev/null
@@ -1,40 +0,0 @@
-<?php
-/**
- * Outputs a JS view.
- *
- * There are 2 main JS views used in elgg:
- * js/initialise_elgg
- * js/friendsPickerv1
- *
- * The location of the files used to generate these view can change based upon
- * current viewtype and plugins enabled. By default the viewtype is
- * 'default' (HTML) and the view files are in views/default/js/. Plugins can
- * override or extend these views.
- *
- * These 2 main JS views are cached via simplecache.
- *
- * @see views/default/js/initialise_elgg.php
- * @see views/default/js/friendsPickerv1.php
- * @see simplecache/view.php
- * @see elgg_extend_view()
- *
- * @uses $_GET['viewtype'] The current viewtype. Determines where to look for the
- * JS view files.
- * @uses $_GET['view'] The view to output, relative to the view js/
- * @uses $override A global that tells simplecache to ignore caching.
- *
- * @package Elgg
- * @subpackage Core
- */
-
-global $viewinput, $override;
-
-$viewinput['view'] = 'js/' . $_GET['js'];
-$viewinput['viewtype'] = $_GET['viewtype'];
-
-header('Content-type: text/javascript');
-header('Expires: ' . date('r', time() + 864000000));
-header("Pragma: public");
-header("Cache-Control: public");
-
-require_once(dirname(dirname(__FILE__)) . '/simplecache/view.php'); \ No newline at end of file
diff --git a/_graphics/SPRITES_LICENSE.TXT b/_graphics/SPRITES_LICENSE.TXT
new file mode 100644
index 000000000..61b0daf3a
--- /dev/null
+++ b/_graphics/SPRITES_LICENSE.TXT
@@ -0,0 +1,9 @@
+The Sprites are copyright 漏 GentleFace http://www.gentleface.com/
+
+They are licensed under Creative Commons
+Attribution-NonCommercial-NoDerivs 3.0 Unported (CC BY-NC-ND 3.0) with special
+permission to distribute and use with the Elgg open source framework. For uses
+outside of Elgg, please see the license and/or contact the author at
+design@gentleface.com
+
+http://creativecommons.org/licenses/by-nc-nd/3.0/ \ No newline at end of file
diff --git a/_graphics/admin_sprites.png b/_graphics/admin_sprites.png
new file mode 100644
index 000000000..82a66f5b2
--- /dev/null
+++ b/_graphics/admin_sprites.png
Binary files differ
diff --git a/_graphics/ajax_loader.gif b/_graphics/ajax_loader.gif
index 5a9af96d2..b55e148bb 100644
--- a/_graphics/ajax_loader.gif
+++ b/_graphics/ajax_loader.gif
Binary files differ
diff --git a/_graphics/ajax_loader_bw.gif b/_graphics/ajax_loader_bw.gif
index 95bad9128..e195e1fd8 100644
--- a/_graphics/ajax_loader_bw.gif
+++ b/_graphics/ajax_loader_bw.gif
Binary files differ
diff --git a/_graphics/elgg_sprites.png b/_graphics/elgg_sprites.png
index 31606034b..02b452d94 100644
--- a/_graphics/elgg_sprites.png
+++ b/_graphics/elgg_sprites.png
Binary files differ
diff --git a/_graphics/elgg_toolbar_logo.gif b/_graphics/elgg_toolbar_logo.gif
index 4362e2af9..a7c03a918 100644
--- a/_graphics/elgg_toolbar_logo.gif
+++ b/_graphics/elgg_toolbar_logo.gif
Binary files differ
diff --git a/_graphics/favicon.ico b/_graphics/favicon.ico
index 9e1ecc699..9e1ecc699 100755..100644
--- a/_graphics/favicon.ico
+++ b/_graphics/favicon.ico
Binary files differ
diff --git a/_graphics/friendspicker.png b/_graphics/friendspicker.png
new file mode 100644
index 000000000..78b540397
--- /dev/null
+++ b/_graphics/friendspicker.png
Binary files differ
diff --git a/mod/profile/graphics/defaultlarge.gif b/_graphics/icons/user/defaultlarge.gif
index 6ea3bd4cd..6ea3bd4cd 100644
--- a/mod/profile/graphics/defaultlarge.gif
+++ b/_graphics/icons/user/defaultlarge.gif
Binary files differ
diff --git a/mod/profile/graphics/defaultmaster.gif b/_graphics/icons/user/defaultmaster.gif
index 5bfd67a2d..5bfd67a2d 100644
--- a/mod/profile/graphics/defaultmaster.gif
+++ b/_graphics/icons/user/defaultmaster.gif
Binary files differ
diff --git a/mod/profile/graphics/defaultmedium.gif b/_graphics/icons/user/defaultmedium.gif
index c6b2e6a6d..c6b2e6a6d 100644
--- a/mod/profile/graphics/defaultmedium.gif
+++ b/_graphics/icons/user/defaultmedium.gif
Binary files differ
diff --git a/mod/profile/graphics/defaultsmall.gif b/_graphics/icons/user/defaultsmall.gif
index 661d72f04..661d72f04 100644
--- a/mod/profile/graphics/defaultsmall.gif
+++ b/_graphics/icons/user/defaultsmall.gif
Binary files differ
diff --git a/mod/profile/graphics/defaulttiny.gif b/_graphics/icons/user/defaulttiny.gif
index 1775346bc..1775346bc 100644
--- a/mod/profile/graphics/defaulttiny.gif
+++ b/_graphics/icons/user/defaulttiny.gif
Binary files differ
diff --git a/mod/profile/graphics/defaulttopbar.gif b/_graphics/icons/user/defaulttopbar.gif
index c3616aeb5..c3616aeb5 100644
--- a/mod/profile/graphics/defaulttopbar.gif
+++ b/_graphics/icons/user/defaulttopbar.gif
Binary files differ
diff --git a/_graphics/two_sidebar_background.gif b/_graphics/two_sidebar_background.gif
new file mode 100644
index 000000000..947f5cf46
--- /dev/null
+++ b/_graphics/two_sidebar_background.gif
Binary files differ
diff --git a/_graphics/walled_garden/one_column_bottom.png b/_graphics/walled_garden/one_column_bottom.png
new file mode 100644
index 000000000..bd2296896
--- /dev/null
+++ b/_graphics/walled_garden/one_column_bottom.png
Binary files differ
diff --git a/_graphics/walled_garden/one_column_middle.png b/_graphics/walled_garden/one_column_middle.png
new file mode 100644
index 000000000..f53abc123
--- /dev/null
+++ b/_graphics/walled_garden/one_column_middle.png
Binary files differ
diff --git a/_graphics/walled_garden/one_column_top.png b/_graphics/walled_garden/one_column_top.png
new file mode 100644
index 000000000..dc8de438a
--- /dev/null
+++ b/_graphics/walled_garden/one_column_top.png
Binary files differ
diff --git a/_graphics/walled_garden/two_column_bottom.png b/_graphics/walled_garden/two_column_bottom.png
new file mode 100644
index 000000000..21e076991
--- /dev/null
+++ b/_graphics/walled_garden/two_column_bottom.png
Binary files differ
diff --git a/_graphics/walled_garden/two_column_middle.png b/_graphics/walled_garden/two_column_middle.png
new file mode 100644
index 000000000..9a93f19a9
--- /dev/null
+++ b/_graphics/walled_garden/two_column_middle.png
Binary files differ
diff --git a/_graphics/walled_garden/two_column_top.png b/_graphics/walled_garden/two_column_top.png
new file mode 100644
index 000000000..cd71a4262
--- /dev/null
+++ b/_graphics/walled_garden/two_column_top.png
Binary files differ
diff --git a/_graphics/walled_garden_background_bottom.gif b/_graphics/walled_garden_background_bottom.gif
deleted file mode 100644
index 7e25fbedc..000000000
--- a/_graphics/walled_garden_background_bottom.gif
+++ /dev/null
Binary files differ
diff --git a/_graphics/walled_garden_background_extend.gif b/_graphics/walled_garden_background_extend.gif
deleted file mode 100644
index 09f7318e3..000000000
--- a/_graphics/walled_garden_background_extend.gif
+++ /dev/null
Binary files differ
diff --git a/_graphics/walled_garden_background_top.gif b/_graphics/walled_garden_background_top.gif
deleted file mode 100644
index ffe7d9229..000000000
--- a/_graphics/walled_garden_background_top.gif
+++ /dev/null
Binary files differ
diff --git a/_graphics/walled_garden_backgroundfull_bottom.gif b/_graphics/walled_garden_backgroundfull_bottom.gif
deleted file mode 100644
index c05447cc8..000000000
--- a/_graphics/walled_garden_backgroundfull_bottom.gif
+++ /dev/null
Binary files differ
diff --git a/_graphics/walled_garden_backgroundfull_top.gif b/_graphics/walled_garden_backgroundfull_top.gif
deleted file mode 100644
index 99b4832ec..000000000
--- a/_graphics/walled_garden_backgroundfull_top.gif
+++ /dev/null
Binary files differ
diff --git a/actions/admin/delete_admin_notice.php b/actions/admin/delete_admin_notice.php
new file mode 100644
index 000000000..a9c3b8758
--- /dev/null
+++ b/actions/admin/delete_admin_notice.php
@@ -0,0 +1,13 @@
+<?php
+/**
+ * Removes an admin notice.
+ */
+
+$guid = get_input('guid');
+$notice = get_entity($guid);
+
+if (!(elgg_instanceof($notice, 'object', 'admin_notice') && $notice->delete())) {
+ register_error(elgg_echo("admin:notices:could_not_delete"));
+}
+
+forward(REFERER); \ No newline at end of file
diff --git a/actions/admin/menu/save.php b/actions/admin/menu/save.php
new file mode 100644
index 000000000..66ce71082
--- /dev/null
+++ b/actions/admin/menu/save.php
@@ -0,0 +1,34 @@
+<?php
+/**
+ * Save menu items.
+ *
+ * @package Elgg
+ * @subpackage Core
+ */
+
+// featured menu items
+$featured_names = get_input('featured_menu_names', array());
+$featured_names = array_unique($featured_names);
+if (in_array(' ', $featured_names)) {
+ unset($featured_names[array_search(' ', $featured_names)]);
+}
+elgg_save_config('site_featured_menu_names', $featured_names);
+
+// custom menu items
+$custom_menu_titles = get_input('custom_menu_titles', array());
+$custom_menu_urls = get_input('custom_menu_urls', array());
+$num_menu_items = count($custom_menu_titles);
+$custom_menu_items = array();
+for ($i = 0; $i < $num_menu_items; $i++) {
+ if (trim($custom_menu_urls[$i]) && trim($custom_menu_titles[$i])) {
+ $url = $custom_menu_urls[$i];
+ $title = $custom_menu_titles[$i];
+ $custom_menu_items[$title] = $url;
+ }
+}
+elgg_save_config('site_custom_menu_items', $custom_menu_items);
+
+
+system_message(elgg_echo('admin:menu_items:saved'));
+
+forward(REFERER);
diff --git a/actions/admin/menu_items.php b/actions/admin/menu_items.php
deleted file mode 100644
index c9efb8bee..000000000
--- a/actions/admin/menu_items.php
+++ /dev/null
@@ -1,50 +0,0 @@
-<?php
-/**
- * Save menu items.
- *
- * @package Elgg
- * @subpackage Core
- */
-
-$featured_urls = get_input('featured_urls', array());
-$custom_item_names = get_input('custom_item_names', array());
-$custom_item_urls = get_input('custom_item_urls', array());
-
-// save the full information from the menu item into the config table
-// this will be checked upon display that it is still valid (based upon url)
-$menu_items = get_register('menu');
-$menu_urls = array();
-$featured_url_info = array();
-
-foreach ($menu_items as $name => $info) {
- $menu_urls[$info->value->url] = $info;
-}
-
-foreach ($featured_urls as $url) {
- if (array_key_exists($url, $menu_urls)) {
- $featured_url_info[] = $menu_urls[$url];
- }
-}
-
-// save the custom items
-$custom_count = count($custom_item_names);
-$custom_items = array();
-for ($i = 0; $i < $custom_count; $i++) {
- if (isset($custom_item_names[$i]) && isset($custom_item_names[$i])) {
- $name = $custom_item_names[$i];
- $url = $custom_item_urls[$i];
-
- if ($name && $url) {
- $custom_items[$url] = $name;
- }
- }
-}
-
-
-// set_config() always returns 0 so can't check for failures
-set_config('menu_items_featured_urls', $featured_url_info);
-set_config('menu_items_custom_items', $custom_items);
-
-system_message(elgg_echo('admin:menu_items:saved'));
-
-forward(REFERER);
diff --git a/actions/admin/plugins/activate.php b/actions/admin/plugins/activate.php
new file mode 100644
index 000000000..5234a4ca5
--- /dev/null
+++ b/actions/admin/plugins/activate.php
@@ -0,0 +1,59 @@
+<?php
+/**
+ * Activate a plugin or plugins.
+ *
+ * Plugins to be activated are passed via $_REQUEST['plugin_guids'] as GUIDs.
+ * After activating the plugin(s), the views cache and simplecache are invalidated.
+ *
+ * @uses mixed $_GET['plugin_guids'] The GUIDs of the plugin to activate. Can be an array.
+ *
+ * @package Elgg.Core
+ * @subpackage Administration.Plugins
+ */
+
+$plugin_guids = get_input('plugin_guids');
+
+if (!is_array($plugin_guids)) {
+ $plugin_guids = array($plugin_guids);
+}
+
+$activated_guids = array();
+foreach ($plugin_guids as $guid) {
+ $plugin = get_entity($guid);
+
+ if (!($plugin instanceof ElggPlugin)) {
+ register_error(elgg_echo('admin:plugins:activate:no', array($guid)));
+ continue;
+ }
+
+ if ($plugin->activate()) {
+ $activated_guids[] = $guid;
+ } else {
+ $msg = $plugin->getError();
+ $string = ($msg) ? 'admin:plugins:activate:no_with_msg' : 'admin:plugins:activate:no';
+ register_error(elgg_echo($string, array($plugin->getFriendlyName(), $plugin->getError())));
+ }
+}
+
+// don't regenerate the simplecache because the plugin won't be
+// loaded until next run. Just invalidate and let it regenerate as needed
+elgg_invalidate_simplecache();
+elgg_reset_system_cache();
+
+if (count($activated_guids) === 1) {
+ $url = 'admin/plugins';
+ $query = (string)parse_url($_SERVER['HTTP_REFERER'], PHP_URL_QUERY);
+ if ($query) {
+ $url .= "?$query";
+ }
+ $plugin = get_entity($plugin_guids[0]);
+ $id = $css_id = preg_replace('/[^a-z0-9-]/i', '-', $plugin->getID());
+ forward("$url#$id");
+} else {
+ // forward to top of page with a failure so remove any #foo
+ $url = $_SERVER['HTTP_REFERER'];
+ if (strpos($url, '#')) {
+ $url = substr(0, strpos($url, '#'));
+ }
+ forward($url);
+} \ No newline at end of file
diff --git a/actions/admin/plugins/activate_all.php b/actions/admin/plugins/activate_all.php
new file mode 100644
index 000000000..4514ccbdf
--- /dev/null
+++ b/actions/admin/plugins/activate_all.php
@@ -0,0 +1,33 @@
+<?php
+/**
+ * Activates all specified installed and inactive plugins.
+ *
+ * All specified plugins in the mod/ directory are that aren't active are activated and the views
+ * cache and simplecache are invalidated.
+ *
+ * @package Elgg.Core
+ * @subpackage Administration.Plugins
+ */
+
+$guids = get_input('guids');
+$guids = explode(',', $guids);
+
+foreach ($guids as $guid) {
+ $plugin = get_entity($guid);
+ if (!$plugin->isActive()) {
+ if ($plugin->activate()) {
+ //system_message(elgg_echo('admin:plugins:activate:yes', array($plugin->getManifest()->getName())));
+ } else {
+ $msg = $plugin->getError();
+ $string = ($msg) ? 'admin:plugins:activate:no_with_msg' : 'admin:plugins:activate:no';
+ register_error(elgg_echo($string, array($plugin->getFriendlyName(), $plugin->getError())));
+ }
+ }
+}
+
+// don't regenerate the simplecache because the plugin won't be
+// loaded until next run. Just invalidate and let it regnerate as needed
+elgg_invalidate_simplecache();
+elgg_reset_system_cache();
+
+forward(REFERER); \ No newline at end of file
diff --git a/actions/admin/plugins/deactivate.php b/actions/admin/plugins/deactivate.php
new file mode 100644
index 000000000..354f4717d
--- /dev/null
+++ b/actions/admin/plugins/deactivate.php
@@ -0,0 +1,53 @@
+<?php
+/**
+ * Deactivate a plugin or plugins.
+ *
+ * Plugins to be deactivated are passed via $_REQUEST['plugin_guids'] as GUIDs.
+ * After deactivating the plugin(s), the views cache and simplecache are invalidated.
+ *
+ * @uses mixed $_GET['plugin_guids'] The GUIDs of the plugin to deactivate. Can be an array.
+ *
+ * @package Elgg.Core
+ * @subpackage Administration.Plugins
+ */
+
+$plugin_guids = get_input('plugin_guids');
+
+if (!is_array($plugin_guids)) {
+ $plugin_guids = array($plugin_guids);
+}
+
+foreach ($plugin_guids as $guid) {
+ $plugin = get_entity($guid);
+
+ if (!($plugin instanceof ElggPlugin)) {
+ register_error(elgg_echo('admin:plugins:deactivate:no', array($guid)));
+ continue;
+ }
+
+ if ($plugin->deactivate()) {
+ //system_message(elgg_echo('admin:plugins:deactivate:yes', array($plugin->getManifest()->getName())));
+ } else {
+ $msg = $plugin->getError();
+ $string = ($msg) ? 'admin:plugins:deactivate:no_with_msg' : 'admin:plugins:deactivate:no';
+ register_error(elgg_echo($string, array($plugin->getFriendlyName(), $plugin->getError())));
+ }
+}
+
+// don't regenerate the simplecache because the plugin won't be
+// loaded until next run. Just invalidate and let it regnerate as needed
+elgg_invalidate_simplecache();
+elgg_reset_system_cache();
+
+if (count($plugin_guids) == 1) {
+ $url = 'admin/plugins';
+ $query = (string)parse_url($_SERVER['HTTP_REFERER'], PHP_URL_QUERY);
+ if ($query) {
+ $url .= "?$query";
+ }
+ $plugin = get_entity($plugin_guids[0]);
+ $id = preg_replace('/[^a-z0-9-]/i', '-', $plugin->getID());
+ forward("$url#$id");
+} else {
+ forward(REFERER);
+}
diff --git a/actions/admin/plugins/deactivate_all.php b/actions/admin/plugins/deactivate_all.php
new file mode 100644
index 000000000..8b347a633
--- /dev/null
+++ b/actions/admin/plugins/deactivate_all.php
@@ -0,0 +1,33 @@
+<?php
+/**
+ * Disable all specified installed plugins.
+ *
+ * Specified plugins in the mod/ directory are disabled and the views cache and simplecache
+ * are reset.
+ *
+ * @package Elgg.Core
+ * @subpackage Administration.Plugins
+ */
+
+$guids = get_input('guids');
+$guids = explode(',', $guids);
+
+foreach ($guids as $guid) {
+ $plugin = get_entity($guid);
+ if ($plugin->isActive()) {
+ if ($plugin->deactivate()) {
+ //system_message(elgg_echo('admin:plugins:activate:yes', array($plugin->getManifest()->getName())));
+ } else {
+ $msg = $plugin->getError();
+ $string = ($msg) ? 'admin:plugins:deactivate:no_with_msg' : 'admin:plugins:deactivate:no';
+ register_error(elgg_echo($string, array($plugin->getFriendlyName(), $plugin->getError())));
+ }
+ }
+}
+
+// don't regenerate the simplecache because the plugin won't be
+// loaded until next run. Just invalidate and let it regnerate as needed
+elgg_invalidate_simplecache();
+elgg_reset_system_cache();
+
+forward(REFERER);
diff --git a/actions/admin/plugins/disable.php b/actions/admin/plugins/disable.php
deleted file mode 100644
index 9db5aec11..000000000
--- a/actions/admin/plugins/disable.php
+++ /dev/null
@@ -1,36 +0,0 @@
-<?php
-/**
- * Disable a plugin or plugins.
- *
- * Plugins to be disabled are passed via $_REQUEST['plugin'] as plugin ID (directory name).
- * After disabling the plugin(s), the views cache and simplecache are both reset.
- *
- * @uses mixed $_GET['plugin'] The id (directory name) of the plugin to disable. Can be an array.
- *
- * @package Elgg.Core
- * @subpackage Administration.Site
- */
-
-admin_gatekeeper();
-
-$plugin = get_input('plugin');
-if (!is_array($plugin)) {
- $plugin = array($plugin);
-}
-
-foreach ($plugin as $p) {
- if (disable_plugin($p)) {
- system_message(elgg_echo('admin:plugins:disable:yes', array($p)));
-
- elgg_delete_admin_notice('first_installation_plugin_reminder');
- } else {
- register_error(elgg_echo('admin:plugins:disable:no', array($p)));
- }
-}
-
-// don't regenerate the simplecache because the plugin won't be
-// loaded until next run. Just invalidate and let it regnerate as needed
-elgg_invalidate_simplecache();
-elgg_filepath_cache_reset();
-
-forward(REFERER);
diff --git a/actions/admin/plugins/disableall.php b/actions/admin/plugins/disableall.php
deleted file mode 100644
index 21938727e..000000000
--- a/actions/admin/plugins/disableall.php
+++ /dev/null
@@ -1,30 +0,0 @@
-<?php
-/**
- * Disable all installed plugins.
- *
- * All plugins in the mod/ directory are disabled and the views cache and simplecache
- * are reset.
- *
- * @package Elgg.Core
- * @subpackage Administration.Site
- */
-
-admin_gatekeeper();
-
-$plugins = get_installed_plugins();
-
-foreach ($plugins as $p => $data) {
- if (disable_plugin($p)) {
- elgg_delete_admin_notice('first_installation_plugin_reminder');
- system_message(elgg_echo('admin:plugins:disable:yes', array($p)));
- } else {
- register_error(elgg_echo('admin:plugins:disable:no', array($p)));
- }
-}
-
-// don't regenerate the simplecache because the plugin won't be
-// loaded until next run. Just invalidate and let it regnerate as needed
-elgg_invalidate_simplecache();
-elgg_filepath_cache_reset();
-
-forward(REFERER);
diff --git a/actions/admin/plugins/enable.php b/actions/admin/plugins/enable.php
deleted file mode 100644
index d3ad5606d..000000000
--- a/actions/admin/plugins/enable.php
+++ /dev/null
@@ -1,36 +0,0 @@
-<?php
-/**
- * Enables a plugin or plugins.
- *
- * Plugins to be enabled are passed via $_REQUEST['plugin'] as plugin ID (directory name).
- * After enabling the plugin(s), the views cache and simplecache are reset.
- *
- * @uses mixed $_GET['plugin'] The id (directory name) of the plugin to enable. Can be an array.
- *
- * @package Elgg.Core
- * @subpackage Administration.Site
- */
-
-admin_gatekeeper();
-
-$plugin = get_input('plugin');
-
-if (!is_array($plugin)) {
- $plugin = array($plugin);
-}
-
-foreach ($plugin as $p) {
- if (enable_plugin($p)) {
- elgg_delete_admin_notice('first_installation_plugin_reminder');
- system_message(elgg_echo('admin:plugins:enable:yes', array($p)));
- } else {
- register_error(elgg_echo('admin:plugins:enable:no', array($p)));
- }
-}
-
-// don't regenerate the simplecache because the plugin won't be
-// loaded until next run. Just invalidate and let it regnerate as needed
-elgg_invalidate_simplecache();
-elgg_filepath_cache_reset();
-
-forward(REFERER); \ No newline at end of file
diff --git a/actions/admin/plugins/enableall.php b/actions/admin/plugins/enableall.php
deleted file mode 100644
index 9a2df00dc..000000000
--- a/actions/admin/plugins/enableall.php
+++ /dev/null
@@ -1,30 +0,0 @@
-<?php
-/**
- * Enables all installed plugins.
- *
- * All plugins in the mod/ directory are enabled and the views cache and simplecache
- * are reset.
- *
- * @package Elgg.Core
- * @subpackage Administration.Site
- */
-
-admin_gatekeeper();
-
-$plugins = get_installed_plugins();
-
-foreach ($plugins as $p => $data) {
- if (enable_plugin($p)) {
- elgg_delete_admin_notice('first_installation_plugin_reminder');
- system_message(elgg_echo('admin:plugins:enable:yes', array($p)));
- } else {
- register_error(elgg_echo('admin:plugins:enable:no', array($p)));
- }
-}
-
-// don't regenerate the simplecache because the plugin won't be
-// loaded until next run. Just invalidate and let it regnerate as needed
-elgg_invalidate_simplecache();
-elgg_filepath_cache_reset();
-
-forward(REFERER); \ No newline at end of file
diff --git a/actions/admin/plugins/reorder.php b/actions/admin/plugins/reorder.php
deleted file mode 100644
index 2158653e1..000000000
--- a/actions/admin/plugins/reorder.php
+++ /dev/null
@@ -1,53 +0,0 @@
-<?php
-/**
- * Changes the load order of a plugin.
- *
- * Plugin order affects priority for view, action, and page handler
- * overriding as well as the order of view extensions. Higher numbers
- * are loaded after lower numbers, and so receive higher priority.
- *
- * NOTE: When viewing the admin page (advanced plugin admin in >= 1.8) plugins
- * LOWER on the page have HIGHER priority and will override views, etc
- * from plugins above them.
- *
- * @package Elgg.Core
- * @subpackage Administration.Site
- */
-
-admin_gatekeeper();
-
-$mod = get_input('plugin');
-$mod = str_replace('.', '', $mod);
-$mod = str_replace('/', '', $mod);
-
-// Get the new order
-$order = (int) get_input('order');
-
-// Get the current plugin list
-$plugins = get_plugin_list();
-
-// Inject the plugin order back into the list
-if ($key = array_search($mod, $plugins)) {
-
- unset($plugins[$key]);
- while (isset($plugins[$order])) {
- $order++;
- }
-
- $plugins[$order] = $mod;
-}
-
-// Disable
-if (regenerate_plugin_list($plugins)) {
- elgg_delete_admin_notice('first_installation_plugin_reminder');
- system_message(elgg_echo('admin:plugins:reorder:yes', array($plugin)));
-} else {
- register_error(elgg_echo('admin:plugins:reorder:no', array($plugin)));
-}
-
-// don't regenerate the simplecache because the plugin won't be
-// loaded until next run. Just invalidate and let it regnerate as needed
-elgg_invalidate_simplecache();
-elgg_filepath_cache_reset();
-
-forward(REFERER); \ No newline at end of file
diff --git a/actions/admin/plugins/set_priority.php b/actions/admin/plugins/set_priority.php
new file mode 100644
index 000000000..edd735371
--- /dev/null
+++ b/actions/admin/plugins/set_priority.php
@@ -0,0 +1,39 @@
+<?php
+/**
+ * Changes the load priority of a plugin.
+ *
+ * Plugin priority affects view, action, and page handler
+ * overriding as well as the order of view extensions. Plugins with higher
+ * priority are loaded after and override plugins with lower priorities.
+ *
+ * NOTE: When viewing the plugin admin page, plugins LOWER on the page
+ * have HIGHER priority and will override views, etc from plugins above them.
+ *
+ * @package Elgg.Core
+ * @subpackage Administration.Plugins
+ */
+
+$plugin_guid = get_input('plugin_guid');
+$priority = get_input('priority');
+
+$plugin = get_entity($plugin_guid);
+
+if (!($plugin instanceof ElggPlugin)) {
+ register_error(elgg_echo('admin:plugins:set_priority:no', array($plugin_guid)));
+ forward(REFERER);
+}
+
+if ($plugin->setPriority($priority)) {
+ //system_message(elgg_echo('admin:plugins:set_priority:yes', array($plugin->getManifest()->getName())));
+} else {
+ $msg = $plugin->getError();
+ $string = ($msg) ? 'admin:plugins:set_priority:no_with_msg' : 'admin:plugins:set_priority:no';
+ register_error(elgg_echo($string, array($plugin->getFriendlyName(), $plugin->getError())));
+}
+
+// don't regenerate the simplecache because the plugin won't be
+// loaded until next run. Just invalidate and let it regnerate as needed
+elgg_invalidate_simplecache();
+elgg_reset_system_cache();
+
+forward(REFERER); \ No newline at end of file
diff --git a/actions/admin/plugins/simple_update_states.php b/actions/admin/plugins/simple_update_states.php
deleted file mode 100644
index 7d01e4a46..000000000
--- a/actions/admin/plugins/simple_update_states.php
+++ /dev/null
@@ -1,53 +0,0 @@
-<?php
-/**
- * Bulk enable and disable for plugins appearing in the "simple" interface.
- *
- * Plugins marked as using the "simple" interface can be enabled and disabled
- * en masse by passing the enabled plugins as an array of their plugin ids
- * (directory names) through $_REQUEST['enabled_plugins']. All "simple" plugins
- * not in this array will be disabled.
- *
- * Simplecache and views cache are reset.
- *
- * @uses array $_REQUEST['enabled_plugins'] An array of plugin ids (directory names) to enable.
- *
- * @since 1.8
- * @package Elgg.Core
- * @subpackage Administration.Site
- */
-
-$installed_plugins = get_installed_plugins();
-$enabled_plugins = get_input('enabled_plugins', array());
-
-$success = TRUE;
-
-foreach ($installed_plugins as $plugin => $info) {
- // this is only for simple plugins.
- $interface_type = elgg_get_array_value('admin_interface', $info['manifest'], NULL);
- if (!$interface_type || $interface_type != 'simple') {
- continue;
- }
-
- $plugin_enabled = is_plugin_enabled($plugin);
-
- // only effect changes to plugins not already in that state.
- if ($plugin_enabled && !in_array($plugin, $enabled_plugins)) {
- $success = $success && disable_plugin($plugin);
- } elseif (!$plugin_enabled && in_array($plugin, $enabled_plugins)) {
- $success = $success && enable_plugin($plugin);
- }
-}
-
-if ($success) {
- elgg_delete_admin_notice('first_installation_plugin_reminder');
- system_message(elgg_echo('admin:plugins:simple_simple_success'));
-} else {
- register_error(elgg_echo('admins:plugins:simple_simple_fail'));
-}
-
-// don't regenerate the simplecache because the plugin won't be
-// loaded until next run. Just invalidate and let it regnerate as needed
-elgg_invalidate_simplecache();
-elgg_filepath_cache_reset();
-
-forward(REFERER); \ No newline at end of file
diff --git a/actions/admin/site/flush_cache.php b/actions/admin/site/flush_cache.php
new file mode 100644
index 000000000..ebb8296c7
--- /dev/null
+++ b/actions/admin/site/flush_cache.php
@@ -0,0 +1,10 @@
+<?php
+/**
+ * Flush all the caches
+ */
+
+elgg_invalidate_simplecache();
+elgg_reset_system_cache();
+
+system_message(elgg_echo('admin:cache:flushed'));
+forward(REFERER); \ No newline at end of file
diff --git a/actions/admin/site/regenerate_secret.php b/actions/admin/site/regenerate_secret.php
new file mode 100644
index 000000000..3112fb5f3
--- /dev/null
+++ b/actions/admin/site/regenerate_secret.php
@@ -0,0 +1,11 @@
+<?php
+/**
+ * Generate a new site secret
+ */
+
+init_site_secret();
+elgg_reset_system_cache();
+
+system_message(elgg_echo('admin:site:secret_regenerated'));
+
+forward(REFERER);
diff --git a/actions/admin/site/unlock_upgrade.php b/actions/admin/site/unlock_upgrade.php
new file mode 100644
index 000000000..b625b1d26
--- /dev/null
+++ b/actions/admin/site/unlock_upgrade.php
@@ -0,0 +1,10 @@
+<?php
+/**
+ * Unlocks the upgrade script
+ */
+
+if (_elgg_upgrade_is_locked()) {
+ _elgg_upgrade_unlock();
+}
+system_message(elgg_echo('upgrade:unlock:success'));
+forward(REFERER);
diff --git a/actions/admin/site/update_advanced.php b/actions/admin/site/update_advanced.php
index 127fd38b6..4888b0a8d 100644
--- a/actions/admin/site/update_advanced.php
+++ b/actions/admin/site/update_advanced.php
@@ -9,29 +9,43 @@
* @subpackage Administration.Site
*/
-admin_gatekeeper();
-
-if (datalist_get('default_site')) {
- $site = get_entity(datalist_get('default_site'));
+if ($site = elgg_get_site_entity()) {
if (!($site instanceof ElggSite)) {
throw new InstallationException(elgg_echo('InvalidParameterException:NonElggSite'));
}
- $site->url = get_input('wwwroot');
+ $site->url = rtrim(get_input('wwwroot', '', false), '/') . '/';
+
+ datalist_set('path', sanitise_filepath(get_input('path', '', false)));
+ $dataroot = sanitise_filepath(get_input('dataroot', '', false));
+
+ // check for relative paths
+ if (stripos(PHP_OS, 'win') === 0) {
+ if (strpos($dataroot, ':') !== 1) {
+ $msg = elgg_echo('admin:configuration:dataroot:relative_path', array($dataroot));
+ register_error($msg);
+ forward(REFERER);
+ }
+ } else {
+ if (strpos($dataroot, '/') !== 0) {
+ $msg = elgg_echo('admin:configuration:dataroot:relative_path', array($dataroot));
+ register_error($msg);
+ forward(REFERER);
+ }
+ }
- datalist_set('path', sanitise_filepath(get_input('path')));
- datalist_set('dataroot', sanitise_filepath(get_input('dataroot')));
+ datalist_set('dataroot', $dataroot);
if (get_input('simplecache_enabled')) {
- elgg_view_enable_simplecache();
+ elgg_enable_simplecache();
} else {
- elgg_view_disable_simplecache();
+ elgg_disable_simplecache();
}
- if (get_input('viewpath_cache_enabled')) {
- elgg_enable_filepath_cache();
+ if (get_input('system_cache_enabled')) {
+ elgg_enable_system_cache();
} else {
- elgg_disable_filepath_cache();
+ elgg_disable_system_cache();
}
set_config('default_access', get_input('default_access', ACCESS_PRIVATE), $site->getGUID());
@@ -39,8 +53,6 @@ if (datalist_get('default_site')) {
$user_default_access = (get_input('allow_user_default_access')) ? 1 : 0;
set_config('allow_user_default_access', $user_default_access, $site->getGUID());
- set_config('view', get_input('view'), $site->getGUID());
-
$debug = get_input('debug');
if ($debug) {
set_config('debug', $debug, $site->getGUID());
diff --git a/actions/admin/site/update_basic.php b/actions/admin/site/update_basic.php
index 94882cfd3..9765182cc 100644
--- a/actions/admin/site/update_basic.php
+++ b/actions/admin/site/update_basic.php
@@ -10,20 +10,18 @@
* @subpackage Administration.Site
*/
-admin_gatekeeper();
-
-if (datalist_get('default_site')) {
- $site = get_entity(datalist_get('default_site'));
+if ($site = elgg_get_site_entity()) {
if (!($site instanceof ElggSite)) {
throw new InstallationException(elgg_echo('InvalidParameterException:NonElggSite'));
}
$site->description = get_input('sitedescription');
- $site->name = get_input('sitename');
+ $site->name = strip_tags(get_input('sitename'));
$site->email = get_input('siteemail');
$site->save();
set_config('language', get_input('language'), $site->getGUID());
}
+system_message(elgg_echo('admin:configuration:success'));
forward(REFERER); \ No newline at end of file
diff --git a/actions/admin/user/ban.php b/actions/admin/user/ban.php
index 5ad6c29c5..209ece2a0 100644
--- a/actions/admin/user/ban.php
+++ b/actions/admin/user/ban.php
@@ -9,12 +9,10 @@
* @subpackage Administration.User
*/
-admin_gatekeeper();
-
$guid = get_input('guid');
$user = get_entity($guid);
-if ($guid == get_loggedin_userid()) {
+if ($guid == elgg_get_logged_in_user_guid()) {
register_error(elgg_echo('admin:user:self:ban:no'));
forward(REFERER);
}
diff --git a/actions/admin/user/delete.php b/actions/admin/user/delete.php
index a5e1886ec..7cfbd0925 100644
--- a/actions/admin/user/delete.php
+++ b/actions/admin/user/delete.php
@@ -9,15 +9,11 @@
* @subpackage Administration.User
*/
-// block non-admin users - require since this action is not registered
-// @todo why isn't this action registered?
-admin_gatekeeper();
-
// Get the user
$guid = get_input('guid');
$user = get_entity($guid);
-if ($guid == get_loggedin_userid()) {
+if ($guid == elgg_get_logged_in_user_guid()) {
register_error(elgg_echo('admin:user:self:delete:no'));
forward(REFERER);
}
@@ -38,7 +34,7 @@ if (($user instanceof ElggUser) && ($user->canEdit())) {
// forward to user administration if on a user's page as it no longer exists
$forward = REFERER;
if (strpos($_SERVER['HTTP_REFERER'], $username) != FALSE) {
- $forward = "pg/admin/user/";
+ $forward = "admin/users/newest";
}
forward($forward);
diff --git a/actions/admin/user/makeadmin.php b/actions/admin/user/makeadmin.php
index 19f365d62..54b0b7070 100644
--- a/actions/admin/user/makeadmin.php
+++ b/actions/admin/user/makeadmin.php
@@ -11,8 +11,6 @@
* @subpackage Administration.User
*/
-admin_gatekeeper();
-
$guid = get_input('guid');
$user = get_entity($guid);
diff --git a/actions/admin/user/removeadmin.php b/actions/admin/user/removeadmin.php
index 97bfc396b..8cebc7078 100644
--- a/actions/admin/user/removeadmin.php
+++ b/actions/admin/user/removeadmin.php
@@ -6,12 +6,10 @@
* @subpackage Administration.User
*/
-admin_gatekeeper();
-
$guid = get_input('guid');
$user = get_entity($guid);
-if ($guid == get_loggedin_userid()) {
+if ($guid == elgg_get_logged_in_user_guid()) {
register_error(elgg_echo('admin:user:self:removeadmin:no'));
forward(REFERER);
}
diff --git a/actions/admin/user/resetpassword.php b/actions/admin/user/resetpassword.php
index 14de69cb6..d019a7f55 100644
--- a/actions/admin/user/resetpassword.php
+++ b/actions/admin/user/resetpassword.php
@@ -14,8 +14,6 @@
* @subpackage Administration.User
*/
-admin_gatekeeper();
-
$guid = get_input('guid');
$user = get_entity($guid);
@@ -30,7 +28,7 @@ if (($user instanceof ElggUser) && ($user->canEdit())) {
system_message(elgg_echo('admin:user:resetpassword:yes'));
notify_user($user->guid,
- $CONFIG->site->guid,
+ elgg_get_site_entity()->guid,
elgg_echo('email:resetpassword:subject'),
elgg_echo('email:resetpassword:body', array($user->username, $password)),
NULL,
diff --git a/actions/admin/user/unban.php b/actions/admin/user/unban.php
index 883e074ed..7a772a0d3 100644
--- a/actions/admin/user/unban.php
+++ b/actions/admin/user/unban.php
@@ -6,8 +6,6 @@
* @subpackage Administration.User
*/
-admin_gatekeeper();
-
$access_status = access_get_show_hidden_status();
access_show_hidden_entities(true);
diff --git a/actions/avatar/crop.php b/actions/avatar/crop.php
new file mode 100644
index 000000000..b9a80f331
--- /dev/null
+++ b/actions/avatar/crop.php
@@ -0,0 +1,72 @@
+<?php
+/**
+ * Avatar crop action
+ *
+ */
+
+$guid = get_input('guid');
+$owner = get_entity($guid);
+
+if (!$owner || !($owner instanceof ElggUser) || !$owner->canEdit()) {
+ register_error(elgg_echo('avatar:crop:fail'));
+ forward(REFERER);
+}
+
+$x1 = (int) get_input('x1', 0);
+$y1 = (int) get_input('y1', 0);
+$x2 = (int) get_input('x2', 0);
+$y2 = (int) get_input('y2', 0);
+
+$filehandler = new ElggFile();
+$filehandler->owner_guid = $owner->getGUID();
+$filehandler->setFilename("profile/" . $owner->guid . "master" . ".jpg");
+$filename = $filehandler->getFilenameOnFilestore();
+
+// ensuring the avatar image exists in the first place
+if (!file_exists($filename)) {
+ register_error(elgg_echo('avatar:crop:fail'));
+ forward(REFERER);
+}
+
+$icon_sizes = elgg_get_config('icon_sizes');
+unset($icon_sizes['master']);
+
+// get the images and save their file handlers into an array
+// so we can do clean up if one fails.
+$files = array();
+foreach ($icon_sizes as $name => $size_info) {
+ $resized = get_resized_image_from_existing_file($filename, $size_info['w'], $size_info['h'], $size_info['square'], $x1, $y1, $x2, $y2, $size_info['upscale']);
+
+ if ($resized) {
+ //@todo Make these actual entities. See exts #348.
+ $file = new ElggFile();
+ $file->owner_guid = $guid;
+ $file->setFilename("profile/{$guid}{$name}.jpg");
+ $file->open('write');
+ $file->write($resized);
+ $file->close();
+ $files[] = $file;
+ } else {
+ // cleanup on fail
+ foreach ($files as $file) {
+ $file->delete();
+ }
+
+ register_error(elgg_echo('avatar:resize:fail'));
+ forward(REFERER);
+ }
+}
+
+$owner->icontime = time();
+
+$owner->x1 = $x1;
+$owner->x2 = $x2;
+$owner->y1 = $y1;
+$owner->y2 = $y2;
+
+system_message(elgg_echo('avatar:crop:success'));
+$view = 'river/user/default/profileiconupdate';
+elgg_delete_river(array('subject_guid' => $owner->guid, 'view' => $view));
+add_to_river($view, 'update', $owner->guid, $owner->guid);
+
+forward(REFERER);
diff --git a/actions/avatar/remove.php b/actions/avatar/remove.php
new file mode 100644
index 000000000..9cb40a760
--- /dev/null
+++ b/actions/avatar/remove.php
@@ -0,0 +1,36 @@
+<?php
+/**
+ * Avatar remove action
+ */
+
+$user_guid = get_input('guid');
+$user = get_user($user_guid);
+
+if (!$user || !$user->canEdit()) {
+ register_error(elgg_echo('avatar:remove:fail'));
+ forward(REFERER);
+}
+
+// Delete all icons from diskspace
+$icon_sizes = elgg_get_config('icon_sizes');
+foreach ($icon_sizes as $name => $size_info) {
+ $file = new ElggFile();
+ $file->owner_guid = $user_guid;
+ $file->setFilename("profile/{$user_guid}{$name}.jpg");
+ $filepath = $file->getFilenameOnFilestore();
+ if (!$file->delete()) {
+ elgg_log("Avatar file remove failed. Remove $filepath manually, please.", 'WARNING');
+ }
+}
+
+// Remove crop coords
+unset($user->x1);
+unset($user->x2);
+unset($user->y1);
+unset($user->y2);
+
+// Remove icon
+unset($user->icontime);
+
+system_message(elgg_echo('avatar:remove:success'));
+forward(REFERER);
diff --git a/actions/avatar/upload.php b/actions/avatar/upload.php
new file mode 100644
index 000000000..0752615e0
--- /dev/null
+++ b/actions/avatar/upload.php
@@ -0,0 +1,62 @@
+<?php
+/**
+ * Avatar upload action
+ */
+
+$guid = get_input('guid');
+$owner = get_entity($guid);
+
+if (!$owner || !($owner instanceof ElggUser) || !$owner->canEdit()) {
+ register_error(elgg_echo('avatar:upload:fail'));
+ forward(REFERER);
+}
+
+if ($_FILES['avatar']['error'] != 0) {
+ register_error(elgg_echo('avatar:upload:fail'));
+ forward(REFERER);
+}
+
+$icon_sizes = elgg_get_config('icon_sizes');
+
+// get the images and save their file handlers into an array
+// so we can do clean up if one fails.
+$files = array();
+foreach ($icon_sizes as $name => $size_info) {
+ $resized = get_resized_image_from_uploaded_file('avatar', $size_info['w'], $size_info['h'], $size_info['square'], $size_info['upscale']);
+
+ if ($resized) {
+ //@todo Make these actual entities. See exts #348.
+ $file = new ElggFile();
+ $file->owner_guid = $guid;
+ $file->setFilename("profile/{$guid}{$name}.jpg");
+ $file->open('write');
+ $file->write($resized);
+ $file->close();
+ $files[] = $file;
+ } else {
+ // cleanup on fail
+ foreach ($files as $file) {
+ $file->delete();
+ }
+
+ register_error(elgg_echo('avatar:resize:fail'));
+ forward(REFERER);
+ }
+}
+
+// reset crop coordinates
+$owner->x1 = 0;
+$owner->x2 = 0;
+$owner->y1 = 0;
+$owner->y2 = 0;
+
+$owner->icontime = time();
+if (elgg_trigger_event('profileiconupdate', $owner->type, $owner)) {
+ system_message(elgg_echo("avatar:upload:success"));
+
+ $view = 'river/user/default/profileiconupdate';
+ elgg_delete_river(array('subject_guid' => $owner->guid, 'view' => $view));
+ add_to_river($view, 'update', $owner->guid, $owner->guid);
+}
+
+forward(REFERER);
diff --git a/actions/comments/add.php b/actions/comments/add.php
index 11418a2e2..5bd741413 100644
--- a/actions/comments/add.php
+++ b/actions/comments/add.php
@@ -6,14 +6,9 @@
* @subpackage Comments
*/
-// Make sure we're logged in; forward to the front page if not
-gatekeeper();
-
-// Get input
$entity_guid = (int) get_input('entity_guid');
$comment_text = get_input('generic_comment');
-// make sure comment is not empty
if (empty($comment_text)) {
register_error(elgg_echo("generic_comment:blank"));
forward(REFERER);
@@ -26,7 +21,7 @@ if (!$entity) {
forward(REFERER);
}
-$user = get_loggedin_user();
+$user = elgg_get_logged_in_user_entity();
$annotation = create_annotation($entity->guid,
'generic_comment',
@@ -61,7 +56,7 @@ if ($entity->owner_guid != $user->guid) {
system_message(elgg_echo("generic_comment:posted"));
//add to river
-add_to_river('annotation/annotate', 'comment', $user->guid, $entity->guid, "", 0, $annotation);
+add_to_river('river/annotation/generic_comment/create', 'comment', $user->guid, $entity->guid, "", 0, $annotation);
// Forward to the page the action occurred on
forward(REFERER);
diff --git a/actions/comments/delete.php b/actions/comments/delete.php
index d0f5c7b84..c6b481da4 100644
--- a/actions/comments/delete.php
+++ b/actions/comments/delete.php
@@ -5,26 +5,14 @@
* @package Elgg
*/
-// Ensure we're logged in
-if (!isloggedin()) {
- forward();
-}
-
// Make sure we can get the comment in question
$annotation_id = (int) get_input('annotation_id');
-if ($comment = get_annotation($annotation_id)) {
-
- $entity = get_entity($comment->entity_guid);
-
- if ($comment->canEdit()) {
- $comment->delete();
- system_message(elgg_echo("generic_comment:deleted"));
- forward($entity->getURL());
- }
-
+$comment = elgg_get_annotation_from_id($annotation_id);
+if ($comment && $comment->canEdit()) {
+ $comment->delete();
+ system_message(elgg_echo("generic_comment:deleted"));
} else {
- $url = "";
+ register_error(elgg_echo("generic_comment:notdeleted"));
}
-register_error(elgg_echo("generic_comment:notdeleted"));
forward(REFERER); \ No newline at end of file
diff --git a/actions/email/save.php b/actions/email/save.php
deleted file mode 100644
index 0dee6ee1e..000000000
--- a/actions/email/save.php
+++ /dev/null
@@ -1,43 +0,0 @@
-<?php
-/**
- * Save email address for user.
- *
- * @package Elgg.Core
- * @subpackage Administration.Users
- */
-
-gatekeeper();
-
-$email = get_input('email');
-$user_id = get_input('guid');
-
-if (!$user_id) {
- $user = get_loggedin_user();
-} else {
- $user = get_entity($user_id);
-}
-
-if (!is_email_address($email)) {
- register_error(elgg_echo('email:save:fail'));
- forward(REFERER);
-}
-
-if ($user) {
- if (strcmp($email, $user->email) != 0) {
- if (!get_user_by_email($email)) {
- if ($user->email != $email) {
-
- $user->email = $email;
- if ($user->save()) {
- system_message(elgg_echo('email:save:success'));
- } else {
- register_error(elgg_echo('email:save:fail'));
- }
- }
- } else {
- register_error(elgg_echo('registration:dupeemail'));
- }
- }
-} else {
- register_error(elgg_echo('email:save:fail'));
-}
diff --git a/actions/entities/delete.php b/actions/entities/delete.php
index 823e85c59..251e1f01c 100644
--- a/actions/entities/delete.php
+++ b/actions/entities/delete.php
@@ -6,8 +6,6 @@
* @subpackage Core
*/
-gatekeeper();
-
$guid = get_input('guid');
$entity = get_entity($guid);
diff --git a/actions/friends/add.php b/actions/friends/add.php
index d0a0d3d7c..d1800ee14 100644
--- a/actions/friends/add.php
+++ b/actions/friends/add.php
@@ -6,18 +6,19 @@
* @subpackage Friends.Management
*/
-// Ensure we are logged in
-gatekeeper();
-
// Get the GUID of the user to friend
$friend_guid = get_input('friend');
$friend = get_entity($friend_guid);
+if (!$friend) {
+ register_error(elgg_echo('error:missing_data'));
+ forward(REFERER);
+}
$errors = false;
// Get the user
try {
- if (!get_loggedin_user()->addFriend($friend_guid)) {
+ if (!elgg_get_logged_in_user_entity()->addFriend($friend_guid)) {
$errors = true;
}
} catch (Exception $e) {
@@ -26,7 +27,7 @@ try {
}
if (!$errors) {
// add to river
- add_to_river('friends/river/create', 'friend', get_loggedin_userid(), $friend_guid);
+ add_to_river('river/relationship/friend/create', 'friend', elgg_get_logged_in_user_guid(), $friend_guid);
system_message(elgg_echo("friends:add:successful", array($friend->name)));
}
diff --git a/actions/friends/addcollection.php b/actions/friends/addcollection.php
deleted file mode 100644
index 8fdb2be87..000000000
--- a/actions/friends/addcollection.php
+++ /dev/null
@@ -1,39 +0,0 @@
-<?php
-/**
- * Elgg collection add page
- *
- * @package Elgg.Core
- * @subpackage Friends.Collections
- */
-
-//must be logged in
-gatekeeper();
-
-$collection_name = get_input('collection_name');
-$friends = get_input('friends_collection');
-
-//first check to make sure that a collection name has been set and create the new colection
-if ($collection_name) {
-
- //create the collection
- $create_collection = create_access_collection($collection_name, get_loggedin_userid());
-
- //if the collection was created and the user passed some friends from the form, add them
- if ($create_collection && (!empty($friends))) {
- //add friends to the collection
- foreach ($friends as $friend) {
- add_user_to_access_collection($friend, $create_collection);
- }
- }
-
- // Success message
- system_message(elgg_echo("friends:collectionadded"));
- // Forward to the collections page
- forward("pg/collections/" . get_loggedin_user()->username);
-
-} else {
- register_error(elgg_echo("friends:nocollectionname"));
-
- // Forward to the add collection page
- forward("pg/collections/add");
-}
diff --git a/actions/friends/collections/add.php b/actions/friends/collections/add.php
new file mode 100644
index 000000000..e63a149f7
--- /dev/null
+++ b/actions/friends/collections/add.php
@@ -0,0 +1,31 @@
+<?php
+/**
+ * Elgg collection add page
+ *
+ * @package Elgg.Core
+ * @subpackage Friends.Collections
+ */
+
+$collection_name = htmlspecialchars(get_input('collection_name', '', false), ENT_QUOTES, 'UTF-8');
+$friends = get_input('friends_collection');
+
+if (!$collection_name) {
+ register_error(elgg_echo("friends:nocollectionname"));
+ forward(REFERER);
+}
+
+$id = create_access_collection($collection_name);
+
+if ($id) {
+ $result = update_access_collection($id, $friends);
+ if ($result) {
+ system_message(elgg_echo("friends:collectionadded"));
+ forward("collections/" . elgg_get_logged_in_user_entity()->username);
+ } else {
+ register_error(elgg_echo("friends:nocollectionname"));
+ forward(REFERER);
+ }
+} else {
+ register_error(elgg_echo("friends:nocollectionname"));
+ forward(REFERER);
+} \ No newline at end of file
diff --git a/actions/friends/collections/delete.php b/actions/friends/collections/delete.php
new file mode 100644
index 000000000..ff8f1fb55
--- /dev/null
+++ b/actions/friends/collections/delete.php
@@ -0,0 +1,23 @@
+<?php
+/**
+ * Elgg friends: delete collection action
+ *
+ * @package Elgg.Core
+ * @subpackage Friends.Collections
+ */
+
+$collection_id = (int) get_input('collection');
+
+// check the ACL exists and we can edit
+if (!can_edit_access_collection($collection_id)) {
+ register_error(elgg_echo("friends:collectiondeletefailed"));
+ forward(REFERER);
+}
+
+if (delete_access_collection($collection_id)) {
+ system_message(elgg_echo("friends:collectiondeleted"));
+} else {
+ register_error(elgg_echo("friends:collectiondeletefailed"));
+}
+
+forward(REFERER);
diff --git a/actions/friends/collections/edit.php b/actions/friends/collections/edit.php
new file mode 100644
index 000000000..9eb5e1eab
--- /dev/null
+++ b/actions/friends/collections/edit.php
@@ -0,0 +1,23 @@
+<?php
+/**
+ * Friends collection edit action
+ *
+ * @package Elgg.Core
+ * @subpackage Friends.Collections
+ */
+
+$collection_id = get_input('collection_id');
+$friends = get_input('friend');
+
+// check it exists and we can edit
+if (!can_edit_access_collection($collection_id)) {
+ system_message(elgg_echo('friends:collection:edit_failed'));
+}
+
+if (update_access_collection($collection_id, $friends)) {
+ system_message(elgg_echo('friends:collections:edited'));
+} else {
+ system_message(elgg_echo('friends:collection:edit_failed'));
+}
+
+forward(REFERER); \ No newline at end of file
diff --git a/actions/friends/deletecollection.php b/actions/friends/deletecollection.php
deleted file mode 100644
index b57802ce7..000000000
--- a/actions/friends/deletecollection.php
+++ /dev/null
@@ -1,40 +0,0 @@
-<?php
-/**
- * Elgg friends: delete collection action
- *
- * @package Elgg.Core
- * @subpackage Friends.Collections
- */
-
-// Make sure we're logged in (send us to the front page if not)
-gatekeeper();
-
-// Get input data
-$collection_id = (int) get_input('collection');
-
-// Check to see that the access collection exist and grab its owner
-$get_collection = get_access_collection($collection_id);
-
-if ($get_collection) {
-
- if ($get_collection->owner_guid == get_loggedin_userid()) {
-
- $delete_collection = delete_access_collection($collection_id);
-
- // Success message
- if ($delete_collection) {
- system_message(elgg_echo("friends:collectiondeleted"));
- } else {
- register_error(elgg_echo("friends:collectiondeletefailed"));
- }
- } else {
- // Failure message
- register_error(elgg_echo("friends:collectiondeletefailed"));
- }
-} else {
- // Failure message
- register_error(elgg_echo("friends:collectiondeletefailed"));
-}
-
-// Forward to the collections page
-forward("pg/collections/" . get_loggedin_user()->username);
diff --git a/actions/friends/editcollection.php b/actions/friends/editcollection.php
deleted file mode 100644
index 8fd1eae18..000000000
--- a/actions/friends/editcollection.php
+++ /dev/null
@@ -1,13 +0,0 @@
-<?php
-/**
- * Elgg collection add page
- *
- * @package Elgg.Core
- * @subpackage Friends.Collections
- */
-
-$collection_id = get_input('collection_id');
-$friends = get_input('friend');
-
-//chech the collection exists and the current user owners it
-update_access_collection($collection_id, $friends); \ No newline at end of file
diff --git a/actions/friends/remove.php b/actions/friends/remove.php
index 1230b12af..d69d18f31 100644
--- a/actions/friends/remove.php
+++ b/actions/friends/remove.php
@@ -6,9 +6,6 @@
* @subpackage Friends.Management
*/
-// Ensure we are logged in
-gatekeeper();
-
// Get the GUID of the user to friend
$friend_guid = get_input('friend');
$friend = get_entity($friend_guid);
@@ -17,7 +14,7 @@ $errors = false;
// Get the user
try{
if ($friend instanceof ElggUser) {
- get_loggedin_user()->removeFriend($friend_guid);
+ elgg_get_logged_in_user_entity()->removeFriend($friend_guid);
} else {
register_error(elgg_echo("friends:remove:failure", array($friend->name)));
$errors = true;
diff --git a/actions/import/opendd.php b/actions/import/opendd.php
index 0bf748734..e63607145 100644
--- a/actions/import/opendd.php
+++ b/actions/import/opendd.php
@@ -9,13 +9,8 @@
* @subpackage Core
*/
-// Safety
-admin_gatekeeper();
-
-// Get input
$data = get_input('data', '', false);
-// Import
$return = import($data);
if ($return) {
diff --git a/actions/likes/add.php b/actions/likes/add.php
deleted file mode 100644
index b8c2f18b8..000000000
--- a/actions/likes/add.php
+++ /dev/null
@@ -1,61 +0,0 @@
-<?php
-/**
- * Elgg add like action
- *
- * @package Elgg.Core
- * @subpackage Likes
- */
-
-gatekeeper();
-$entity_guid = (int) get_input('guid');
-
-//check to see if the user has already liked the item
-if (elgg_annotation_exists($entity_guid, 'likes')) {
- system_message(elgg_echo("likes:alreadyliked"));
- forward(REFERER);
-}
-// Let's see if we can get an entity with the specified GUID
-$entity = get_entity($entity_guid);
-if (!$entity) {
- register_error(elgg_echo("likes:notfound"));
- forward(REFERER);
-}
-
-$user = get_loggedin_user();
-$annotation = create_annotation($entity->guid,
- 'likes',
- "likes",
- "",
- $user->guid,
- $entity->access_id);
-
-// tell user annotation didn't work if that is the case
-if (!$annotation) {
- register_error(elgg_echo("likes:failure"));
- forward(REFERER);
-}
-
-// notify if poster wasn't owner
-if ($entity->owner_guid != $user->guid) {
-
- notify_user($entity->owner_guid,
- $user->guid,
- elgg_echo('likes:email:subject'),
- elgg_echo('likes:email:body', array(
- $user->name,
- $entity->title,
- //$comment_text,
- $entity->getURL(),
- $user->name,
- $user->getURL()
- ))
- );
-}
-
-system_message(elgg_echo("likes:likes"));
-
-//add to river
-add_to_river('annotation/annotatelike', 'likes', $user->guid, $entity->guid, "", 0, $annotation);
-
-// Forward back to the page where the user 'liked' the object
-forward(REFERER);
diff --git a/actions/likes/delete.php b/actions/likes/delete.php
deleted file mode 100644
index 45984b581..000000000
--- a/actions/likes/delete.php
+++ /dev/null
@@ -1,31 +0,0 @@
-<?php
-/**
- * Elgg delete like action
- *
- * @package Elgg.Core
- * @subpackage Likes
- */
-
-// Ensure we're logged in
-if (!isloggedin()) {
- forward();
-}
-
-// Make sure we can get the comment in question
-$annotation_id = (int) get_input('annotation_id');
-if ($likes = get_annotation($annotation_id)) {
-
- $entity = get_entity($likes->entity_guid);
-
- if ($likes->canEdit()) {
- $likes->delete();
- system_message(elgg_echo("likes:deleted"));
- forward($entity->getURL());
- }
-
-} else {
- $url = "";
-}
-
-register_error(elgg_echo("likes:notdeleted"));
-forward(REFERER); \ No newline at end of file
diff --git a/actions/login.php b/actions/login.php
index 1b4fbe1fd..bd7f91299 100644
--- a/actions/login.php
+++ b/actions/login.php
@@ -6,10 +6,20 @@
* @subpackage User.Authentication
*/
+// set forward url
+if (!empty($_SESSION['last_forward_from'])) {
+ $forward_url = $_SESSION['last_forward_from'];
+} elseif (get_input('returntoreferer')) {
+ $forward_url = REFERER;
+} else {
+ // forward to main index page
+ $forward_url = '';
+}
+
$username = get_input('username');
-$password = get_input("password");
-$persistent = get_input("persistent", FALSE);
-$result = FALSE;
+$password = get_input('password', null, false);
+$persistent = (bool) get_input("persistent");
+$result = false;
if (empty($username) || empty($password)) {
register_error(elgg_echo('login:empty'));
@@ -17,8 +27,7 @@ if (empty($username) || empty($password)) {
}
// check if logging in with email address
-// @todo Are usernames with @ not allowed?
-if (strpos($username, '@') !== FALSE && ($users = get_user_by_email($username))) {
+if (strpos($username, '@') !== false && ($users = get_user_by_email($username))) {
$username = $users[0]->username;
}
@@ -36,21 +45,25 @@ if (!$user) {
try {
login($user, $persistent);
+ // re-register at least the core language file for users with language other than site default
+ register_translations(dirname(dirname(__FILE__)) . "/languages/");
} catch (LoginException $e) {
register_error($e->getMessage());
forward(REFERER);
}
-// forward to correct page
-if (isset($_SESSION['last_forward_from']) && $_SESSION['last_forward_from']) {
- $forward_url = $_SESSION['last_forward_from'];
- unset($_SESSION['last_forward_from']);
-} elseif (get_input('returntoreferer')) {
- $forward_url = REFERER;
+// elgg_echo() caches the language and does not provide a way to change the language.
+// @todo we need to use the config object to store this so that the current language
+// can be changed. Refs #4171
+if ($user->language) {
+ $message = elgg_echo('loginok', array(), $user->language);
} else {
- // forward to main index page
- $forward_url = '';
+ $message = elgg_echo('loginok');
+}
+
+if (isset($_SESSION['last_forward_from'])) {
+ unset($_SESSION['last_forward_from']);
}
-system_message(elgg_echo('loginok'));
+system_message($message);
forward($forward_url);
diff --git a/actions/logout.php b/actions/logout.php
index 98926d205..c48a26b15 100644
--- a/actions/logout.php
+++ b/actions/logout.php
@@ -12,6 +12,7 @@ $result = logout();
// Set the system_message as appropriate
if ($result) {
system_message(elgg_echo('logoutok'));
+ forward();
} else {
register_error(elgg_echo('logouterror'));
} \ No newline at end of file
diff --git a/actions/notifications/settings/usersettings/save.php b/actions/notifications/settings/usersettings/save.php
index da855c6b5..455a444e1 100644
--- a/actions/notifications/settings/usersettings/save.php
+++ b/actions/notifications/settings/usersettings/save.php
@@ -6,9 +6,7 @@
* @subpackage Core
*/
-// Method
$method = get_input('method');
-gatekeeper();
$current_settings = get_user_notification_settings();
@@ -19,7 +17,7 @@ foreach ($method as $k => $v) {
continue;
}
- $result = set_user_notification_setting(get_loggedin_userid(), $k, ($v == 'yes') ? true : false);
+ $result = set_user_notification_setting(elgg_get_logged_in_user_guid(), $k, ($v == 'yes') ? true : false);
if (!$result) {
register_error(elgg_echo('notifications:usersettings:save:fail'));
diff --git a/actions/plugins/settings/save.php b/actions/plugins/settings/save.php
index 2edf58e5b..581a2f9ec 100644
--- a/actions/plugins/settings/save.php
+++ b/actions/plugins/settings/save.php
@@ -1,36 +1,37 @@
<?php
/**
- * Elgg plugin settings save action.
+ * Saves global plugin settings.
*
- * @package Elgg
- * @subpackage Core
+ * This action can be overriden for a specific plugin by creating the
+ * <plugin_id>/settings/save action in that plugin.
+ *
+ * @uses array $_REQUEST['params'] A set of key/value pairs to save to the ElggPlugin entity
+ * @uses int $_REQUEST['plugin_id'] The ID of the plugin
+ *
+ * @package Elgg.Core
+ * @subpackage Plugins.Settings
*/
$params = get_input('params');
-$plugin = get_input('plugin');
-if (!$plugin_info = load_plugin_manifest($plugin)) {
- register_error(elgg_echo('plugins:settings:save:fail', array($plugin)));
+$plugin_id = get_input('plugin_id');
+$plugin = elgg_get_plugin_from_id($plugin_id);
+
+if (!($plugin instanceof ElggPlugin)) {
+ register_error(elgg_echo('plugins:settings:save:fail', array($plugin_id)));
forward(REFERER);
}
-$plugin_name = $plugin_info['name'];
-
-admin_gatekeeper();
+$plugin_name = $plugin->getManifest()->getName();
$result = false;
-$options = array(
- 'plugin' => $plugin,
- 'manifest' => $plugin_info,
- 'settings' => $params
-);
-
// allow a plugin to override the save action for their settings
-if (elgg_action_exist("settings/$plugin/save")) {
- action("settings/$plugin/save");
+if (elgg_action_exists("$plugin_id/settings/save")) {
+ action("$plugin_id/settings/save");
} else {
foreach ($params as $k => $v) {
- if (!$result = set_plugin_setting($k, $v, $plugin)) {
+ $result = $plugin->setSetting($k, $v);
+ if (!$result) {
register_error(elgg_echo('plugins:settings:save:fail', array($plugin_name)));
forward(REFERER);
exit;
@@ -39,21 +40,4 @@ if (elgg_action_exist("settings/$plugin/save")) {
}
system_message(elgg_echo('plugins:settings:save:ok', array($plugin_name)));
-forward(REFERER);
-//
-//$trigger = elgg_trigger_plugin_hook('plugin:save_settings', $plugin, $options, NULL);
-//if ($trigger === NULL) {
-// foreach ($params as $k => $v) {
-// if (!$result = set_plugin_setting($k, $v, $plugin)) {
-// register_error(elgg_echo('plugins:settings:save:fail', array($plugin_name)));
-// forward(REFERER);
-// exit;
-// }
-// }
-//} elseif ($trigger === FALSE) {
-// register_error(elgg_echo('plugins:settings:save:fail', array($plugin_name)));
-// forward(REFERER);
-//}
-//
-//system_message(elgg_echo('plugins:settings:save:ok', array($plugin_name)));
-//forward(REFERER); \ No newline at end of file
+forward(REFERER); \ No newline at end of file
diff --git a/actions/plugins/usersettings/save.php b/actions/plugins/usersettings/save.php
index 705871fac..f6b8ab0b6 100644
--- a/actions/plugins/usersettings/save.php
+++ b/actions/plugins/usersettings/save.php
@@ -1,29 +1,58 @@
<?php
/**
- * Elgg plugin user settings save action.
+ * Saves user-specific plugin settings.
*
- * @package Elgg
- * @subpackage Core
+ * This action can be overriden for a specific plugin by creating the
+ * <plugin_id>/usersettings/save action in that plugin.
+ *
+ * @uses array $_REQUEST['params'] A set of key/value pairs to save to the ElggPlugin entity
+ * @uses int $_REQUEST['plugin_id'] The id of the plugin
+ * @uses int $_REQUEST['user_guid'] The GUID of the user to save settings for.
+ *
+ * @package Elgg.Core
+ * @subpackage Plugins.Settings
*/
$params = get_input('params');
-$plugin = get_input('plugin');
+$plugin_id = get_input('plugin_id');
+$user_guid = get_input('user_guid', elgg_get_logged_in_user_guid());
+$plugin = elgg_get_plugin_from_id($plugin_id);
+$user = get_entity($user_guid);
+
+if (!($plugin instanceof ElggPlugin)) {
+ register_error(elgg_echo('plugins:usersettings:save:fail', array($plugin_id)));
+ forward(REFERER);
+}
-gatekeeper();
+if (!($user instanceof ElggUser)) {
+ register_error(elgg_echo('plugins:usersettings:save:fail', array($plugin_id)));
+ forward(REFERER);
+}
+
+$plugin_name = $plugin->getManifest()->getName();
+
+// make sure we're admin or the user
+if (!$user->canEdit()) {
+ register_error(elgg_echo('plugins:usersettings:save:fail', array($plugin_name)));
+ forward(REFERER);
+}
$result = false;
-foreach ($params as $k => $v) {
- // Save
- $result = set_plugin_usersetting($k, $v, get_loggedin_userid(), $plugin);
+if (elgg_action_exists("$plugin_id/usersettings/save")) {
+ action("$plugin_id/usersettings/save");
+} else {
+ foreach ($params as $k => $v) {
+ // Save
+ $result = $plugin->setUserSetting($k, $v, $user->guid);
- // Error?
- if (!$result) {
- register_error(elgg_echo('plugins:usersettings:save:fail', array($plugin)));
- forward(REFERER);
- exit;
+ // Error?
+ if (!$result) {
+ register_error(elgg_echo('plugins:usersettings:save:fail', array($plugin_name)));
+ forward(REFERER);
+ }
}
}
-system_message(elgg_echo('plugins:usersettings:save:ok', array($plugin)));
+system_message(elgg_echo('plugins:usersettings:save:ok', array($plugin_name)));
forward(REFERER);
diff --git a/actions/profile/edit.php b/actions/profile/edit.php
new file mode 100644
index 000000000..e1f066e82
--- /dev/null
+++ b/actions/profile/edit.php
@@ -0,0 +1,116 @@
+<?php
+/**
+ * Elgg profile edit action
+ *
+ */
+
+elgg_make_sticky_form('profile:edit');
+
+$guid = get_input('guid');
+$owner = get_entity($guid);
+
+if (!$owner || !($owner instanceof ElggUser) || !$owner->canEdit()) {
+ register_error(elgg_echo('profile:edit:fail'));
+ forward(REFERER);
+}
+
+// grab the defined profile field names and their load the values from POST.
+// each field can have its own access, so sort that too.
+$input = array();
+$accesslevel = get_input('accesslevel');
+
+if (!is_array($accesslevel)) {
+ $accesslevel = array();
+}
+
+/**
+ * wrapper for recursive array walk decoding
+ */
+function profile_array_decoder(&$v) {
+ $v = _elgg_html_decode($v);
+}
+
+$profile_fields = elgg_get_config('profile_fields');
+foreach ($profile_fields as $shortname => $valuetype) {
+ // the decoding is a stop gap to prevent &amp;&amp; showing up in profile fields
+ // because it is escaped on both input (get_input()) and output (view:output/text). see #561 and #1405.
+ // must decode in utf8 or string corruption occurs. see #1567.
+ $value = get_input($shortname);
+ if (is_array($value)) {
+ array_walk_recursive($value, 'profile_array_decoder');
+ } else {
+ $value = _elgg_html_decode($value);
+ }
+
+ // limit to reasonable sizes
+ // @todo - throwing away changes due to this is dumb!
+ if (!is_array($value) && $valuetype != 'longtext' && elgg_strlen($value) > 250) {
+ $error = elgg_echo('profile:field_too_long', array(elgg_echo("profile:{$shortname}")));
+ register_error($error);
+ forward(REFERER);
+ }
+
+ if ($value && $valuetype == 'url' && !preg_match('~^https?\://~i', $value)) {
+ $value = "http://$value";
+ }
+
+ if ($valuetype == 'tags') {
+ $value = string_to_tag_array($value);
+ }
+
+ $input[$shortname] = $value;
+}
+
+// display name is handled separately
+$name = strip_tags(get_input('name'));
+if ($name) {
+ if (elgg_strlen($name) > 50) {
+ register_error(elgg_echo('user:name:fail'));
+ } elseif ($owner->name != $name) {
+ $owner->name = $name;
+ $owner->save();
+ }
+}
+
+// go through custom fields
+if (sizeof($input) > 0) {
+ foreach ($input as $shortname => $value) {
+ $options = array(
+ 'guid' => $owner->guid,
+ 'metadata_name' => $shortname,
+ 'limit' => false
+ );
+ elgg_delete_metadata($options);
+
+ if (!is_null($value) && ($value !== '')) {
+ // only create metadata for non empty values (0 is allowed) to prevent metadata records with empty string values #4858
+
+ if (isset($accesslevel[$shortname])) {
+ $access_id = (int) $accesslevel[$shortname];
+ } else {
+ // this should never be executed since the access level should always be set
+ $access_id = ACCESS_DEFAULT;
+ }
+ if (is_array($value)) {
+ $i = 0;
+ foreach ($value as $interval) {
+ $i++;
+ $multiple = ($i > 1) ? TRUE : FALSE;
+ create_metadata($owner->guid, $shortname, $interval, 'text', $owner->guid, $access_id, $multiple);
+ }
+ } else {
+ create_metadata($owner->getGUID(), $shortname, $value, 'text', $owner->getGUID(), $access_id);
+ }
+ }
+ }
+
+ $owner->save();
+
+ // Notify of profile update
+ elgg_trigger_event('profileupdate', $owner->type, $owner);
+
+ elgg_clear_sticky_form('profile:edit');
+ system_message(elgg_echo("profile:saved"));
+}
+
+forward($owner->getUrl());
diff --git a/actions/profile/fields/add.php b/actions/profile/fields/add.php
new file mode 100644
index 000000000..fce783092
--- /dev/null
+++ b/actions/profile/fields/add.php
@@ -0,0 +1,40 @@
+<?php
+/**
+ * Elgg profile plugin edit default profile action
+ *
+ */
+
+$label = get_input('label');
+$type = get_input('type');
+
+$fieldlist = elgg_get_config('profile_custom_fields');
+if (!$fieldlist) {
+ $fieldlist = '';
+ $id = 1;
+} else {
+ $fieldlistarray = explode(',', $fieldlist);
+ foreach ($fieldlistarray as $key => $value) {
+ $fieldlistarray[$key] = (int)$value;
+ }
+ $id = max($fieldlistarray) + 1;
+}
+
+if (($label) && ($type)) {
+ if (!empty($fieldlist)) {
+ $fieldlist .= ',';
+ }
+ $fieldlist .= "$id";
+
+ if (elgg_save_config("admin_defined_profile_$id", $label) &&
+ elgg_save_config("admin_defined_profile_type_$id", $type) &&
+ elgg_save_config('profile_custom_fields', $fieldlist)) {
+
+ system_message(elgg_echo('profile:editdefault:success'));
+ } else {
+ register_error(elgg_echo('profile:editdefault:fail'));
+ }
+} else {
+ register_error(elgg_echo('profile:editdefault:fail'));
+}
+
+forward(REFERER); \ No newline at end of file
diff --git a/actions/profile/fields/delete.php b/actions/profile/fields/delete.php
new file mode 100644
index 000000000..9879feb3f
--- /dev/null
+++ b/actions/profile/fields/delete.php
@@ -0,0 +1,28 @@
+<?php
+/**
+ * Elgg profile plugin edit default profile action removal
+ *
+ */
+
+$id = get_input('id');
+
+$fieldlist = elgg_get_config('profile_custom_fields');
+if (!$fieldlist) {
+ $fieldlist = '';
+}
+
+$fieldlist = str_replace("{$id},", "", $fieldlist);
+$fieldlist = str_replace(",{$id}", "", $fieldlist);
+$fieldlist = str_replace("{$id}", "", $fieldlist);
+
+if ($id &&
+ unset_config("admin_defined_profile_$id") &&
+ unset_config("admin_defined_profile_type_$id") &&
+ elgg_save_config('profile_custom_fields', $fieldlist)) {
+
+ system_message(elgg_echo('profile:editdefault:delete:success'));
+} else {
+ register_error(elgg_echo('profile:editdefault:delete:fail'));
+}
+
+forward(REFERER); \ No newline at end of file
diff --git a/actions/profile/fields/edit.php b/actions/profile/fields/edit.php
new file mode 100644
index 000000000..5fc84ff11
--- /dev/null
+++ b/actions/profile/fields/edit.php
@@ -0,0 +1,20 @@
+<?php
+/**
+ * Edit a custom profile field
+ */
+
+$id = get_input('id');
+$label = get_input('label');
+
+if (!elgg_get_config("admin_defined_profile_$id")) {
+ register_error(elgg_echo('profile:editdefault:fail'));
+ forward(REFERER);
+}
+
+if (elgg_save_config("admin_defined_profile_$id", $label)) {
+ system_message(elgg_echo('profile:editdefault:success'));
+} else {
+ register_error(elgg_echo('profile:editdefault:fail'));
+}
+
+forward(REFERER); \ No newline at end of file
diff --git a/actions/profile/fields/reorder.php b/actions/profile/fields/reorder.php
new file mode 100644
index 000000000..27c716749
--- /dev/null
+++ b/actions/profile/fields/reorder.php
@@ -0,0 +1,12 @@
+<?php
+/**
+ * Elgg profile plugin reorder fields
+ *
+ */
+
+$ordering = get_input('fieldorder');
+
+$result = elgg_save_config('profile_custom_fields', $ordering);
+
+// called by ajax so we exit
+exit;
diff --git a/actions/profile/fields/reset.php b/actions/profile/fields/reset.php
new file mode 100644
index 000000000..19efae479
--- /dev/null
+++ b/actions/profile/fields/reset.php
@@ -0,0 +1,20 @@
+<?php
+/**
+ * Reset profile fields action
+ *
+ */
+
+$fieldlist = elgg_get_config('profile_custom_fields');
+if ($fieldlist) {
+ $fieldlistarray = explode(',', $fieldlist);
+ foreach ($fieldlistarray as $listitem) {
+ unset_config("admin_defined_profile_{$listitem}");
+ unset_config("admin_defined_profile_type_{$listitem}");
+ }
+}
+
+unset_config('profile_custom_fields');
+
+system_message(elgg_echo('profile:defaultprofile:reset'));
+
+forward(REFERER); \ No newline at end of file
diff --git a/actions/register.php b/actions/register.php
index 1a0954e3b..73926232c 100644
--- a/actions/register.php
+++ b/actions/register.php
@@ -6,18 +6,18 @@
* @subpackage User.Account
*/
-global $CONFIG;
+elgg_make_sticky_form('register');
// Get variables
$username = get_input('username');
-$password = get_input('password');
-$password2 = get_input('password2');
+$password = get_input('password', null, false);
+$password2 = get_input('password2', null, false);
$email = get_input('email');
$name = get_input('name');
$friend_guid = (int) get_input('friend_guid', 0);
$invitecode = get_input('invitecode');
-if ($CONFIG->allow_registration) {
+if (elgg_get_config('allow_registration')) {
try {
if (trim($password) == "" || trim($password2) == "") {
throw new RegistrationException(elgg_echo('RegistrationException:EmptyPassword'));
@@ -45,14 +45,17 @@ if ($CONFIG->allow_registration) {
// @todo should registration be allowed no matter what the plugins return?
if (!elgg_trigger_plugin_hook('register', 'user', $params, TRUE)) {
+ $ia = elgg_set_ignore_access(true);
$new_user->delete();
+ elgg_set_ignore_access($ia);
// @todo this is a generic messages. We could have plugins
// throw a RegistrationException, but that is very odd
// for the plugin hooks system.
throw new RegistrationException(elgg_echo('registerbad'));
}
- system_message(elgg_echo("registerok", array($CONFIG->sitename)));
+ elgg_clear_sticky_form('register');
+ system_message(elgg_echo("registerok", array(elgg_get_site_entity()->name)));
// if exception thrown, this probably means there is a validation
// plugin that has disabled the user
@@ -74,4 +77,4 @@ if ($CONFIG->allow_registration) {
register_error(elgg_echo('registerdisabled'));
}
-forward(REFERER); \ No newline at end of file
+forward(REFERER);
diff --git a/actions/river/delete.php b/actions/river/delete.php
new file mode 100644
index 000000000..0d8297932
--- /dev/null
+++ b/actions/river/delete.php
@@ -0,0 +1,21 @@
+<?php
+/**
+ * River item delete action
+ *
+ * @package Elgg
+ * @subpackage Core
+ */
+
+$id = get_input('id', false);
+
+if ($id !== false && elgg_is_admin_logged_in()) {
+ if (elgg_delete_river(array('id' => $id))) {
+ system_message(elgg_echo('river:delete:success'));
+ } else {
+ register_error(elgg_echo('river:delete:fail'));
+ }
+} else {
+ register_error(elgg_echo('river:delete:fail'));
+}
+
+forward(REFERER);
diff --git a/actions/user/default_access.php b/actions/user/default_access.php
deleted file mode 100644
index ae489dcbe..000000000
--- a/actions/user/default_access.php
+++ /dev/null
@@ -1,35 +0,0 @@
-<?php
-/**
- * Action for changing a user's default access level
- *
- * @package Elgg
- * @subpackage Core
- */
-
-global $CONFIG;
-
-if ($CONFIG->allow_user_default_access) {
- gatekeeper();
-
- $default_access = get_input('default_access');
- $user_id = get_input('guid');
-
- if (!$user_id) {
- $user = get_loggedin_user();
- } else {
- $user = get_entity($user_id);
- }
-
- if ($user) {
- $current_default_access = $user->getPrivateSetting('elgg_default_access');
- if ($default_access !== $current_default_access) {
- if ($user->setPrivateSetting('elgg_default_access', $default_access)) {
- system_message(elgg_echo('user:default_access:success'));
- } else {
- register_error(elgg_echo('user:default_access:fail'));
- }
- }
- } else {
- register_error(elgg_echo('user:default_access:fail'));
- }
-}
diff --git a/actions/user/language.php b/actions/user/language.php
deleted file mode 100644
index 252263cd2..000000000
--- a/actions/user/language.php
+++ /dev/null
@@ -1,31 +0,0 @@
-<?php
-/**
- * Action for changing a user's personal language settings
- *
- * @package Elgg
- * @subpackage Core
- */
-
-gatekeeper();
-
-$language = get_input('language');
-$user_id = get_input('guid');
-
-if (!$user_id) {
- $user = get_loggedin_user();
-} else {
- $user = get_entity($user_id);
-}
-
-if (($user) && ($language)) {
- if (strcmp($language, $user->language) != 0) {
- $user->language = $language;
- if ($user->save()) {
- system_message(elgg_echo('user:language:success'));
- } else {
- register_error(elgg_echo('user:language:fail'));
- }
- }
-} else {
- register_error(elgg_echo('user:language:fail'));
-}
diff --git a/actions/user/name.php b/actions/user/name.php
deleted file mode 100644
index c3e79d725..000000000
--- a/actions/user/name.php
+++ /dev/null
@@ -1,36 +0,0 @@
-<?php
-/**
- * Action for changing a user's name
- *
- * @package Elgg
- * @subpackage Core
- */
-
-gatekeeper();
-
-$name = strip_tags(get_input('name'));
-$user_id = get_input('guid');
-
-if (!$user_id) {
- $user = get_loggedin_user();
-} else {
- $user = get_entity($user_id);
-}
-
-if (elgg_strlen($name) > 50) {
- register_error(elgg_echo('user:name:fail'));
- forward(REFERER);
-}
-
-if (($user) && ($user->canEdit()) && ($name)) {
- if ($name != $user->name) {
- $user->name = $name;
- if ($user->save()) {
- system_message(elgg_echo('user:name:success'));
- } else {
- register_error(elgg_echo('user:name:fail'));
- }
- }
-} else {
- register_error(elgg_echo('user:name:fail'));
-}
diff --git a/actions/user/password.php b/actions/user/password.php
deleted file mode 100644
index ceb9d4585..000000000
--- a/actions/user/password.php
+++ /dev/null
@@ -1,37 +0,0 @@
-<?php
-/**
- * Action for changing a user's password
- *
- * @package Elgg
- * @subpackage Core
- */
-
-gatekeeper();
-
-$password = get_input('password');
-$password2 = get_input('password2');
-$user_id = get_input('guid');
-
-if (!$user_id) {
- $user = get_loggedin_user();
-} else {
- $user = get_entity($user_id);
-}
-
-if (($user) && ($password != "")) {
- if (strlen($password) >= 4) {
- if ($password == $password2) {
- $user->salt = generate_random_cleartext_password(); // Reset the salt
- $user->password = generate_user_password($user, $password);
- if ($user->save()) {
- system_message(elgg_echo('user:password:success'));
- } else {
- register_error(elgg_echo('user:password:fail'));
- }
- } else {
- register_error(elgg_echo('user:password:fail:notsame'));
- }
- } else {
- register_error(elgg_echo('user:password:fail:tooshort'));
- }
-}
diff --git a/actions/user/passwordreset.php b/actions/user/passwordreset.php
index 230dfd68f..201d6abcf 100644
--- a/actions/user/passwordreset.php
+++ b/actions/user/passwordreset.php
@@ -6,8 +6,6 @@
* @subpackage Core
*/
-global $CONFIG;
-
$user_guid = get_input('u');
$code = get_input('c');
diff --git a/actions/user/requestnewpassword.php b/actions/user/requestnewpassword.php
index 5dfa24952..f1d4fa43c 100644
--- a/actions/user/requestnewpassword.php
+++ b/actions/user/requestnewpassword.php
@@ -8,6 +8,11 @@
$username = get_input('username');
+// allow email addresses
+if (strpos($username, '@') !== false && ($users = get_user_by_email($username))) {
+ $username = $users[0]->username;
+}
+
$user = get_user_by_username($username);
if ($user) {
if (send_new_password_request($user->guid)) {
diff --git a/actions/user/spotlight.php b/actions/user/spotlight.php
index 00525254b..202dde387 100644
--- a/actions/user/spotlight.php
+++ b/actions/user/spotlight.php
@@ -7,8 +7,6 @@
* @todo This is deprecated in 1.8
*/
-gatekeeper();
-
$closed = get_input('closed', 'true');
if ($closed != 'true') {
$closed = false;
@@ -16,6 +14,6 @@ if ($closed != 'true') {
$closed = true;
}
-get_loggedin_user()->spotlightclosed = $closed;
+elgg_get_logged_in_user_entity()->spotlightclosed = $closed;
// exit as this action is called through Ajax
exit; \ No newline at end of file
diff --git a/actions/useradd.php b/actions/useradd.php
index 82625ad1b..17459021b 100644
--- a/actions/useradd.php
+++ b/actions/useradd.php
@@ -6,13 +6,12 @@
* @subpackage Core
*/
-admin_gatekeeper(); // Only admins can add a user
+elgg_make_sticky_form('useradd');
// Get variables
-global $CONFIG;
$username = get_input('username');
-$password = get_input('password');
-$password2 = get_input('password2');
+$password = get_input('password', null, false);
+$password2 = get_input('password2', null, false);
$email = get_input('email');
$name = get_input('name');
@@ -21,26 +20,45 @@ if (is_array($admin)) {
$admin = $admin[0];
}
+// no blank fields
+if ($username == '' || $password == '' || $password2 == '' || $email == '' || $name == '') {
+ register_error(elgg_echo('register:fields'));
+ forward(REFERER);
+}
+
+if (strcmp($password, $password2) != 0) {
+ register_error(elgg_echo('RegistrationException:PasswordMismatch'));
+ forward(REFERER);
+}
+
// For now, just try and register the user
try {
$guid = register_user($username, $password, $name, $email, TRUE);
- if (((trim($password) != "") && (strcmp($password, $password2) == 0)) && ($guid)) {
+ if ($guid) {
$new_user = get_entity($guid);
- if (($guid) && ($admin)) {
+ if ($new_user && $admin && elgg_is_admin_logged_in()) {
$new_user->makeAdmin();
}
+ elgg_clear_sticky_form('useradd');
+
$new_user->admin_created = TRUE;
- $new_user->created_by_guid = get_loggedin_userid();
+ // @todo ugh, saving a guid as metadata!
+ $new_user->created_by_guid = elgg_get_logged_in_user_guid();
$subject = elgg_echo('useradd:subject');
- $body = elgg_echo('useradd:body', array($name,
- $CONFIG->site->name, $CONFIG->site->url, $username, $password));
+ $body = elgg_echo('useradd:body', array(
+ $name,
+ elgg_get_site_entity()->name,
+ elgg_get_site_entity()->url,
+ $username,
+ $password,
+ ));
- notify_user($new_user->guid, $CONFIG->site->guid, $subject, $body);
+ notify_user($new_user->guid, elgg_get_site_entity()->guid, $subject, $body);
- system_message(elgg_echo("adduser:ok", array($CONFIG->sitename)));
+ system_message(elgg_echo("adduser:ok", array(elgg_get_site_entity()->name)));
} else {
register_error(elgg_echo("adduser:bad"));
}
diff --git a/actions/usersettings/save.php b/actions/usersettings/save.php
index 6ee33ecd7..eb6cdbd5d 100644
--- a/actions/usersettings/save.php
+++ b/actions/usersettings/save.php
@@ -6,10 +6,6 @@
* @subpackage UserSettings
*/
-global $CONFIG;
-
-gatekeeper();
-
elgg_trigger_plugin_hook('usersettings:save', 'user');
forward(REFERER);
diff --git a/actions/widgets/add.php b/actions/widgets/add.php
index 001c139d8..d7b2f291c 100644
--- a/actions/widgets/add.php
+++ b/actions/widgets/add.php
@@ -6,28 +6,37 @@
* @subpackage Widgets.Management
*/
-$user_guid = get_input('user');
+$owner_guid = get_input('owner_guid');
$handler = get_input('handler');
$context = get_input('context');
+$show_access = (bool)get_input('show_access', true);
$column = get_input('column', 1);
+$default_widgets = get_input('default_widgets', 0);
-if (!empty($user_guid)) {
- $user = get_entity($user_guid);
- if ($user && $user->canEdit()) {
- $guid = elgg_create_widget($user->getGUID(), $handler);
+elgg_push_context($context);
+if ($default_widgets) {
+ elgg_push_context('default_widgets');
+}
+elgg_push_context('widgets');
+
+if (!empty($owner_guid)) {
+ $owner = get_entity($owner_guid);
+ if ($owner && $owner->canEdit()) {
+ $guid = elgg_create_widget($owner->getGUID(), $handler, $context);
if ($guid) {
$widget = get_entity($guid);
- $widget->setContext($context);
+
+ // position the widget
$widget->move($column, 0);
// send widget html for insertion
- echo elgg_view_entity($widget);
+ echo elgg_view_entity($widget, array('show_access' => $show_access));
- system_message(elgg_echo('widgets:add:success'));
+ //system_message(elgg_echo('widgets:add:success'));
forward(REFERER);
}
}
}
register_error(elgg_echo('widgets:add:failure'));
-forward(REFERER); \ No newline at end of file
+forward(REFERER);
diff --git a/actions/widgets/delete.php b/actions/widgets/delete.php
index 167324b0c..47920013d 100644
--- a/actions/widgets/delete.php
+++ b/actions/widgets/delete.php
@@ -6,12 +6,13 @@
* @subpackage Widgets.Management
*/
-$guid = get_input('guid');
+$widget_guid = get_input('widget_guid');
+$owner_guid = get_input('owner_guid', elgg_get_logged_in_user_guid());
-$user = get_loggedin_user();
+$widget = get_entity($widget_guid);
+$owner = get_entity($owner_guid);
-$widget = get_entity($guid);
-if ($widget && $user->canEdit() && $widget->delete()) {
+if ($widget && $owner->canEdit() && $widget->delete()) {
forward(REFERER);
}
diff --git a/actions/widgets/move.php b/actions/widgets/move.php
index 276dcb55e..eab650c9c 100644
--- a/actions/widgets/move.php
+++ b/actions/widgets/move.php
@@ -6,14 +6,16 @@
* @subpackage Widgets.Management
*/
-$guid = get_input('guid');
+$widget_guid = get_input('widget_guid');
$column = get_input('column', 1);
$position = get_input('position');
+$owner_guid = get_input('owner_guid', elgg_get_logged_in_user_guid());
-$user = get_loggedin_user();
+$widget = get_entity($widget_guid);
+$owner = get_entity($owner_guid);
-$widget = get_entity($guid);
-if ($widget && $user->canEdit()) {
+
+if ($widget && $owner->canEdit()) {
$widget->move($column, $position);
forward(REFERER);
}
diff --git a/actions/widgets/save.php b/actions/widgets/save.php
index 13ea90e85..e15deab77 100644
--- a/actions/widgets/save.php
+++ b/actions/widgets/save.php
@@ -4,20 +4,41 @@
*
* @package Elgg.Core
* @subpackage Widgets.Management
+ *
+ * @uses int $_REQUEST['guid'] The guid of the widget to save
+ * @uses array $_REQUEST['params'] An array of params to set on the widget.
+ * @uses int $_REQUEST['default_widgets'] Flag for if these settings are for default wigets.
+ * @uses string $_REQUEST['context'] An optional context of the widget. Used to return
+ * the correct output if widget content changes
+ * depending on context.
+ *
*/
+elgg_set_context('widgets');
+
$guid = get_input('guid');
$params = get_input('params');
+$default_widgets = get_input('default_widgets', 0);
+$context = get_input('context');
-$result = elgg_save_widget_settings($guid, $params);
-
-if (!$result) {
- register_error(elgg_echo('widgets:save:failure'));
+$widget = get_entity($guid);
+if ($widget && $widget->saveSettings($params)) {
+ elgg_set_page_owner_guid($widget->getContainerGUID());
+ if ($context) {
+ elgg_push_context($context);
+ }
+
+ if (!$default_widgets) {
+ if (elgg_view_exists("widgets/$widget->handler/content")) {
+ $view = "widgets/$widget->handler/content";
+ } else {
+ elgg_deprecated_notice("widgets use content as the display view", 1.8);
+ $view = "widgets/$widget->handler/view";
+ }
+ echo elgg_view($view, array('entity' => $widget));
+ }
} else {
- // send back the widget contents
- $widget = get_entity($guid);
- $view = "widgets/$widget->handler/view";
- echo elgg_view($view, array('entity' => $widget));
+ register_error(elgg_echo('widgets:save:failure'));
}
forward(REFERER); \ No newline at end of file
diff --git a/actions/widgets/upgrade.php b/actions/widgets/upgrade.php
new file mode 100644
index 000000000..0a5cf8d48
--- /dev/null
+++ b/actions/widgets/upgrade.php
@@ -0,0 +1,65 @@
+<?php
+/**
+ * Upgrade default widgets for Elgg 1.8
+ *
+ * Pre-1.8, default widgets were stored as metadata on a defaultwidgets object.
+ * Now they are stored as widget objects owned by the site.
+ *
+ * @package Elgg.Core
+ * @subpackage Widgets.Management
+ */
+
+$object = elgg_get_entities(array(
+ 'type' => 'object',
+ 'subtype' => 'moddefaultwidgets',
+ 'limit' => 1,
+));
+
+if (!$object) {
+ forward(REFERER);
+}
+
+$object = $object[0];
+
+$site = elgg_get_site_entity();
+
+$ia = elgg_set_ignore_access(true);
+foreach (array('profile', 'dashboard') as $context) {
+ if (isset($object->$context)) {
+ elgg_push_context($context);
+ elgg_push_context('default_widgets');
+ elgg_push_context('widgets');
+
+ // deserialize the widget information
+ list($left, $middle, $right) = split('%%', $object->$context);
+ $left_widgets = split('::', $left);
+ $middle_widgets = split('::', $middle);
+ $right_widgets = split('::', $right);
+
+ // 1st column is right column in default theme
+ $widgets = array(
+ 1 => array_reverse($right_widgets),
+ 2 => array_reverse($middle_widgets),
+ 3 => array_reverse($left_widgets),
+ );
+
+ foreach ($widgets as $column => $column_widgets) {
+ foreach ($column_widgets as $handler) {
+ $guid = elgg_create_widget($site->getGUID(), $handler, $context);
+ if ($guid) {
+ $widget = get_entity($guid);
+ $widget->move($column, 0);
+ }
+ }
+ }
+
+ elgg_pop_context();
+ elgg_pop_context();
+ elgg_pop_context();
+ }
+}
+elgg_set_ignore_access($ia);
+
+$object->delete();
+system_message(elgg_echo('upgrade:core'));
+forward(REFERER);
diff --git a/crontab.example b/crontab.example
deleted file mode 100644
index b4b55ca04..000000000
--- a/crontab.example
+++ /dev/null
@@ -1,27 +0,0 @@
-# Crontab example.
-#
-# This file is an example of triggering Elgg cron events. Modify and register events
-# as appropriate.
-#
-# See http://docs.elgg.org/wiki/Cron for more information
-#
-# @author Marcus Povey
-
-# Location of GET (see: http://docs.elgg.org/wiki/What_is_get)
-GET='/usr/bin/GET'
-
-# Location of your site (don't forget the trailing slash!)
-ELGG='http://www.example.com/'
-
-# The crontab
-# Don't edit below this line!
-@reboot $GET ${ELGG}pg/cron/reboot/
-* * * * * $GET ${ELGG}pg/cron/minute/
-*/5 * * * * $GET ${ELGG}pg/cron/fiveminute/
-15,30,45,59 * * * * $GET ${ELGG}pg/cron/fifteenmin/
-30,59 * * * * $GET ${ELGG}pg/cron/halfhour/
-@hourly $GET ${ELGG}pg/cron/hourly/
-@daily $GET ${ELGG}pg/cron/daily/
-@weekly $GET ${ELGG}pg/cron/weekly/
-@monthly $GET ${ELGG}pg/cron/monthly/
-@yearly $GET ${ELGG}pg/cron/yearly/
diff --git a/documentation/coding_standards/best_practices.txt b/documentation/coding_standards/best_practices.txt
new file mode 100644
index 000000000..df04aa845
--- /dev/null
+++ b/documentation/coding_standards/best_practices.txt
@@ -0,0 +1,60 @@
+*** CODING BEST PRACTICES ***
+
+The following best practices make code easier to read, easier to maintain,
+and easier to debug. Consistent use of these guidelines means less guess
+work for developers, which means happier, more productive developers.
+
+* Adhere to "Don't Repeat Yourself" (DRY) principles of code design and
+ organization. If you are copy-and-pasting code YOU ARE DOING SOMETHING WRONG.
+ If you find a block of code that you want to use multiple times, make a
+ function. If you find views that are identical except for a single value,
+ pull it out into a generic view that takes an option.
+
+* Whitespace is free. Don't be afraid to use it to separate blocks of code.
+ Use a single space to separate function params and string concatenation.
+
+* Use self-documenting variable names. $group_guids is better than $array.
+
+* Use "positive" variable names. Prefer `$enable = true` to `$disable = false`.
+
+* Functions returning an array should return an empty array instead of FALSE
+ on no results.
+
+* Functions not throwing an exception on error should return FALSE upon
+ failure.
+
+* Functions returning only boolean should be prefaced with is_ or has_ (eg,
+ elgg_is_logged_in(), elgg_has_access_to_entity()).
+
+* Ternary syntax is acceptable for single-line, non-embedded statements.
+
+* Use comments effectively. Good comments describe the "why." Good code
+ describes the "how." Ex:
+ Not a very useful comment:
+
+ // increment $i only when the entity is marked as active.
+ foreach($entities as $entity) {
+ if ($entity->active == TRUE) {
+ $i++;
+ }
+ }
+
+ Useful comment:
+
+ // find the next index for inserting a new active entity.
+ foreach($entities as $entity) {
+ if ($entity->active == TRUE) {
+ $i++;
+ }
+ }
+
+* Use commit messages effectively. Be concise and meaningful. Ex:
+ Not meaningful:
+ Small fix to groups.
+
+ Meaningful:
+ Fixes #1234: Added missing ) that caused a WSOD on group profile page
+ when logged out.
+
+* Commit effectively: Err on the side of atomic commits. One revision with
+ many changes is scary.
diff --git a/documentation/coding_standards/css_coding_standards.txt b/documentation/coding_standards/css_coding_standards.txt
new file mode 100644
index 000000000..195ca345b
--- /dev/null
+++ b/documentation/coding_standards/css_coding_standards.txt
@@ -0,0 +1,67 @@
+*** CSS CODING STANDARDS ***
+
+* Use shorthand where possible:
+ Bad:
+ background-color: #333333;
+ background-image: url(...);
+ background-repeat: repeat-x;
+ background-position: left 10px;
+ padding: 2px 9px 2px 9px;
+
+ Good:
+ background: #333 url(...) repeat-x left 10px;
+ padding: 2px 9px;
+
+* Use hyphens as separators in classes/ids, not underscores:
+ Bad:
+ .example_class
+
+ Good:
+ .example-class
+
+* One property per line
+ Bad:
+ color: white;font-size: smaller;
+
+ Good:
+ color: white;
+ font-size: smaller;
+
+* Property declarations should be spaced like so: `property: value;`
+ Bad:
+ color:value;
+ color :value;
+ color : value;
+
+ Good:
+ color: value;
+
+* Group vendor-prefixes for the same property together:
+* Longest vendor-prefixed version first:
+* Always include non-vendor-prefixed version:
+* Put an extra newline between vendor-prefixed groups and other properties:
+ Bad:
+ -moz-border-radius: 5px;
+ border: 1px solid #999999;
+ -webkit-border-radius: 5px;
+ width: auto;
+
+ Good:
+ border: 1px solid #999999;
+
+ -webkit-border-radius: 5px;
+ -moz-border-radius: 5px;
+ border-radius: 5px;
+
+ width: auto;
+
+* Group declarations of subproperties:
+ Bad:
+ background-color: white;
+ color: #0054A7;
+ background-position: 2px -257px;
+
+ Good:
+ background-color: white;
+ background-position: 2px -257px;
+ color: #0054A7;
diff --git a/documentation/coding_standards/deprecation.txt b/documentation/coding_standards/deprecation.txt
new file mode 100644
index 000000000..370090138
--- /dev/null
+++ b/documentation/coding_standards/deprecation.txt
@@ -0,0 +1,36 @@
+*** DEPRECATING APIs ***
+
+Occasionally, functions and classes must be deprecated in favor of newer
+replacements. Since 3rd party plugin authors rely on a consistent API,
+backward compatibility must be maintained, but will not be maintained
+indefinitely as plugin authors are expected to properly update their
+plugins. In order to maintain backward compatibility, deprecated APIs will
+follow these guidelines:
+
+* Incompatible API changes cannot occur between bugfix versions
+ (1.6.0 - 1.6.1).
+
+* API changes between minor versions (1.6 - 1.7) must maintain backward
+ compatibility for at least 2 minor versions. (1.7 and 1.8. See
+ procedures, below.)
+
+* Bugfixes that change the API cannot be included in bugfix versions.
+
+* API changes between major versions (1.0 - 2.0) can occur without regard to
+ backward compatibility.
+
+The procedure for deprecating an API is as follows:
+
+* The first minor version (1.7) with a deprecated API must include a wrapper
+ function/class (or otherwise appropriate means) to maintain backward
+ compatibility, including any bugs in the original function/class.
+ This compatibility layer uses elgg_deprecated_notice('...', 1.7) to log
+ that the function is deprecated.
+
+* The second minor version (1.8) maintains the backward compatibility
+ layer, but elgg_deprecated_notice() will produce a visible warning.
+
+* The third minor version (1.9) removes the compatibility layer. Any use of
+ the deprecated API should be corrected before this.
+
+The general timeline for two minor releases is 8 to 12 months.
diff --git a/documentation/coding_standards/html_best_practices.txt b/documentation/coding_standards/html_best_practices.txt
new file mode 100644
index 000000000..ac2338a77
--- /dev/null
+++ b/documentation/coding_standards/html_best_practices.txt
@@ -0,0 +1 @@
+*** HTML BEST PRACTICES ***
diff --git a/documentation/coding_standards/javascript_best_practices.txt b/documentation/coding_standards/javascript_best_practices.txt
new file mode 100644
index 000000000..9ec1d0a19
--- /dev/null
+++ b/documentation/coding_standards/javascript_best_practices.txt
@@ -0,0 +1 @@
+*** JAVASCRIPT BEST PRACTICES ***
diff --git a/documentation/coding_standards/javascript_coding_standards.txt b/documentation/coding_standards/javascript_coding_standards.txt
new file mode 100644
index 000000000..9939e80ab
--- /dev/null
+++ b/documentation/coding_standards/javascript_coding_standards.txt
@@ -0,0 +1,13 @@
+*** JAVASCRIPT CODING STANDARDS ***
+
+* Same formatting standards as PHP.
+
+* All functions should be in the elgg namespace.
+
+* Function expressions should end with a semi-colon:
+
+ elgg.ui.toggles = function(event) {
+ event.preventDefault();
+ $(target).slideToggle('medium');
+ };
+
diff --git a/documentation/coding_standards/php_best_practices.txt b/documentation/coding_standards/php_best_practices.txt
new file mode 100644
index 000000000..9e4c63483
--- /dev/null
+++ b/documentation/coding_standards/php_best_practices.txt
@@ -0,0 +1 @@
+*** PHP BEST PRACTICES ***
diff --git a/documentation/coding_standards/php_coding_standards.txt b/documentation/coding_standards/php_coding_standards.txt
new file mode 100644
index 000000000..b7adc5dd9
--- /dev/null
+++ b/documentation/coding_standards/php_coding_standards.txt
@@ -0,0 +1,55 @@
+*** PHP CODING STANDARDS ***
+
+These are the coding standards for Elgg. All core development and bundled
+plugins are required to be in this format. Plugin developers are strongly
+encouraged to adopt these standards.
+
+* Unix line endings.
+
+* Hard tabs, 4 character tab spacing.
+
+* No PHP shortcut tags ( <? or <?= or <% ).
+
+* PHPDoc comments on functions and classes (all methods; declared properties
+ when appropriate).
+
+* Mandatory wrapped {}s around any code blocks.
+ Bad:
+ if (true)
+ foreach($arr as $elem)
+ echo $elem;
+
+ Good:
+ if (true) {
+ foreach ($arr as $elem) {
+ echo $elem;
+ }
+ }
+
+* Name standalone functions using underscore_character().
+
+* Name classes using CamelCase() and methods using lowerCamelCase().
+
+* Name globals and constants in ALL_CAPS (ACCESS_FRIENDS, $CONFIG).
+
+* Use underscores / camel case to separate standard English words in
+ functions, classes, and methods. (get_default_site(), ElggUser->isLoggedIn()).
+
+* Space functions like_this($required, $optional = TRUE).
+
+* Space keywords and constructs like this: if (FALSE) { ... }.
+
+* Correctly use spaces, quotes, and {}s in strings:
+ Bad (hard to read, misuse of quotes and {}s):
+ echo 'Hello, '.$name."! How is your {$time_of_day}?";
+
+ Good:
+ echo "Hello, $name! How is your $time_of_day?";
+
+* Line lengths should be reasonable. If you are writing lines over 100
+ characters on a line, please revise the code.
+
+* Use // or /* */ when commenting.
+
+* No closing PHP tag (?>) at EOF unless after a heredoc. (Avoids problems with
+ trailing whitespace. Required after heredoc by PHP.)
diff --git a/documentation/examples/actions/basic.php b/documentation/examples/actions/basic.php
new file mode 100644
index 000000000..926e11b79
--- /dev/null
+++ b/documentation/examples/actions/basic.php
@@ -0,0 +1,22 @@
+<?php
+/**
+ * Demonstrates adding an annotation through an action
+ *
+ * This action adds a rating annotation to an entity. If this was coming from
+ * a five-star rating tool, the rating would be a number between 0 and 5. The
+ * GUID of the entity being rating is also submitted to the action.
+ */
+
+$rating = get_input('rating');
+$guid = get_input('guid');
+
+$entity = get_entity($guid);
+if (!$entity) {
+ register_error(elgg_echo('rating:failure'));
+ forward(REFERER);
+}
+
+$entity->annotate('rating', $rating);
+
+system_message(elgg_echo('rating:success'));
+forward(REFERER);
diff --git a/documentation/examples/actions/manual_tokens.php b/documentation/examples/actions/manual_tokens.php
deleted file mode 100644
index 8dcf61fb1..000000000
--- a/documentation/examples/actions/manual_tokens.php
+++ /dev/null
@@ -1,6 +0,0 @@
-<?php
-
-$ts = time();
-$token = generate_action_token($ts);
-
-var_dump($ts, $token);
diff --git a/documentation/examples/crontab.example b/documentation/examples/crontab.example
new file mode 100644
index 000000000..f25f5cb07
--- /dev/null
+++ b/documentation/examples/crontab.example
@@ -0,0 +1,28 @@
+# Crontab example.
+#
+# This file is an example of triggering Elgg cron events. It hits a URL to
+# trigger the events. For testing, you can simulate the cronjob by loading the
+# URL in a browser.
+#
+# See http://docs.elgg.org/wiki/Cron for more information
+#
+# @author Marcus Povey
+
+# Location of GET (see: http://docs.elgg.org/wiki/What_is_get)
+GET='/usr/bin/GET'
+
+# Location of your site (don't forget the trailing slash!)
+ELGG='http://www.example.com/'
+
+# The crontab
+# Don't edit below this line unless you know what you are doing
+@reboot $GET ${ELGG}cron/reboot/
+* * * * * $GET ${ELGG}cron/minute/
+*/5 * * * * $GET ${ELGG}cron/fiveminute/
+15,30,45,59 * * * * $GET ${ELGG}cron/fifteenmin/
+30,59 * * * * $GET ${ELGG}cron/halfhour/
+@hourly $GET ${ELGG}cron/hourly/
+@daily $GET ${ELGG}cron/daily/
+@weekly $GET ${ELGG}cron/weekly/
+@monthly $GET ${ELGG}cron/monthly/
+@yearly $GET ${ELGG}cron/yearly/
diff --git a/documentation/examples/events/advanced.php b/documentation/examples/events/advanced.php
index f566e0006..73edea9da 100644
--- a/documentation/examples/events/advanced.php
+++ b/documentation/examples/events/advanced.php
@@ -1,10 +1,13 @@
<?php
+/**
+ * This snippets demonstrates how returning false changes the normal operation
+ * of Elgg.
+ */
elgg_register_event_handler('create', 'object', 'example_event_handler');
-function example_event_handler($event, $type, $params) {
+function example_event_handler($event, $type, $object) {
// Don't allow any non-admin users to create objects
// Returning false from this function will halt the creation of the object.
- return isadminloggedin();
+ return elgg_is_admin_logged_in();
}
-
diff --git a/documentation/examples/events/all.php b/documentation/examples/events/all.php
index 238178312..0ad02c1d4 100644
--- a/documentation/examples/events/all.php
+++ b/documentation/examples/events/all.php
@@ -1,17 +1,24 @@
<?php
+/**
+ * If you register an 'all' string for the event name, the handler function will
+ * be called for all events with that name, regardless of event type. The same
+ * can be done for the event type argument. Registering 'all' for both
+ * argyuments results in a handler being called for every event.
+ */
elgg_register_event_handler('all', 'object', 'example_event_handler');
// This function will be called for any event of type 'object'
-function example_event_handler($event, $type, $params) {
+function example_event_handler($event, $type, $object) {
// check what sort of object is passed
- if ($params instanceof ElggObject) {
- $subtype = $params->getSubtype();
+ if ($object instanceof ElggObject) {
+ $subtype = $object->getSubtype();
- switch($subtype) {
+ switch ($subtype) {
case 'blog':
case 'thewire':
case 'pages':
+ // prevent these object subtypes from being saved or changed
return false;
default:
return true;
@@ -21,4 +28,3 @@ function example_event_handler($event, $type, $params) {
return true;
}
-
diff --git a/documentation/examples/events/basic.php b/documentation/examples/events/basic.php
index 91704e60b..ca2762344 100644
--- a/documentation/examples/events/basic.php
+++ b/documentation/examples/events/basic.php
@@ -1,13 +1,17 @@
<?php
+/**
+ * This snippet demonstrates how to register for an event. It dumps the
+ * parameters that the handler receives to the screen. The third argument
+ * of the handler function is an object that is related to the event. For
+ * the 'init', 'system' eveny, it is null.
+ */
elgg_register_event_handler('init', 'system', 'example_event_handler');
-function example_event_handler($event, $type, $params) {
+function example_event_handler($event, $type, $object) {
var_dump($event);
- var_dump($object_type);
- var_dump($params);
+ var_dump($type);
+ var_dump($object);
return true;
}
-
-
diff --git a/documentation/examples/events/emit.php b/documentation/examples/events/emit.php
deleted file mode 100644
index b917c6dc0..000000000
--- a/documentation/examples/events/emit.php
+++ /dev/null
@@ -1,7 +0,0 @@
-<?php
-
-$params = new ElggObject();
-elgg_trigger_event('test', 'example', $params);
-
-// handlers would be registered by saying
-elgg_register_event_handler('test', 'example', 'example_event_handler');
diff --git a/documentation/examples/events/trigger.php b/documentation/examples/events/trigger.php
new file mode 100644
index 000000000..6ce3a76f0
--- /dev/null
+++ b/documentation/examples/events/trigger.php
@@ -0,0 +1,11 @@
+<?php
+/**
+ * These two snippets demonstrates triggering an event and how to register for
+ * that event.
+ */
+
+$object = new ElggObject();
+elgg_trigger_event('test', 'example', $object);
+
+// elsewhere a handler could be registered by saying
+elgg_register_event_handler('test', 'example', 'example_event_handler');
diff --git a/documentation/examples/hooks/advanced.php b/documentation/examples/hooks/advanced.php
new file mode 100644
index 000000000..ca036c46a
--- /dev/null
+++ b/documentation/examples/hooks/advanced.php
@@ -0,0 +1,28 @@
+<?php
+/**
+ * This snippet demonstrates how to change the value of a hook. The content
+ * passed into the hook is 'This is some Sample Content.'. After the two hook
+ * handlers are done, the new content is 'This is some $@mple Content.'.
+ */
+
+// the output:page hook is triggered by elgg_view_page().
+elgg_register_plugin_hook_handler('output', 'page', 'example_plugin_hook_handler', 600);
+elgg_register_plugin_hook_handler('output', 'page', 'example_plugin_hook_handler_2', 601);
+
+function example_plugin_hook_handler($hook, $type, $value, $params) {
+ // change a to @
+ $value = str_replace('a', '@', $value);
+
+ return $value;
+}
+
+function example_plugin_hook_handler_2($hook, $type, $value, $params) {
+ // change S to $
+ $value = str_replace('S', '$', $value);
+
+ return $value;
+}
+
+$content = 'This is some Sample Content.';
+
+echo elgg_view_page('Title', $content);
diff --git a/documentation/examples/hooks/all.php b/documentation/examples/hooks/all.php
new file mode 100644
index 000000000..76b562335
--- /dev/null
+++ b/documentation/examples/hooks/all.php
@@ -0,0 +1,12 @@
+<?php
+/**
+ * This snippet demonstrates how to register for multiple hooks with the same
+ * type.
+ */
+
+elgg_register_plugin_hook_handler('all', 'system', 'example_plugin_hook_handler');
+
+// This function will be called for any hook of type 'system'
+function example_plugin_hook_handler($hook, $type, $value, $params) {
+ // logic here.
+}
diff --git a/documentation/examples/hooks/basic.php b/documentation/examples/hooks/basic.php
index 71076ee96..734d9e884 100644
--- a/documentation/examples/hooks/basic.php
+++ b/documentation/examples/hooks/basic.php
@@ -1,34 +1,17 @@
<?php
+/**
+ * The handler for a plugin hook receives information about the hook (name and
+ * type), the current value for the hook, and parameters related to the hook.
+ */
-elgg_register_plugin_hook_handler('get_items', 'example', 'example_plugin_hook');
-elgg_register_plugin_hook_handler('get_items', 'example', 'example_plugin_hook_2');
+elgg_register_plugin_hook_handler('forward', '404', 'example_plugin_hook_handler');
-$params = array('username' => 'Joe');
-$items = elgg_trigger_plugin_hook('get_items', 'example', $params, $default);
+function example_plugin_hook_handler($hook, $type, $value, $params) {
+ var_dump($hook);
+ var_dump($type);
+ var_dump($value);
+ var_dump($params);
-var_dump($items);
-
-function example_plugin_hook($hook, $type, $value, $params) {
- if (is_array($value)) {
- $value[] = "Hook Value 1";
- $value[] = "Hook Value 2";
- }
-
- return $value;
-}
-
-function example_plugin_hook_2($hook, $type, $value, $params) {
- $username = isset($params['username']) ? $params['username'] : NULL;
- if (is_array($value)) {
- switch($username) {
- case 'Joe':
- $value[] = "Joe's item";
- break;
- case 'John':
- $value[] = "Joe's item";
- break;
- }
- }
-
- return $value;
+ // we are not changing $value so return null
+ return null;
}
diff --git a/documentation/examples/hooks/register/advanced.php b/documentation/examples/hooks/register/advanced.php
deleted file mode 100644
index e3951c19c..000000000
--- a/documentation/examples/hooks/register/advanced.php
+++ /dev/null
@@ -1,23 +0,0 @@
-<?php
-
-// the output:page hook is triggered by elgg_view_page().
-elgg_register_plugin_hook_handler('output', 'page', 'example_plugin_hook_handler', 600);
-elgg_register_plugin_hook_handler('output', 'page', 'example_plugin_hook_handler_2', 601);
-
-function example_plugin_hook_handler($event, $type, $value, $params) {
- // change A to @
- $value = str_replace('A', '@', $value);
-
- return $value;
-}
-
-function example_plugin_hook_handler_2($event, $type, $value, $params) {
- // change S to $
- $value = str_replace('S', '$', $value);
-
- return $value;
-}
-
-$content = 'This is some Sample Content.';
-
-echo elgg_view_page('Title', $content); \ No newline at end of file
diff --git a/documentation/examples/hooks/register/all.php b/documentation/examples/hooks/register/all.php
deleted file mode 100644
index 0ff19bc86..000000000
--- a/documentation/examples/hooks/register/all.php
+++ /dev/null
@@ -1,8 +0,0 @@
-<?php
-
-elgg_register_plugin_hook_handler('all', 'system', 'example_plugin_hook_handler');
-
-// This function will be called for any hook of type 'system'
-function example_plugin_hook_handler($hook, $type, $value, $params) {
- // logic here.
-}
diff --git a/documentation/examples/hooks/register/basic.php b/documentation/examples/hooks/register/basic.php
deleted file mode 100644
index 20493e200..000000000
--- a/documentation/examples/hooks/register/basic.php
+++ /dev/null
@@ -1,14 +0,0 @@
-<?php
-
-elgg_register_plugin_hook_handler('forward', 'system', 'example_plugin_hook_handler');
-
-function example_plugin_hook_handler($event, $type, $value, $params) {
- var_dump($event);
- var_dump($type);
- var_dump($value);
- var_dump($params);
-
- return true;
-}
-
-
diff --git a/documentation/examples/hooks/register/emit.php b/documentation/examples/hooks/register/emit.php
deleted file mode 100644
index 8382d72ca..000000000
--- a/documentation/examples/hooks/register/emit.php
+++ /dev/null
@@ -1,7 +0,0 @@
-<?php
-
-// @todo this is an event, not a hook
-elgg_register_event_handler('test', 'example', 'example_init_system_callback');
-
-$params = new ElggObject();
-elgg_trigger_event('test', 'example', $params);
diff --git a/documentation/examples/hooks/trigger.php b/documentation/examples/hooks/trigger.php
new file mode 100644
index 000000000..4216fd6c0
--- /dev/null
+++ b/documentation/examples/hooks/trigger.php
@@ -0,0 +1,14 @@
+<?php
+/**
+ * The current value for the hook is passed into the trigger function. Handlers
+ * can change this value. In this snippet, we check if the value of true was
+ * changed by the handler functions.
+ */
+
+$result = elgg_trigger_plugin_hook('get_status', 'example', null, true);
+
+if ($result) {
+ var_dump('Plugin hook says ok!');
+} else {
+ var_dump('Plugin hook says no.');
+}
diff --git a/documentation/examples/hooks/trigger/advanced.php b/documentation/examples/hooks/trigger/advanced.php
deleted file mode 100644
index 5901a06e0..000000000
--- a/documentation/examples/hooks/trigger/advanced.php
+++ /dev/null
@@ -1,9 +0,0 @@
-<?php
-
-$default = array('Entry 1', 'Entry 2', 'Entry 3');
-
-$menu = elgg_trigger_plugin_hook('get_menu_items', 'menu', null, $default);
-
-foreach ($menu as $item) {
- var_dump($item);
-}
diff --git a/documentation/examples/hooks/trigger/basic.php b/documentation/examples/hooks/trigger/basic.php
deleted file mode 100644
index ea27a8a98..000000000
--- a/documentation/examples/hooks/trigger/basic.php
+++ /dev/null
@@ -1,9 +0,0 @@
-<?php
-
-$result = elgg_trigger_plugin_hook('get_status', 'example', null, true);
-
-if ($result) {
- var_dump('Plugin hook says ok!');
-} else {
- var_dump('Plugin hook says no.');
-}
diff --git a/documentation/examples/plugins/README.txt b/documentation/examples/plugins/README.txt
new file mode 100644
index 000000000..704f56598
--- /dev/null
+++ b/documentation/examples/plugins/README.txt
@@ -0,0 +1,5 @@
+Plugin Skeleton
+=========================
+This directory includes a plugin skeleton to be used as the starting point when
+creating a new plugin. Just create a new directory in /mod/ and copy the files
+and directories into it. Then update the manifest and start coding. \ No newline at end of file
diff --git a/documentation/examples/events/basic.php.out b/documentation/examples/plugins/actions/.gitignore
index e69de29bb..e69de29bb 100644
--- a/documentation/examples/events/basic.php.out
+++ b/documentation/examples/plugins/actions/.gitignore
diff --git a/documentation/examples/plugins/languages/en.php b/documentation/examples/plugins/languages/en.php
new file mode 100644
index 000000000..35f838560
--- /dev/null
+++ b/documentation/examples/plugins/languages/en.php
@@ -0,0 +1,24 @@
+<?php
+/**
+ * The core language file is in /languages/en.php and each plugin has its
+ * language files in a languages directory. To change a string, copy the
+ * mapping into this file.
+ *
+ * For example, to change the blog Tools menu item
+ * from "Blog" to "Rantings", copy this pair:
+ * 'blog' => "Blog",
+ * into the $mapping array so that it looks like:
+ * 'blog' => "Rantings",
+ *
+ * Follow this pattern for any other string you want to change. Make sure this
+ * plugin is lower in the plugin list than any plugin that it is modifying.
+ *
+ * If you want to add languages other than English, name the file according to
+ * the language's ISO 639-1 code: http://en.wikipedia.org/wiki/List_of_ISO_639-1_codes
+ */
+
+$mapping = array(
+ 'string:here' => 'Display string here',
+);
+
+add_translation('en', $mapping);
diff --git a/documentation/examples/plugins/manifest.xml b/documentation/examples/plugins/manifest.xml
new file mode 100644
index 000000000..e31624432
--- /dev/null
+++ b/documentation/examples/plugins/manifest.xml
@@ -0,0 +1,18 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<plugin_manifest xmlns="http://www.elgg.org/plugin_manifest/1.8">
+ <name>My Plugin</name>
+ <author>My Name</author>
+ <version>1.0</version>
+ <description>This is a description of my plugin and its features.</description>
+ <website>http://www.elgg.org/</website>
+ <copyright>(C) My Name or Company 2012</copyright>
+ <license>GNU General Public License version 2</license>
+
+ <requires>
+ <type>elgg_release</type>
+ <version>1.8</version>
+ </requires>
+
+ <category>communication</category>
+
+</plugin_manifest>
diff --git a/documentation/examples/plugins/start.php b/documentation/examples/plugins/start.php
new file mode 100644
index 000000000..3af50ce38
--- /dev/null
+++ b/documentation/examples/plugins/start.php
@@ -0,0 +1,22 @@
+<?php
+/**
+ * Describe plugin here
+ */
+
+elgg_register_event_handler('init', 'system', 'my_plugin_init');
+
+function my_plugin_init() {
+ // Rename this function based on the name of your plugin and update the
+ // elgg_register_event_handler() call accordingly
+
+ // Register a script to handle (usually) a POST request (an action)
+ $base_dir = elgg_get_plugins_path() . 'my_plugin/actions/my_plugin';
+ elgg_register_action('my_plugin', "$base_dir/my_action.php");
+
+ // Extend the main CSS file
+ elgg_extend_view('css/elgg', 'my_plugin/css');
+
+ // Add a menu item to the main site menu
+ $item = new ElggMenuItem('my_plugin', elgg_echo('my_plugin:menu'), 'my_url');
+ elgg_register_menu_item('site', $item);
+}
diff --git a/documentation/examples/hooks/register/basic.php.out b/documentation/examples/plugins/views/default/.gitignore
index e69de29bb..e69de29bb 100644
--- a/documentation/examples/hooks/register/basic.php.out
+++ b/documentation/examples/plugins/views/default/.gitignore
diff --git a/documentation/stubs/config.php b/documentation/info/config.php
index 86ba54e6d..b45428477 100644
--- a/documentation/stubs/config.php
+++ b/documentation/info/config.php
@@ -106,7 +106,7 @@ $CONFIG->registered_tag_metadata_names;
/**
* An associative array of page handlers and their function names.
*
- * Page handlers must be registered by {@link register_page_handler()} and
+ * Page handlers must be registered by {@link elgg_register_page_handler()} and
* will be dispatched by {@link engine/handlers/pagehandler.php} to the
* proper function.
*
@@ -218,11 +218,11 @@ $CONFIG->dataroot;
$CONFIG->simplecache_enabled;
/**
- * Is view paths cache enabled
+ * Is the system cache enabled
*
- * @global string $CONFIG->viewpath_cache_enabled
+ * @global string $CONFIG->system_cache_enabled
*/
-$CONFIG->viewpath_cache_enabled;
+$CONFIG->system_cache_enabled;
/**
* The site description from the current site object.
diff --git a/documentation/info/manifest.xml b/documentation/info/manifest.xml
new file mode 100644
index 000000000..4fd4be8ce
--- /dev/null
+++ b/documentation/info/manifest.xml
@@ -0,0 +1,98 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<plugin_manifest xmlns="http://www.elgg.org/plugin_manifest/1.8">
+ <name>My Plugin</name>
+ <author>Elgg</author>
+ <version>1.0</version>
+ <blurb>A concise description.</blurb>
+ <description>This is a longer, more interesting description of my plugin, its features, and other important information.</description>
+ <website>http://www.elgg.org/</website>
+ <repository>https://github.com/Elgg/Elgg</repository>
+ <bugtracker>https://github.com/Elgg/Elgg/issues</bugtracker>
+ <donations>http://elgg.org/supporter.php</donations>
+ <copyright>(C) Elgg 2011</copyright>
+ <license>GNU General Public License version 2</license>
+
+ <requires>
+ <type>elgg_version</type>
+ <version>2009030802</version>
+ </requires>
+
+ <requires>
+ <type>elgg_release</type>
+ <version>1.8</version>
+ </requires>
+
+ <screenshot>
+ <description>An example screenshot</description>
+ <path>graphics/plugin_ss1.png</path>
+ </screenshot>
+
+ <screenshot>
+ <description>Another screenshot</description>
+ <path>graphics/plugin_ss2.png</path>
+ </screenshot>
+
+ <category>admin</category>
+ <category>api</category>
+
+ <requires>
+ <type>php_extension</type>
+ <name>gd</name>
+ </requires>
+
+ <requires>
+ <type>php_ini</type>
+ <name>short_open_tag</name>
+ <value>off</value>
+ </requires>
+
+ <requires>
+ <type>php_extension</type>
+ <name>made_up</name>
+ <version>1.0</version>
+ </requires>
+
+ <requires>
+ <type>plugin</type>
+ <name>fake_plugin</name>
+ <version>1.0</version>
+ </requires>
+
+ <requires>
+ <type>plugin</type>
+ <name>profile</name>
+ <version>1.0</version>
+ </requires>
+
+ <requires>
+ <type>plugin</type>
+ <name>profile_api</name>
+ <version>1.3</version>
+ <comparison>lt</comparison>
+ </requires>
+
+ <requires>
+ <type>priority</type>
+ <priority>after</priority>
+ <plugin>blog</plugin>
+ </requires>
+
+ <conflicts>
+ <type>plugin</type>
+ <name>profile_api</name>
+ <version>1.0</version>
+ </conflicts>
+
+ <provides>
+ <type>plugin</type>
+ <name>profile_api</name>
+ <version>1.3</version>
+ </provides>
+
+ <provides>
+ <type>php_extension</type>
+ <name>curl</name>
+ <version>1.0</version>
+ </provides>
+
+</plugin_manifest>
diff --git a/engine/classes/APIException.php b/engine/classes/APIException.php
index 377538c36..b6e1c347b 100644
--- a/engine/classes/APIException.php
+++ b/engine/classes/APIException.php
@@ -8,4 +8,4 @@
* @package Elgg.Core
* @subpackage Exceptions.Stub
*/
-class APIException extends Exception {} \ No newline at end of file
+class APIException extends Exception {}
diff --git a/engine/classes/CallException.php b/engine/classes/CallException.php
index 02c580a52..22b8f14f5 100644
--- a/engine/classes/CallException.php
+++ b/engine/classes/CallException.php
@@ -7,4 +7,4 @@
* @package Elgg.Core
* @subpackage Exceptions.Stub
*/
-class CallException extends Exception {} \ No newline at end of file
+class CallException extends Exception {}
diff --git a/engine/classes/ClassNotFoundException.php b/engine/classes/ClassNotFoundException.php
index 5170b5333..6a9bcd327 100644
--- a/engine/classes/ClassNotFoundException.php
+++ b/engine/classes/ClassNotFoundException.php
@@ -7,4 +7,4 @@
* @package Elgg.Core
* @subpackage Exceptions
*/
-class ClassNotFoundException extends ClassException {} \ No newline at end of file
+class ClassNotFoundException extends ClassException {}
diff --git a/engine/classes/CronException.php b/engine/classes/CronException.php
index 3604c21b9..86370ef31 100644
--- a/engine/classes/CronException.php
+++ b/engine/classes/CronException.php
@@ -7,4 +7,4 @@
* @package Elgg
* @subpackage Exceptions.Stub
*/
-class CronException extends Exception {} \ No newline at end of file
+class CronException extends Exception {}
diff --git a/engine/classes/ElggAccess.php b/engine/classes/ElggAccess.php
index 68607962b..0aed477fc 100644
--- a/engine/classes/ElggAccess.php
+++ b/engine/classes/ElggAccess.php
@@ -16,6 +16,7 @@ class ElggAccess {
*/
private $ignore_access;
+ // @codingStandardsIgnoreStart
/**
* Get current ignore access setting.
*
@@ -26,6 +27,7 @@ class ElggAccess {
elgg_deprecated_notice('ElggAccess::get_ignore_access() is deprecated by ElggAccess::getIgnoreAccess()', 1.8);
return $this->getIgnoreAccess();
}
+ // @codingStandardsIgnoreEnd
/**
* Get current ignore access setting.
@@ -36,6 +38,7 @@ class ElggAccess {
return $this->ignore_access;
}
+ // @codingStandardsIgnoreStart
/**
* Set ignore access.
*
@@ -49,6 +52,7 @@ class ElggAccess {
elgg_deprecated_notice('ElggAccess::set_ignore_access() is deprecated by ElggAccess::setIgnoreAccess()', 1.8);
return $this->setIgnoreAccess($ignore);
}
+ // @codingStandardsIgnoreEnd
/**
* Set ignore access.
@@ -63,4 +67,4 @@ class ElggAccess {
return $prev;
}
-} \ No newline at end of file
+}
diff --git a/engine/classes/ElggAnnotation.php b/engine/classes/ElggAnnotation.php
index 913d909c5..175e7049d 100644
--- a/engine/classes/ElggAnnotation.php
+++ b/engine/classes/ElggAnnotation.php
@@ -11,6 +11,9 @@
* @package Elgg.Core
* @subpackage DataModel.Annotations
* @link http://docs.elgg.org/DataModel/Annotations
+ *
+ * @property string $value_type
+ * @property string $enabled
*/
class ElggAnnotation extends ElggExtender {
@@ -28,26 +31,26 @@ class ElggAnnotation extends ElggExtender {
}
/**
- * Construct a new annotation, optionally from a given id value or db object.
+ * Construct a new annotation object
*
- * @param mixed $id The annotation ID
+ * @param mixed $id The annotation ID or a database row as stdClass object
*/
function __construct($id = null) {
$this->initializeAttributes();
if (!empty($id)) {
+ // Create from db row
if ($id instanceof stdClass) {
$annotation = $id;
- } else {
- $annotation = get_annotation($id);
- }
- if ($annotation) {
$objarray = (array) $annotation;
-
foreach ($objarray as $key => $value) {
$this->attributes[$key] = $value;
}
+ } else {
+ // get an ElggAnnotation object and copy its attributes
+ $annotation = elgg_get_annotation_from_id($id);
+ $this->attributes = $annotation->attributes;
}
}
}
@@ -56,6 +59,8 @@ class ElggAnnotation extends ElggExtender {
* Save this instance
*
* @return int an object id
+ *
+ * @throws IOException
*/
function save() {
if ($this->id > 0) {
@@ -78,7 +83,28 @@ class ElggAnnotation extends ElggExtender {
* @return bool
*/
function delete() {
- return delete_annotation($this->id);
+ elgg_delete_river(array('annotation_id' => $this->id));
+ return elgg_delete_metastring_based_object_by_id($this->id, 'annotations');
+ }
+
+ /**
+ * Disable the annotation.
+ *
+ * @return bool
+ * @since 1.8
+ */
+ function disable() {
+ return elgg_set_metastring_based_object_enabled_by_id($this->id, 'no', 'annotations');
+ }
+
+ /**
+ * Enable the annotation.
+ *
+ * @return bool
+ * @since 1.8
+ */
+ function enable() {
+ return elgg_set_metastring_based_object_enabled_by_id($this->id, 'yes', 'annotations');
}
/**
@@ -102,6 +128,6 @@ class ElggAnnotation extends ElggExtender {
* @return ElggAnnotation
*/
public function getObjectFromID($id) {
- return get_annotation($id);
+ return elgg_get_annotation_from_id($id);
}
-} \ No newline at end of file
+}
diff --git a/engine/classes/ElggAttributeLoader.php b/engine/classes/ElggAttributeLoader.php
new file mode 100644
index 000000000..ffc80b02d
--- /dev/null
+++ b/engine/classes/ElggAttributeLoader.php
@@ -0,0 +1,248 @@
+<?php
+
+/**
+ * Loads ElggEntity attributes from DB or validates those passed in via constructor
+ *
+ * @access private
+ *
+ * @package Elgg.Core
+ * @subpackage DataModel
+ */
+class ElggAttributeLoader {
+
+ /**
+ * @var array names of attributes in all entities
+ */
+ protected static $primary_attr_names = array(
+ 'guid',
+ 'type',
+ 'subtype',
+ 'owner_guid',
+ 'container_guid',
+ 'site_guid',
+ 'access_id',
+ 'time_created',
+ 'time_updated',
+ 'last_action',
+ 'enabled',
+ );
+
+ /**
+ * @var array names of secondary attributes required for the entity
+ */
+ protected $secondary_attr_names = array();
+
+ /**
+ * @var string entity type (not class) required for fetched primaries
+ */
+ protected $required_type;
+
+ /**
+ * @var array
+ */
+ protected $initialized_attributes;
+
+ /**
+ * @var string class of object being loaded
+ */
+ protected $class;
+
+ /**
+ * @var bool should access control be considered when fetching entity?
+ */
+ public $requires_access_control = true;
+
+ /**
+ * @var callable function used to load attributes from {prefix}entities table
+ */
+ public $primary_loader = 'get_entity_as_row';
+
+ /**
+ * @var callable function used to load attributes from secondary table
+ */
+ public $secondary_loader = '';
+
+ /**
+ * @var callable function used to load all necessary attributes
+ */
+ public $full_loader = '';
+
+ /**
+ * Constructor
+ *
+ * @param string $class class of object being loaded
+ * @param string $required_type entity type this is being used to populate
+ * @param array $initialized_attrs attributes after initializeAttributes() has been run
+ * @throws InvalidArgumentException
+ */
+ public function __construct($class, $required_type, array $initialized_attrs) {
+ if (!is_string($class)) {
+ throw new InvalidArgumentException('$class must be a class name.');
+ }
+ $this->class = $class;
+
+ if (!is_string($required_type)) {
+ throw new InvalidArgumentException('$requiredType must be a system entity type.');
+ }
+ $this->required_type = $required_type;
+
+ $this->initialized_attributes = $initialized_attrs;
+ unset($initialized_attrs['tables_split'], $initialized_attrs['tables_loaded']);
+ $all_attr_names = array_keys($initialized_attrs);
+ $this->secondary_attr_names = array_diff($all_attr_names, self::$primary_attr_names);
+ }
+
+ /**
+ * Get primary attributes missing that are missing
+ *
+ * @param stdClass $row Database row
+ * @return array
+ */
+ protected function isMissingPrimaries($row) {
+ return array_diff(self::$primary_attr_names, array_keys($row)) !== array();
+ }
+
+ /**
+ * Get secondary attributes that are missing
+ *
+ * @param stdClass $row Database row
+ * @return array
+ */
+ protected function isMissingSecondaries($row) {
+ return array_diff($this->secondary_attr_names, array_keys($row)) !== array();
+ }
+
+ /**
+ * Check that the type is correct
+ *
+ * @param stdClass $row Database row
+ * @return void
+ * @throws InvalidClassException
+ */
+ protected function checkType($row) {
+ if ($row['type'] !== $this->required_type) {
+ $msg = elgg_echo('InvalidClassException:NotValidElggStar', array($row['guid'], $this->class));
+ throw new InvalidClassException($msg);
+ }
+ }
+
+ /**
+ * Get all required attributes for the entity, validating any that are passed in. Returns empty array
+ * if can't be loaded (Check $failure_reason).
+ *
+ * This function splits loading between "primary" attributes (those in {prefix}entities table) and
+ * "secondary" attributes (e.g. those in {prefix}objects_entity), but can load all at once if a
+ * combined loader is available.
+ *
+ * @param mixed $row a row loaded from DB (array or stdClass) or a GUID
+ * @return array will be empty if failed to load all attributes (access control or entity doesn't exist)
+ *
+ * @throws InvalidArgumentException|LogicException|IncompleteEntityException
+ */
+ public function getRequiredAttributes($row) {
+ if (!is_array($row) && !($row instanceof stdClass)) {
+ // assume row is the GUID
+ $row = array('guid' => $row);
+ }
+ $row = (array) $row;
+ if (empty($row['guid'])) {
+ throw new InvalidArgumentException('$row must be or contain a GUID');
+ }
+
+ // these must be present to support isFullyLoaded()
+ foreach (array('tables_split', 'tables_loaded') as $key) {
+ if (isset($this->initialized_attributes[$key])) {
+ $row[$key] = $this->initialized_attributes[$key];
+ }
+ }
+
+ $was_missing_primaries = $this->isMissingPrimaries($row);
+ $was_missing_secondaries = $this->isMissingSecondaries($row);
+
+ // some types have a function to load all attributes at once, it should be faster
+ if (($was_missing_primaries || $was_missing_secondaries) && is_callable($this->full_loader)) {
+ $fetched = (array) call_user_func($this->full_loader, $row['guid']);
+ if (!$fetched) {
+ return array();
+ }
+ $row = array_merge($row, $fetched);
+ $this->checkType($row);
+ } else {
+ if ($was_missing_primaries) {
+ if (!is_callable($this->primary_loader)) {
+ throw new LogicException('Primary attribute loader must be callable');
+ }
+ if ($this->requires_access_control) {
+ $fetched = (array) call_user_func($this->primary_loader, $row['guid']);
+ } else {
+ $ignoring_access = elgg_set_ignore_access();
+ $fetched = (array) call_user_func($this->primary_loader, $row['guid']);
+ elgg_set_ignore_access($ignoring_access);
+ }
+ if (!$fetched) {
+ return array();
+ }
+ $row = array_merge($row, $fetched);
+ }
+
+ // We must test type before trying to load the secondaries so that InvalidClassException
+ // gets thrown. Otherwise the secondary loader will fail and return false.
+ $this->checkType($row);
+
+ if ($was_missing_secondaries) {
+ if (!is_callable($this->secondary_loader)) {
+ throw new LogicException('Secondary attribute loader must be callable');
+ }
+ $fetched = (array) call_user_func($this->secondary_loader, $row['guid']);
+ if (!$fetched) {
+ if ($row['type'] === 'site') {
+ // A special case is needed for sites: When vanilla ElggEntities are created and
+ // saved, these are stored w/ type "site", but with no sites_entity row. These
+ // are probably only created in the unit tests.
+ // @todo Don't save vanilla ElggEntities with type "site"
+
+ $row = $this->filterAddedColumns($row);
+ $row['guid'] = (int) $row['guid'];
+ return $row;
+ }
+ throw new IncompleteEntityException("Secondary loader failed to return row for {$row['guid']}");
+ }
+ $row = array_merge($row, $fetched);
+ }
+ }
+
+ $row = $this->filterAddedColumns($row);
+
+ // Note: If there are still missing attributes, we're running on a 1.7 or earlier schema. We let
+ // this pass so the upgrades can run.
+
+ // guid needs to be an int https://github.com/elgg/elgg/issues/4111
+ $row['guid'] = (int) $row['guid'];
+
+ return $row;
+ }
+
+ /**
+ * Filter out keys returned by the query which should not appear in the entity's attributes
+ *
+ * @param array $row All columns from the query
+ * @return array Columns acceptable for the entity's attributes
+ */
+ protected function filterAddedColumns($row) {
+ // make an array with keys as acceptable attribute names
+ $acceptable_attrs = self::$primary_attr_names;
+ array_splice($acceptable_attrs, count($acceptable_attrs), 0, $this->secondary_attr_names);
+ $acceptable_attrs = array_combine($acceptable_attrs, $acceptable_attrs);
+
+ // @todo remove these when #4584 is in place
+ $acceptable_attrs['tables_split'] = true;
+ $acceptable_attrs['tables_loaded'] = true;
+
+ foreach ($row as $key => $val) {
+ if (!isset($acceptable_attrs[$key])) {
+ unset($row[$key]);
+ }
+ }
+ return $row;
+ }
+}
diff --git a/engine/classes/ElggAutoP.php b/engine/classes/ElggAutoP.php
new file mode 100644
index 000000000..05842d1b2
--- /dev/null
+++ b/engine/classes/ElggAutoP.php
@@ -0,0 +1,336 @@
+<?php
+
+/**
+ * Create wrapper P and BR elements in HTML depending on newlines. Useful when
+ * users use newlines to signal line and paragraph breaks. In all cases output
+ * should be well-formed markup.
+ *
+ * In DIV elements, Ps are only added when there would be at
+ * least two of them.
+ *
+ * @package Elgg.Core
+ * @subpackage Output
+ */
+class ElggAutoP {
+
+ public $encoding = 'UTF-8';
+
+ /**
+ * @var DOMDocument
+ */
+ protected $_doc = null;
+
+ /**
+ * @var DOMXPath
+ */
+ protected $_xpath = null;
+
+ protected $_blocks = 'address article area aside blockquote caption col colgroup dd
+ details div dl dt fieldset figure figcaption footer form h1 h2 h3 h4 h5 h6 header
+ hr hgroup legend map math menu nav noscript p pre section select style summary
+ table tbody td tfoot th thead tr ul ol option li';
+
+ /**
+ * @var array
+ */
+ protected $_inlines = 'a abbr audio b button canvas caption cite code command datalist
+ del dfn em embed i iframe img input ins kbd keygen label map mark meter object
+ output progress q rp rt ruby s samp script select small source span strong style
+ sub sup textarea time var video wbr';
+
+ /**
+ * Descend into these elements to add Ps
+ *
+ * @var array
+ */
+ protected $_descendList = 'article aside blockquote body details div footer form
+ header section';
+
+ /**
+ * Add Ps inside these elements
+ *
+ * @var array
+ */
+ protected $_alterList = 'article aside blockquote body details div footer header
+ section';
+
+ /** @var string */
+ protected $_unique = '';
+
+ /**
+ * Constructor
+ */
+ public function __construct() {
+ $this->_blocks = preg_split('@\\s+@', $this->_blocks);
+ $this->_descendList = preg_split('@\\s+@', $this->_descendList);
+ $this->_alterList = preg_split('@\\s+@', $this->_alterList);
+ $this->_inlines = preg_split('@\\s+@', $this->_inlines);
+ $this->_unique = md5(__FILE__);
+ }
+
+ /**
+ * Intance of class for singleton pattern.
+ * @var ElggAutoP
+ */
+ private static $instance;
+
+ /**
+ * Singleton pattern.
+ * @return ElggAutoP
+ */
+ public static function getInstance() {
+ $className = __CLASS__;
+ if (!(self::$instance instanceof $className)) {
+ self::$instance = new $className();
+ }
+ return self::$instance;
+ }
+
+ /**
+ * Create wrapper P and BR elements in HTML depending on newlines. Useful when
+ * users use newlines to signal line and paragraph breaks. In all cases output
+ * should be well-formed markup.
+ *
+ * In DIV, LI, TD, and TH elements, Ps are only added when their would be at
+ * least two of them.
+ *
+ * @param string $html snippet
+ * @return string|false output or false if parse error occurred
+ */
+ public function process($html) {
+ // normalize whitespace
+ $html = str_replace(array("\r\n", "\r"), "\n", $html);
+
+ // allows preserving entities untouched
+ $html = str_replace('&', $this->_unique . 'AMP', $html);
+
+ $this->_doc = new DOMDocument();
+
+ // parse to DOM, suppressing loadHTML warnings
+ // http://www.php.net/manual/en/domdocument.loadhtml.php#95463
+ libxml_use_internal_errors(true);
+
+ // Do not load entities. May be unnecessary, better safe than sorry
+ $disable_load_entities = libxml_disable_entity_loader(true);
+
+ if (!$this->_doc->loadHTML("<html><meta http-equiv='content-type' "
+ . "content='text/html; charset={$this->encoding}'><body>{$html}</body>"
+ . "</html>")) {
+
+ libxml_disable_entity_loader($disable_load_entities);
+ return false;
+ }
+
+ libxml_disable_entity_loader($disable_load_entities);
+
+ $this->_xpath = new DOMXPath($this->_doc);
+ // start processing recursively at the BODY element
+ $nodeList = $this->_xpath->query('//body[1]');
+ $this->addParagraphs($nodeList->item(0));
+
+ // serialize back to HTML
+ $html = $this->_doc->saveHTML();
+
+ // Note: we create <autop> elements, which will later be converted to paragraphs
+
+ // split AUTOPs into multiples at /\n\n+/
+ $html = preg_replace('/(' . $this->_unique . 'NL){2,}/', '</autop><autop>', $html);
+ $html = str_replace(array($this->_unique . 'BR', $this->_unique . 'NL', '<br>'),
+ '<br />',
+ $html);
+ $html = str_replace('<br /></autop>', '</autop>', $html);
+
+ // re-parse so we can handle new AUTOP elements
+
+ // Do not load entities. May be unnecessary, better safe than sorry
+ $disable_load_entities = libxml_disable_entity_loader(true);
+
+ if (!$this->_doc->loadHTML($html)) {
+ libxml_disable_entity_loader($disable_load_entities);
+ return false;
+ }
+
+ libxml_disable_entity_loader($disable_load_entities);
+
+ // must re-create XPath object after DOM load
+ $this->_xpath = new DOMXPath($this->_doc);
+
+ // strip AUTOPs that only have comments/whitespace
+ foreach ($this->_xpath->query('//autop') as $autop) {
+ /* @var DOMElement $autop */
+ $hasContent = false;
+ if (trim($autop->textContent) !== '') {
+ $hasContent = true;
+ } else {
+ foreach ($autop->childNodes as $node) {
+ if ($node->nodeType === XML_ELEMENT_NODE) {
+ $hasContent = true;
+ break;
+ }
+ }
+ }
+ if (!$hasContent) {
+ // mark to be later replaced w/ preg_replace (faster than moving nodes out)
+ $autop->setAttribute("r", "1");
+ }
+ }
+
+ // If a DIV contains a single AUTOP, remove it
+ foreach ($this->_xpath->query('//div') as $el) {
+ /* @var DOMElement $el */
+ $autops = $this->_xpath->query('./autop', $el);
+ if ($autops->length === 1) {
+ $firstAutop = $autops->item(0);
+ /* @var DOMElement $firstAutop */
+ $firstAutop->setAttribute("r", "1");
+ }
+ }
+
+ $html = $this->_doc->saveHTML();
+
+ // trim to the contents of BODY
+ $bodyStart = strpos($html, '<body>');
+ $bodyEnd = strpos($html, '</body>', $bodyStart + 6);
+ $html = substr($html, $bodyStart + 6, $bodyEnd - $bodyStart - 6);
+
+ // strip AUTOPs that should be removed
+ $html = preg_replace('@<autop r="1">(.*?)</autop>@', '\\1', $html);
+
+ // commit to converting AUTOPs to Ps
+ $html = str_replace('<autop>', "\n<p>", $html);
+ $html = str_replace('</autop>', "</p>\n", $html);
+
+ $html = str_replace('<br>', '<br />', $html);
+ $html = str_replace($this->_unique . 'AMP', '&', $html);
+ return $html;
+ }
+
+ /**
+ * Add P and BR elements as necessary
+ *
+ * @param DOMElement $el DOM element
+ * @return void
+ */
+ protected function addParagraphs(DOMElement $el) {
+ // no need to call recursively, just queue up
+ $elsToProcess = array($el);
+ $inlinesToProcess = array();
+ while ($el = array_shift($elsToProcess)) {
+ // if true, we can alter all child nodes, if not, we'll just call
+ // addParagraphs on each element in the descendInto list
+ $alterInline = in_array($el->nodeName, $this->_alterList);
+
+ // inside affected elements, we want to trim leading whitespace from
+ // the first text node
+ $ltrimFirstTextNode = true;
+
+ // should we open a new AUTOP element to move inline elements into?
+ $openP = true;
+ $autop = null;
+
+ // after BR, ignore a newline
+ $isFollowingBr = false;
+
+ $node = $el->firstChild;
+ while (null !== $node) {
+ if ($alterInline) {
+ if ($openP) {
+ $openP = false;
+ // create a P to move inline content into (this may be removed later)
+ $autop = $el->insertBefore($this->_doc->createElement('autop'), $node);
+ }
+ }
+
+ $isElement = ($node->nodeType === XML_ELEMENT_NODE);
+ if ($isElement) {
+ $isBlock = in_array($node->nodeName, $this->_blocks);
+ } else {
+ $isBlock = false;
+ }
+
+ if ($alterInline) {
+ $isText = ($node->nodeType === XML_TEXT_NODE);
+ $isLastInline = (! $node->nextSibling
+ || ($node->nextSibling->nodeType === XML_ELEMENT_NODE
+ && in_array($node->nextSibling->nodeName, $this->_blocks)));
+ if ($isElement) {
+ $isFollowingBr = ($node->nodeName === 'br');
+ }
+
+ if ($isText) {
+ $nodeText = $node->nodeValue;
+ if ($ltrimFirstTextNode) {
+ $nodeText = ltrim($nodeText);
+ $ltrimFirstTextNode = false;
+ }
+ if ($isFollowingBr && preg_match('@^[ \\t]*\\n[ \\t]*@', $nodeText, $m)) {
+ // if a user ends a line with <br>, don't add a second BR
+ $nodeText = substr($nodeText, strlen($m[0]));
+ }
+ if ($isLastInline) {
+ $nodeText = rtrim($nodeText);
+ }
+ $nodeText = str_replace("\n", $this->_unique . 'NL', $nodeText);
+ $tmpNode = $node;
+ $node = $node->nextSibling; // move loop to next node
+
+ // alter node in place, then move into AUTOP
+ $tmpNode->nodeValue = $nodeText;
+ $autop->appendChild($tmpNode);
+
+ continue;
+ }
+ }
+ if ($isBlock || ! $node->nextSibling) {
+ if ($isBlock) {
+ if (in_array($node->nodeName, $this->_descendList)) {
+ $elsToProcess[] = $node;
+ //$this->addParagraphs($node);
+ }
+ }
+ $openP = true;
+ $ltrimFirstTextNode = true;
+ }
+ if ($alterInline) {
+ if (! $isBlock) {
+ $tmpNode = $node;
+ if ($isElement && false !== strpos($tmpNode->textContent, "\n")) {
+ $inlinesToProcess[] = $tmpNode;
+ }
+ $node = $node->nextSibling;
+ $autop->appendChild($tmpNode);
+ continue;
+ }
+ }
+
+ $node = $node->nextSibling;
+ }
+ }
+
+ // handle inline nodes
+ // no need to recurse, just queue up
+ while ($el = array_shift($inlinesToProcess)) {
+ $ignoreLeadingNewline = false;
+ foreach ($el->childNodes as $node) {
+ if ($node->nodeType === XML_ELEMENT_NODE) {
+ if ($node->nodeValue === 'BR') {
+ $ignoreLeadingNewline = true;
+ } else {
+ $ignoreLeadingNewline = false;
+ if (false !== strpos($node->textContent, "\n")) {
+ $inlinesToProcess[] = $node;
+ }
+ }
+ continue;
+ } elseif ($node->nodeType === XML_TEXT_NODE) {
+ $text = $node->nodeValue;
+ if ($text[0] === "\n" && $ignoreLeadingNewline) {
+ $text = substr($text, 1);
+ $ignoreLeadingNewline = false;
+ }
+ $node->nodeValue = str_replace("\n", $this->_unique . 'BR', $text);
+ }
+ }
+ }
+ }
+}
diff --git a/engine/classes/ElggBatch.php b/engine/classes/ElggBatch.php
new file mode 100644
index 000000000..d810ea066
--- /dev/null
+++ b/engine/classes/ElggBatch.php
@@ -0,0 +1,433 @@
+<?php
+/**
+ * Efficiently run operations on batches of results for any function
+ * that supports an options array.
+ *
+ * This is usually used with elgg_get_entities() and friends,
+ * elgg_get_annotations(), and elgg_get_metadata().
+ *
+ * If you pass a valid PHP callback, all results will be run through that
+ * callback. You can still foreach() through the result set after. Valid
+ * PHP callbacks can be a string, an array, or a closure.
+ * {@link http://php.net/manual/en/language.pseudo-types.php}
+ *
+ * The callback function must accept 3 arguments: an entity, the getter
+ * used, and the options used.
+ *
+ * Results from the callback are stored in callbackResult. If the callback
+ * returns only booleans, callbackResults will be the combined result of
+ * all calls. If no entities are processed, callbackResults will be null.
+ *
+ * If the callback returns anything else, callbackresult will be an indexed
+ * array of whatever the callback returns. If returning error handling
+ * information, you should include enough information to determine which
+ * result you're referring to.
+ *
+ * Don't combine returning bools and returning something else.
+ *
+ * Note that returning false will not stop the foreach.
+ *
+ * @warning If your callback or foreach loop deletes or disable entities
+ * you MUST call setIncrementOffset(false) or set that when instantiating.
+ * This forces the offset to stay what it was in the $options array.
+ *
+ * @example
+ * <code>
+ * // using foreach
+ * $batch = new ElggBatch('elgg_get_entities', array());
+ * $batch->setIncrementOffset(false);
+ *
+ * foreach ($batch as $entity) {
+ * $entity->disable();
+ * }
+ *
+ * // using both a callback
+ * $callback = function($result, $getter, $options) {
+ * var_dump("Looking at annotation id: $result->id");
+ * return true;
+ * }
+ *
+ * $batch = new ElggBatch('elgg_get_annotations', array('guid' => 2), $callback);
+ * </code>
+ *
+ * @package Elgg.Core
+ * @subpackage DataModel
+ * @link http://docs.elgg.org/DataModel/ElggBatch
+ * @since 1.8
+ */
+class ElggBatch
+ implements Iterator {
+
+ /**
+ * The objects to interator over.
+ *
+ * @var array
+ */
+ private $results = array();
+
+ /**
+ * The function used to get results.
+ *
+ * @var mixed A string, array, or closure, or lamda function
+ */
+ private $getter = null;
+
+ /**
+ * The number of results to grab at a time.
+ *
+ * @var int
+ */
+ private $chunkSize = 25;
+
+ /**
+ * A callback function to pass results through.
+ *
+ * @var mixed A string, array, or closure, or lamda function
+ */
+ private $callback = null;
+
+ /**
+ * Start after this many results.
+ *
+ * @var int
+ */
+ private $offset = 0;
+
+ /**
+ * Stop after this many results.
+ *
+ * @var int
+ */
+ private $limit = 0;
+
+ /**
+ * Number of processed results.
+ *
+ * @var int
+ */
+ private $retrievedResults = 0;
+
+ /**
+ * The index of the current result within the current chunk
+ *
+ * @var int
+ */
+ private $resultIndex = 0;
+
+ /**
+ * The index of the current chunk
+ *
+ * @var int
+ */
+ private $chunkIndex = 0;
+
+ /**
+ * The number of results iterated through
+ *
+ * @var int
+ */
+ private $processedResults = 0;
+
+ /**
+ * Is the getter a valid callback
+ *
+ * @var bool
+ */
+ private $validGetter = null;
+
+ /**
+ * The result of running all entities through the callback function.
+ *
+ * @var mixed
+ */
+ public $callbackResult = null;
+
+ /**
+ * If false, offset will not be incremented. This is used for callbacks/loops that delete.
+ *
+ * @var bool
+ */
+ private $incrementOffset = true;
+
+ /**
+ * Entities that could not be instantiated during a fetch
+ *
+ * @var stdClass[]
+ */
+ private $incompleteEntities = array();
+
+ /**
+ * Total number of incomplete entities fetched
+ *
+ * @var int
+ */
+ private $totalIncompletes = 0;
+
+ /**
+ * Batches operations on any elgg_get_*() or compatible function that supports
+ * an options array.
+ *
+ * Instead of returning all objects in memory, it goes through $chunk_size
+ * objects, then requests more from the server. This avoids OOM errors.
+ *
+ * @param string $getter The function used to get objects. Usually
+ * an elgg_get_*() function, but can be any valid PHP callback.
+ * @param array $options The options array to pass to the getter function. If limit is
+ * not set, 10 is used as the default. In most cases that is not
+ * what you want.
+ * @param mixed $callback An optional callback function that all results will be passed
+ * to upon load. The callback needs to accept $result, $getter,
+ * $options.
+ * @param int $chunk_size The number of entities to pull in before requesting more.
+ * You have to balance this between running out of memory in PHP
+ * and hitting the db server too often.
+ * @param bool $inc_offset Increment the offset on each fetch. This must be false for
+ * callbacks that delete rows. You can set this after the
+ * object is created with {@see ElggBatch::setIncrementOffset()}.
+ */
+ public function __construct($getter, $options, $callback = null, $chunk_size = 25,
+ $inc_offset = true) {
+
+ $this->getter = $getter;
+ $this->options = $options;
+ $this->callback = $callback;
+ $this->chunkSize = $chunk_size;
+ $this->setIncrementOffset($inc_offset);
+
+ if ($this->chunkSize <= 0) {
+ $this->chunkSize = 25;
+ }
+
+ // store these so we can compare later
+ $this->offset = elgg_extract('offset', $options, 0);
+ $this->limit = elgg_extract('limit', $options, 10);
+
+ // if passed a callback, create a new ElggBatch with the same options
+ // and pass each to the callback.
+ if ($callback && is_callable($callback)) {
+ $batch = new ElggBatch($getter, $options, null, $chunk_size, $inc_offset);
+
+ $all_results = null;
+
+ foreach ($batch as $result) {
+ if (is_string($callback)) {
+ $result = $callback($result, $getter, $options);
+ } else {
+ $result = call_user_func_array($callback, array($result, $getter, $options));
+ }
+
+ if (!isset($all_results)) {
+ if ($result === true || $result === false || $result === null) {
+ $all_results = $result;
+ } else {
+ $all_results = array();
+ }
+ }
+
+ if (($result === true || $result === false || $result === null) && !is_array($all_results)) {
+ $all_results = $result && $all_results;
+ } else {
+ $all_results[] = $result;
+ }
+ }
+
+ $this->callbackResult = $all_results;
+ }
+ }
+
+ /**
+ * Tell the process that an entity was incomplete during a fetch
+ *
+ * @param stdClass $row
+ *
+ * @access private
+ */
+ public function reportIncompleteEntity(stdClass $row) {
+ $this->incompleteEntities[] = $row;
+ }
+
+ /**
+ * Fetches the next chunk of results
+ *
+ * @return bool
+ */
+ private function getNextResultsChunk() {
+
+ // always reset results.
+ $this->results = array();
+
+ if (!isset($this->validGetter)) {
+ $this->validGetter = is_callable($this->getter);
+ }
+
+ if (!$this->validGetter) {
+ return false;
+ }
+
+ $limit = $this->chunkSize;
+
+ // if someone passed limit = 0 they want everything.
+ if ($this->limit != 0) {
+ if ($this->retrievedResults >= $this->limit) {
+ return false;
+ }
+
+ // if original limit < chunk size, set limit to original limit
+ // else if the number of results we'll fetch if greater than the original limit
+ if ($this->limit < $this->chunkSize) {
+ $limit = $this->limit;
+ } elseif ($this->retrievedResults + $this->chunkSize > $this->limit) {
+ // set the limit to the number of results remaining in the original limit
+ $limit = $this->limit - $this->retrievedResults;
+ }
+ }
+
+ if ($this->incrementOffset) {
+ $offset = $this->offset + $this->retrievedResults;
+ } else {
+ $offset = $this->offset + $this->totalIncompletes;
+ }
+
+ $current_options = array(
+ 'limit' => $limit,
+ 'offset' => $offset,
+ '__ElggBatch' => $this,
+ );
+
+ $options = array_merge($this->options, $current_options);
+
+ $this->incompleteEntities = array();
+ $this->results = call_user_func_array($this->getter, array($options));
+
+ $num_results = count($this->results);
+ $num_incomplete = count($this->incompleteEntities);
+
+ $this->totalIncompletes += $num_incomplete;
+
+ if ($this->incompleteEntities) {
+ // pad the front of the results with nulls representing the incompletes
+ array_splice($this->results, 0, 0, array_pad(array(), $num_incomplete, null));
+ // ...and skip past them
+ reset($this->results);
+ for ($i = 0; $i < $num_incomplete; $i++) {
+ next($this->results);
+ }
+ }
+
+ if ($this->results) {
+ $this->chunkIndex++;
+
+ // let the system know we've jumped past the nulls
+ $this->resultIndex = $num_incomplete;
+
+ $this->retrievedResults += ($num_results + $num_incomplete);
+ if ($num_results == 0) {
+ // This fetch was *all* incompletes! We need to fetch until we can either
+ // offer at least one row to iterate over, or give up.
+ return $this->getNextResultsChunk();
+ }
+ return true;
+ } else {
+ return false;
+ }
+ }
+
+ /**
+ * Increment the offset from the original options array? Setting to
+ * false is required for callbacks that delete rows.
+ *
+ * @param bool $increment Set to false when deleting data
+ * @return void
+ */
+ public function setIncrementOffset($increment = true) {
+ $this->incrementOffset = (bool) $increment;
+ }
+
+ /**
+ * Implements Iterator
+ */
+
+ /**
+ * PHP Iterator Interface
+ *
+ * @see Iterator::rewind()
+ * @return void
+ */
+ public function rewind() {
+ $this->resultIndex = 0;
+ $this->retrievedResults = 0;
+ $this->processedResults = 0;
+
+ // only grab results if we haven't yet or we're crossing chunks
+ if ($this->chunkIndex == 0 || $this->limit > $this->chunkSize) {
+ $this->chunkIndex = 0;
+ $this->getNextResultsChunk();
+ }
+ }
+
+ /**
+ * PHP Iterator Interface
+ *
+ * @see Iterator::current()
+ * @return mixed
+ */
+ public function current() {
+ return current($this->results);
+ }
+
+ /**
+ * PHP Iterator Interface
+ *
+ * @see Iterator::key()
+ * @return int
+ */
+ public function key() {
+ return $this->processedResults;
+ }
+
+ /**
+ * PHP Iterator Interface
+ *
+ * @see Iterator::next()
+ * @return mixed
+ */
+ public function next() {
+ // if we'll be at the end.
+ if (($this->processedResults + 1) >= $this->limit && $this->limit > 0) {
+ $this->results = array();
+ return false;
+ }
+
+ // if we'll need new results.
+ if (($this->resultIndex + 1) >= $this->chunkSize) {
+ if (!$this->getNextResultsChunk()) {
+ $this->results = array();
+ return false;
+ }
+
+ $result = current($this->results);
+ } else {
+ // the function above resets the indexes, so only inc if not
+ // getting new set
+ $this->resultIndex++;
+ $result = next($this->results);
+ }
+
+ $this->processedResults++;
+ return $result;
+ }
+
+ /**
+ * PHP Iterator Interface
+ *
+ * @see Iterator::valid()
+ * @return bool
+ */
+ public function valid() {
+ if (!is_array($this->results)) {
+ return false;
+ }
+ $key = key($this->results);
+ return ($key !== NULL && $key !== FALSE);
+ }
+}
diff --git a/engine/classes/ElggCache.php b/engine/classes/ElggCache.php
index 549772d6d..909eab39b 100644
--- a/engine/classes/ElggCache.php
+++ b/engine/classes/ElggCache.php
@@ -6,9 +6,7 @@
* @package Elgg.Core
* @subpackage Cache
*/
-abstract class ElggCache implements
- // Override for array access
- ArrayAccess {
+abstract class ElggCache implements ArrayAccess {
/**
* Variables for the cache object.
*
@@ -23,6 +21,7 @@ abstract class ElggCache implements
$this->variables = array();
}
+ // @codingStandardsIgnoreStart
/**
* Set a cache variable.
*
@@ -31,12 +30,13 @@ abstract class ElggCache implements
*
* @return void
*
- * @deprecated 1.8 Use ElggAccess:setVariable()
+ * @deprecated 1.8 Use ElggCache:setVariable()
*/
public function set_variable($variable, $value) {
elgg_deprecated_notice('ElggCache::set_variable() is deprecated by ElggCache::setVariable()', 1.8);
$this->setVariable($variable, $value);
}
+ // @codingStandardsIgnoreEnd
/**
* Set a cache variable.
@@ -54,6 +54,7 @@ abstract class ElggCache implements
$this->variables[$variable] = $value;
}
+ // @codingStandardsIgnoreStart
/**
* Get variables for this cache.
*
@@ -67,6 +68,7 @@ abstract class ElggCache implements
elgg_deprecated_notice('ElggCache::get_variable() is deprecated by ElggCache::getVariable()', 1.8);
return $this->getVariable($variable);
}
+ // @codingStandardsIgnoreEnd
/**
* Get variables for this cache.
@@ -141,6 +143,9 @@ abstract class ElggCache implements
/**
* Load data from the cache using a given key.
*
+ * @todo $offset is a horrible variable name because it creates confusion
+ * with the ArrayAccess methods
+ *
* @param string $key Name
* @param int $offset Offset
* @param int $limit Limit
@@ -186,12 +191,12 @@ abstract class ElggCache implements
// ARRAY ACCESS INTERFACE //////////////////////////////////////////////////////////
/**
- * Set offset
+ * Assigns a value for the specified key
*
* @see ArrayAccess::offsetSet()
*
- * @param mixed $key Name
- * @param mixed $value Value
+ * @param mixed $key The key (offset) to assign the value to.
+ * @param mixed $value The value to set.
*
* @return void
*/
@@ -200,43 +205,43 @@ abstract class ElggCache implements
}
/**
- * Get offset
+ * Get the value for specified key
*
* @see ArrayAccess::offsetGet()
*
- * @param mixed $key Name
+ * @param mixed $key The key (offset) to retrieve.
*
- * @return void
+ * @return mixed
*/
function offsetGet($key) {
return $this->load($key);
}
/**
- * Unsets offset
+ * Unsets a key.
*
* @see ArrayAccess::offsetUnset()
*
- * @param mixed $key Name
+ * @param mixed $key The key (offset) to unset.
*
* @return void
*/
function offsetUnset($key) {
- if (isset($this->key)) {
- unset($this->key);
+ if (isset($this->$key)) {
+ unset($this->$key);
}
}
/**
- * Does offset exist
+ * Does key exist
*
* @see ArrayAccess::offsetExists()
*
- * @param mixed $offset Offset
+ * @param mixed $key A key (offset) to check for.
*
- * @return void
+ * @return bool
*/
- function offsetExists($offset) {
- return isset($this->$offset);
+ function offsetExists($key) {
+ return isset($this->$key);
}
-} \ No newline at end of file
+}
diff --git a/engine/classes/ElggCrypto.php b/engine/classes/ElggCrypto.php
new file mode 100644
index 000000000..317d371e4
--- /dev/null
+++ b/engine/classes/ElggCrypto.php
@@ -0,0 +1,208 @@
+<?php
+/**
+ * ElggCrypto
+ *
+ * @package Elgg.Core
+ * @subpackage Crypto
+ *
+ * @access private
+ */
+class ElggCrypto {
+
+ /**
+ * Character set for temp passwords (no risk of embedded profanity/glyphs that look similar)
+ */
+ const CHARS_PASSWORD = 'bcdfghjklmnpqrstvwxyz2346789';
+
+ /**
+ * Generate a string of highly randomized bytes (over the full 8-bit range).
+ *
+ * @param int $length Number of bytes needed
+ * @return string Random bytes
+ *
+ * @author George Argyros <argyros.george@gmail.com>
+ * @copyright 2012, George Argyros. All rights reserved.
+ * @license Modified BSD
+ * @link https://github.com/GeorgeArgyros/Secure-random-bytes-in-PHP/blob/master/srand.php Original
+ *
+ * Redistribution and use in source and binary forms, with or without
+ * modification, are permitted provided that the following conditions are met:
+ * * Redistributions of source code must retain the above copyright
+ * notice, this list of conditions and the following disclaimer.
+ * * Redistributions in binary form must reproduce the above copyright
+ * notice, this list of conditions and the following disclaimer in the
+ * documentation and/or other materials provided with the distribution.
+ * * Neither the name of the <organization> nor the
+ * names of its contributors may be used to endorse or promote products
+ * derived from this software without specific prior written permission.
+ *
+ * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
+ * ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
+ * WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
+ * DISCLAIMED. IN NO EVENT SHALL GEORGE ARGYROS BE LIABLE FOR ANY
+ * DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
+ * (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
+ * LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
+ * ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
+ * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
+ * SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
+ */
+ public function getRandomBytes($length) {
+ /**
+ * Our primary choice for a cryptographic strong randomness function is
+ * openssl_random_pseudo_bytes.
+ */
+ $SSLstr = '4'; // http://xkcd.com/221/
+ if (function_exists('openssl_random_pseudo_bytes')
+ && (version_compare(PHP_VERSION, '5.3.4') >= 0 || substr(PHP_OS, 0, 3) !== 'WIN')) {
+ $SSLstr = openssl_random_pseudo_bytes($length, $strong);
+ if ($strong) {
+ return $SSLstr;
+ }
+ }
+
+ /**
+ * If mcrypt extension is available then we use it to gather entropy from
+ * the operating system's PRNG. This is better than reading /dev/urandom
+ * directly since it avoids reading larger blocks of data than needed.
+ * Older versions of mcrypt_create_iv may be broken or take too much time
+ * to finish so we only use this function with PHP 5.3.7 and above.
+ * @see https://bugs.php.net/bug.php?id=55169
+ */
+ if (function_exists('mcrypt_create_iv')
+ && (version_compare(PHP_VERSION, '5.3.7') >= 0 || substr(PHP_OS, 0, 3) !== 'WIN')) {
+ $str = mcrypt_create_iv($length, MCRYPT_DEV_URANDOM);
+ if ($str !== false) {
+ return $str;
+ }
+ }
+
+ /**
+ * No build-in crypto randomness function found. We collect any entropy
+ * available in the PHP core PRNGs along with some filesystem info and memory
+ * stats. To make this data cryptographically strong we add data either from
+ * /dev/urandom or if its unavailable, we gather entropy by measuring the
+ * time needed to compute a number of SHA-1 hashes.
+ */
+ $str = '';
+ $bits_per_round = 2; // bits of entropy collected in each clock drift round
+ $msec_per_round = 400; // expected running time of each round in microseconds
+ $hash_len = 20; // SHA-1 Hash length
+ $total = $length; // total bytes of entropy to collect
+
+ $handle = @fopen('/dev/urandom', 'rb');
+ if ($handle && function_exists('stream_set_read_buffer')) {
+ @stream_set_read_buffer($handle, 0);
+ }
+
+ do {
+ $bytes = ($total > $hash_len) ? $hash_len : $total;
+ $total -= $bytes;
+
+ //collect any entropy available from the PHP system and filesystem
+ $entropy = rand() . uniqid(mt_rand(), true) . $SSLstr;
+ $entropy .= implode('', @fstat(@fopen(__FILE__, 'r')));
+ $entropy .= memory_get_usage() . getmypid();
+ $entropy .= serialize($_ENV) . serialize($_SERVER);
+ if (function_exists('posix_times')) {
+ $entropy .= serialize(posix_times());
+ }
+ if (function_exists('zend_thread_id')) {
+ $entropy .= zend_thread_id();
+ }
+
+ if ($handle) {
+ $entropy .= @fread($handle, $bytes);
+ } else {
+ // Measure the time that the operations will take on average
+ for ($i = 0; $i < 3; $i++) {
+ $c1 = microtime(true);
+ $var = sha1(mt_rand());
+ for ($j = 0; $j < 50; $j++) {
+ $var = sha1($var);
+ }
+ $c2 = microtime(true);
+ $entropy .= $c1 . $c2;
+ }
+
+ // Based on the above measurement determine the total rounds
+ // in order to bound the total running time.
+ $rounds = (int) ($msec_per_round * 50 / (int) (($c2 - $c1) * 1000000));
+
+ // Take the additional measurements. On average we can expect
+ // at least $bits_per_round bits of entropy from each measurement.
+ $iter = $bytes * (int) (ceil(8 / $bits_per_round));
+
+ for ($i = 0; $i < $iter; $i++) {
+ $c1 = microtime();
+ $var = sha1(mt_rand());
+ for ($j = 0; $j < $rounds; $j++) {
+ $var = sha1($var);
+ }
+ $c2 = microtime();
+ $entropy .= $c1 . $c2;
+ }
+ }
+
+ // We assume sha1 is a deterministic extractor for the $entropy variable.
+ $str .= sha1($entropy, true);
+
+ } while ($length > strlen($str));
+
+ if ($handle) {
+ @fclose($handle);
+ }
+
+ return substr($str, 0, $length);
+ }
+
+ /**
+ * Generate a random string of specified length.
+ *
+ * Uses supplied character list for generating the new string.
+ * If no character list provided - uses Base64 URL character set.
+ *
+ * @param int $length Desired length of the string
+ * @param string|null $chars Characters to be chosen from randomly. If not given, the Base64 URL
+ * charset will be used.
+ *
+ * @return string The random string
+ *
+ * @throws InvalidArgumentException
+ *
+ * @copyright Copyright (c) 2005-2013 Zend Technologies USA Inc. (http://www.zend.com)
+ * @license http://framework.zend.com/license/new-bsd New BSD License
+ *
+ * @see https://github.com/zendframework/zf2/blob/master/library/Zend/Math/Rand.php#L179
+ */
+ public static function getRandomString($length, $chars = null) {
+ if ($length < 1) {
+ throw new InvalidArgumentException('Length should be >= 1');
+ }
+
+ if (empty($chars)) {
+ $numBytes = ceil($length * 0.75);
+ $bytes = self::getRandomBytes($numBytes);
+ $string = substr(rtrim(base64_encode($bytes), '='), 0, $length);
+
+ // Base64 URL
+ return strtr($string, '+/', '-_');
+ }
+
+ $listLen = strlen($chars);
+
+ if ($listLen == 1) {
+ return str_repeat($chars, $length);
+ }
+
+ $bytes = self::getRandomBytes($length);
+ $pos = 0;
+ $result = '';
+ for ($i = 0; $i < $length; $i++) {
+ $pos = ($pos + ord($bytes[$i])) % $listLen;
+ $result .= $chars[$pos];
+ }
+
+ return $result;
+ }
+}
diff --git a/engine/classes/ElggData.php b/engine/classes/ElggData.php
index a904cb923..4f843cde4 100644
--- a/engine/classes/ElggData.php
+++ b/engine/classes/ElggData.php
@@ -5,6 +5,9 @@
*
* @package Elgg.Core
* @subpackage DataModel
+ *
+ * @property int $owner_guid
+ * @property int $time_created
*/
abstract class ElggData implements
Loggable, // Can events related to this object class be logged
@@ -23,6 +26,27 @@ abstract class ElggData implements
*/
protected $attributes = array();
+ // @codingStandardsIgnoreStart
+ /**
+ * Initialise the attributes array.
+ *
+ * This is vital to distinguish between metadata and base parameters.
+ *
+ * @param bool $pre18_api Compatibility for subclassing in 1.7 -> 1.8 change.
+ * Passing true (default) emits a deprecation notice.
+ * Passing false returns false. Core constructors always pass false.
+ * Does nothing either way since attributes are initialized by the time
+ * this is called.
+ * @return void
+ * @deprecated 1.8 Use initializeAttributes()
+ */
+ protected function initialise_attributes($pre18_api = true) {
+ if ($pre18_api) {
+ elgg_deprecated_notice('initialise_attributes() is deprecated by initializeAttributes()', 1.8);
+ }
+ }
+ // @codingStandardsIgnoreEnd
+
/**
* Initialize the attributes array.
*
@@ -90,7 +114,7 @@ abstract class ElggData implements
* @param string $name The attribute to set
* @param mixed $value The value to set it to
*
- * @return The success of your set funtion?
+ * @return bool The success of your set function?
*/
abstract protected function set($name, $value);
@@ -107,7 +131,7 @@ abstract class ElggData implements
* @return bool
*/
abstract public function save();
-
+
/**
* Delete this data.
*
@@ -174,7 +198,7 @@ abstract class ElggData implements
*
* @see Iterator::current()
*
- * @return void
+ * @return mixed
*/
public function current() {
return current($this->attributes);
@@ -185,7 +209,7 @@ abstract class ElggData implements
*
* @see Iterator::key()
*
- * @return void
+ * @return string
*/
public function key() {
return key($this->attributes);
@@ -207,7 +231,7 @@ abstract class ElggData implements
*
* @see Iterator::valid()
*
- * @return void
+ * @return bool
*/
public function valid() {
return $this->valid;
@@ -245,12 +269,13 @@ abstract class ElggData implements
*
* @param mixed $key Name
*
- * @return void
+ * @return mixed
*/
public function offsetGet($key) {
if (array_key_exists($key, $this->attributes)) {
return $this->attributes[$key];
}
+ return null;
}
/**
@@ -281,4 +306,4 @@ abstract class ElggData implements
public function offsetExists($offset) {
return array_key_exists($offset, $this->attributes);
}
-} \ No newline at end of file
+}
diff --git a/engine/classes/ElggDiskFilestore.php b/engine/classes/ElggDiskFilestore.php
index c7ca9fd05..6e2354012 100644
--- a/engine/classes/ElggDiskFilestore.php
+++ b/engine/classes/ElggDiskFilestore.php
@@ -60,10 +60,11 @@ class ElggDiskFilestore extends ElggFilestore {
$path = substr($fullname, 0, $ls);
$name = substr($fullname, $ls);
+ // @todo $name is unused, remove it or do we need to fix something?
// Try and create the directory
try {
- $this->make_directory_root($path);
+ $this->makeDirectoryRoot($path);
} catch (Exception $e) {
}
@@ -108,7 +109,7 @@ class ElggDiskFilestore extends ElggFilestore {
*
* @param resource $f File pointer resource
* @param int $length The number of bytes to read
- * @param inf $offset The number of bytes to start after
+ * @param int $offset The number of bytes to start after
*
* @return mixed Contents of file or false on fail.
*/
@@ -193,25 +194,33 @@ class ElggDiskFilestore extends ElggFilestore {
}
/**
- * Returns the filename as saved on disk for an ElggFile object
+ * Get the filename as saved on disk for an ElggFile object
+ *
+ * Returns an empty string if no filename set
*
* @param ElggFile $file File object
*
* @return string The full path of where the file is stored
+ * @throws InvalidParameterException
*/
public function getFilenameOnFilestore(ElggFile $file) {
- $owner = $file->getOwnerEntity();
- if (!$owner) {
- $owner = get_loggedin_user();
+ $owner_guid = $file->getOwnerGuid();
+ if (!$owner_guid) {
+ $owner_guid = elgg_get_logged_in_user_guid();
}
- if ((!$owner) || (!$owner->username)) {
+ if (!$owner_guid) {
$msg = elgg_echo('InvalidParameterException:MissingOwner',
array($file->getFilename(), $file->guid));
throw new InvalidParameterException($msg);
}
- return $this->dir_root . $this->makefileMatrix($owner->guid) . $file->getFilename();
+ $filename = $file->getFilename();
+ if (!$filename) {
+ return '';
+ }
+
+ return $this->dir_root . $this->makeFileMatrix($owner_guid) . $filename;
}
/**
@@ -219,7 +228,7 @@ class ElggDiskFilestore extends ElggFilestore {
*
* @param ElggFile $file File object
*
- * @return mixed
+ * @return string
*/
public function grabFile(ElggFile $file) {
return file_get_contents($file->getFilenameOnFilestore());
@@ -233,6 +242,9 @@ class ElggDiskFilestore extends ElggFilestore {
* @return bool
*/
public function exists(ElggFile $file) {
+ if (!$file->getFilename()) {
+ return false;
+ }
return file_exists($this->getFilenameOnFilestore($file));
}
@@ -246,12 +258,13 @@ class ElggDiskFilestore extends ElggFilestore {
*/
public function getSize($prefix = '', $container_guid) {
if ($container_guid) {
- return get_dir_size($this->dir_root . $this->makefileMatrix($container_guid) . $prefix);
+ return get_dir_size($this->dir_root . $this->makeFileMatrix($container_guid) . $prefix);
} else {
return false;
}
}
+ // @codingStandardsIgnoreStart
/**
* Create a directory $dirroot
*
@@ -266,6 +279,7 @@ class ElggDiskFilestore extends ElggFilestore {
return $this->makeDirectoryRoot($dirroot);
}
+ // @codingStandardsIgnoreEnd
/**
* Create a directory $dirroot
@@ -285,6 +299,7 @@ class ElggDiskFilestore extends ElggFilestore {
return true;
}
+ // @codingStandardsIgnoreStart
/**
* Multibyte string tokeniser.
*
@@ -315,30 +330,31 @@ class ElggDiskFilestore extends ElggFilestore {
} else {
return str_split($string);
}
-
- return false;
}
+ // @codingStandardsIgnoreEnd
+ // @codingStandardsIgnoreStart
/**
* Construct a file path matrix for an entity.
*
* @param int $identifier The guide of the entity to store the data under.
*
- * @return str The path where the entity's data will be stored.
+ * @return string The path where the entity's data will be stored.
* @deprecated 1.8 Use ElggDiskFilestore::makeFileMatrix()
*/
protected function make_file_matrix($identifier) {
elgg_deprecated_notice('ElggDiskFilestore::make_file_matrix() is deprecated by ::makeFileMatrix()', 1.8);
- return $this->makefileMatrix($identifier);
+ return $this->makeFileMatrix($identifier);
}
+ // @codingStandardsIgnoreEnd
/**
* Construct a file path matrix for an entity.
*
* @param int $guid The guide of the entity to store the data under.
*
- * @return str The path where the entity's data will be stored.
+ * @return string The path where the entity's data will be stored.
*/
protected function makeFileMatrix($guid) {
$entity = get_entity($guid);
@@ -352,6 +368,7 @@ class ElggDiskFilestore extends ElggFilestore {
return "$time_created/$entity->guid/";
}
+ // @codingStandardsIgnoreStart
/**
* Construct a filename matrix.
*
@@ -363,13 +380,14 @@ class ElggDiskFilestore extends ElggFilestore {
*
* @param int $guid The entity to contrust a matrix for
*
- * @return str The
+ * @return string The
*/
protected function user_file_matrix($guid) {
elgg_deprecated_notice('ElggDiskFilestore::user_file_matrix() is deprecated by ::makeFileMatrix()', 1.8);
return $this->makeFileMatrix($guid);
}
+ // @codingStandardsIgnoreEnd
/**
* Returns a list of attributes to save to the database when saving
@@ -396,4 +414,4 @@ class ElggDiskFilestore extends ElggFilestore {
return false;
}
-} \ No newline at end of file
+}
diff --git a/engine/classes/ElggEntity.php b/engine/classes/ElggEntity.php
index c6ab51148..a563f6fad 100644
--- a/engine/classes/ElggEntity.php
+++ b/engine/classes/ElggEntity.php
@@ -24,7 +24,17 @@
*
* @package Elgg.Core
* @subpackage DataModel.Entities
- * @link http://docs.elgg.org/DataModel/ElggEntity
+ *
+ * @property string $type object, user, group, or site (read-only after save)
+ * @property string $subtype Further clarifies the nature of the entity (read-only after save)
+ * @property int $guid The unique identifier for this entity (read only)
+ * @property int $owner_guid The GUID of the creator of this entity
+ * @property int $container_guid The GUID of the entity containing this entity
+ * @property int $site_guid The GUID of the website this entity is associated with
+ * @property int $access_id Specifies the visibility level of this entity
+ * @property int $time_created A UNIX timestamp of when the entity was created (read-only, set on first save)
+ * @property int $time_updated A UNIX timestamp of when the entity was last updated (automatically updated on save)
+ * @property-read string $enabled
*/
abstract class ElggEntity extends ElggData implements
Notable, // Calendar interface
@@ -55,24 +65,16 @@ abstract class ElggEntity extends ElggData implements
protected $temp_annotations = array();
/**
- * Volatile data structure for this object, allows for storage of data
- * in-memory that isn't sync'd back to the metadata table.
+ * Holds private settings until entity is saved. Once the entity is saved,
+ * private settings are written immediately to the database.
*/
- protected $volatile = array();
+ protected $temp_private_settings = array();
/**
- * Initialise the attributes array.
- *
- * This is vital to distinguish between metadata and base parameters.
- *
- * @return void
- * @deprecated 1.8 Use initializeAttributes()
+ * Volatile data structure for this object, allows for storage of data
+ * in-memory that isn't sync'd back to the metadata table.
*/
- protected function initialise_attributes() {
- elgg_deprecated_notice('ElggEntity::initialise_attributes() is deprecated by ::initializeAttributes()', 1.8);
-
- $this->initializeAttributes();
- }
+ protected $volatile = array();
/**
* Initialize the attributes array.
@@ -88,11 +90,12 @@ abstract class ElggEntity extends ElggData implements
$this->attributes['type'] = NULL;
$this->attributes['subtype'] = NULL;
- $this->attributes['owner_guid'] = get_loggedin_userid();
- $this->attributes['container_guid'] = get_loggedin_userid();
+ $this->attributes['owner_guid'] = elgg_get_logged_in_user_guid();
+ $this->attributes['container_guid'] = elgg_get_logged_in_user_guid();
$this->attributes['site_guid'] = NULL;
$this->attributes['access_id'] = ACCESS_PRIVATE;
+ $this->attributes['time_created'] = NULL;
$this->attributes['time_updated'] = NULL;
$this->attributes['last_action'] = NULL;
$this->attributes['enabled'] = "yes";
@@ -136,7 +139,10 @@ abstract class ElggEntity extends ElggData implements
return;
}
- $metadata_array = get_metadata_for_entity($this->guid);
+ $metadata_array = elgg_get_metadata(array(
+ 'guid' => $this->guid,
+ 'limit' => 0
+ ));
$this->attributes['guid'] = "";
@@ -181,7 +187,7 @@ abstract class ElggEntity extends ElggData implements
*/
public function get($name) {
// See if its in our base attributes
- if (isset($this->attributes[$name])) {
+ if (array_key_exists($name, $this->attributes)) {
return $this->attributes[$name];
}
@@ -195,8 +201,11 @@ abstract class ElggEntity extends ElggData implements
/**
* Sets the value of a property.
*
- * If $name is defined in $this->attributes that value is set, otherwise it will
- * set the appropriate item of metadata.
+ * If $name is defined in $this->attributes that value is set, otherwise it is
+ * saved as metadata.
+ *
+ * @warning Metadata set this way will inherit the entity's owner and access ID. If you want
+ * to set metadata with a different owner, use create_metadata().
*
* @warning It is important that your class populates $this->attributes with keys
* for all base attributes, anything not in their gets set as METADATA.
@@ -217,7 +226,6 @@ abstract class ElggEntity extends ElggData implements
// Certain properties should not be manually changed!
switch ($name) {
case 'guid':
- case 'time_created':
case 'time_updated':
case 'last_action':
return FALSE;
@@ -241,21 +249,53 @@ abstract class ElggEntity extends ElggData implements
* @return mixed The value, or NULL if not found.
*/
public function getMetaData($name) {
- if ((int) ($this->guid) > 0) {
- $md = get_metadata_byname($this->getGUID(), $name);
- } else {
+ $guid = $this->getGUID();
+
+ if (! $guid) {
if (isset($this->temp_metadata[$name])) {
- return $this->temp_metadata[$name];
+ // md is returned as an array only if more than 1 entry
+ if (count($this->temp_metadata[$name]) == 1) {
+ return $this->temp_metadata[$name][0];
+ } else {
+ return $this->temp_metadata[$name];
+ }
+ } else {
+ return null;
+ }
+ }
+
+ // upon first cache miss, just load/cache all the metadata and retry.
+ // if this works, the rest of this function may not be needed!
+ $cache = elgg_get_metadata_cache();
+ if ($cache->isKnown($guid, $name)) {
+ return $cache->load($guid, $name);
+ } else {
+ $cache->populateFromEntities(array($guid));
+ // in case ignore_access was on, we have to check again...
+ if ($cache->isKnown($guid, $name)) {
+ return $cache->load($guid, $name);
}
}
+ $md = elgg_get_metadata(array(
+ 'guid' => $guid,
+ 'metadata_name' => $name,
+ 'limit' => 0,
+ ));
+
+ $value = null;
+
if ($md && !is_array($md)) {
- return $md->value;
+ $value = $md->value;
+ } elseif (count($md) == 1) {
+ $value = $md[0]->value;
} else if ($md && is_array($md)) {
- return metadata_array_to_values($md);
+ $value = metadata_array_to_values($md);
}
- return null;
+ $cache->save($guid, $name, $value);
+
+ return $value;
}
/**
@@ -271,73 +311,136 @@ abstract class ElggEntity extends ElggData implements
if (array_key_exists($name, $this->attributes)) {
$this->attributes[$name] = "";
} else {
- $this->clearMetaData($name);
+ $this->deleteMetadata($name);
}
}
/**
* Set a piece of metadata.
*
- * @tip Plugin authors should use the magic methods.
+ * Plugin authors should use the magic methods or create_metadata().
+ *
+ * @warning The metadata will inherit the parent entity's owner and access ID.
+ * If you want to write metadata with a different owner, use create_metadata().
*
* @access private
*
* @param string $name Name of the metadata
- * @param mixed $value Value of the metadata
+ * @param mixed $value Value of the metadata (doesn't support assoc arrays)
* @param string $value_type Types supported: integer and string. Will auto-identify if not set
* @param bool $multiple Allow multiple values for a single name (doesn't support assoc arrays)
*
* @return bool
*/
- public function setMetaData($name, $value, $value_type = "", $multiple = false) {
+ public function setMetaData($name, $value, $value_type = null, $multiple = false) {
+
+ // normalize value to an array that we will loop over
+ // remove indexes if value already an array.
if (is_array($value)) {
- unset($this->temp_metadata[$name]);
- remove_metadata($this->getGUID(), $name);
- foreach ($value as $v) {
- if ((int) $this->guid > 0) {
- $multiple = true;
- if (!create_metadata($this->getGUID(), $name, $v, $value_type,
- $this->getOwnerGUID(), $this->getAccessID(), $multiple)) {
- return false;
- }
- } else {
- if (($multiple) && (isset($this->temp_metadata[$name]))) {
- if (!is_array($this->temp_metadata[$name])) {
- $tmp = $this->temp_metadata[$name];
- $this->temp_metadata[$name] = array();
- $this->temp_metadata[$name][] = $tmp;
- }
-
- $this->temp_metadata[$name][] = $value;
- } else {
- $this->temp_metadata[$name] = $value;
- }
+ $value = array_values($value);
+ } else {
+ $value = array($value);
+ }
+
+ // saved entity. persist md to db.
+ if ($this->guid) {
+ // if overwriting, delete first.
+ if (!$multiple) {
+ $options = array(
+ 'guid' => $this->getGUID(),
+ 'metadata_name' => $name,
+ 'limit' => 0
+ );
+ // @todo in 1.9 make this return false if can't add metadata
+ // https://github.com/elgg/elgg/issues/4520
+ //
+ // need to remove access restrictions right now to delete
+ // because this is the expected behavior
+ $ia = elgg_set_ignore_access(true);
+ if (false === elgg_delete_metadata($options)) {
+ return false;
}
+ elgg_set_ignore_access($ia);
}
- return true;
- } else {
- unset($this->temp_metadata[$name]);
- if ((int) $this->guid > 0) {
- $result = create_metadata($this->getGUID(), $name, $value, $value_type,
- $this->getOwnerGUID(), $this->getAccessID(), $multiple);
- return (bool)$result;
- } else {
- if (($multiple) && (isset($this->temp_metadata[$name]))) {
- if (!is_array($this->temp_metadata[$name])) {
- $tmp = $this->temp_metadata[$name];
- $this->temp_metadata[$name] = array();
- $this->temp_metadata[$name][] = $tmp;
- }
-
- $this->temp_metadata[$name][] = $value;
- } else {
- $this->temp_metadata[$name] = $value;
+ // add new md
+ $result = true;
+ foreach ($value as $value_tmp) {
+ // at this point $value should be appended because it was cleared above if needed.
+ $md_id = create_metadata($this->getGUID(), $name, $value_tmp, $value_type,
+ $this->getOwnerGUID(), $this->getAccessId(), true);
+ if (!$md_id) {
+ return false;
}
+ }
- return true;
+ return $result;
+ } else {
+ // unsaved entity. store in temp array
+ // returning single entries instead of an array of 1 element is decided in
+ // getMetaData(), just like pulling from the db.
+ //
+ // if overwrite, delete first
+ if (!$multiple || !isset($this->temp_metadata[$name])) {
+ $this->temp_metadata[$name] = array();
}
+
+ // add new md
+ $this->temp_metadata[$name] = array_merge($this->temp_metadata[$name], $value);
+ return true;
+ }
+ }
+
+ /**
+ * Deletes all metadata on this object (metadata.entity_guid = $this->guid).
+ * If you pass a name, only metadata matching that name will be deleted.
+ *
+ * @warning Calling this with no $name will clear all metadata on the entity.
+ *
+ * @param null|string $name The name of the metadata to remove.
+ * @return bool
+ * @since 1.8
+ */
+ public function deleteMetadata($name = null) {
+
+ if (!$this->guid) {
+ return false;
+ }
+
+ $options = array(
+ 'guid' => $this->guid,
+ 'limit' => 0
+ );
+ if ($name) {
+ $options['metadata_name'] = $name;
+ }
+
+ return elgg_delete_metadata($options);
+ }
+
+ /**
+ * Deletes all metadata owned by this object (metadata.owner_guid = $this->guid).
+ * If you pass a name, only metadata matching that name will be deleted.
+ *
+ * @param null|string $name The name of metadata to delete.
+ * @return bool
+ * @since 1.8
+ */
+ public function deleteOwnedMetadata($name = null) {
+ // access is turned off for this because they might
+ // no longer have access to an entity they created metadata on.
+ $ia = elgg_set_ignore_access(true);
+ $options = array(
+ 'metadata_owner_guid' => $this->guid,
+ 'limit' => 0
+ );
+ if ($name) {
+ $options['metadata_name'] = $name;
}
+
+ $r = elgg_delete_metadata($options);
+ elgg_set_ignore_access($ia);
+ return $r;
}
/**
@@ -346,17 +449,53 @@ abstract class ElggEntity extends ElggData implements
* @warning Calling this with no or empty arguments will clear all metadata on the entity.
*
* @param string $name The name of the metadata to clear
- *
* @return mixed bool
+ * @deprecated 1.8 Use deleteMetadata()
*/
- public function clearMetaData($name = "") {
- if (empty($name)) {
- return clear_metadata($this->getGUID());
- } else {
- return remove_metadata($this->getGUID(), $name);
+ public function clearMetaData($name = '') {
+ elgg_deprecated_notice('ElggEntity->clearMetadata() is deprecated by ->deleteMetadata()', 1.8);
+ return $this->deleteMetadata($name);
+ }
+
+ /**
+ * Disables metadata for this entity, optionally based on name.
+ *
+ * @param string $name An options name of metadata to disable.
+ * @return bool
+ * @since 1.8
+ */
+ public function disableMetadata($name = '') {
+ $options = array(
+ 'guid' => $this->guid,
+ 'limit' => 0
+ );
+ if ($name) {
+ $options['metadata_name'] = $name;
}
+
+ return elgg_disable_metadata($options);
}
+ /**
+ * Enables metadata for this entity, optionally based on name.
+ *
+ * @warning Before calling this, you must use {@link access_show_hidden_entities()}
+ *
+ * @param string $name An options name of metadata to enable.
+ * @return bool
+ * @since 1.8
+ */
+ public function enableMetadata($name = '') {
+ $options = array(
+ 'guid' => $this->guid,
+ 'limit' => 0
+ );
+ if ($name) {
+ $options['metadata_name'] = $name;
+ }
+
+ return elgg_enable_metadata($options);
+ }
/**
* Get a piece of volatile (non-persisted) data on this entity.
@@ -377,7 +516,6 @@ abstract class ElggEntity extends ElggData implements
}
}
-
/**
* Set a piece of volatile (non-persisted) data on this entity
*
@@ -394,7 +532,6 @@ abstract class ElggEntity extends ElggData implements
$this->volatile[$name] = $value;
}
-
/**
* Remove all relationships to and from this entity.
*
@@ -403,13 +540,26 @@ abstract class ElggEntity extends ElggData implements
* @see ElggEntity::addRelationship()
* @see ElggEntity::removeRelationship()
*/
- public function clearRelationships() {
+ public function deleteRelationships() {
remove_entity_relationships($this->getGUID());
remove_entity_relationships($this->getGUID(), "", true);
return true;
}
/**
+ * Remove all relationships to and from this entity.
+ *
+ * @return bool
+ * @see ElggEntity::addRelationship()
+ * @see ElggEntity::removeRelationship()
+ * @deprecated 1.8 Use ->deleteRelationship()
+ */
+ public function clearRelationships() {
+ elgg_deprecated_notice('ElggEntity->clearRelationships() is deprecated by ->deleteRelationships()', 1.8);
+ return $this->deleteRelationships();
+ }
+
+ /**
* Add a relationship between this an another entity.
*
* @tip Read the relationship like "$guid is a $relationship of this entity."
@@ -449,10 +599,14 @@ abstract class ElggEntity extends ElggData implements
* @param mixed $value Value of private setting
*
* @return bool
- * @link http://docs.elgg.org/DataModel/Entities/PrivateSettings
*/
function setPrivateSetting($name, $value) {
- return set_private_setting($this->getGUID(), $name, $value);
+ if ((int) $this->guid > 0) {
+ return set_private_setting($this->getGUID(), $name, $value);
+ } else {
+ $this->temp_private_settings[$name] = $value;
+ return true;
+ }
}
/**
@@ -463,7 +617,14 @@ abstract class ElggEntity extends ElggData implements
* @return mixed
*/
function getPrivateSetting($name) {
- return get_private_setting($this->getGUID(), $name);
+ if ((int) ($this->guid) > 0) {
+ return get_private_setting($this->getGUID(), $name);
+ } else {
+ if (isset($this->temp_private_settings[$name])) {
+ return $this->temp_private_settings[$name];
+ }
+ }
+ return null;
}
/**
@@ -478,10 +639,117 @@ abstract class ElggEntity extends ElggData implements
}
/**
+ * Deletes all annotations on this object (annotations.entity_guid = $this->guid).
+ * If you pass a name, only annotations matching that name will be deleted.
+ *
+ * @warning Calling this with no or empty arguments will clear all annotations on the entity.
+ *
+ * @param null|string $name The annotations name to remove.
+ * @return bool
+ * @since 1.8
+ */
+ public function deleteAnnotations($name = null) {
+ $options = array(
+ 'guid' => $this->guid,
+ 'limit' => 0
+ );
+ if ($name) {
+ $options['annotation_name'] = $name;
+ }
+
+ return elgg_delete_annotations($options);
+ }
+
+ /**
+ * Deletes all annotations owned by this object (annotations.owner_guid = $this->guid).
+ * If you pass a name, only annotations matching that name will be deleted.
+ *
+ * @param null|string $name The name of annotations to delete.
+ * @return bool
+ * @since 1.8
+ */
+ public function deleteOwnedAnnotations($name = null) {
+ // access is turned off for this because they might
+ // no longer have access to an entity they created annotations on.
+ $ia = elgg_set_ignore_access(true);
+ $options = array(
+ 'annotation_owner_guid' => $this->guid,
+ 'limit' => 0
+ );
+ if ($name) {
+ $options['annotation_name'] = $name;
+ }
+
+ $r = elgg_delete_annotations($options);
+ elgg_set_ignore_access($ia);
+ return $r;
+ }
+
+ /**
+ * Disables annotations for this entity, optionally based on name.
+ *
+ * @param string $name An options name of annotations to disable.
+ * @return bool
+ * @since 1.8
+ */
+ public function disableAnnotations($name = '') {
+ $options = array(
+ 'guid' => $this->guid,
+ 'limit' => 0
+ );
+ if ($name) {
+ $options['annotation_name'] = $name;
+ }
+
+ return elgg_disable_annotations($options);
+ }
+
+ /**
+ * Enables annotations for this entity, optionally based on name.
+ *
+ * @warning Before calling this, you must use {@link access_show_hidden_entities()}
+ *
+ * @param string $name An options name of annotations to enable.
+ * @return bool
+ * @since 1.8
+ */
+ public function enableAnnotations($name = '') {
+ $options = array(
+ 'guid' => $this->guid,
+ 'limit' => 0
+ );
+ if ($name) {
+ $options['annotation_name'] = $name;
+ }
+
+ return elgg_enable_annotations($options);
+ }
+
+ /**
+ * Helper function to return annotation calculation results
+ *
+ * @param string $name The annotation name.
+ * @param string $calculation A valid MySQL function to run its values through
+ * @return mixed
+ */
+ private function getAnnotationCalculation($name, $calculation) {
+ $options = array(
+ 'guid' => $this->getGUID(),
+ 'annotation_name' => $name,
+ 'annotation_calculation' => $calculation
+ );
+
+ return elgg_get_annotations($options);
+ }
+
+ /**
* Adds an annotation to an entity.
*
* @warning By default, annotations are private.
*
+ * @warning Annotating an unsaved entity more than once with the same name
+ * will only save the last annotation.
+ *
* @param string $name Annotation name
* @param mixed $value Annotation value
* @param int $access_id Access ID
@@ -489,8 +757,6 @@ abstract class ElggEntity extends ElggData implements
* @param string $vartype The type of annotation value
*
* @return bool
- *
- * @link http://docs.elgg.org/DataModel/Annotations
*/
function annotate($name, $value, $access_id = ACCESS_PRIVATE, $owner_id = 0, $vartype = "") {
if ((int) $this->guid > 0) {
@@ -507,15 +773,29 @@ abstract class ElggEntity extends ElggData implements
* @param string $name Annotation name
* @param int $limit Limit
* @param int $offset Offset
- * @param string $order asc or desc
+ * @param string $order Order by time: asc or desc
*
* @return array
*/
function getAnnotations($name, $limit = 50, $offset = 0, $order = "asc") {
if ((int) ($this->guid) > 0) {
- return get_annotations($this->getGUID(), "", "", $name, "", 0, $limit, $offset, $order);
+
+ $options = array(
+ 'guid' => $this->guid,
+ 'annotation_name' => $name,
+ 'limit' => $limit,
+ 'offset' => $offset,
+ );
+
+ if ($order != 'asc') {
+ $options['reverse_order_by'] = true;
+ }
+
+ return elgg_get_annotations($options);
+ } else if (isset($this->temp_annotations[$name])) {
+ return array($this->temp_annotations[$name]);
} else {
- return $this->temp_annotations[$name];
+ return array();
}
}
@@ -526,11 +806,12 @@ abstract class ElggEntity extends ElggData implements
* all annotations on the entity.
*
* @param string $name Annotation name
- *
* @return bool
+ * @deprecated 1.8 Use ->deleteAnnotations()
*/
function clearAnnotations($name = "") {
- return clear_annotations($this->getGUID(), $name);
+ elgg_deprecated_notice('ElggEntity->clearAnnotations() is deprecated by ->deleteAnnotations()', 1.8);
+ return $this->deleteAnnotations($name);
}
/**
@@ -541,7 +822,7 @@ abstract class ElggEntity extends ElggData implements
* @return int
*/
function countAnnotations($name = "") {
- return count_annotations($this->getGUID(), "", "", $name);
+ return $this->getAnnotationCalculation($name, 'count');
}
/**
@@ -552,7 +833,7 @@ abstract class ElggEntity extends ElggData implements
* @return int
*/
function getAnnotationsAvg($name) {
- return get_annotations_avg($this->getGUID(), "", "", $name);
+ return $this->getAnnotationCalculation($name, 'avg');
}
/**
@@ -563,7 +844,7 @@ abstract class ElggEntity extends ElggData implements
* @return int
*/
function getAnnotationsSum($name) {
- return get_annotations_sum($this->getGUID(), "", "", $name);
+ return $this->getAnnotationCalculation($name, 'sum');
}
/**
@@ -574,7 +855,7 @@ abstract class ElggEntity extends ElggData implements
* @return int
*/
function getAnnotationsMin($name) {
- return get_annotations_min($this->getGUID(), "", "", $name);
+ return $this->getAnnotationCalculation($name, 'min');
}
/**
@@ -585,7 +866,24 @@ abstract class ElggEntity extends ElggData implements
* @return int
*/
function getAnnotationsMax($name) {
- return get_annotations_max($this->getGUID(), "", "", $name);
+ return $this->getAnnotationCalculation($name, 'max');
+ }
+
+ /**
+ * Count the number of comments attached to this entity.
+ *
+ * @return int Number of comments
+ * @since 1.8.0
+ */
+ function countComments() {
+ $params = array('entity' => $this);
+ $num = elgg_trigger_plugin_hook('comments:count', $this->getType(), $params);
+
+ if (is_int($num)) {
+ return $num;
+ } else {
+ return $this->getAnnotationCalculation('generic_comment', 'count');
+ }
}
/**
@@ -642,18 +940,18 @@ abstract class ElggEntity extends ElggData implements
* @param ElggMetadata $metadata The piece of metadata to specifically check
* @param int $user_guid The user GUID, optionally (default: logged in user)
*
- * @return true|false
+ * @return bool
*/
function canEditMetadata($metadata = null, $user_guid = 0) {
return can_edit_entity_metadata($this->getGUID(), $user_guid, $metadata);
}
/**
- * Can a user write to this entity
+ * Can a user add an entity to this container
*
- * @param int $user_guid The user.
- * @param string $type The type of entity we're looking to write
- * @param string $subtype The subtype of the entity we're looking to write
+ * @param int $user_guid The user.
+ * @param string $type The type of entity we're looking to write
+ * @param string $subtype The subtype of the entity we're looking to write
*
* @return bool
*/
@@ -662,6 +960,61 @@ abstract class ElggEntity extends ElggData implements
}
/**
+ * Can a user comment on an entity?
+ *
+ * @tip Can be overridden by registering for the permissions_check:comment,
+ * <entity type> plugin hook.
+ *
+ * @param int $user_guid User guid (default is logged in user)
+ *
+ * @return bool
+ */
+ public function canComment($user_guid = 0) {
+ if ($user_guid == 0) {
+ $user_guid = elgg_get_logged_in_user_guid();
+ }
+ $user = get_entity($user_guid);
+
+ // By default, we don't take a position of whether commenting is allowed
+ // because it is handled by the subclasses of ElggEntity
+ $params = array('entity' => $this, 'user' => $user);
+ return elgg_trigger_plugin_hook('permissions_check:comment', $this->type, $params, null);
+ }
+
+ /**
+ * Can a user annotate an entity?
+ *
+ * @tip Can be overridden by registering for the permissions_check:annotate,
+ * <entity type> plugin hook.
+ *
+ * @tip If you want logged out users to annotate an object, do not call
+ * canAnnotate(). It's easier than using the plugin hook.
+ *
+ * @param int $user_guid User guid (default is logged in user)
+ * @param string $annotation_name The name of the annotation (default is unspecified)
+ *
+ * @return bool
+ */
+ public function canAnnotate($user_guid = 0, $annotation_name = '') {
+ if ($user_guid == 0) {
+ $user_guid = elgg_get_logged_in_user_guid();
+ }
+ $user = get_entity($user_guid);
+
+ $return = true;
+ if (!$user) {
+ $return = false;
+ }
+
+ $params = array(
+ 'entity' => $this,
+ 'user' => $user,
+ 'annotation_name' => $annotation_name,
+ );
+ return elgg_trigger_plugin_hook('permissions_check:annotate', $this->type, $params, $return);
+ }
+
+ /**
* Returns the access_id.
*
* @return int The access ID
@@ -673,7 +1026,7 @@ abstract class ElggEntity extends ElggData implements
/**
* Returns the guid.
*
- * @return int GUID
+ * @return int|null GUID
*/
public function getGUID() {
return $this->get('guid');
@@ -740,7 +1093,22 @@ abstract class ElggEntity extends ElggData implements
*
* @return bool
*/
+ public function setContainerGUID($container_guid) {
+ $container_guid = (int)$container_guid;
+
+ return $this->set('container_guid', $container_guid);
+ }
+
+ /**
+ * Set the container for this object.
+ *
+ * @param int $container_guid The ID of the container.
+ *
+ * @return bool
+ * @deprecated 1.8 use setContainerGUID()
+ */
public function setContainer($container_guid) {
+ elgg_deprecated_notice("ElggObject::setContainer deprecated for ElggEntity::setContainerGUID", 1.8);
$container_guid = (int)$container_guid;
return $this->set('container_guid', $container_guid);
@@ -814,18 +1182,49 @@ abstract class ElggEntity extends ElggData implements
}
/**
+ * Get the URL for this entity's icon
+ *
+ * Plugins can register for the 'entity:icon:url', <type> plugin hook
+ * to customize the icon for an entity.
+ *
+ * @param string $size Size of the icon: tiny, small, medium, large
+ *
+ * @return string The URL
+ * @since 1.8.0
+ */
+ public function getIconURL($size = 'medium') {
+ $size = elgg_strtolower($size);
+
+ if (isset($this->icon_override[$size])) {
+ elgg_deprecated_notice("icon_override on an individual entity is deprecated", 1.8);
+ return $this->icon_override[$size];
+ }
+
+ $type = $this->getType();
+ $params = array(
+ 'entity' => $this,
+ 'size' => $size,
+ );
+
+ $url = elgg_trigger_plugin_hook('entity:icon:url', $type, $params, null);
+ if ($url == null) {
+ $url = "_graphics/icons/default/$size.png";
+ }
+
+ return elgg_normalize_url($url);
+ }
+
+ /**
* Returns a URL for the entity's icon.
*
* @param string $size Either 'large', 'medium', 'small' or 'tiny'
*
* @return string The url or false if no url could be worked out.
- * @see get_entity_icon_url()
+ * @deprecated Use getIconURL()
*/
public function getIcon($size = 'medium') {
- if (isset($this->icon_override[$size])) {
- return $this->icon_override[$size];
- }
- return get_entity_icon_url($this, $size);
+ elgg_deprecated_notice("getIcon() deprecated by getIconURL()", 1.8);
+ return $this->getIconURL($size);
}
/**
@@ -837,8 +1236,11 @@ abstract class ElggEntity extends ElggData implements
* @param string $size The size its for.
*
* @return bool
+ * @deprecated 1.8 See getIconURL() for the plugin hook to use
*/
public function setIcon($url, $size = 'medium') {
+ elgg_deprecated_notice("icon_override on an individual entity is deprecated", 1.8);
+
$url = sanitise_string($url);
$size = sanitise_string($size);
@@ -860,22 +1262,31 @@ abstract class ElggEntity extends ElggData implements
}
/**
- * Save attributes to the entities table.
+ * Save an entity.
*
- * @return bool
+ * @return bool|int
* @throws IOException
*/
public function save() {
- $guid = (int) $this->guid;
+ $guid = $this->getGUID();
if ($guid > 0) {
- cache_entity($this);
- return update_entity(
- $this->get('guid'),
+ // See #5600. This ensures the lower level can_edit_entity() check will use a
+ // fresh entity from the DB so it sees the persisted owner_guid
+ _elgg_disable_caching_for_entity($guid);
+
+ $ret = update_entity(
+ $guid,
$this->get('owner_guid'),
$this->get('access_id'),
- $this->get('container_guid')
+ $this->get('container_guid'),
+ $this->get('time_created')
);
+
+ _elgg_enable_caching_for_entity($guid);
+ _elgg_cache_entity($this);
+
+ return $ret;
} else {
// Create a new entity (nb: using attribute array directly
// 'cos set function does something special!)
@@ -897,8 +1308,7 @@ abstract class ElggEntity extends ElggData implements
}
}
- // Save any unsaved annotations metadata.
- // @todo How to capture extra information (access id etc)
+ // Save any unsaved annotations.
if (sizeof($this->temp_annotations) > 0) {
foreach ($this->temp_annotations as $name => $value) {
$this->annotate($name, $value);
@@ -906,14 +1316,19 @@ abstract class ElggEntity extends ElggData implements
}
}
+ // Save any unsaved private settings.
+ if (sizeof($this->temp_private_settings) > 0) {
+ foreach ($this->temp_private_settings as $name => $value) {
+ $this->setPrivateSetting($name, $value);
+ unset($this->temp_private_settings[$name]);
+ }
+ }
+
// set the subtype to id now rather than a string
$this->attributes['subtype'] = get_subtype_id($this->attributes['type'],
$this->attributes['subtype']);
- // Cache object handle
- if ($this->attributes['guid']) {
- cache_entity($this);
- }
+ _elgg_cache_entity($this);
return $this->attributes['guid'];
}
@@ -922,12 +1337,16 @@ abstract class ElggEntity extends ElggData implements
/**
* Loads attributes from the entities table into the object.
*
- * @param int $guid GUID of Entity
+ * @param mixed $guid GUID of entity or stdClass object from entities table
*
* @return bool
*/
protected function load($guid) {
- $row = get_entity_as_row($guid);
+ if ($guid instanceof stdClass) {
+ $row = $guid;
+ } else {
+ $row = get_entity_as_row($guid);
+ }
if ($row) {
// Create the array if necessary - all subclasses should test before creating
@@ -946,9 +1365,12 @@ abstract class ElggEntity extends ElggData implements
$this->attributes['tables_loaded']++;
}
+ // guid needs to be an int https://github.com/elgg/elgg/issues/4111
+ $this->attributes['guid'] = (int)$this->attributes['guid'];
+
// Cache object handle
if ($this->attributes['guid']) {
- cache_entity($this);
+ _elgg_cache_entity($this);
}
return true;
@@ -1045,13 +1467,10 @@ abstract class ElggEntity extends ElggData implements
*
* @param string $location String representation of the location
*
- * @return true
+ * @return bool
*/
public function setLocation($location) {
- $location = sanitise_string($location);
-
$this->location = $location;
-
return true;
}
@@ -1061,13 +1480,10 @@ abstract class ElggEntity extends ElggData implements
* @param float $lat Latitude
* @param float $long Longitude
*
- * @return true
+ * @return bool
* @todo Unimplemented
*/
public function setLatLong($lat, $long) {
- $lat = sanitise_string($lat);
- $long = sanitise_string($long);
-
$this->set('geo:lat', $lat);
$this->set('geo:long', $long);
@@ -1077,20 +1493,20 @@ abstract class ElggEntity extends ElggData implements
/**
* Return the entity's latitude.
*
- * @return int
+ * @return float
* @todo Unimplemented
*/
public function getLatitude() {
- return $this->get('geo:lat');
+ return (float)$this->get('geo:lat');
}
/**
* Return the entity's longitude
*
- * @return Int
+ * @return float
*/
public function getLongitude() {
- return $this->get('geo:long');
+ return (float)$this->get('geo:long');
}
/*
@@ -1197,36 +1613,36 @@ abstract class ElggEntity extends ElggData implements
foreach ($this->attributes as $k => $v) {
$meta = NULL;
- if (in_array( $k, $exportable_values)) {
+ if (in_array($k, $exportable_values)) {
switch ($k) {
- case 'guid' : // Dont use guid in OpenDD
- case 'type' : // Type and subtype already taken care of
- case 'subtype' :
- break;
+ case 'guid': // Dont use guid in OpenDD
+ case 'type': // Type and subtype already taken care of
+ case 'subtype':
+ break;
- case 'time_created' : // Created = published
+ case 'time_created': // Created = published
$odd->setAttribute('published', date("r", $v));
- break;
+ break;
- case 'site_guid' : // Container
+ case 'site_guid': // Container
$k = 'site_uuid';
$v = guid_to_uuid($v);
$meta = new ODDMetaData($uuid . "attr/$k/", $uuid, $k, $v);
- break;
+ break;
- case 'container_guid' : // Container
+ case 'container_guid': // Container
$k = 'container_uuid';
$v = guid_to_uuid($v);
$meta = new ODDMetaData($uuid . "attr/$k/", $uuid, $k, $v);
- break;
+ break;
- case 'owner_guid' : // Convert owner guid to uuid, this will be stored in metadata
+ case 'owner_guid': // Convert owner guid to uuid, this will be stored in metadata
$k = 'owner_uuid';
$v = guid_to_uuid($v);
$meta = new ODDMetaData($uuid . "attr/$k/", $uuid, $k, $v);
- break;
+ break;
- default :
+ default:
$meta = new ODDMetaData($uuid . "attr/$k/", $uuid, $k, $v);
}
@@ -1244,7 +1660,7 @@ abstract class ElggEntity extends ElggData implements
*/
elgg_set_viewtype('default');
- $view = elgg_view_entity($this, true);
+ $view = elgg_view_entity($this, array('full_view' => true));
elgg_set_viewtype();
$tmp[] = new ODDMetaData($uuid . "volatile/renderedentity/", $uuid,
@@ -1260,9 +1676,11 @@ abstract class ElggEntity extends ElggData implements
/**
* Import data from an parsed ODD xml data array.
*
- * @param array $data XML data
+ * @param ODD $data XML data
*
* @return true
+ *
+ * @throws InvalidParameterException
*/
public function import(ODD $data) {
if (!($data instanceof ODDEntity)) {
@@ -1274,7 +1692,7 @@ abstract class ElggEntity extends ElggData implements
$this->attributes['subtype'] = $data->getAttribute('subclass');
// Set owner
- $this->attributes['owner_guid'] = get_loggedin_userid(); // Import as belonging to importer.
+ $this->attributes['owner_guid'] = elgg_get_logged_in_user_guid(); // Import as belonging to importer.
// Set time
$this->attributes['time_created'] = strtotime($data->getAttribute('published'));
@@ -1324,8 +1742,6 @@ abstract class ElggEntity extends ElggData implements
* @return array
*/
public function getTags($tag_names = NULL) {
- global $CONFIG;
-
if ($tag_names && !is_array($tag_names)) {
$tag_names = array($tag_names);
}
@@ -1351,4 +1767,4 @@ abstract class ElggEntity extends ElggData implements
return $entity_tags;
}
-} \ No newline at end of file
+}
diff --git a/engine/classes/ElggExtender.php b/engine/classes/ElggExtender.php
index 6ab9ff3ce..25aba354f 100644
--- a/engine/classes/ElggExtender.php
+++ b/engine/classes/ElggExtender.php
@@ -3,8 +3,7 @@
* The base class for ElggEntity extenders.
*
* Extenders allow you to attach extended information to an
- * ElggEntity. Core supports two: ElggAnnotation, ElggMetadata,
- * and ElggRelationship
+ * ElggEntity. Core supports two: ElggAnnotation and ElggMetadata.
*
* Saving the extender data to database is handled by the child class.
*
@@ -16,9 +15,24 @@
* @link http://docs.elgg.org/DataModel/Extenders
* @see ElggAnnotation
* @see ElggMetadata
+ *
+ * @property string $type annotation or metadata (read-only after save)
+ * @property int $id The unique identifier (read-only)
+ * @property int $entity_guid The GUID of the entity that this extender describes
+ * @property int $access_id Specifies the visibility level of this extender
+ * @property string $name The name of this extender
+ * @property mixed $value The value of the extender (int or string)
+ * @property int $time_created A UNIX timestamp of when the extender was created (read-only, set on first save)
*/
-abstract class ElggExtender extends ElggData
-{
+abstract class ElggExtender extends ElggData {
+
+ /**
+ * (non-PHPdoc)
+ *
+ * @see ElggData::initializeAttributes()
+ *
+ * @return void
+ */
protected function initializeAttributes() {
parent::initializeAttributes();
@@ -33,7 +47,7 @@ abstract class ElggExtender extends ElggData
* @return mixed
*/
protected function get($name) {
- if (isset($this->attributes[$name])) {
+ if (array_key_exists($name, $this->attributes)) {
// Sanitise value if necessary
if ($name == 'value') {
switch ($this->attributes['value_type']) {
@@ -157,7 +171,7 @@ abstract class ElggExtender extends ElggData
public function export() {
$uuid = get_uuid_from_object($this);
- $meta = new ODDMetadata($uuid, guid_to_uuid($this->entity_guid), $this->attributes['name'],
+ $meta = new ODDMetaData($uuid, guid_to_uuid($this->entity_guid), $this->attributes['name'],
$this->attributes['value'], $this->attributes['type'], guid_to_uuid($this->owner_guid));
$meta->setAttribute('published', date("r", $this->time_created));
diff --git a/engine/classes/ElggFile.php b/engine/classes/ElggFile.php
index a55bbc443..23080834b 100644
--- a/engine/classes/ElggFile.php
+++ b/engine/classes/ElggFile.php
@@ -31,18 +31,6 @@ class ElggFile extends ElggObject {
* Set subtype to 'file'.
*
* @return void
- *
- * @deprecated 1.8 Use initializeAttributes()
- */
- protected function initialise_attributes() {
- elgg_deprecated_notice('ElggFile::initialise_attributes() is deprecated by ::initializeAttributes()', 1.8);
- $this->initializeAttributes();
- }
-
- /**
- * Set subtype to 'file'.
- *
- * @return void
*/
protected function initializeAttributes() {
parent::initializeAttributes();
@@ -105,6 +93,7 @@ class ElggFile extends ElggObject {
$container_guid = $this->container_guid;
}
$fs = $this->getFilestore();
+ // @todo add getSize() to ElggFilestore
return $fs->getSize($prefix, $container_guid);
}
@@ -133,6 +122,49 @@ class ElggFile extends ElggObject {
}
/**
+ * Detects mime types based on filename or actual file.
+ *
+ * @param mixed $file The full path of the file to check. For uploaded files, use tmp_name.
+ * @param mixed $default A default. Useful to pass what the browser thinks it is.
+ * @since 1.7.12
+ *
+ * @note If $file is provided, this may be called statically
+ *
+ * @return mixed Detected type on success, false on failure.
+ */
+ public function detectMimeType($file = null, $default = null) {
+ if (!$file) {
+ if (isset($this) && $this->filename) {
+ $file = $this->filename;
+ } else {
+ return false;
+ }
+ }
+
+ $mime = false;
+
+ // for PHP5 folks.
+ if (function_exists('finfo_file') && defined('FILEINFO_MIME_TYPE')) {
+ $resource = finfo_open(FILEINFO_MIME_TYPE);
+ if ($resource) {
+ $mime = finfo_file($resource, $file);
+ }
+ }
+
+ // for everyone else.
+ if (!$mime && function_exists('mime_content_type')) {
+ $mime = mime_content_type($file);
+ }
+
+ // default
+ if (!$mime) {
+ return $default;
+ }
+
+ return $mime;
+ }
+
+ /**
* Set the optional file description.
*
* @param string $description The description.
@@ -149,6 +181,8 @@ class ElggFile extends ElggObject {
* @param string $mode Either read/write/append
*
* @return resource File handler
+ *
+ * @throws IOException|InvalidParameterException
*/
public function open($mode) {
if (!$this->getFilename()) {
@@ -241,9 +275,14 @@ class ElggFile extends ElggObject {
*/
public function delete() {
$fs = $this->getFilestore();
- if ($fs->delete($this)) {
- return parent::delete();
+
+ $result = $fs->delete($this);
+
+ if ($this->getGUID() && $result) {
+ $result = parent::delete();
}
+
+ return $result;
}
/**
@@ -256,6 +295,7 @@ class ElggFile extends ElggObject {
public function seek($position) {
$fs = $this->getFilestore();
+ // @todo add seek() to ElggFilestore
return $fs->seek($this->handle, $position);
}
@@ -314,10 +354,12 @@ class ElggFile extends ElggObject {
/**
* Return a filestore suitable for saving this file.
- * This filestore is either a pre-registered filestore, a filestore loaded from metatags saved
- * along side this file, or the system default.
+ * This filestore is either a pre-registered filestore,
+ * a filestore as recorded in metadata or the system default.
*
* @return ElggFilestore
+ *
+ * @throws ClassNotFoundException
*/
protected function getFilestore() {
// Short circuit if already set.
@@ -325,40 +367,43 @@ class ElggFile extends ElggObject {
return $this->filestore;
}
- // If filestore meta set then retrieve filestore
- // @todo Better way of doing this?
- $metas = get_metadata_for_entity($this->guid);
- $parameters = array();
- if (is_array($metas)) {
- foreach ($metas as $meta) {
- if (strpos($meta->name, "filestore::") !== false) {
- // Filestore parameter tag
- $comp = explode("::", $meta->name);
- $name = $comp[1];
-
- $parameters[$name] = $meta->value;
+ // ask for entity specific filestore
+ // saved as filestore::className in metadata.
+ // need to get all filestore::* metadata because the rest are "parameters" that
+ // get passed to filestore::setParameters()
+ if ($this->guid) {
+ $options = array(
+ 'guid' => $this->guid,
+ 'where' => array("n.string LIKE 'filestore::%'"),
+ );
+
+ $mds = elgg_get_metadata($options);
+
+ $parameters = array();
+ foreach ($mds as $md) {
+ list($foo, $name) = explode("::", $md->name);
+ if ($name == 'filestore') {
+ $filestore = $md->value;
}
+ $parameters[$name] = $md->value;
}
}
- if (isset($parameters['filestore'])) {
- if (!class_exists($parameters['filestore'])) {
+ // need to check if filestore is set because this entity is loaded in save()
+ // before the filestore metadata is saved.
+ if (isset($filestore)) {
+ if (!class_exists($filestore)) {
$msg = elgg_echo('ClassNotFoundException:NotFoundNotSavedWithFile',
- array($parameters['filestore'],
- $this->guid));
+ array($filestore, $this->guid));
throw new ClassNotFoundException($msg);
}
- // Create new filestore object
- $this->filestore = new $parameters['filestore']();
-
+ $this->filestore = new $filestore();
$this->filestore->setParameters($parameters);
- } else {
- // @todo - should we log error if filestore not set
+ // @todo explain why $parameters will always be set here (PhpStorm complains)
}
-
- // if still nothing then set filestore to default
+ // this means the entity hasn't been saved so fallback to default
if (!$this->filestore) {
$this->filestore = get_default_filestore();
}
diff --git a/engine/classes/ElggFileCache.php b/engine/classes/ElggFileCache.php
index c27e9aa32..94143f777 100644
--- a/engine/classes/ElggFileCache.php
+++ b/engine/classes/ElggFileCache.php
@@ -13,6 +13,8 @@ class ElggFileCache extends ElggCache {
* @param string $cache_path The cache path.
* @param int $max_age Maximum age in seconds, 0 if no limit.
* @param int $max_size Maximum size of cache in seconds, 0 if no limit.
+ *
+ * @throws ConfigurationException
*/
function __construct($cache_path, $max_age = 0, $max_size = 0) {
$this->setVariable("cache_path", $cache_path);
@@ -24,6 +26,7 @@ class ElggFileCache extends ElggCache {
}
}
+ // @codingStandardsIgnoreStart
/**
* Create and return a handle to a file.
*
@@ -39,6 +42,7 @@ class ElggFileCache extends ElggCache {
return $this->createFile($filename, $rw);
}
+ // @codingStandardsIgnoreEnd
/**
* Create and return a handle to a file.
@@ -70,6 +74,7 @@ class ElggFileCache extends ElggCache {
return fopen($path . $filename, $rw);
}
+ // @codingStandardsIgnoreStart
/**
* Create a sanitised filename for the file.
*
@@ -84,6 +89,7 @@ class ElggFileCache extends ElggCache {
return $filename;
}
+ // @codingStandardsIgnoreEnd
/**
* Create a sanitised filename for the file.
@@ -161,12 +167,25 @@ class ElggFileCache extends ElggCache {
}
/**
- * This was probably meant to delete everything?
+ * Delete all files in the directory of this file cache
*
* @return void
*/
public function clear() {
- // @todo writeme
+ $dir = $this->getVariable("cache_path");
+
+ $exclude = array(".", "..");
+
+ $files = scandir($dir);
+ if (!$files) {
+ return;
+ }
+
+ foreach ($files as $f) {
+ if (!in_array($f, $exclude)) {
+ unlink($dir . $f);
+ }
+ }
}
/**
@@ -184,7 +203,7 @@ class ElggFileCache extends ElggCache {
return;
}
- $exclude = array(".","..");
+ $exclude = array(".", "..");
$files = scandir($dir);
if (!$files) {
@@ -208,4 +227,4 @@ class ElggFileCache extends ElggCache {
}
}
}
-} \ No newline at end of file
+}
diff --git a/engine/classes/ElggFilestore.php b/engine/classes/ElggFilestore.php
index 61ce167d0..16430feac 100644
--- a/engine/classes/ElggFilestore.php
+++ b/engine/classes/ElggFilestore.php
@@ -136,4 +136,4 @@ abstract class ElggFilestore {
* @return bool
*/
abstract public function exists(ElggFile $file);
-} \ No newline at end of file
+}
diff --git a/engine/classes/ElggGroup.php b/engine/classes/ElggGroup.php
index 448c1f7a6..7e69b7a84 100644
--- a/engine/classes/ElggGroup.php
+++ b/engine/classes/ElggGroup.php
@@ -5,29 +5,17 @@
*
* @package Elgg.Core
* @subpackage Groups
+ *
+ * @property string $name A short name that captures the purpose of the group
+ * @property string $description A longer body of content that gives more details about the group
*/
class ElggGroup extends ElggEntity
implements Friendable {
-
- /**
- * Sets the type to group.
- *
- * @return void
- *
- * @deprecated 1.8 Use initializeAttributes
- */
- protected function initialise_attributes() {
- elgg_deprecated_notice('ElggGroup::initialise_attributes() is deprecated by ::initializeAttributes()', 1.8);
- return $this->initializeAttributes();
- }
-
/**
* Sets the type to group.
*
* @return void
- *
- * @see ElggEntity::initialise_attributes()
*/
protected function initializeAttributes() {
parent::initializeAttributes();
@@ -39,39 +27,39 @@ class ElggGroup extends ElggEntity
}
/**
- * Construct a new user entity, optionally from a given id value.
+ * Construct a new group entity, optionally from a given guid value.
*
* @param mixed $guid If an int, load that GUID.
- * If a db row then will attempt to load the rest of the data.
+ * If an entity table db row, then will load the rest of the data.
*
- * @throws Exception if there was a problem creating the user.
+ * @throws IOException|InvalidParameterException if there was a problem creating the group.
*/
function __construct($guid = null) {
$this->initializeAttributes();
+ // compatibility for 1.7 api.
+ $this->initialise_attributes(false);
+
if (!empty($guid)) {
- // Is $guid is a DB row - either a entity row, or a user table row.
+ // Is $guid is a entity table DB row
if ($guid instanceof stdClass) {
// Load the rest
- if (!$this->load($guid->guid)) {
+ if (!$this->load($guid)) {
$msg = elgg_echo('IOException:FailedToLoadGUID', array(get_class(), $guid->guid));
throw new IOException($msg);
}
-
- // Is $guid is an ElggGroup? Use a copy constructor
} else if ($guid instanceof ElggGroup) {
+ // $guid is an ElggGroup so this is a copy constructor
elgg_deprecated_notice('This type of usage of the ElggGroup constructor was deprecated. Please use the clone method.', 1.7);
foreach ($guid->attributes as $key => $value) {
$this->attributes[$key] = $value;
}
-
- // Is this is an ElggEntity but not an ElggGroup = ERROR!
} else if ($guid instanceof ElggEntity) {
+ // @todo why separate from else
throw new InvalidParameterException(elgg_echo('InvalidParameterException:NonElggGroup'));
-
- // We assume if we have got this far, $guid is an int
} else if (is_numeric($guid)) {
+ // $guid is a GUID so load entity
if (!$this->load($guid)) {
throw new IOException(elgg_echo('IOException:FailedToLoadGUID', array(get_class(), $guid)));
}
@@ -229,6 +217,7 @@ class ElggGroup extends ElggEntity
* @return array|false
*/
public function getObjects($subtype = "", $limit = 10, $offset = 0) {
+ // @todo are we deprecating this method, too?
return get_objects_in_group($this->getGUID(), $subtype, 0, 0, "", $limit, $offset, false);
}
@@ -242,6 +231,7 @@ class ElggGroup extends ElggEntity
* @return array|false
*/
public function getFriendsObjects($subtype = "", $limit = 10, $offset = 0) {
+ // @todo are we deprecating this method, too?
return get_objects_in_group($this->getGUID(), $subtype, 0, 0, "", $limit, $offset, false);
}
@@ -253,6 +243,7 @@ class ElggGroup extends ElggEntity
* @return array|false
*/
public function countObjects($subtype = "") {
+ // @todo are we deprecating this method, too?
return get_objects_in_group($this->getGUID(), $subtype, 0, 0, "", 10, 0, true);
}
@@ -293,9 +284,9 @@ class ElggGroup extends ElggEntity
*
* @return bool
*/
- public function isMember($user = 0) {
+ public function isMember($user = null) {
if (!($user instanceof ElggUser)) {
- $user = get_loggedin_user();
+ $user = elgg_get_logged_in_user_entity();
}
if (!($user instanceof ElggUser)) {
return false;
@@ -319,45 +310,32 @@ class ElggGroup extends ElggEntity
*
* @param ElggUser $user User
*
- * @return void
+ * @return bool
*/
public function leave(ElggUser $user) {
return leave_group($this->getGUID(), $user->getGUID());
}
/**
- * Override the load function.
- * This function will ensure that all data is loaded (were possible), so
- * if only part of the ElggGroup is loaded, it'll load the rest.
+ * Load the ElggGroup data from the database
*
- * @param int $guid GUID of an ElggGroup entity
+ * @param mixed $guid GUID of an ElggGroup entity or database row from entity table
*
- * @return true
+ * @return bool
*/
protected function load($guid) {
- // Test to see if we have the generic stuff
- if (!parent::load($guid)) {
+ $attr_loader = new ElggAttributeLoader(get_class(), 'group', $this->attributes);
+ $attr_loader->requires_access_control = !($this instanceof ElggPlugin);
+ $attr_loader->secondary_loader = 'get_group_entity_as_row';
+
+ $attrs = $attr_loader->getRequiredAttributes($guid);
+ if (!$attrs) {
return false;
}
- // Check the type
- if ($this->attributes['type'] != 'group') {
- $msg = elgg_echo('InvalidClassException:NotValidElggStar', array($guid, get_class()));
- throw new InvalidClassException($msg);
- }
-
- // Load missing data
- $row = get_group_entity_as_row($guid);
- if (($row) && (!$this->isFullyLoaded())) {
- // If $row isn't a cached copy then increment the counter
- $this->attributes['tables_loaded'] ++;
- }
-
- // Now put these into the attributes array as core values
- $objarray = (array) $row;
- foreach ($objarray as $key => $value) {
- $this->attributes[$key] = $value;
- }
+ $this->attributes = $attrs;
+ $this->attributes['tables_loaded'] = 2;
+ _elgg_cache_entity($this);
return true;
}
@@ -374,7 +352,12 @@ class ElggGroup extends ElggEntity
}
// Now save specific stuff
- return create_group_entity($this->get('guid'), $this->get('name'), $this->get('description'));
+
+ _elgg_disable_caching_for_entity($this->guid);
+ $ret = create_group_entity($this->get('guid'), $this->get('name'), $this->get('description'));
+ _elgg_enable_caching_for_entity($this->guid);
+
+ return $ret;
}
// EXPORTABLE INTERFACE ////////////////////////////////////////////////////////////
@@ -390,4 +373,21 @@ class ElggGroup extends ElggEntity
'description',
));
}
-} \ No newline at end of file
+
+ /**
+ * Can a user comment on this group?
+ *
+ * @see ElggEntity::canComment()
+ *
+ * @param int $user_guid User guid (default is logged in user)
+ * @return bool
+ * @since 1.8.0
+ */
+ public function canComment($user_guid = 0) {
+ $result = parent::canComment($user_guid);
+ if ($result !== null) {
+ return $result;
+ }
+ return false;
+ }
+}
diff --git a/engine/classes/ElggGroupItemVisibility.php b/engine/classes/ElggGroupItemVisibility.php
new file mode 100644
index 000000000..2c7e2abb4
--- /dev/null
+++ b/engine/classes/ElggGroupItemVisibility.php
@@ -0,0 +1,93 @@
+<?php
+
+/**
+ * Determines if otherwise visible items should be hidden from a user due to group
+ * policy or visibility.
+ *
+ * @class ElggGroupItemVisibility
+ * @package Elgg.Core
+ * @subpackage Groups
+ *
+ * @access private
+ */
+class ElggGroupItemVisibility {
+
+ const REASON_MEMBERSHIP = 'membershiprequired';
+ const REASON_LOGGEDOUT = 'loggedinrequired';
+ const REASON_NOACCESS = 'noaccess';
+
+ /**
+ * @var bool
+ */
+ public $shouldHideItems = false;
+
+ /**
+ * @var string
+ */
+ public $reasonHidden = '';
+
+ /**
+ * Determine visibility of items within a container for the current user
+ *
+ * @param int $container_guid GUID of a container (may/may not be a group)
+ *
+ * @return ElggGroupItemVisibility
+ *
+ * @todo Make this faster, considering it must run for every river item.
+ */
+ static public function factory($container_guid) {
+ // cache because this may be called repeatedly during river display, and
+ // due to need to check group visibility, cache will be disabled for some
+ // get_entity() calls
+ static $cache = array();
+
+ $ret = new ElggGroupItemVisibility();
+
+ if (!$container_guid) {
+ return $ret;
+ }
+
+ $user = elgg_get_logged_in_user_entity();
+ $user_guid = $user ? $user->guid : 0;
+
+ $container_guid = (int) $container_guid;
+
+ $cache_key = "$container_guid|$user_guid";
+ if (empty($cache[$cache_key])) {
+ // compute
+
+ $container = get_entity($container_guid);
+ $is_visible = (bool) $container;
+
+ if (!$is_visible) {
+ // see if it *really* exists...
+ $prev_access = elgg_set_ignore_access();
+ $container = get_entity($container_guid);
+ elgg_set_ignore_access($prev_access);
+ }
+
+ if ($container && $container instanceof ElggGroup) {
+ /* @var ElggGroup $container */
+
+ if ($is_visible) {
+ if (!$container->isPublicMembership()) {
+ if ($user) {
+ if (!$container->isMember($user) && !$user->isAdmin()) {
+ $ret->shouldHideItems = true;
+ $ret->reasonHidden = self::REASON_MEMBERSHIP;
+ }
+ } else {
+ $ret->shouldHideItems = true;
+ $ret->reasonHidden = self::REASON_LOGGEDOUT;
+ }
+ }
+ } else {
+ $ret->shouldHideItems = true;
+ $ret->reasonHidden = self::REASON_NOACCESS;
+ }
+ }
+ $cache[$cache_key] = $ret;
+ }
+ return $cache[$cache_key];
+ }
+}
diff --git a/engine/classes/ElggHMACCache.php b/engine/classes/ElggHMACCache.php
index 8d994d013..c2f468815 100644
--- a/engine/classes/ElggHMACCache.php
+++ b/engine/classes/ElggHMACCache.php
@@ -96,4 +96,4 @@ class ElggHMACCache extends ElggCache {
delete_data("DELETE from {$CONFIG->dbprefix}hmac_cache where ts<$expires");
}
-} \ No newline at end of file
+}
diff --git a/engine/classes/ElggLRUCache.php b/engine/classes/ElggLRUCache.php
new file mode 100644
index 000000000..f51af2ed7
--- /dev/null
+++ b/engine/classes/ElggLRUCache.php
@@ -0,0 +1,181 @@
+<?php
+
+/**
+ * Least Recently Used Cache
+ *
+ * A fixed sized cache that removes the element used last when it reaches its
+ * size limit.
+ *
+ * Based on https://github.com/cash/LRUCache
+ *
+ * @access private
+ *
+ * @package Elgg.Core
+ * @subpackage Cache
+ */
+class ElggLRUCache implements ArrayAccess {
+ /** @var int */
+ protected $maximumSize;
+
+ /**
+ * The front of the array contains the LRU element
+ *
+ * @var array
+ */
+ protected $data = array();
+
+ /**
+ * Create a LRU Cache
+ *
+ * @param int $size The size of the cache
+ * @throws InvalidArgumentException
+ */
+ public function __construct($size) {
+ if (!is_int($size) || $size <= 0) {
+ throw new InvalidArgumentException();
+ }
+ $this->maximumSize = $size;
+ }
+
+ /**
+ * Get the value cached with this key
+ *
+ * @param int|string $key The key. Strings that are ints are cast to ints.
+ * @param mixed $default The value to be returned if key not found. (Optional)
+ * @return mixed
+ */
+ public function get($key, $default = null) {
+ if (isset($this->data[$key])) {
+ $this->recordAccess($key);
+ return $this->data[$key];
+ } else {
+ return $default;
+ }
+ }
+
+ /**
+ * Add something to the cache
+ *
+ * @param int|string $key The key. Strings that are ints are cast to ints.
+ * @param mixed $value The value to cache
+ * @return void
+ */
+ public function set($key, $value) {
+ if (isset($this->data[$key])) {
+ $this->data[$key] = $value;
+ $this->recordAccess($key);
+ } else {
+ $this->data[$key] = $value;
+ if ($this->size() > $this->maximumSize) {
+ // remove least recently used element (front of array)
+ reset($this->data);
+ unset($this->data[key($this->data)]);
+ }
+ }
+ }
+
+ /**
+ * Get the number of elements in the cache
+ *
+ * @return int
+ */
+ public function size() {
+ return count($this->data);
+ }
+
+ /**
+ * Does the cache contain an element with this key
+ *
+ * @param int|string $key The key
+ * @return boolean
+ */
+ public function containsKey($key) {
+ return isset($this->data[$key]);
+ }
+
+ /**
+ * Remove the element with this key.
+ *
+ * @param int|string $key The key
+ * @return mixed Value or null if not set
+ */
+ public function remove($key) {
+ if (isset($this->data[$key])) {
+ $value = $this->data[$key];
+ unset($this->data[$key]);
+ return $value;
+ } else {
+ return null;
+ }
+ }
+
+ /**
+ * Clear the cache
+ *
+ * @return void
+ */
+ public function clear() {
+ $this->data = array();
+ }
+
+ /**
+ * Moves the element from current position to end of array
+ *
+ * @param int|string $key The key
+ * @return void
+ */
+ protected function recordAccess($key) {
+ $value = $this->data[$key];
+ unset($this->data[$key]);
+ $this->data[$key] = $value;
+ }
+
+ /**
+ * Assigns a value for the specified key
+ *
+ * @see ArrayAccess::offsetSet()
+ *
+ * @param int|string $key The key to assign the value to.
+ * @param mixed $value The value to set.
+ * @return void
+ */
+ public function offsetSet($key, $value) {
+ $this->set($key, $value);
+ }
+
+ /**
+ * Get the value for specified key
+ *
+ * @see ArrayAccess::offsetGet()
+ *
+ * @param int|string $key The key to retrieve.
+ * @return mixed
+ */
+ public function offsetGet($key) {
+ return $this->get($key);
+ }
+
+ /**
+ * Unsets a key.
+ *
+ * @see ArrayAccess::offsetUnset()
+ *
+ * @param int|string $key The key to unset.
+ * @return void
+ */
+ public function offsetUnset($key) {
+ $this->remove($key);
+ }
+
+ /**
+ * Does key exist?
+ *
+ * @see ArrayAccess::offsetExists()
+ *
+ * @param int|string $key A key to check for.
+ * @return boolean
+ */
+ public function offsetExists($key) {
+ return $this->containsKey($key);
+ }
+}
diff --git a/engine/classes/ElggMemcache.php b/engine/classes/ElggMemcache.php
index 7d19fb2c7..91d50ab89 100644
--- a/engine/classes/ElggMemcache.php
+++ b/engine/classes/ElggMemcache.php
@@ -32,6 +32,8 @@ class ElggMemcache extends ElggSharedMemoryCache {
*
* @param string $namespace The namespace for this cache to write to -
* note, namespaces of the same name are shared!
+ *
+ * @throws ConfigurationException
*/
function __construct($namespace = 'default') {
global $CONFIG;
@@ -40,7 +42,7 @@ class ElggMemcache extends ElggSharedMemoryCache {
// Do we have memcache?
if (!class_exists('Memcache')) {
- throw new ConfigurationException(elgg_echo('memcache:notinstalled'));
+ throw new ConfigurationException('PHP memcache module not installed, you must install php5-memcache');
}
// Create memcache object
@@ -48,7 +50,7 @@ class ElggMemcache extends ElggSharedMemoryCache {
// Now add servers
if (!$CONFIG->memcache_servers) {
- throw new ConfigurationException(elgg_echo('memcache:noservers'));
+ throw new ConfigurationException('No memcache servers defined, please populate the $CONFIG->memcache_servers variable');
}
if (is_callable(array($this->memcache, 'addServer'))) {
@@ -85,7 +87,7 @@ class ElggMemcache extends ElggSharedMemoryCache {
// Get version
$this->version = $this->memcache->getVersion();
if (version_compare($this->version, ElggMemcache::$MINSERVERVERSION, '<')) {
- $msg = elgg_echo('memcache:versiontoolow',
+ $msg = vsprintf('Memcache needs at least version %s to run, you are running %s',
array(ElggMemcache::$MINSERVERVERSION,
$this->version
));
@@ -114,27 +116,11 @@ class ElggMemcache extends ElggSharedMemoryCache {
* Combine a key with the namespace.
* Memcache can only accept <250 char key. If the given key is too long it is shortened.
*
- * @deprecated 1.8 Use ElggMemcache::_makeMemcacheKey()
- *
* @param string $key The key
*
* @return string The new key.
*/
- private function make_memcache_key($key) {
- elgg_deprecated_notice('ElggMemcache::make_memcache_key() is deprecated by ::_makeMemcacheKey()', 1.8);
-
- return $this->_makeMemcacheKey($key);
- }
-
- /**
- * Combine a key with the namespace.
- * Memcache can only accept <250 char key. If the given key is too long it is shortened.
- *
- * @param string $key The key
- *
- * @return string The new key.
- */
- private function _makeMemcacheKey($key) {
+ private function makeMemcacheKey($key) {
$prefix = $this->getNamespace() . ":";
if (strlen($prefix . $key) > 250) {
@@ -147,16 +133,21 @@ class ElggMemcache extends ElggSharedMemoryCache {
/**
* Saves a name and value to the cache
*
- * @param string $key Name
- * @param string $data Value
+ * @param string $key Name
+ * @param string $data Value
+ * @param integer $expires Expires (in seconds)
*
* @return bool
*/
- public function save($key, $data) {
- $key = $this->_makeMemcacheKey($key);
+ public function save($key, $data, $expires = null) {
+ $key = $this->makeMemcacheKey($key);
+
+ if ($expires === null) {
+ $expires = $this->expires;
+ }
- $result = $this->memcache->set($key, $data, null, $this->expires);
- if (!$result) {
+ $result = $this->memcache->set($key, $data, null, $expires);
+ if ($result === false) {
elgg_log("MEMCACHE: FAILED TO SAVE $key", 'ERROR');
}
@@ -173,10 +164,10 @@ class ElggMemcache extends ElggSharedMemoryCache {
* @return mixed
*/
public function load($key, $offset = 0, $limit = null) {
- $key = $this->_makeMemcacheKey($key);
+ $key = $this->makeMemcacheKey($key);
$result = $this->memcache->get($key);
- if (!$result) {
+ if ($result === false) {
elgg_log("MEMCACHE: FAILED TO LOAD $key", 'ERROR');
}
@@ -191,7 +182,7 @@ class ElggMemcache extends ElggSharedMemoryCache {
* @return bool
*/
public function delete($key) {
- $key = $this->_makeMemcacheKey($key);
+ $key = $this->makeMemcacheKey($key);
return $this->memcache->delete($key, 0);
}
diff --git a/engine/classes/ElggMenuBuilder.php b/engine/classes/ElggMenuBuilder.php
new file mode 100644
index 000000000..b463143d8
--- /dev/null
+++ b/engine/classes/ElggMenuBuilder.php
@@ -0,0 +1,291 @@
+<?php
+/**
+ * Elgg Menu Builder
+ *
+ * @package Elgg.Core
+ * @subpackage Navigation
+ * @since 1.8.0
+ */
+class ElggMenuBuilder {
+
+ /**
+ * @var ElggMenuItem[]
+ */
+ protected $menu = array();
+
+ protected $selected = null;
+
+ /**
+ * ElggMenuBuilder constructor
+ *
+ * @param ElggMenuItem[] $menu Array of ElggMenuItem objects
+ */
+ public function __construct(array $menu) {
+ $this->menu = $menu;
+ }
+
+ /**
+ * Get a prepared menu array
+ *
+ * @param mixed $sort_by Method to sort the menu by. @see ElggMenuBuilder::sort()
+ * @return array
+ */
+ public function getMenu($sort_by = 'text') {
+
+ $this->selectFromContext();
+
+ $this->selected = $this->findSelected();
+
+ $this->setupSections();
+
+ $this->setupTrees();
+
+ $this->sort($sort_by);
+
+ return $this->menu;
+ }
+
+ /**
+ * Get the selected menu item
+ *
+ * @return ElggMenuItem
+ */
+ public function getSelected() {
+ return $this->selected;
+ }
+
+ /**
+ * Select menu items for the current context
+ *
+ * @return void
+ */
+ protected function selectFromContext() {
+ if (!isset($this->menu)) {
+ $this->menu = array();
+ return;
+ }
+
+ // get menu items for this context
+ $selected_menu = array();
+ foreach ($this->menu as $menu_item) {
+ if (!is_object($menu_item)) {
+ elgg_log("A non-object was passed to ElggMenuBuilder", "ERROR");
+ continue;
+ }
+ if ($menu_item->inContext()) {
+ $selected_menu[] = $menu_item;
+ }
+ }
+
+ $this->menu = $selected_menu;
+ }
+
+ /**
+ * Group the menu items into sections
+ *
+ * @return void
+ */
+ protected function setupSections() {
+ $sectioned_menu = array();
+ foreach ($this->menu as $menu_item) {
+ if (!isset($sectioned_menu[$menu_item->getSection()])) {
+ $sectioned_menu[$menu_item->getSection()] = array();
+ }
+ $sectioned_menu[$menu_item->getSection()][] = $menu_item;
+ }
+ $this->menu = $sectioned_menu;
+ }
+
+ /**
+ * Create trees for each menu section
+ *
+ * @internal The tree is doubly linked (parent and children links)
+ * @return void
+ */
+ protected function setupTrees() {
+ $menu_tree = array();
+
+ foreach ($this->menu as $key => $section) {
+ $parents = array();
+ $children = array();
+ // divide base nodes from children
+ foreach ($section as $menu_item) {
+ /* @var ElggMenuItem $menu_item */
+ $parent_name = $menu_item->getParentName();
+ if (!$parent_name) {
+ $parents[$menu_item->getName()] = $menu_item;
+ } else {
+ $children[] = $menu_item;
+ }
+ }
+
+ // attach children to parents
+ $iteration = 0;
+ $current_gen = $parents;
+ $next_gen = null;
+ while (count($children) && $iteration < 5) {
+ foreach ($children as $index => $menu_item) {
+ $parent_name = $menu_item->getParentName();
+ if (array_key_exists($parent_name, $current_gen)) {
+ $next_gen[$menu_item->getName()] = $menu_item;
+ if (!in_array($menu_item, $current_gen[$parent_name]->getData('children'))) {
+ $current_gen[$parent_name]->addChild($menu_item);
+ $menu_item->setParent($current_gen[$parent_name]);
+ }
+ unset($children[$index]);
+ }
+ }
+ $current_gen = $next_gen;
+ $iteration += 1;
+ }
+
+ // convert keys to indexes for first level of tree
+ $parents = array_values($parents);
+
+ $menu_tree[$key] = $parents;
+ }
+
+ $this->menu = $menu_tree;
+ }
+
+ /**
+ * Find the menu item that is currently selected
+ *
+ * @return ElggMenuItem
+ */
+ protected function findSelected() {
+
+ // do we have a selected menu item already
+ foreach ($this->menu as $menu_item) {
+ if ($menu_item->getSelected()) {
+ return $menu_item;
+ }
+ }
+
+ // scan looking for a selected item
+ foreach ($this->menu as $menu_item) {
+ if ($menu_item->getHref()) {
+ if (elgg_http_url_is_identical(current_page_url(), $menu_item->getHref())) {
+ $menu_item->setSelected(true);
+ return $menu_item;
+ }
+ }
+ }
+
+ return null;
+ }
+
+ /**
+ * Sort the menu sections and trees
+ *
+ * @param mixed $sort_by Sort type as string or php callback
+ * @return void
+ */
+ protected function sort($sort_by) {
+
+ // sort sections
+ ksort($this->menu);
+
+ switch ($sort_by) {
+ case 'text':
+ $sort_callback = array('ElggMenuBuilder', 'compareByText');
+ break;
+ case 'name':
+ $sort_callback = array('ElggMenuBuilder', 'compareByName');
+ break;
+ case 'priority':
+ $sort_callback = array('ElggMenuBuilder', 'compareByWeight');
+ break;
+ case 'register':
+ // use registration order - usort breaks this
+ return;
+ break;
+ default:
+ if (is_callable($sort_by)) {
+ $sort_callback = $sort_by;
+ } else {
+ return;
+ }
+ break;
+ }
+
+ // sort each section
+ foreach ($this->menu as $index => $section) {
+ foreach ($section as $key => $node) {
+ $section[$key]->setData('original_order', $key);
+ }
+ usort($section, $sort_callback);
+ $this->menu[$index] = $section;
+
+ // depth first traversal of tree
+ foreach ($section as $root) {
+ $stack = array();
+ array_push($stack, $root);
+ while (!empty($stack)) {
+ $node = array_pop($stack);
+ /* @var ElggMenuItem $node */
+ $node->sortChildren($sort_callback);
+ $children = $node->getChildren();
+ if ($children) {
+ $stack = array_merge($stack, $children);
+ }
+ }
+ }
+ }
+ }
+
+ /**
+ * Compare two menu items by their display text
+ *
+ * @param ElggMenuItem $a Menu item
+ * @param ElggMenuItem $b Menu item
+ * @return bool
+ */
+ public static function compareByText($a, $b) {
+ $at = $a->getText();
+ $bt = $b->getText();
+
+ $result = strnatcmp($at, $bt);
+ if ($result === 0) {
+ return $a->getData('original_order') - $b->getData('original_order');
+ }
+ return $result;
+ }
+
+ /**
+ * Compare two menu items by their identifiers
+ *
+ * @param ElggMenuItem $a Menu item
+ * @param ElggMenuItem $b Menu item
+ * @return bool
+ */
+ public static function compareByName($a, $b) {
+ $an = $a->getName();
+ $bn = $b->getName();
+
+ $result = strcmp($an, $bn);
+ if ($result === 0) {
+ return $a->getData('original_order') - $b->getData('original_order');
+ }
+ return $result;
+ }
+
+ /**
+ * Compare two menu items by their priority
+ *
+ * @param ElggMenuItem $a Menu item
+ * @param ElggMenuItem $b Menu item
+ * @return bool
+ *
+ * @todo change name to compareByPriority
+ */
+ public static function compareByWeight($a, $b) {
+ $aw = $a->getWeight();
+ $bw = $b->getWeight();
+
+ if ($aw == $bw) {
+ return $a->getData('original_order') - $b->getData('original_order');
+ }
+ return $aw - $bw;
+ }
+}
diff --git a/engine/classes/ElggMenuItem.php b/engine/classes/ElggMenuItem.php
new file mode 100644
index 000000000..81ce6c099
--- /dev/null
+++ b/engine/classes/ElggMenuItem.php
@@ -0,0 +1,590 @@
+<?php
+/**
+ * Elgg Menu Item
+ *
+ * To create a menu item that is not a link, pass false for $href.
+ *
+ * @package Elgg.Core
+ * @subpackage Navigation
+ * @since 1.8.0
+ */
+class ElggMenuItem {
+
+ /**
+ * @var array Non-rendered data about the menu item
+ */
+ protected $data = array(
+ // string Identifier of the menu
+ 'name' => '',
+
+ // array Page contexts this menu item should appear on
+ 'contexts' => array('all'),
+
+ // string Menu section identifier
+ 'section' => 'default',
+
+ // int Smaller priorities float to the top
+ 'priority' => 100,
+
+ // bool Is this the currently selected menu item
+ 'selected' => false,
+
+ // string Identifier of this item's parent
+ 'parent_name' => '',
+
+ // ElggMenuItem The parent object or null
+ 'parent' => null,
+
+ // array Array of children objects or empty array
+ 'children' => array(),
+
+ // array Classes to apply to the li tag
+ 'itemClass' => array(),
+
+ // array Classes to apply to the anchor tag
+ 'linkClass' => array(),
+ );
+
+ /**
+ * @var string The menu display string
+ */
+ protected $text;
+
+ /**
+ * @var string The menu url
+ */
+ protected $href = null;
+
+ /**
+ * @var string Tooltip
+ */
+ protected $title = false;
+
+ /**
+ * @var string The string to display if link is clicked
+ */
+ protected $confirm = '';
+
+
+ /**
+ * ElggMenuItem constructor
+ *
+ * @param string $name Identifier of the menu item
+ * @param string $text Display text of the menu item
+ * @param string $href URL of the menu item (false if not a link)
+ */
+ public function __construct($name, $text, $href) {
+ //$this->name = $name;
+ $this->text = $text;
+ if ($href) {
+ $this->href = elgg_normalize_url($href);
+ } else {
+ $this->href = $href;
+ }
+
+ $this->data['name'] = $name;
+ }
+
+ /**
+ * ElggMenuItem factory method
+ *
+ * This static method creates an ElggMenuItem from an associative array.
+ * Required keys are name, text, and href.
+ *
+ * @param array $options Option array of key value pairs
+ *
+ * @return ElggMenuItem or NULL on error
+ */
+ public static function factory($options) {
+ if (!isset($options['name']) || !isset($options['text'])) {
+ return NULL;
+ }
+ if (!isset($options['href'])) {
+ $options['href'] = '';
+ }
+
+ $item = new ElggMenuItem($options['name'], $options['text'], $options['href']);
+ unset($options['name']);
+ unset($options['text']);
+ unset($options['href']);
+
+ // special catch in case someone uses context rather than contexts
+ if (isset($options['context'])) {
+ $options['contexts'] = $options['context'];
+ unset($options['context']);
+ }
+
+ // make sure contexts is set correctly
+ if (isset($options['contexts'])) {
+ $item->setContext($options['contexts']);
+ unset($options['contexts']);
+ }
+
+ if (isset($options['link_class'])) {
+ $item->setLinkClass($options['link_class']);
+ unset($options['link_class']);
+ }
+
+ if (isset($options['item_class'])) {
+ $item->setItemClass($options['item_class']);
+ unset($options['item_class']);
+ }
+
+ if (isset($options['data']) && is_array($options['data'])) {
+ $item->setData($options['data']);
+ unset($options['data']);
+ }
+
+ foreach ($options as $key => $value) {
+ if (isset($item->data[$key])) {
+ $item->data[$key] = $value;
+ } else {
+ $item->$key = $value;
+ }
+ }
+
+ return $item;
+ }
+
+ /**
+ * Set a data key/value pair or a set of key/value pairs
+ *
+ * This method allows storage of arbitrary data with this menu item. The
+ * data can be used for sorting, custom rendering, or any other use.
+ *
+ * @param mixed $key String key or an associative array of key/value pairs
+ * @param mixed $value The value if $key is a string
+ * @return void
+ */
+ public function setData($key, $value = null) {
+ if (is_array($key)) {
+ $this->data += $key;
+ } else {
+ $this->data[$key] = $value;
+ }
+ }
+
+ /**
+ * Get stored data
+ *
+ * @param string $key The key for the requested key/value pair
+ * @return mixed
+ */
+ public function getData($key) {
+ if (isset($this->data[$key])) {
+ return $this->data[$key];
+ } else {
+ return null;
+ }
+ }
+
+ /**
+ * Set the identifier of the menu item
+ *
+ * @param string $name Unique identifier
+ * @return void
+ */
+ public function setName($name) {
+ $this->data['name'] = $name;
+ }
+
+ /**
+ * Get the identifier of the menu item
+ *
+ * @return string
+ */
+ public function getName() {
+ return $this->data['name'];
+ }
+
+ /**
+ * Set the display text of the menu item
+ *
+ * @param string $text The display text
+ * @return void
+ */
+ public function setText($text) {
+ $this->text = $text;
+ }
+
+ /**
+ * Get the display text of the menu item
+ *
+ * @return string
+ */
+ public function getText() {
+ return $this->text;
+ }
+
+ /**
+ * Set the URL of the menu item
+ *
+ * @param string $href URL or false if not a link
+ * @return void
+ */
+ public function setHref($href) {
+ $this->href = $href;
+ }
+
+ /**
+ * Get the URL of the menu item
+ *
+ * @return string
+ */
+ public function getHref() {
+ return $this->href;
+ }
+
+ /**
+ * Set the contexts that this menu item is available for
+ *
+ * @param array $contexts An array of context strings
+ * @return void
+ */
+ public function setContext($contexts) {
+ if (is_string($contexts)) {
+ $contexts = array($contexts);
+ }
+ $this->data['contexts'] = $contexts;
+ }
+
+ /**
+ * Get an array of context strings
+ *
+ * @return array
+ */
+ public function getContext() {
+ return $this->data['contexts'];
+ }
+
+ /**
+ * Should this menu item be used given the current context
+ *
+ * @param string $context A context string (default is empty string for
+ * current context stack).
+ * @return bool
+ */
+ public function inContext($context = '') {
+ if ($context) {
+ return in_array($context, $this->data['contexts']);
+ }
+
+ if (in_array('all', $this->data['contexts'])) {
+ return true;
+ }
+
+ foreach ($this->data['contexts'] as $context) {
+ if (elgg_in_context($context)) {
+ return true;
+ }
+ }
+ return false;
+ }
+
+ /**
+ * Set the selected flag
+ *
+ * @param bool $state Selected state (default is true)
+ * @return void
+ */
+ public function setSelected($state = true) {
+ $this->data['selected'] = $state;
+ }
+
+ /**
+ * Get selected state
+ *
+ * @return bool
+ */
+ public function getSelected() {
+ return $this->data['selected'];
+ }
+
+ /**
+ * Set the tool tip text
+ *
+ * @param string $text The text of the tool tip
+ * @return void
+ */
+ public function setTooltip($text) {
+ $this->title = $text;
+ }
+
+ /**
+ * Get the tool tip text
+ *
+ * @return string
+ */
+ public function getTooltip() {
+ return $this->title;
+ }
+
+ /**
+ * Set the confirm text shown when link is clicked
+ *
+ * @param string $text The text to show
+ * @return void
+ */
+ public function setConfirmText($text) {
+ $this->confirm = $text;
+ }
+
+ /**
+ * Get the confirm text
+ *
+ * @return string
+ */
+ public function getConfirmText() {
+ return $this->confirm;
+ }
+
+ /**
+ * Set the anchor class
+ *
+ * @param mixed $class An array of class names, or a single string class name.
+ * @return void
+ */
+ public function setLinkClass($class) {
+ if (!is_array($class)) {
+ $this->data['linkClass'] = array($class);
+ } else {
+ $this->data['linkClass'] = $class;
+ }
+ }
+
+ /**
+ * Get the anchor classes as text
+ *
+ * @return string
+ */
+ public function getLinkClass() {
+ return implode(' ', $this->data['linkClass']);
+ }
+
+ /**
+ * Add a link class
+ *
+ * @param mixed $class An array of class names, or a single string class name.
+ * @return void
+ */
+ public function addLinkClass($class) {
+ if (!is_array($class)) {
+ $this->data['linkClass'][] = $class;
+ } else {
+ $this->data['linkClass'] += $class;
+ }
+ }
+
+ /**
+ * Set the li classes
+ *
+ * @param mixed $class An array of class names, or a single string class name.
+ * @return void
+ */
+ public function setItemClass($class) {
+ if (!is_array($class)) {
+ $this->data['itemClass'] = array($class);
+ } else {
+ $this->data['itemClass'] = $class;
+ }
+ }
+
+ /**
+ * Get the li classes as text
+ *
+ * @return string
+ */
+ public function getItemClass() {
+ // allow people to specify name with underscores and colons
+ $name = strtolower($this->getName());
+ $name = str_replace('_', '-', $name);
+ $name = str_replace(':', '-', $name);
+ $name = str_replace(' ', '-', $name);
+
+ $class = implode(' ', $this->data['itemClass']);
+ if ($class) {
+ return "elgg-menu-item-$name $class";
+ } else {
+ return "elgg-menu-item-$name";
+ }
+ }
+
+ /**
+ * Set the priority of the menu item
+ *
+ * @param int $priority The smaller numbers mean higher priority (1 before 100)
+ * @return void
+ * @deprecated
+ */
+ public function setWeight($priority) {
+ $this->data['priority'] = $priority;
+ }
+
+ /**
+ * Get the priority of the menu item
+ *
+ * @return int
+ * @deprecated
+ */
+ public function getWeight() {
+ return $this->data['priority'];
+ }
+
+ /**
+ * Set the priority of the menu item
+ *
+ * @param int $priority The smaller numbers mean higher priority (1 before 100)
+ * @return void
+ */
+ public function setPriority($priority) {
+ $this->data['priority'] = $priority;
+ }
+
+ /**
+ * Get the priority of the menu item
+ *
+ * @return int
+ */
+ public function getPriority() {
+ return $this->data['priority'];
+ }
+
+ /**
+ * Set the section identifier
+ *
+ * @param string $section The identifier of the section
+ * @return void
+ */
+ public function setSection($section) {
+ $this->data['section'] = $section;
+ }
+
+ /**
+ * Get the section identifier
+ *
+ * @return string
+ */
+ public function getSection() {
+ return $this->data['section'];
+ }
+
+ /**
+ * Set the parent identifier
+ *
+ * @param string $name The identifier of the parent ElggMenuItem
+ * @return void
+ */
+ public function setParentName($name) {
+ $this->data['parent_name'] = $name;
+ }
+
+ /**
+ * Get the parent identifier
+ *
+ * @return string
+ */
+ public function getParentName() {
+ return $this->data['parent_name'];
+ }
+
+ /**
+ * Set the parent menu item
+ *
+ * @param ElggMenuItem $parent The parent of this menu item
+ * @return void
+ */
+ public function setParent($parent) {
+ $this->data['parent'] = $parent;
+ }
+
+ /**
+ * Get the parent menu item
+ *
+ * @return ElggMenuItem or null
+ */
+ public function getParent() {
+ return $this->data['parent'];
+ }
+
+ /**
+ * Add a child menu item
+ *
+ * @param ElggMenuItem $item A child menu item
+ * @return void
+ */
+ public function addChild($item) {
+ $this->data['children'][] = $item;
+ }
+
+ /**
+ * Set the menu item's children
+ *
+ * @param array $children Array of ElggMenuItems
+ * @return void
+ */
+ public function setChildren($children) {
+ $this->data['children'] = $children;
+ }
+
+ /**
+ * Get the children menu items
+ *
+ * @return array
+ */
+ public function getChildren() {
+ return $this->data['children'];
+ }
+
+ /**
+ * Sort the children
+ *
+ * @param string $sortFunction A function that is passed to usort()
+ * @return void
+ */
+ public function sortChildren($sortFunction) {
+ foreach ($this->data['children'] as $key => $node) {
+ $this->data['children'][$key]->data['original_order'] = $key;
+ }
+ usort($this->data['children'], $sortFunction);
+ }
+
+ /**
+ * Get the menu item content (usually a link)
+ *
+ * @param array $vars Options to pass to output/url if a link
+ * @return string
+ * @todo View code in a model. How do we feel about that?
+ */
+ public function getContent(array $vars = array()) {
+
+ if ($this->href === false) {
+ return $this->text;
+ }
+
+ $defaults = get_object_vars($this);
+ unset($defaults['data']);
+
+ $vars += $defaults;
+
+ if ($this->data['linkClass']) {
+ if (isset($vars['class'])) {
+ $vars['class'] = $vars['class'] . ' ' . $this->getLinkClass();
+ } else {
+ $vars['class'] = $this->getLinkClass();
+ }
+ }
+
+ if (!isset($vars['rel']) && !isset($vars['is_trusted'])) {
+ $vars['is_trusted'] = true;
+ }
+
+ if ($this->confirm) {
+ $vars['confirm'] = $this->confirm;
+ return elgg_view('output/confirmlink', $vars);
+ } else {
+ unset($vars['confirm']);
+ }
+
+ return elgg_view('output/url', $vars);
+ }
+}
diff --git a/engine/classes/ElggMetadata.php b/engine/classes/ElggMetadata.php
index 12e458629..3a8e2d817 100644
--- a/engine/classes/ElggMetadata.php
+++ b/engine/classes/ElggMetadata.php
@@ -6,9 +6,20 @@
*
* @package Elgg.Core
* @subpackage Metadata
+ *
+ * @property string $value_type
+ * @property int $owner_guid
+ * @property string $enabled
*/
class ElggMetadata extends ElggExtender {
+ /**
+ * (non-PHPdoc)
+ *
+ * @see ElggData::initializeAttributes()
+ *
+ * @return void
+ */
protected function initializeAttributes() {
parent::initializeAttributes();
@@ -16,11 +27,9 @@ class ElggMetadata extends ElggExtender {
}
/**
- * Construct a new site object, optionally from a given id value or row.
+ * Construct a metadata object
*
- * @param mixed $id ID of metadata from DB
- *
- * @return void
+ * @param mixed $id ID of metadata or a database row as stdClass object
*/
function __construct($id = null) {
$this->initializeAttributes();
@@ -29,15 +38,15 @@ class ElggMetadata extends ElggExtender {
// Create from db row
if ($id instanceof stdClass) {
$metadata = $id;
- } else {
- $metadata = get_metadata($id);
- }
-
- if ($metadata) {
+
$objarray = (array) $metadata;
foreach ($objarray as $key => $value) {
$this->attributes[$key] = $value;
}
+ } else {
+ // get an ElggMetadata object and copy its attributes
+ $metadata = elgg_get_metadata_from_id($id);
+ $this->attributes = $metadata->attributes;
}
}
}
@@ -45,19 +54,23 @@ class ElggMetadata extends ElggExtender {
/**
* Determines whether or not the user can edit this piece of metadata
*
- * @return true|false Depending on permissions
+ * @param int $user_guid The GUID of the user (defaults to currently logged in user)
+ *
+ * @return bool Depending on permissions
*/
- function canEdit() {
+ function canEdit($user_guid = 0) {
if ($entity = get_entity($this->get('entity_guid'))) {
- return $entity->canEditMetadata($this);
+ return $entity->canEditMetadata($this, $user_guid);
}
return false;
}
/**
- * Save matadata object
+ * Save metadata object
+ *
+ * @return int|bool the metadata object id or true if updated
*
- * @return int the metadata object id
+ * @throws IOException
*/
function save() {
if ($this->id > 0) {
@@ -75,12 +88,48 @@ class ElggMetadata extends ElggExtender {
}
/**
- * Delete a given metadata.
+ * Delete the metadata
*
* @return bool
*/
function delete() {
- return delete_metadata($this->id);
+ $success = elgg_delete_metastring_based_object_by_id($this->id, 'metadata');
+ if ($success) {
+ // we mark unknown here because this deletes only one value
+ // under this name, and there may be others remaining.
+ elgg_get_metadata_cache()->markUnknown($this->entity_guid, $this->name);
+ }
+ return $success;
+ }
+
+ /**
+ * Disable the metadata
+ *
+ * @return bool
+ * @since 1.8
+ */
+ function disable() {
+ $success = elgg_set_metastring_based_object_enabled_by_id($this->id, 'no', 'metadata');
+ if ($success) {
+ // we mark unknown here because this disables only one value
+ // under this name, and there may be others remaining.
+ elgg_get_metadata_cache()->markUnknown($this->entity_guid, $this->name);
+ }
+ return $success;
+ }
+
+ /**
+ * Enable the metadata
+ *
+ * @return bool
+ * @since 1.8
+ */
+ function enable() {
+ $success = elgg_set_metastring_based_object_enabled_by_id($this->id, 'yes', 'metadata');
+ if ($success) {
+ elgg_get_metadata_cache()->markUnknown($this->entity_guid, $this->name);
+ }
+ return $success;
}
/**
@@ -104,6 +153,6 @@ class ElggMetadata extends ElggExtender {
* @return ElggMetadata
*/
public function getObjectFromID($id) {
- return get_metadata($id);
+ return elgg_get_metadata_from_id($id);
}
-} \ No newline at end of file
+}
diff --git a/engine/classes/ElggObject.php b/engine/classes/ElggObject.php
index 74c7be248..aeaa3ba5c 100644
--- a/engine/classes/ElggObject.php
+++ b/engine/classes/ElggObject.php
@@ -14,21 +14,12 @@
*
* @package Elgg.Core
* @subpackage DataModel.Object
+ *
+ * @property string $title The title, name, or summary of this object
+ * @property string $description The body, description, or content of the object
+ * @property array $tags Array of tags that describe the object
*/
class ElggObject extends ElggEntity {
- /**
- * Initialise the attributes array to include the type,
- * title, and description.
- *
- * @deprecated 1.8 use ElggEntity::initializeAttributes()
- *
- * @return void
- */
- protected function initialise_attributes() {
- elgg_deprecated_notice('ElggObject::initialise_attributes() is deprecated by ::initializeAttributes()', 1.8);
-
- return $this->initializeAttributes();
- }
/**
* Initialise the attributes array to include the type,
@@ -50,12 +41,12 @@ class ElggObject extends ElggEntity {
*
* If no arguments are passed, create a new entity.
*
- * If an argument is passed attempt to load a full Object entity. Arguments
- * can be:
+ * If an argument is passed, attempt to load a full ElggObject entity.
+ * Arguments can be:
* - The GUID of an object entity.
- * - A DB result object with a guid property
+ * - A DB result object from the entities table with a guid property
*
- * @param mixed $guid If an int, load that GUID. If a db row then will attempt to
+ * @param mixed $guid If an int, load that GUID. If a db row, then will attempt to
* load the rest of the data.
*
* @throws IOException If passed an incorrect guid
@@ -64,29 +55,29 @@ class ElggObject extends ElggEntity {
function __construct($guid = null) {
$this->initializeAttributes();
+ // compatibility for 1.7 api.
+ $this->initialise_attributes(false);
+
if (!empty($guid)) {
- // Is $guid is a DB row - either a entity row, or a object table row.
+ // Is $guid is a DB row from the entity table
if ($guid instanceof stdClass) {
// Load the rest
- if (!$this->load($guid->guid)) {
+ if (!$this->load($guid)) {
$msg = elgg_echo('IOException:FailedToLoadGUID', array(get_class(), $guid->guid));
throw new IOException($msg);
}
-
- // Is $guid is an ElggObject? Use a copy constructor
} else if ($guid instanceof ElggObject) {
+ // $guid is an ElggObject so this is a copy constructor
elgg_deprecated_notice('This type of usage of the ElggObject constructor was deprecated. Please use the clone method.', 1.7);
foreach ($guid->attributes as $key => $value) {
$this->attributes[$key] = $value;
}
-
- // Is this is an ElggEntity but not an ElggObject = ERROR!
} else if ($guid instanceof ElggEntity) {
+ // @todo remove - do not need separate exception
throw new InvalidParameterException(elgg_echo('InvalidParameterException:NonElggObject'));
-
- // We assume if we have got this far, $guid is an int
} else if (is_numeric($guid)) {
+ // $guid is a GUID so load
if (!$this->load($guid)) {
throw new IOException(elgg_echo('IOException:FailedToLoadGUID', array(get_class(), $guid)));
}
@@ -99,35 +90,24 @@ class ElggObject extends ElggEntity {
/**
* Loads the full ElggObject when given a guid.
*
- * @param int $guid Guid of an ElggObject
+ * @param mixed $guid GUID of an ElggObject or the stdClass object from entities table
*
* @return bool
* @throws InvalidClassException
*/
protected function load($guid) {
- // Test to see if we have the generic stuff
- if (!parent::load($guid)) {
- return false;
- }
-
- // Check the type
- if ($this->attributes['type'] != 'object') {
- $msg = elgg_echo('InvalidClassException:NotValidElggStar', array($guid, get_class()));
- throw new InvalidClassException($msg);
- }
+ $attr_loader = new ElggAttributeLoader(get_class(), 'object', $this->attributes);
+ $attr_loader->requires_access_control = !($this instanceof ElggPlugin);
+ $attr_loader->secondary_loader = 'get_object_entity_as_row';
- // Load missing data
- $row = get_object_entity_as_row($guid);
- if (($row) && (!$this->isFullyLoaded())) {
- // If $row isn't a cached copy then increment the counter
- $this->attributes['tables_loaded'] ++;
+ $attrs = $attr_loader->getRequiredAttributes($guid);
+ if (!$attrs) {
+ return false;
}
- // Now put these into the attributes array as core values
- $objarray = (array) $row;
- foreach ($objarray as $key => $value) {
- $this->attributes[$key] = $value;
- }
+ $this->attributes = $attrs;
+ $this->attributes['tables_loaded'] = 2;
+ _elgg_cache_entity($this);
return true;
}
@@ -146,8 +126,12 @@ class ElggObject extends ElggEntity {
}
// Save ElggObject-specific attributes
- return create_object_entity($this->get('guid'), $this->get('title'),
- $this->get('description'), $this->get('container_guid'));
+
+ _elgg_disable_caching_for_entity($this->guid);
+ $ret = create_object_entity($this->get('guid'), $this->get('title'), $this->get('description'));
+ _elgg_enable_caching_for_entity($this->guid);
+
+ return $ret;
}
/**
@@ -194,4 +178,39 @@ class ElggObject extends ElggEntity {
'description',
));
}
-} \ No newline at end of file
+
+ /**
+ * Can a user comment on this object?
+ *
+ * @see ElggEntity::canComment()
+ *
+ * @param int $user_guid User guid (default is logged in user)
+ * @return bool
+ * @since 1.8.0
+ */
+ public function canComment($user_guid = 0) {
+ $result = parent::canComment($user_guid);
+ if ($result !== null) {
+ return $result;
+ }
+
+ if ($user_guid == 0) {
+ $user_guid = elgg_get_logged_in_user_guid();
+ }
+
+ // must be logged in to comment
+ if (!$user_guid) {
+ return false;
+ }
+
+ // must be member of group
+ if (elgg_instanceof($this->getContainerEntity(), 'group')) {
+ if (!$this->getContainerEntity()->canWriteToContainer($user_guid)) {
+ return false;
+ }
+ }
+
+ // no checks on read access since a user cannot see entities outside his access
+ return true;
+ }
+}
diff --git a/engine/classes/ElggPAM.php b/engine/classes/ElggPAM.php
index 37436fba3..f07095fc1 100644
--- a/engine/classes/ElggPAM.php
+++ b/engine/classes/ElggPAM.php
@@ -41,18 +41,29 @@ class ElggPAM {
* @param array $credentials Credentials array dependant on policy type
* @return bool
*/
- public function authenticate($credentials) {
+ public function authenticate($credentials = array()) {
global $_PAM_HANDLERS;
+ if (!isset($_PAM_HANDLERS[$this->policy]) ||
+ !is_array($_PAM_HANDLERS[$this->policy])) {
+ return false;
+ }
+
$authenticated = false;
foreach ($_PAM_HANDLERS[$this->policy] as $k => $v) {
$handler = $v->handler;
+ if (!is_callable($handler)) {
+ continue;
+ }
+ /* @var callable $handler */
+
$importance = $v->importance;
try {
// Execute the handler
- $result = $handler($credentials);
+ // @todo don't assume $handler is a global function
+ $result = call_user_func($handler, $credentials);
if ($result) {
$authenticated = true;
} elseif ($result === false) {
diff --git a/engine/classes/ElggPlugin.php b/engine/classes/ElggPlugin.php
index 7989acaf4..545b9a53c 100644
--- a/engine/classes/ElggPlugin.php
+++ b/engine/classes/ElggPlugin.php
@@ -9,31 +9,857 @@
* @subpackage Plugins.Settings
*/
class ElggPlugin extends ElggObject {
+ private $package;
+ private $manifest;
+
+ private $path;
+ private $pluginID;
+ private $errorMsg = '';
/**
* Set subtype to 'plugin'
*
* @return void
+ */
+ protected function initializeAttributes() {
+ parent::initializeAttributes();
+
+ $this->attributes['subtype'] = "plugin";
+
+ // plugins must be public.
+ $this->access_id = ACCESS_PUBLIC;
+ }
+
+ /**
+ * Loads the plugin by GUID or path.
+ *
+ * @warning Unlike other ElggEntity objects, you cannot null instantiate
+ * ElggPlugin. You must point it to an actual plugin GUID or location.
+ *
+ * @param mixed $plugin The GUID of the ElggPlugin object or the path of the plugin to load.
+ *
+ * @throws PluginException
+ */
+ public function __construct($plugin) {
+ if (!$plugin) {
+ throw new PluginException(elgg_echo('PluginException:NullInstantiated'));
+ }
+
+ // ElggEntity can be instantiated with a guid or an object.
+ // @todo plugins w/id 12345
+ if (is_numeric($plugin) || is_object($plugin)) {
+ parent::__construct($plugin);
+ $this->path = elgg_get_plugins_path() . $this->getID();
+ } else {
+ $plugin_path = elgg_get_plugins_path();
+
+ // not a full path, so assume an id
+ // use the default path
+ if (strpos($plugin, $plugin_path) !== 0) {
+ $plugin = $plugin_path . $plugin;
+ }
+
+ // path checking is done in the package
+ $plugin = sanitise_filepath($plugin);
+ $this->path = $plugin;
+ $path_parts = explode('/', rtrim($plugin, '/'));
+ $plugin_id = array_pop($path_parts);
+ $this->pluginID = $plugin_id;
+
+ // check if we're loading an existing plugin
+ $existing_plugin = elgg_get_plugin_from_id($this->pluginID);
+ $existing_guid = null;
+
+ if ($existing_plugin) {
+ $existing_guid = $existing_plugin->guid;
+ }
+
+ // load the rest of the plugin
+ parent::__construct($existing_guid);
+ }
+
+ _elgg_cache_plugin_by_id($this);
+ }
+
+ /**
+ * Save the plugin object. Make sure required values exist.
+ *
+ * @see ElggObject::save()
+ * @return bool
+ */
+ public function save() {
+ // own by the current site so users can be deleted without affecting plugins
+ $site = get_config('site');
+ $this->attributes['site_guid'] = $site->guid;
+ $this->attributes['owner_guid'] = $site->guid;
+ $this->attributes['container_guid'] = $site->guid;
+ $this->attributes['title'] = $this->pluginID;
+
+ if (parent::save()) {
+ // make sure we have a priority
+ $priority = $this->getPriority();
+ if ($priority === FALSE || $priority === NULL) {
+ return $this->setPriority('last');
+ }
+ } else {
+ return false;
+ }
+ }
+
+
+ // Plugin ID and path
+
+ /**
+ * Returns the ID (dir name) of this plugin
+ *
+ * @return string
+ */
+ public function getID() {
+ return $this->title;
+ }
+
+ /**
+ * Returns the manifest's name if available, otherwise the ID.
*
- * @deprecated 1.8 Use initializeAttributes()
+ * @return string
+ * @since 1.8.1
*/
- protected function initialise_attributes() {
- elgg_deprecated_notice('ElggPlugin::initialise_attributes() is deprecated by ::initializeAttributes()', 1.8);
- return $this->initializeAttributes();
+ public function getFriendlyName() {
+ $manifest = $this->getManifest();
+ if ($manifest) {
+ return $manifest->getName();
+ }
+
+ return $this->getID();
}
/**
- * Set subtype to 'plugin'
+ * Returns the plugin's full path with trailing slash.
*
- * @return void
+ * @return string
*/
- protected function initializeAttributes() {
- parent::initializeAttributes();
+ public function getPath() {
+ return sanitise_filepath($this->path);
+ }
- $this->attributes['subtype'] = "plugin";
+ /**
+ * Sets the location of this plugin.
+ *
+ * @param string $id The path to the plugin's dir.
+ * @return bool
+ */
+ public function setID($id) {
+ return $this->attributes['title'] = $id;
+ }
+
+ /**
+ * Returns an array of available markdown files for this plugin
+ *
+ * @return array
+ */
+ public function getAvailableTextFiles() {
+ $filenames = $this->getPackage()->getTextFilenames();
+
+ $files = array();
+ foreach ($filenames as $filename) {
+ if ($this->canReadFile($filename)) {
+ $files[$filename] = "$this->path/$filename";
+ }
+ }
+
+ return $files;
+ }
+
+ // Load Priority
+
+ /**
+ * Gets the plugin's load priority.
+ *
+ * @return int
+ */
+ public function getPriority() {
+ $name = elgg_namespace_plugin_private_setting('internal', 'priority');
+ return $this->$name;
+ }
+
+ /**
+ * Sets the priority of the plugin
+ *
+ * @param mixed $priority The priority to set. One of +1, -1, first, last, or a number.
+ * If given a number, this will displace all plugins at that number
+ * and set their priorities +1
+ * @param mixed $site_guid Optional site GUID.
+ * @return bool
+ */
+ public function setPriority($priority, $site_guid = null) {
+ if (!$this->guid) {
+ return false;
+ }
+
+ $db_prefix = get_config('dbprefix');
+ $name = elgg_namespace_plugin_private_setting('internal', 'priority');
+ // if no priority assume a priority of 1
+ $old_priority = (int) $this->getPriority();
+ $old_priority = (!$old_priority) ? 1 : $old_priority;
+ $max_priority = elgg_get_max_plugin_priority();
+
+ // can't use switch here because it's not strict and
+ // php evaluates +1 == 1
+ if ($priority === '+1') {
+ $priority = $old_priority + 1;
+ } elseif ($priority === '-1') {
+ $priority = $old_priority - 1;
+ } elseif ($priority === 'first') {
+ $priority = 1;
+ } elseif ($priority === 'last') {
+ $priority = $max_priority;
+ }
+
+ // should be a number by now
+ if ($priority > 0) {
+ if (!is_numeric($priority)) {
+ return false;
+ }
+
+ // there's nothing above the max.
+ if ($priority > $max_priority) {
+ $priority = $max_priority;
+ }
+
+ // there's nothing below 1.
+ if ($priority < 1) {
+ $priority = 1;
+ }
+
+ if ($priority > $old_priority) {
+ $op = '-';
+ $where = "CAST(value as unsigned) BETWEEN $old_priority AND $priority";
+ } else {
+ $op = '+';
+ $where = "CAST(value as unsigned) BETWEEN $priority AND $old_priority";
+ }
+
+ // displace the ones affected by this change
+ $q = "UPDATE {$db_prefix}private_settings
+ SET value = CAST(value as unsigned) $op 1
+ WHERE entity_guid != $this->guid
+ AND name = '$name'
+ AND $where";
+
+ if (!update_data($q)) {
+ return false;
+ }
+
+ // set this priority
+ if ($this->set($name, $priority)) {
+ return true;
+ } else {
+ return false;
+ }
+ }
+
+ return false;
+ }
+
+
+ // Plugin settings
+
+ /**
+ * Returns a plugin setting
+ *
+ * @param string $name The setting name
+ * @return mixed
+ */
+ public function getSetting($name) {
+ return $this->$name;
+ }
+
+ /**
+ * Returns an array of all settings saved for this plugin.
+ *
+ * @note Unlike user settings, plugin settings are not namespaced.
+ *
+ * @return array An array of key/value pairs.
+ */
+ public function getAllSettings() {
+ if (!$this->guid) {
+ return false;
+ }
+
+ $db_prefix = elgg_get_config('dbprefix');
+ // need to remove all namespaced private settings.
+ $us_prefix = elgg_namespace_plugin_private_setting('user_setting', '', $this->getID());
+ $is_prefix = elgg_namespace_plugin_private_setting('internal', '', $this->getID());
+
+ // Get private settings for user
+ $q = "SELECT * FROM {$db_prefix}private_settings
+ WHERE entity_guid = $this->guid
+ AND name NOT LIKE '$us_prefix%'
+ AND name NOT LIKE '$is_prefix%'";
+
+ $private_settings = get_data($q);
+
+ $return = array();
+
+ if ($private_settings) {
+ foreach ($private_settings as $setting) {
+ $return[$setting->name] = $setting->value;
+ }
+ }
+
+ return $return;
+ }
+
+ /**
+ * Set a plugin setting for the plugin
+ *
+ * @todo This will only work once the plugin has a GUID.
+ *
+ * @param string $name The name to set
+ * @param string $value The value to set
+ *
+ * @return bool
+ */
+ public function setSetting($name, $value) {
+ if (!$this->guid) {
+ return false;
+ }
+
+ return $this->set($name, $value);
+ }
+
+ /**
+ * Removes a plugin setting name and value.
+ *
+ * @param string $name The setting name to remove
+ *
+ * @return bool
+ */
+ public function unsetSetting($name) {
+ return remove_private_setting($this->guid, $name);
+ }
+
+ /**
+ * Removes all settings for this plugin.
+ *
+ * @todo Should be a better way to do this without dropping to raw SQL.
+ * @todo If we could namespace the plugin settings this would be cleaner.
+ * @return bool
+ */
+ public function unsetAllSettings() {
+ $db_prefix = get_config('dbprefix');
+
+ $us_prefix = elgg_namespace_plugin_private_setting('user_setting', '', $this->getID());
+ $is_prefix = elgg_namespace_plugin_private_setting('internal', '', $this->getID());
+
+ $q = "DELETE FROM {$db_prefix}private_settings
+ WHERE entity_guid = $this->guid
+ AND name NOT LIKE '$us_prefix%'
+ AND name NOT LIKE '$is_prefix%'";
+
+ return delete_data($q);
+ }
+
+
+ // User settings
+
+ /**
+ * Returns a user's setting for this plugin
+ *
+ * @param string $name The setting name
+ * @param int $user_guid The user GUID
+ *
+ * @return mixed The setting string value or false
+ */
+ public function getUserSetting($name, $user_guid = null) {
+ $user_guid = (int)$user_guid;
+
+ if ($user_guid) {
+ $user = get_entity($user_guid);
+ } else {
+ $user = elgg_get_logged_in_user_entity();
+ }
+
+ if (!($user instanceof ElggUser)) {
+ return false;
+ }
+
+ $name = elgg_namespace_plugin_private_setting('user_setting', $name, $this->getID());
+ return get_private_setting($user->guid, $name);
+ }
+
+ /**
+ * Returns an array of all user settings saved for this plugin for the user.
+ *
+ * @note Plugin settings are saved with a prefix. This removes that prefix.
+ *
+ * @param int $user_guid The user GUID. Defaults to logged in.
+ * @return array An array of key/value pairs.
+ */
+ public function getAllUserSettings($user_guid = null) {
+ $user_guid = (int)$user_guid;
+
+ if ($user_guid) {
+ $user = get_entity($user_guid);
+ } else {
+ $user = elgg_get_logged_in_user_entity();
+ }
+
+ if (!($user instanceof ElggUser)) {
+ return false;
+ }
+
+ $db_prefix = elgg_get_config('dbprefix');
+ // send an empty name so we just get the first part of the namespace
+ $ps_prefix = elgg_namespace_plugin_private_setting('user_setting', '', $this->getID());
+ $ps_prefix_len = strlen($ps_prefix);
+
+ // Get private settings for user
+ $q = "SELECT * FROM {$db_prefix}private_settings
+ WHERE entity_guid = {$user->guid}
+ AND name LIKE '$ps_prefix%'";
+
+ $private_settings = get_data($q);
+
+ $return = array();
+
+ if ($private_settings) {
+ foreach ($private_settings as $setting) {
+ $name = substr($setting->name, $ps_prefix_len);
+ $value = $setting->value;
+
+ $return[$name] = $value;
+ }
+ }
+
+ return $return;
+ }
+
+ /**
+ * Sets a user setting for a plugin
+ *
+ * @param string $name The setting name
+ * @param string $value The setting value
+ * @param int $user_guid The user GUID
+ *
+ * @return mixed The new setting ID or false
+ */
+ public function setUserSetting($name, $value, $user_guid = null) {
+ $user_guid = (int)$user_guid;
+
+ if ($user_guid) {
+ $user = get_entity($user_guid);
+ } else {
+ $user = elgg_get_logged_in_user_entity();
+ }
+
+ if (!($user instanceof ElggUser)) {
+ return false;
+ }
+
+ // Hook to validate setting
+ // note: this doesn't pass the namespaced name
+ $value = elgg_trigger_plugin_hook('usersetting', 'plugin', array(
+ 'user' => $user,
+ 'plugin' => $this,
+ 'plugin_id' => $this->getID(),
+ 'name' => $name,
+ 'value' => $value
+ ), $value);
+
+ // set the namespaced name.
+ $name = elgg_namespace_plugin_private_setting('user_setting', $name, $this->getID());
+
+ return set_private_setting($user->guid, $name, $value);
+ }
+
+
+ /**
+ * Removes a user setting name and value.
+ *
+ * @param string $name The user setting name
+ * @param int $user_guid The user GUID
+ * @return bool
+ */
+ public function unsetUserSetting($name, $user_guid = null) {
+ $user_guid = (int)$user_guid;
+
+ if ($user_guid) {
+ $user = get_entity($user_guid);
+ } else {
+ $user = elgg_get_logged_in_user_entity();
+ }
+
+ if (!($user instanceof ElggUser)) {
+ return false;
+ }
+
+ // set the namespaced name.
+ $name = elgg_namespace_plugin_private_setting('user_setting', $name, $this->getID());
+
+ return remove_private_setting($user->guid, $name);
}
/**
+ * Removes all User Settings for this plugin
+ *
+ * Use {@link removeAllUsersSettings()} to remove all user
+ * settings for all users. (Note the plural 'Users'.)
+ *
+ * @param int $user_guid The user GUID to remove user settings.
+ * @return bool
+ */
+ public function unsetAllUserSettings($user_guid) {
+ $db_prefix = get_config('dbprefix');
+ $ps_prefix = elgg_namespace_plugin_private_setting('user_setting', '', $this->getID());
+
+ $q = "DELETE FROM {$db_prefix}private_settings
+ WHERE entity_guid = $user_guid
+ AND name LIKE '$ps_prefix%'";
+
+ return delete_data($q);
+ }
+
+ /**
+ * Removes this plugin's user settings for all users.
+ *
+ * Use {@link removeAllUserSettings()} if you just want to remove
+ * settings for a single user.
+ *
+ * @return bool
+ */
+ public function unsetAllUsersSettings() {
+ $db_prefix = get_config('dbprefix');
+ $ps_prefix = elgg_namespace_plugin_private_setting('user_setting', '', $this->getID());
+
+ $q = "DELETE FROM {$db_prefix}private_settings
+ WHERE name LIKE '$ps_prefix%'";
+
+ return delete_data($q);
+ }
+
+
+ // validation
+
+ /**
+ * Returns if the plugin is complete, meaning has all required files
+ * and Elgg can read them and they make sense.
+ *
+ * @todo bad name? This could be confused with isValid() from ElggPluginPackage.
+ *
+ * @return bool
+ */
+ public function isValid() {
+ if (!$this->getID()) {
+ $this->errorMsg = elgg_echo('ElggPlugin:NoId', array($this->guid));
+ return false;
+ }
+
+ if (!$this->getPackage() instanceof ElggPluginPackage) {
+ $this->errorMsg = elgg_echo('ElggPlugin:NoPluginPackagePackage', array($this->getID(), $this->guid));
+ return false;
+ }
+
+ if (!$this->getPackage()->isValid()) {
+ $this->errorMsg = $this->getPackage()->getError();
+ return false;
+ }
+
+ return true;
+ }
+
+ /**
+ * Is this plugin active?
+ *
+ * @param int $site_guid Optional site guid.
+ * @return bool
+ */
+ public function isActive($site_guid = null) {
+ if (!$this->guid) {
+ return false;
+ }
+
+ if ($site_guid) {
+ $site = get_entity($site_guid);
+ } else {
+ $site = get_config('site');
+ }
+
+ if (!($site instanceof ElggSite)) {
+ return false;
+ }
+
+ return check_entity_relationship($this->guid, 'active_plugin', $site->guid);
+ }
+
+ /**
+ * Checks if this plugin can be activated on the current
+ * Elgg installation.
+ *
+ * @todo remove $site_guid param or implement it
+ *
+ * @param mixed $site_guid Optional site guid
+ * @return bool
+ */
+ public function canActivate($site_guid = null) {
+ if ($this->getPackage()) {
+ $result = $this->getPackage()->isValid() && $this->getPackage()->checkDependencies();
+ if (!$result) {
+ $this->errorMsg = $this->getPackage()->getError();
+ }
+
+ return $result;
+ }
+
+ return false;
+ }
+
+
+ // activating and deactivating
+
+ /**
+ * Actives the plugin for the current site.
+ *
+ * @param mixed $site_guid Optional site GUID.
+ * @return bool
+ */
+ public function activate($site_guid = null) {
+ if ($this->isActive($site_guid)) {
+ return false;
+ }
+
+ if (!$this->canActivate()) {
+ return false;
+ }
+
+ // set in the db, now perform tasks and emit events
+ if ($this->setStatus(true, $site_guid)) {
+ // emit an event. returning false will make this not be activated.
+ // we need to do this after it's been fully activated
+ // or the deactivate will be confused.
+ $params = array(
+ 'plugin_id' => $this->pluginID,
+ 'plugin_entity' => $this
+ );
+
+ $return = elgg_trigger_event('activate', 'plugin', $params);
+
+ // if there are any on_enable functions, start the plugin now and run them
+ // Note: this will not run re-run the init hooks!
+ if ($return) {
+ if ($this->canReadFile('activate.php')) {
+ $flags = ELGG_PLUGIN_INCLUDE_START | ELGG_PLUGIN_REGISTER_CLASSES |
+ ELGG_PLUGIN_REGISTER_LANGUAGES | ELGG_PLUGIN_REGISTER_VIEWS;
+
+ $this->start($flags);
+
+ $return = $this->includeFile('activate.php');
+ }
+ }
+
+ if ($return === false) {
+ $this->deactivate($site_guid);
+ }
+
+ return $return;
+ }
+
+ return false;
+ }
+
+ /**
+ * Deactivates the plugin.
+ *
+ * @param mixed $site_guid Optional site GUID.
+ * @return bool
+ */
+ public function deactivate($site_guid = null) {
+ if (!$this->isActive($site_guid)) {
+ return false;
+ }
+
+ // emit an event. returning false will cause this to not be deactivated.
+ $params = array(
+ 'plugin_id' => $this->pluginID,
+ 'plugin_entity' => $this
+ );
+
+ $return = elgg_trigger_event('deactivate', 'plugin', $params);
+
+ // run any deactivate code
+ if ($return) {
+ if ($this->canReadFile('deactivate.php')) {
+ $return = $this->includeFile('deactivate.php');
+ }
+ }
+
+ if ($return === false) {
+ return false;
+ } else {
+ return $this->setStatus(false, $site_guid);
+ }
+ }
+
+ /**
+ * Start the plugin.
+ *
+ * @param int $flags Start flags for the plugin. See the constants in lib/plugins.php for details.
+ * @return true
+ * @throws PluginException
+ */
+ public function start($flags) {
+ //if (!$this->canActivate()) {
+ // return false;
+ //}
+
+ // include classes
+ if ($flags & ELGG_PLUGIN_REGISTER_CLASSES) {
+ $this->registerClasses();
+ }
+
+ // include start file
+ if ($flags & ELGG_PLUGIN_INCLUDE_START) {
+ $this->includeFile('start.php');
+ }
+
+ // include views
+ if ($flags & ELGG_PLUGIN_REGISTER_VIEWS) {
+ $this->registerViews();
+ }
+
+ // include languages
+ if ($flags & ELGG_PLUGIN_REGISTER_LANGUAGES) {
+ $this->registerLanguages();
+ }
+
+ return true;
+ }
+
+
+ // start helpers
+
+ /**
+ * Includes one of the plugins files
+ *
+ * @param string $filename The name of the file
+ *
+ * @throws PluginException
+ * @return mixed The return value of the included file (or 1 if there is none)
+ */
+ protected function includeFile($filename) {
+ // This needs to be here to be backwards compatible for 1.0-1.7.
+ // They expect the global config object to be available in start.php.
+ if ($filename == 'start.php') {
+ global $CONFIG;
+ }
+
+ $filepath = "$this->path/$filename";
+
+ if (!$this->canReadFile($filename)) {
+ $msg = elgg_echo('ElggPlugin:Exception:CannotIncludeFile',
+ array($filename, $this->getID(), $this->guid, $this->path));
+ throw new PluginException($msg);
+ }
+
+ return include $filepath;
+ }
+
+ /**
+ * Checks whether a plugin file with the given name exists
+ *
+ * @param string $filename The name of the file
+ * @return bool
+ */
+ protected function canReadFile($filename) {
+ return is_readable($this->path . '/' . $filename);
+ }
+
+ /**
+ * Registers the plugin's views
+ *
+ * @throws PluginException
+ * @return true
+ */
+ protected function registerViews() {
+ $view_dir = "$this->path/views/";
+
+ // plugins don't have to have views.
+ if (!is_dir($view_dir)) {
+ return true;
+ }
+
+ // but if they do, they have to be readable
+ $handle = opendir($view_dir);
+ if (!$handle) {
+ $msg = elgg_echo('ElggPlugin:Exception:CannotRegisterViews',
+ array($this->getID(), $this->guid, $view_dir));
+ throw new PluginException($msg);
+ }
+
+ while (FALSE !== ($view_type = readdir($handle))) {
+ $view_type_dir = $view_dir . $view_type;
+
+ if ('.' !== substr($view_type, 0, 1) && is_dir($view_type_dir)) {
+ if (autoregister_views('', $view_type_dir, $view_dir, $view_type)) {
+ elgg_register_viewtype($view_type);
+ } else {
+ $msg = elgg_echo('ElggPlugin:Exception:CannotRegisterViews',
+ array($this->getID(), $view_type_dir));
+ throw new PluginException($msg);
+ }
+ }
+ }
+
+ return true;
+ }
+
+ /**
+ * Registers the plugin's languages
+ *
+ * @throws PluginException
+ * @return true
+ */
+ protected function registerLanguages() {
+ $languages_path = "$this->path/languages";
+
+ // don't need to have classes
+ if (!is_dir($languages_path)) {
+ return true;
+ }
+
+ // but need to have working ones.
+ if (!register_translations($languages_path)) {
+ $msg = elgg_echo('ElggPlugin:Exception:CannotRegisterLanguages',
+ array($this->getID(), $this->guid, $languages_path));
+ throw new PluginException($msg);
+ }
+
+ return true;
+ }
+
+ /**
+ * Registers the plugin's classes
+ *
+ * @throws PluginException
+ * @return true
+ */
+ protected function registerClasses() {
+ $classes_path = "$this->path/classes";
+
+ // don't need to have classes
+ if (!is_dir($classes_path)) {
+ return true;
+ }
+
+ elgg_register_classes($classes_path);
+
+ return true;
+ }
+
+
+ // generic helpers and overrides
+
+ /**
* Get a value from private settings.
*
* @param string $name Name
@@ -41,14 +867,22 @@ class ElggPlugin extends ElggObject {
* @return mixed
*/
public function get($name) {
+ // rewrite for old and inaccurate plugin:setting
+ if (strstr($name, 'plugin:setting:')) {
+ $msg = 'Direct access of user settings is deprecated. Use ElggPlugin->getUserSetting()';
+ elgg_deprecated_notice($msg, 1.8);
+ $name = str_replace('plugin:setting:', '', $name);
+ $name = elgg_namespace_plugin_private_setting('user_setting', $name);
+ }
+
// See if its in our base attribute
- if (isset($this->attributes[$name])) {
+ if (array_key_exists($name, $this->attributes)) {
return $this->attributes[$name];
}
// No, so see if its in the private data store.
// get_private_setting() returns false if it doesn't exist
- $meta = get_private_setting($this->guid, $name);
+ $meta = $this->getPrivateSetting($name);
if ($meta === false) {
// Can't find it, so return null
@@ -59,7 +893,9 @@ class ElggPlugin extends ElggObject {
}
/**
- * Save a value to private settings.
+ * Save a value as private setting or attribute.
+ *
+ * Attributes include title and description.
*
* @param string $name Name
* @param mixed $value Value
@@ -74,10 +910,97 @@ class ElggPlugin extends ElggObject {
}
$this->attributes[$name] = $value;
+
+ return true;
} else {
- return set_private_setting($this->guid, $name, $value);
+ // Hook to validate setting
+ $value = elgg_trigger_plugin_hook('setting', 'plugin', array(
+ 'plugin_id' => $this->pluginID,
+ 'plugin' => $this,
+ 'name' => $name,
+ 'value' => $value
+ ), $value);
+
+ return $this->setPrivateSetting($name, $value);
}
+ }
- return true;
+ /**
+ * Sets the plugin to active or inactive for $site_guid.
+ *
+ * @param bool $active Set to active or inactive
+ * @param mixed $site_guid Int for specific site, null for current site.
+ *
+ * @return bool
+ */
+ private function setStatus($active, $site_guid = null) {
+ if (!$this->guid) {
+ return false;
+ }
+
+ if ($site_guid) {
+ $site = get_entity($site_guid);
+
+ if (!($site instanceof ElggSite)) {
+ return false;
+ }
+ } else {
+ $site = get_config('site');
+ }
+
+ if ($active) {
+ return add_entity_relationship($this->guid, 'active_plugin', $site->guid);
+ } else {
+ return remove_entity_relationship($this->guid, 'active_plugin', $site->guid);
+ }
+ }
+
+ /**
+ * Returns the last error message registered.
+ *
+ * @return string|null
+ */
+ public function getError() {
+ return $this->errorMsg;
+ }
+
+ /**
+ * Returns this plugin's ElggPluginManifest object
+ *
+ * @return ElggPluginManifest
+ */
+ public function getManifest() {
+ if ($this->manifest instanceof ElggPluginManifest) {
+ return $this->manifest;
+ }
+
+ try {
+ $this->manifest = $this->getPackage()->getManifest();
+ } catch (Exception $e) {
+ elgg_log("Failed to load manifest for plugin $this->guid. " . $e->getMessage(), 'WARNING');
+ $this->errorMsg = $e->getmessage();
+ }
+
+ return $this->manifest;
+ }
+
+ /**
+ * Returns this plugin's ElggPluginPackage object
+ *
+ * @return ElggPluginPackage
+ */
+ public function getPackage() {
+ if ($this->package instanceof ElggPluginPackage) {
+ return $this->package;
+ }
+
+ try {
+ $this->package = new ElggPluginPackage($this->path, false);
+ } catch (Exception $e) {
+ elgg_log("Failed to load package for $this->guid. " . $e->getMessage(), 'WARNING');
+ $this->errorMsg = $e->getmessage();
+ }
+
+ return $this->package;
}
-} \ No newline at end of file
+}
diff --git a/engine/classes/ElggPluginManifest.php b/engine/classes/ElggPluginManifest.php
new file mode 100644
index 000000000..6912c2b08
--- /dev/null
+++ b/engine/classes/ElggPluginManifest.php
@@ -0,0 +1,656 @@
+<?php
+/**
+ * Parses Elgg manifest.xml files.
+ *
+ * Normalizes the values from the ElggManifestParser object.
+ *
+ * This requires an ElggPluginManifestParser class implementation
+ * as $this->parser.
+ *
+ * To add new parser versions, name them ElggPluginManifestParserXX
+ * where XX is the version specified in the top-level <plugin_manifest>
+ * tag's XML namespace.
+ *
+ * @package Elgg.Core
+ * @subpackage Plugins
+ * @since 1.8
+ */
+class ElggPluginManifest {
+
+ /**
+ * The parser object
+ */
+ protected $parser;
+
+ /**
+ * The root for plugin manifest namespaces.
+ * This is in the format http://www.elgg.org/plugin_manifest/<version>
+ */
+ protected $namespace_root = 'http://www.elgg.org/plugin_manifest/';
+
+ /**
+ * The expected structure of a plugins requires element
+ */
+ private $depsStructPlugin = array(
+ 'type' => '',
+ 'name' => '',
+ 'version' => '',
+ 'comparison' => 'ge'
+ );
+
+ /**
+ * The expected structure of a priority element
+ */
+ private $depsStructPriority = array(
+ 'type' => '',
+ 'priority' => '',
+ 'plugin' => ''
+ );
+
+ /*
+ * The expected structure of elgg_version and elgg_release requires element
+ */
+ private $depsStructElgg = array(
+ 'type' => '',
+ 'version' => '',
+ 'comparison' => 'ge'
+ );
+
+ /**
+ * The expected structure of a requires php_ini dependency element
+ */
+ private $depsStructPhpIni = array(
+ 'type' => '',
+ 'name' => '',
+ 'value' => '',
+ 'comparison' => '='
+ );
+
+ /**
+ * The expected structure of a requires php_extension dependency element
+ */
+ private $depsStructPhpExtension = array(
+ 'type' => '',
+ 'name' => '',
+ 'version' => '',
+ 'comparison' => '='
+ );
+
+ /**
+ * The expected structure of a conflicts depedency element
+ */
+ private $depsConflictsStruct = array(
+ 'type' => '',
+ 'name' => '',
+ 'version' => '',
+ 'comparison' => '='
+ );
+
+ /**
+ * The expected structure of a provides dependency element.
+ */
+ private $depsProvidesStruct = array(
+ 'type' => '',
+ 'name' => '',
+ 'version' => ''
+ );
+
+ /**
+ * The expected structure of a screenshot element
+ */
+ private $screenshotStruct = array(
+ 'description' => '',
+ 'path' => ''
+ );
+
+ /**
+ * The API version of the manifest.
+ *
+ * @var int
+ */
+ protected $apiVersion;
+
+ /**
+ * The optional plugin id this manifest belongs to.
+ *
+ * @var string
+ */
+ protected $pluginID;
+
+ /**
+ * Load a manifest file, XmlElement or path to manifest.xml file
+ *
+ * @param mixed $manifest A string, XmlElement, or path of a manifest file.
+ * @param string $plugin_id Optional ID of the owning plugin. Used to
+ * fill in some values automatically.
+ */
+ public function __construct($manifest, $plugin_id = null) {
+ if ($plugin_id) {
+ $this->pluginID = $plugin_id;
+ }
+
+ // see if we need to construct the xml object.
+ if ($manifest instanceof ElggXMLElement) {
+ $manifest_obj = $manifest;
+ } else {
+ if (substr(trim($manifest), 0, 1) == '<') {
+ // this is a string
+ $raw_xml = $manifest;
+ } elseif (is_file($manifest)) {
+ // this is a file
+ $raw_xml = file_get_contents($manifest);
+ }
+
+ $manifest_obj = xml_to_object($raw_xml);
+ }
+
+ if (!$manifest_obj) {
+ throw new PluginException(elgg_echo('PluginException:InvalidManifest',
+ array($this->getPluginID())));
+ }
+
+ // set manifest api version
+ if (isset($manifest_obj->attributes['xmlns'])) {
+ $namespace = $manifest_obj->attributes['xmlns'];
+ $version = str_replace($this->namespace_root, '', $namespace);
+ } else {
+ $version = 1.7;
+ }
+
+ $this->apiVersion = $version;
+
+ $parser_class_name = 'ElggPluginManifestParser' . str_replace('.', '', $this->apiVersion);
+
+ // @todo currently the autoloader freaks out if a class doesn't exist.
+ try {
+ $class_exists = class_exists($parser_class_name);
+ } catch (Exception $e) {
+ $class_exists = false;
+ }
+
+ if ($class_exists) {
+ $this->parser = new $parser_class_name($manifest_obj, $this);
+ } else {
+ throw new PluginException(elgg_echo('PluginException:NoAvailableParser',
+ array($this->apiVersion, $this->getPluginID())));
+ }
+
+ if (!$this->parser->parse()) {
+ throw new PluginException(elgg_echo('PluginException:ParserError',
+ array($this->apiVersion, $this->getPluginID())));
+ }
+
+ return true;
+ }
+
+ /**
+ * Returns the API version in use.
+ *
+ * @return int
+ */
+ public function getApiVersion() {
+ return $this->apiVersion;
+ }
+
+ /**
+ * Returns the plugin ID.
+ *
+ * @return string
+ */
+ public function getPluginID() {
+ if ($this->pluginID) {
+ return $this->pluginID;
+ } else {
+ return elgg_echo('unknown');
+ }
+ }
+
+ /**
+ * Returns the manifest array.
+ *
+ * Used for backward compatibility. Specific
+ * methods should be called instead.
+ *
+ * @return array
+ */
+ public function getManifest() {
+ return $this->parser->getManifest();
+ }
+
+ /***************************************
+ * Parsed and Normalized Manifest Data *
+ ***************************************/
+
+ /**
+ * Returns the plugin name
+ *
+ * @return string
+ */
+ public function getName() {
+ $name = $this->parser->getAttribute('name');
+
+ if (!$name && $this->pluginID) {
+ $name = ucwords(str_replace('_', ' ', $this->pluginID));
+ }
+
+ return $name;
+ }
+
+
+ /**
+ * Return the description
+ *
+ * @return string
+ */
+ public function getDescription() {
+ return $this->parser->getAttribute('description');
+ }
+
+ /**
+ * Return the short description
+ *
+ * @return string
+ */
+ public function getBlurb() {
+ $blurb = $this->parser->getAttribute('blurb');
+
+ if (!$blurb) {
+ $blurb = elgg_get_excerpt($this->getDescription());
+ }
+
+ return $blurb;
+ }
+
+ /**
+ * Returns the license
+ *
+ * @return string
+ */
+ public function getLicense() {
+ // license vs licence. Use license.
+ $en_us = $this->parser->getAttribute('license');
+ if ($en_us) {
+ return $en_us;
+ } else {
+ return $this->parser->getAttribute('licence');
+ }
+ }
+
+ /**
+ * Returns the repository url
+ *
+ * @return string
+ */
+ public function getRepositoryURL() {
+ return $this->parser->getAttribute('repository');
+ }
+
+ /**
+ * Returns the bug tracker page
+ *
+ * @return string
+ */
+ public function getBugTrackerURL() {
+ return $this->parser->getAttribute('bugtracker');
+ }
+
+ /**
+ * Returns the donations page
+ *
+ * @return string
+ */
+ public function getDonationsPageURL() {
+ return $this->parser->getAttribute('donations');
+ }
+
+ /**
+ * Returns the version of the plugin.
+ *
+ * @return float
+ */
+ public function getVersion() {
+ return $this->parser->getAttribute('version');
+ }
+
+ /**
+ * Returns the plugin author.
+ *
+ * @return string
+ */
+ public function getAuthor() {
+ return $this->parser->getAttribute('author');
+ }
+
+ /**
+ * Return the copyright
+ *
+ * @return string
+ */
+ public function getCopyright() {
+ return $this->parser->getAttribute('copyright');
+ }
+
+ /**
+ * Return the website
+ *
+ * @return string
+ */
+ public function getWebsite() {
+ return $this->parser->getAttribute('website');
+ }
+
+ /**
+ * Return the categories listed for this plugin
+ *
+ * @return array
+ */
+ public function getCategories() {
+ $bundled_plugins = array('blog', 'bookmarks', 'categories',
+ 'custom_index', 'dashboard', 'developers', 'diagnostics',
+ 'embed', 'externalpages', 'file', 'garbagecollector',
+ 'groups', 'htmlawed', 'invitefriends', 'likes',
+ 'logbrowser', 'logrotate', 'members', 'messageboard',
+ 'messages', 'notifications', 'oauth_api', 'pages', 'profile',
+ 'reportedcontent', 'search', 'tagcloud', 'thewire', 'tinymce',
+ 'twitter', 'twitter_api', 'uservalidationbyemail', 'zaudio',
+ );
+
+ $cats = $this->parser->getAttribute('category');
+
+ if (!$cats) {
+ $cats = array();
+ }
+
+ if (in_array('bundled', $cats) && !in_array($this->getPluginID(), $bundled_plugins)) {
+ unset($cats[array_search('bundled', $cats)]);
+ }
+
+ return $cats;
+ }
+
+ /**
+ * Return the screenshots listed.
+ *
+ * @return array
+ */
+ public function getScreenshots() {
+ $ss = $this->parser->getAttribute('screenshot');
+
+ if (!$ss) {
+ $ss = array();
+ }
+
+ $normalized = array();
+ foreach ($ss as $s) {
+ $normalized[] = $this->buildStruct($this->screenshotStruct, $s);
+ }
+
+ return $normalized;
+ }
+
+ /**
+ * Return the list of provides by this plugin.
+ *
+ * @return array
+ */
+ public function getProvides() {
+ // normalize for 1.7
+ if ($this->getApiVersion() < 1.8) {
+ $provides = array();
+ } else {
+ $provides = $this->parser->getAttribute('provides');
+ }
+
+ if (!$provides) {
+ $provides = array();
+ }
+
+ // always provide ourself if we can
+ if ($this->pluginID) {
+ $provides[] = array(
+ 'type' => 'plugin',
+ 'name' => $this->getPluginID(),
+ 'version' => $this->getVersion()
+ );
+ }
+
+ $normalized = array();
+ foreach ($provides as $provide) {
+ $normalized[] = $this->buildStruct($this->depsProvidesStruct, $provide);
+ }
+
+ return $normalized;
+ }
+
+ /**
+ * Returns the dependencies listed.
+ *
+ * @return array
+ */
+ public function getRequires() {
+ // rewrite the 1.7 style elgg_version as a real requires.
+ if ($this->apiVersion < 1.8) {
+ $elgg_version = $this->parser->getAttribute('elgg_version');
+ if ($elgg_version) {
+ $reqs = array(
+ array(
+ 'type' => 'elgg_version',
+ 'version' => $elgg_version,
+ 'comparison' => 'ge'
+ )
+ );
+ } else {
+ $reqs = array();
+ }
+ } else {
+ $reqs = $this->parser->getAttribute('requires');
+ }
+
+ if (!$reqs) {
+ $reqs = array();
+ }
+
+ $normalized = array();
+ foreach ($reqs as $req) {
+ $normalized[] = $this->normalizeDep($req);
+ }
+
+ return $normalized;
+ }
+
+ /**
+ * Returns the suggests elements.
+ *
+ * @return array
+ */
+ public function getSuggests() {
+ $suggests = $this->parser->getAttribute('suggests');
+
+ if (!$suggests) {
+ $suggests = array();
+ }
+
+ $normalized = array();
+ foreach ($suggests as $suggest) {
+ $normalized[] = $this->normalizeDep($suggest);
+ }
+
+ return $normalized;
+ }
+
+ /**
+ * Normalizes a dependency array using the defined structs.
+ * Can be used with either requires or suggests.
+ *
+ * @param array $dep A dependency array.
+ * @return array The normalized deps array.
+ */
+ private function normalizeDep($dep) {
+ switch ($dep['type']) {
+ case 'elgg_version':
+ case 'elgg_release':
+ $struct = $this->depsStructElgg;
+ break;
+
+ case 'plugin':
+ $struct = $this->depsStructPlugin;
+ break;
+
+ case 'priority':
+ $struct = $this->depsStructPriority;
+ break;
+
+ case 'php_extension':
+ $struct = $this->depsStructPhpExtension;
+ break;
+
+ case 'php_ini':
+ $struct = $this->depsStructPhpIni;
+
+ // also normalize boolean values
+ if (isset($dep['value'])) {
+ switch (strtolower($dep['value'])) {
+ case 'yes':
+ case 'true':
+ case 'on':
+ case 1:
+ $dep['value'] = 1;
+ break;
+
+ case 'no':
+ case 'false':
+ case 'off':
+ case 0:
+ case '':
+ $dep['value'] = 0;
+ break;
+ }
+ }
+ break;
+ default:
+ // unrecognized so we just return the raw dependency
+ return $dep;
+ }
+
+ $normalized_dep = $this->buildStruct($struct, $dep);
+
+ // normalize comparison operators
+ if (isset($normalized_dep['comparison'])) {
+ switch ($normalized_dep['comparison']) {
+ case '<':
+ $normalized_dep['comparison'] = 'lt';
+ break;
+
+ case '<=':
+ $normalized_dep['comparison'] = 'le';
+ break;
+
+ case '>':
+ $normalized_dep['comparison'] = 'gt';
+ break;
+
+ case '>=':
+ $normalized_dep['comparison'] = 'ge';
+ break;
+
+ case '==':
+ case 'eq':
+ $normalized_dep['comparison'] = '=';
+ break;
+
+ case '<>':
+ case 'ne':
+ $normalized_dep['comparison'] = '!=';
+ break;
+ }
+ }
+
+ return $normalized_dep;
+ }
+
+ /**
+ * Returns the conflicts listed
+ *
+ * @return array
+ */
+ public function getConflicts() {
+ // normalize for 1.7
+ if ($this->getApiVersion() < 1.8) {
+ $conflicts = array();
+ } else {
+ $conflicts = $this->parser->getAttribute('conflicts');
+ }
+
+ if (!$conflicts) {
+ $conflicts = array();
+ }
+
+ $normalized = array();
+
+ foreach ($conflicts as $conflict) {
+ $normalized[] = $this->buildStruct($this->depsConflictsStruct, $conflict);
+ }
+
+ return $normalized;
+ }
+
+ /**
+ * Should this plugin be activated when Elgg is installed
+ *
+ * @return bool
+ */
+ public function getActivateOnInstall() {
+ $activate = $this->parser->getAttribute('activate_on_install');
+ switch (strtolower($activate)) {
+ case 'yes':
+ case 'true':
+ case 'on':
+ case 1:
+ return true;
+
+ case 'no':
+ case 'false':
+ case 'off':
+ case 0:
+ case '':
+ return false;
+ }
+ }
+
+ /**
+ * Normalizes an array into the structure specified
+ *
+ * @param array $struct The struct to normalize $element to.
+ * @param array $array The array
+ *
+ * @return array
+ */
+ protected function buildStruct(array $struct, array $array) {
+ $return = array();
+
+ foreach ($struct as $index => $default) {
+ $return[$index] = elgg_extract($index, $array, $default);
+ }
+
+ return $return;
+ }
+
+ /**
+ * Returns a category's friendly name. This can be localized by
+ * defining the string 'admin:plugins:category:<category>'. If no
+ * localization is found, returns the category with _ and - converted to ' '
+ * and then ucwords()'d.
+ *
+ * @param str $category The category as defined in the manifest.
+ * @return str A human-readable category
+ */
+ static public function getFriendlyCategory($category) {
+ $cat_raw_string = "admin:plugins:category:$category";
+ $cat_display_string = elgg_echo($cat_raw_string);
+ if ($cat_display_string == $cat_raw_string) {
+ $category = str_replace(array('-', '_'), ' ', $category);
+ $cat_display_string = ucwords($category);
+ }
+ return $cat_display_string;
+ }
+}
diff --git a/engine/classes/ElggPluginManifestParser.php b/engine/classes/ElggPluginManifestParser.php
new file mode 100644
index 000000000..af152b561
--- /dev/null
+++ b/engine/classes/ElggPluginManifestParser.php
@@ -0,0 +1,102 @@
+<?php
+/**
+ * Parent class for manifest parsers.
+ *
+ * Converts manifest.xml files or strings to an array.
+ *
+ * This should be extended by a class that does the actual work
+ * to convert based on the manifest.xml version.
+ *
+ * This class only parses XML to an XmlEntity object and
+ * an array. The array should be used primarily to extract
+ * information since it is quicker to parse once and store
+ * values from the XmlElement object than to parse the object
+ * each time.
+ *
+ * The array should be an exact representation of the manifest.xml
+ * file or string. Any normalization needs to be done in the
+ * calling class / function.
+ *
+ * @package Elgg.Core
+ * @subpackage Plugins
+ * @since 1.8
+ */
+abstract class ElggPluginManifestParser {
+ /**
+ * The XmlElement object
+ *
+ * @var XmlElement
+ */
+ protected $manifestObject;
+
+ /**
+ * The manifest array
+ *
+ * @var array
+ */
+ protected $manifest;
+
+ /**
+ * All valid manifest attributes with default values.
+ *
+ * @var array
+ */
+ protected $validAttributes;
+
+ /**
+ * The object we're doing parsing for.
+ *
+ * @var object
+ */
+ protected $caller;
+
+ /**
+ * Loads the manifest XML to be parsed.
+ *
+ * @param ElggXmlElement $xml The Manifest XML object to be parsed
+ * @param object $caller The object calling this parser.
+ */
+ public function __construct(ElggXMLElement $xml, $caller) {
+ $this->manifestObject = $xml;
+ $this->caller = $caller;
+ }
+
+ /**
+ * Returns the manifest XML object
+ *
+ * @return XmlElement
+ */
+ public function getManifestObject() {
+ return $this->manifestObject;
+ }
+
+ /**
+ * Return the parsed manifest array
+ *
+ * @return array
+ */
+ public function getManifest() {
+ return $this->manifest;
+ }
+
+ /**
+ * Return an attribute in the manifest.
+ *
+ * @param string $name Attribute name
+ * @return mixed
+ */
+ public function getAttribute($name) {
+ if (in_array($name, $this->validAttributes) && isset($this->manifest[$name])) {
+ return $this->manifest[$name];
+ }
+
+ return false;
+ }
+
+ /**
+ * Parse the XML object into an array
+ *
+ * @return bool
+ */
+ abstract public function parse();
+}
diff --git a/engine/classes/ElggPluginManifestParser17.php b/engine/classes/ElggPluginManifestParser17.php
new file mode 100644
index 000000000..5658ee804
--- /dev/null
+++ b/engine/classes/ElggPluginManifestParser17.php
@@ -0,0 +1,82 @@
+<?php
+/**
+ * Plugin manifest.xml parser for Elgg 1.7 and lower.
+ *
+ * @package Elgg.Core
+ * @subpackage Plugins
+ * @since 1.8
+ */
+class ElggPluginManifestParser17 extends ElggPluginManifestParser {
+ /**
+ * The valid top level attributes and defaults for a 1.7 manifest
+ */
+ protected $validAttributes = array(
+ 'author', 'version', 'description', 'website',
+ 'copyright', 'license', 'licence', 'elgg_version',
+
+ // were never really used and not enforced in code.
+ 'requires', 'recommends', 'conflicts',
+
+ // not a 1.7 field, but we need it
+ 'name',
+ );
+
+ /**
+ * Parse a manifest object from 1.7 or earlier.
+ *
+ * @return void
+ */
+ public function parse() {
+ if (!isset($this->manifestObject->children)) {
+ return false;
+ }
+
+ $elements = array();
+
+ foreach ($this->manifestObject->children as $element) {
+ $key = $element->attributes['key'];
+ $value = $element->attributes['value'];
+
+ // create arrays if multiple fields are set
+ if (array_key_exists($key, $elements)) {
+ if (!is_array($elements[$key])) {
+ $orig = $elements[$key];
+ $elements[$key] = array($orig);
+ }
+
+ $elements[$key][] = $value;
+ } else {
+ $elements[$key] = $value;
+ }
+ }
+
+ if ($elements && !array_key_exists('name', $elements)) {
+ $elements['name'] = $this->caller->getName();
+ }
+
+ $this->manifest = $elements;
+
+ if (!$this->manifest) {
+ return false;
+ }
+
+ return true;
+ }
+
+ /**
+ * Return an attribute in the manifest.
+ *
+ * Overrides ElggPluginManifestParser::getAttribute() because before 1.8
+ * there were no rules...weeeeeeeee!
+ *
+ * @param string $name Attribute name
+ * @return mixed
+ */
+ public function getAttribute($name) {
+ if (isset($this->manifest[$name])) {
+ return $this->manifest[$name];
+ }
+
+ return false;
+ }
+}
diff --git a/engine/classes/ElggPluginManifestParser18.php b/engine/classes/ElggPluginManifestParser18.php
new file mode 100644
index 000000000..3b753f17b
--- /dev/null
+++ b/engine/classes/ElggPluginManifestParser18.php
@@ -0,0 +1,97 @@
+<?php
+/**
+ * Plugin manifest.xml parser for Elgg 1.8 and above.
+ *
+ * @package Elgg.Core
+ * @subpackage Plugins
+ * @since 1.8
+ */
+class ElggPluginManifestParser18 extends ElggPluginManifestParser {
+ /**
+ * The valid top level attributes and defaults for a 1.8 manifest array.
+ *
+ * @var array
+ */
+ protected $validAttributes = array(
+ 'name', 'author', 'version', 'blurb', 'description','website',
+ 'repository', 'bugtracker', 'donations', 'copyright', 'license',
+ 'requires', 'suggests', 'conflicts', 'provides',
+ 'screenshot', 'category', 'activate_on_install'
+ );
+
+ /**
+ * Required attributes for a valid 1.8 manifest
+ *
+ * @var array
+ */
+ protected $requiredAttributes = array(
+ 'name', 'author', 'version', 'description', 'requires'
+ );
+
+ /**
+ * Parse a manifest object from 1.8 and later
+ *
+ * @return void
+ */
+ public function parse() {
+ $parsed = array();
+ foreach ($this->manifestObject->children as $element) {
+ switch ($element->name) {
+ // single elements
+ case 'blurb':
+ case 'description':
+ case 'name':
+ case 'author':
+ case 'version':
+ case 'website':
+ case 'copyright':
+ case 'license':
+ case 'repository':
+ case 'bugtracker':
+ case 'donations':
+ case 'activate_on_install':
+ $parsed[$element->name] = $element->content;
+ break;
+
+ // arrays
+ case 'category':
+ $parsed[$element->name][] = $element->content;
+ break;
+
+ // 3d arrays
+ case 'screenshot':
+ case 'provides':
+ case 'conflicts':
+ case 'requires':
+ case 'suggests':
+ if (!isset($element->children)) {
+ return false;
+ }
+
+ $info = array();
+ foreach ($element->children as $child_element) {
+ $info[$child_element->name] = $child_element->content;
+ }
+
+ $parsed[$element->name][] = $info;
+ break;
+ }
+ }
+
+ // check we have all the required fields
+ foreach ($this->requiredAttributes as $attr) {
+ if (!array_key_exists($attr, $parsed)) {
+ throw new PluginException(elgg_echo('PluginException:ParserErrorMissingRequiredAttribute',
+ array($attr, $this->caller->getPluginID())));
+ }
+ }
+
+ $this->manifest = $parsed;
+
+ if (!$this->manifest) {
+ return false;
+ }
+
+ return true;
+ }
+}
diff --git a/engine/classes/ElggPluginPackage.php b/engine/classes/ElggPluginPackage.php
new file mode 100644
index 000000000..37eb4bf4d
--- /dev/null
+++ b/engine/classes/ElggPluginPackage.php
@@ -0,0 +1,640 @@
+<?php
+/**
+ * Manages plugin packages under mod.
+ *
+ * @todo This should eventually be merged into ElggPlugin.
+ * Currently ElggPlugin objects are only used to get and save
+ * plugin settings and user settings, so not every plugin
+ * has an ElggPlugin object. It's not implemented in ElggPlugin
+ * right now because of conflicts with at least the constructor,
+ * enable(), disable(), and private settings.
+ *
+ * Around 1.9 or so we should each plugin over to using
+ * ElggPlugin and merge ElggPluginPackage and ElggPlugin.
+ *
+ * @package Elgg.Core
+ * @subpackage Plugins
+ * @since 1.8
+ */
+class ElggPluginPackage {
+
+ /**
+ * The required files in the package
+ *
+ * @var array
+ */
+ private $requiredFiles = array(
+ 'start.php', 'manifest.xml'
+ );
+
+ /**
+ * The optional files that can be read and served through the markdown page handler
+ * @var array
+ */
+ private $textFiles = array(
+ 'README.txt', 'CHANGES.txt',
+ 'INSTALL.txt', 'COPYRIGHT.txt', 'LICENSE.txt',
+
+ 'README', 'README.md', 'README.markdown'
+ );
+
+ /**
+ * Valid types for provides.
+ *
+ * @var array
+ */
+ private $providesSupportedTypes = array(
+ 'plugin', 'php_extension'
+ );
+
+ /**
+ * The type of requires/conflicts supported
+ *
+ * @var array
+ */
+ private $depsSupportedTypes = array(
+ 'elgg_version', 'elgg_release', 'php_extension', 'php_ini', 'plugin', 'priority',
+ );
+
+ /**
+ * An invalid plugin error.
+ */
+ private $errorMsg = '';
+
+ /**
+ * Any dependencies messages
+ */
+ private $depsMsgs = array();
+
+ /**
+ * The plugin's manifest object
+ *
+ * @var ElggPluginManifest
+ */
+ protected $manifest;
+
+ /**
+ * The plugin's full path
+ *
+ * @var string
+ */
+ protected $path;
+
+ /**
+ * Is the plugin valid?
+ *
+ * @var mixed Bool after validation check, null before.
+ */
+ protected $valid = null;
+
+ /**
+ * The plugin ID (dir name)
+ *
+ * @var string
+ */
+ protected $id;
+
+ /**
+ * Load a plugin package from mod/$id or by full path.
+ *
+ * @param string $plugin The ID (directory name) or full path of the plugin.
+ * @param bool $validate Automatically run isValid()?
+ *
+ * @throws PluginException
+ */
+ public function __construct($plugin, $validate = true) {
+ $plugin_path = elgg_get_plugins_path();
+ // @todo wanted to avoid another is_dir() call here.
+ // should do some profiling to see how much it affects
+ if (strpos($plugin, $plugin_path) === 0 || is_dir($plugin)) {
+ // this is a path
+ $path = sanitise_filepath($plugin);
+
+ // the id is the last element of the array
+ $path_array = explode('/', trim($path, '/'));
+ $id = array_pop($path_array);
+ } else {
+ // this is a plugin id
+ // strict plugin names
+ if (preg_match('/[^a-z0-9\.\-_]/i', $plugin)) {
+ throw new PluginException(elgg_echo('PluginException:InvalidID', array($plugin)));
+ }
+
+ $path = "{$plugin_path}$plugin/";
+ $id = $plugin;
+ }
+
+ if (!is_dir($path)) {
+ throw new PluginException(elgg_echo('PluginException:InvalidPath', array($path)));
+ }
+
+ $this->path = $path;
+ $this->id = $id;
+
+ if ($validate && !$this->isValid()) {
+ if ($this->errorMsg) {
+ throw new PluginException(elgg_echo('PluginException:InvalidPlugin:Details',
+ array($plugin, $this->errorMsg)));
+ } else {
+ throw new PluginException(elgg_echo('PluginException:InvalidPlugin', array($plugin)));
+ }
+ }
+
+ return true;
+ }
+
+ /********************************
+ * Validation and sanity checks *
+ ********************************/
+
+ /**
+ * Checks if this is a valid Elgg plugin.
+ *
+ * Checks for requires files as defined at the start of this
+ * class. Will check require manifest fields via ElggPluginManifest
+ * for Elgg 1.8 plugins.
+ *
+ * @note This doesn't check dependencies or conflicts.
+ * Use {@link ElggPluginPackage::canActivate()} or
+ * {@link ElggPluginPackage::checkDependencies()} for that.
+ *
+ * @return bool
+ */
+ public function isValid() {
+ if (isset($this->valid)) {
+ return $this->valid;
+ }
+
+ // check required files.
+ $have_req_files = true;
+ foreach ($this->requiredFiles as $file) {
+ if (!is_readable($this->path . $file)) {
+ $have_req_files = false;
+ $this->errorMsg =
+ elgg_echo('ElggPluginPackage:InvalidPlugin:MissingFile', array($file));
+ break;
+ }
+ }
+
+ // check required files
+ if (!$have_req_files) {
+ return $this->valid = false;
+ }
+
+ // check for valid manifest.
+ if (!$this->loadManifest()) {
+ return $this->valid = false;
+ }
+
+ // can't require or conflict with yourself or something you provide.
+ // make sure provides are all valid.
+ if (!$this->isSaneDeps()) {
+ return $this->valid = false;
+ }
+
+ return $this->valid = true;
+ }
+
+ /**
+ * Check the plugin doesn't require or conflict with itself
+ * or something provides. Also check that it only list
+ * valid provides. Deps are checked in checkDependencies()
+ *
+ * @note Plugins always provide themselves.
+ *
+ * @todo Don't let them require and conflict the same thing
+ *
+ * @return bool
+ */
+ private function isSaneDeps() {
+ // protection against plugins with no manifest file
+ if (!$this->getManifest()) {
+ return false;
+ }
+
+ // Note: $conflicts and $requires are not unused. They're called dynamically
+ $conflicts = $this->getManifest()->getConflicts();
+ $requires = $this->getManifest()->getRequires();
+ $provides = $this->getManifest()->getProvides();
+
+ foreach ($provides as $provide) {
+ // only valid provide types
+ if (!in_array($provide['type'], $this->providesSupportedTypes)) {
+ $this->errorMsg =
+ elgg_echo('ElggPluginPackage:InvalidPlugin:InvalidProvides', array($provide['type']));
+ return false;
+ }
+
+ // doesn't conflict or require any of its provides
+ $name = $provide['name'];
+ foreach (array('conflicts', 'requires') as $dep_type) {
+ foreach (${$dep_type} as $dep) {
+ if (!in_array($dep['type'], $this->depsSupportedTypes)) {
+ $this->errorMsg =
+ elgg_echo('ElggPluginPackage:InvalidPlugin:InvalidDependency', array($dep['type']));
+ return false;
+ }
+
+ // make sure nothing is providing something it conflicts or requires.
+ if (isset($dep['name']) && $dep['name'] == $name) {
+ $version_compare = version_compare($provide['version'], $dep['version'], $dep['comparison']);
+
+ if ($version_compare) {
+ $this->errorMsg =
+ elgg_echo('ElggPluginPackage:InvalidPlugin:CircularDep',
+ array($dep['type'], $dep['name'], $this->id));
+
+ return false;
+ }
+ }
+ }
+ }
+ }
+
+ return true;
+ }
+
+
+ /************
+ * Manifest *
+ ************/
+
+ /**
+ * Returns a parsed manifest file.
+ *
+ * @return ElggPluginManifest
+ */
+ public function getManifest() {
+ if (!$this->manifest) {
+ if (!$this->loadManifest()) {
+ return false;
+ }
+ }
+
+ return $this->manifest;
+ }
+
+ /**
+ * Loads the manifest into this->manifest as an
+ * ElggPluginManifest object.
+ *
+ * @return bool
+ */
+ private function loadManifest() {
+ $file = $this->path . 'manifest.xml';
+
+ try {
+ $this->manifest = new ElggPluginManifest($file, $this->id);
+ } catch (Exception $e) {
+ $this->errorMsg = $e->getMessage();
+ return false;
+ }
+
+ if ($this->manifest instanceof ElggPluginManifest) {
+ return true;
+ }
+
+ $this->errorMsg = elgg_echo('unknown_error');
+ return false;
+ }
+
+ /****************
+ * Readme Files *
+ ***************/
+
+ /**
+ * Returns an array of present and readable text files
+ *
+ * @return array
+ */
+ public function getTextFilenames() {
+ return $this->textFiles;
+ }
+
+ /***********************
+ * Dependencies system *
+ ***********************/
+
+ /**
+ * Returns if the Elgg system meets the plugin's dependency
+ * requirements. This includes both requires and conflicts.
+ *
+ * Full reports can be requested. The results are returned
+ * as an array of arrays in the form array(
+ * 'type' => requires|conflicts,
+ * 'dep' => array( dependency array ),
+ * 'status' => bool if depedency is met,
+ * 'comment' => optional comment to display to the user.
+ * )
+ *
+ * @param bool $full_report Return a full report.
+ * @return bool|array
+ */
+ public function checkDependencies($full_report = false) {
+ // Note: $conflicts and $requires are not unused. They're called dynamically
+ $requires = $this->getManifest()->getRequires();
+ $conflicts = $this->getManifest()->getConflicts();
+
+ $enabled_plugins = elgg_get_plugins('active');
+ $this_id = $this->getID();
+ $report = array();
+
+ // first, check if any active plugin conflicts with us.
+ foreach ($enabled_plugins as $plugin) {
+ $temp_conflicts = array();
+ $temp_manifest = $plugin->getManifest();
+ if ($temp_manifest instanceof ElggPluginManifest) {
+ $temp_conflicts = $plugin->getManifest()->getConflicts();
+ }
+ foreach ($temp_conflicts as $conflict) {
+ if ($conflict['type'] == 'plugin' && $conflict['name'] == $this_id) {
+ $result = $this->checkDepPlugin($conflict, $enabled_plugins, false);
+
+ // rewrite the conflict to show the originating plugin
+ $conflict['name'] = $plugin->getManifest()->getName();
+
+ if (!$full_report && !$result['status']) {
+ $this->errorMsg = "Conflicts with plugin \"{$plugin->getManifest()->getName()}\".";
+ return $result['status'];
+ } else {
+ $report[] = array(
+ 'type' => 'conflicted',
+ 'dep' => $conflict,
+ 'status' => $result['status'],
+ 'value' => $this->getManifest()->getVersion()
+ );
+ }
+ }
+ }
+ }
+
+ $check_types = array('requires', 'conflicts');
+
+ if ($full_report) {
+ // Note: $suggests is not unused. It's called dynamically
+ $suggests = $this->getManifest()->getSuggests();
+ $check_types[] = 'suggests';
+ }
+
+ foreach ($check_types as $dep_type) {
+ $inverse = ($dep_type == 'conflicts') ? true : false;
+
+ foreach (${$dep_type} as $dep) {
+ switch ($dep['type']) {
+ case 'elgg_version':
+ $result = $this->checkDepElgg($dep, get_version(), $inverse);
+ break;
+
+ case 'elgg_release':
+ $result = $this->checkDepElgg($dep, get_version(true), $inverse);
+ break;
+
+ case 'plugin':
+ $result = $this->checkDepPlugin($dep, $enabled_plugins, $inverse);
+ break;
+
+ case 'priority':
+ $result = $this->checkDepPriority($dep, $enabled_plugins, $inverse);
+ break;
+
+ case 'php_extension':
+ $result = $this->checkDepPhpExtension($dep, $inverse);
+ break;
+
+ case 'php_ini':
+ $result = $this->checkDepPhpIni($dep, $inverse);
+ break;
+ }
+
+ // unless we're doing a full report, break as soon as we fail.
+ if (!$full_report && !$result['status']) {
+ $this->errorMsg = "Missing dependencies.";
+ return $result['status'];
+ } else {
+ // build report element and comment
+ $report[] = array(
+ 'type' => $dep_type,
+ 'dep' => $dep,
+ 'status' => $result['status'],
+ 'value' => $result['value']
+ );
+ }
+ }
+ }
+
+ if ($full_report) {
+ // add provides to full report
+ $provides = $this->getManifest()->getProvides();
+
+ foreach ($provides as $provide) {
+ $report[] = array(
+ 'type' => 'provides',
+ 'dep' => $provide,
+ 'status' => true,
+ 'value' => ''
+ );
+ }
+
+ return $report;
+ }
+
+ return true;
+ }
+
+ /**
+ * Checks if $plugins meets the requirement by $dep.
+ *
+ * @param array $dep An Elgg manifest.xml deps array
+ * @param array $plugins A list of plugins as returned by elgg_get_plugins();
+ * @param bool $inverse Inverse the results to use as a conflicts.
+ * @return bool
+ */
+ private function checkDepPlugin(array $dep, array $plugins, $inverse = false) {
+ $r = elgg_check_plugins_provides('plugin', $dep['name'], $dep['version'], $dep['comparison']);
+
+ if ($inverse) {
+ $r['status'] = !$r['status'];
+ }
+
+ return $r;
+ }
+
+ /**
+ * Checks if $plugins meets the requirement by $dep.
+ *
+ * @param array $dep An Elgg manifest.xml deps array
+ * @param array $plugins A list of plugins as returned by elgg_get_plugins();
+ * @param bool $inverse Inverse the results to use as a conflicts.
+ * @return bool
+ */
+ private function checkDepPriority(array $dep, array $plugins, $inverse = false) {
+ // grab the ElggPlugin using this package.
+ $plugin_package = elgg_get_plugin_from_id($this->getID());
+ $plugin_priority = $plugin_package->getPriority();
+ $test_plugin = elgg_get_plugin_from_id($dep['plugin']);
+
+ // If this isn't a plugin or the plugin isn't installed or active
+ // priority doesn't matter. Use requires to check if a plugin is active.
+ if (!$plugin_package || !$test_plugin || !$test_plugin->isActive()) {
+ return array(
+ 'status' => true,
+ 'value' => 'uninstalled'
+ );
+ }
+
+ $test_plugin_priority = $test_plugin->getPriority();
+
+ switch ($dep['priority']) {
+ case 'before':
+ $status = $plugin_priority < $test_plugin_priority;
+ break;
+
+ case 'after':
+ $status = $plugin_priority > $test_plugin_priority;
+ break;
+
+ default;
+ $status = false;
+ }
+
+ // get the current value
+ if ($plugin_priority < $test_plugin_priority) {
+ $value = 'before';
+ } else {
+ $value = 'after';
+ }
+
+ if ($inverse) {
+ $status = !$status;
+ }
+
+ return array(
+ 'status' => $status,
+ 'value' => $value
+ );
+ }
+
+ /**
+ * Checks if $elgg_version meets the requirement by $dep.
+ *
+ * @param array $dep An Elgg manifest.xml deps array
+ * @param array $elgg_version An Elgg version (either YYYYMMDDXX or X.Y.Z)
+ * @param bool $inverse Inverse the result to use as a conflicts.
+ * @return bool
+ */
+ private function checkDepElgg(array $dep, $elgg_version, $inverse = false) {
+ $status = version_compare($elgg_version, $dep['version'], $dep['comparison']);
+
+ if ($inverse) {
+ $status = !$status;
+ }
+
+ return array(
+ 'status' => $status,
+ 'value' => $elgg_version
+ );
+ }
+
+ /**
+ * Checks if the PHP extension in $dep is loaded.
+ *
+ * @todo Can this be merged with the plugin checker?
+ *
+ * @param array $dep An Elgg manifest.xml deps array
+ * @param bool $inverse Inverse the result to use as a conflicts.
+ * @return array An array in the form array(
+ * 'status' => bool
+ * 'value' => string The version provided
+ * )
+ */
+ private function checkDepPhpExtension(array $dep, $inverse = false) {
+ $name = $dep['name'];
+ $version = $dep['version'];
+ $comparison = $dep['comparison'];
+
+ // not enabled.
+ $status = extension_loaded($name);
+
+ // enabled. check version.
+ $ext_version = phpversion($name);
+
+ if ($status) {
+ // some extensions (like gd) don't provide versions. neat.
+ // don't check version info and return a lie.
+ if ($ext_version && $version) {
+ $status = version_compare($ext_version, $version, $comparison);
+ }
+
+ if (!$ext_version) {
+ $ext_version = '???';
+ }
+ }
+
+ // some php extensions can be emulated, so check provides.
+ if ($status == false) {
+ $provides = elgg_check_plugins_provides('php_extension', $name, $version, $comparison);
+ $status = $provides['status'];
+ $ext_version = $provides['value'];
+ }
+
+ if ($inverse) {
+ $status = !$status;
+ }
+
+ return array(
+ 'status' => $status,
+ 'value' => $ext_version
+ );
+ }
+
+ /**
+ * Check if the PHP ini setting satisfies $dep.
+ *
+ * @param array $dep An Elgg manifest.xml deps array
+ * @param bool $inverse Inverse the result to use as a conflicts.
+ * @return bool
+ */
+ private function checkDepPhpIni($dep, $inverse = false) {
+ $name = $dep['name'];
+ $value = $dep['value'];
+ $comparison = $dep['comparison'];
+
+ // ini_get() normalizes truthy values to 1 but falsey values to 0 or ''.
+ // version_compare() considers '' < 0, so normalize '' to 0.
+ // ElggPluginManifest normalizes all bool values and '' to 1 or 0.
+ $setting = ini_get($name);
+
+ if ($setting === '') {
+ $setting = 0;
+ }
+
+ $status = version_compare($setting, $value, $comparison);
+
+ if ($inverse) {
+ $status = !$status;
+ }
+
+ return array(
+ 'status' => $status,
+ 'value' => $setting
+ );
+ }
+
+ /**
+ * Returns the Plugin ID
+ *
+ * @return string
+ */
+ public function getID() {
+ return $this->id;
+ }
+
+ /**
+ * Returns the last error message.
+ *
+ * @return string
+ */
+ public function getError() {
+ return $this->errorMsg;
+ }
+}
diff --git a/engine/classes/ElggPriorityList.php b/engine/classes/ElggPriorityList.php
new file mode 100644
index 000000000..416df885c
--- /dev/null
+++ b/engine/classes/ElggPriorityList.php
@@ -0,0 +1,366 @@
+<?php
+/**
+ * Iterate over elements in a specific priority.
+ *
+ * $pl = new ElggPriorityList();
+ * $pl->add('Element 0');
+ * $pl->add('Element 10', 10);
+ * $pl->add('Element -10', -10);
+ *
+ * foreach ($pl as $priority => $element) {
+ * var_dump("$priority => $element");
+ * }
+ *
+ * Yields:
+ * -10 => Element -10
+ * 0 => Element 0
+ * 10 => Element 10
+ *
+ * Collisions on priority are handled by inserting the element at or as close to the
+ * requested priority as possible:
+ *
+ * $pl = new ElggPriorityList();
+ * $pl->add('Element 5', 5);
+ * $pl->add('Colliding element 5', 5);
+ * $pl->add('Another colliding element 5', 5);
+ *
+ * foreach ($pl as $priority => $element) {
+ * var_dump("$priority => $element");
+ * }
+ *
+ * Yields:
+ * 5 => 'Element 5',
+ * 6 => 'Colliding element 5',
+ * 7 => 'Another colliding element 5'
+ *
+ * You can do priority lookups by element:
+ *
+ * $pl = new ElggPriorityList();
+ * $pl->add('Element 0');
+ * $pl->add('Element -5', -5);
+ * $pl->add('Element 10', 10);
+ * $pl->add('Element -10', -10);
+ *
+ * $priority = $pl->getPriority('Element -5');
+ *
+ * Or element lookups by priority.
+ * $element = $pl->getElement(-5);
+ *
+ * To remove elements, pass the element.
+ * $pl->remove('Element -10');
+ *
+ * To check if an element exists:
+ * $pl->contains('Element -5');
+ *
+ * To move an element:
+ * $pl->move('Element -5', -3);
+ *
+ * ElggPriorityList only tracks priority. No checking is done in ElggPriorityList for duplicates or
+ * updating. If you need to track this use objects and an external map:
+ *
+ * function elgg_register_something($id, $display_name, $location, $priority = 500) {
+ * // $id => $element.
+ * static $map = array();
+ * static $list;
+ *
+ * if (!$list) {
+ * $list = new ElggPriorityList();
+ * }
+ *
+ * // update if already registered.
+ * if (isset($map[$id])) {
+ * $element = $map[$id];
+ * // move it first because we have to pass the original element.
+ * if (!$list->move($element, $priority)) {
+ * return false;
+ * }
+ * $element->display_name = $display_name;
+ * $element->location = $location;
+ * } else {
+ * $element = new stdClass();
+ * $element->display_name = $display_name;
+ * $element->location = $location;
+ * if (!$list->add($element, $priority)) {
+ * return false;
+ * }
+ * $map[$id] = $element;
+ * }
+ *
+ * return true;
+ * }
+ *
+ * @package Elgg.Core
+ * @subpackage Helpers
+ */
+class ElggPriorityList
+ implements Iterator, Countable {
+
+ /**
+ * The list of elements
+ *
+ * @var array
+ */
+ private $elements = array();
+
+ /**
+ * Create a new priority list.
+ *
+ * @param array $elements An optional array of priorities => element
+ */
+ public function __construct(array $elements = array()) {
+ if ($elements) {
+ foreach ($elements as $priority => $element) {
+ $this->add($element, $priority);
+ }
+ }
+ }
+
+ /**
+ * Adds an element to the list.
+ *
+ * @warning This returns the priority at which the element was added, which can be 0. Use
+ * !== false to check for success.
+ *
+ * @param mixed $element The element to add to the list.
+ * @param mixed $priority Priority to add the element. In priority collisions, the original element
+ * maintains its priority and the new element is to the next available
+ * slot, taking into consideration all previously registered elements.
+ * Negative elements are accepted.
+ * @param bool $exact unused
+ * @return int The priority of the added element.
+ * @todo remove $exact or implement it. Note we use variable name strict below.
+ */
+ public function add($element, $priority = null, $exact = false) {
+ if ($priority !== null && !is_numeric($priority)) {
+ return false;
+ } else {
+ $priority = $this->getNextPriority($priority);
+ }
+
+ $this->elements[$priority] = $element;
+ $this->sorted = false;
+ return $priority;
+ }
+
+ /**
+ * Removes an element from the list.
+ *
+ * @warning The element must have the same attributes / values. If using $strict, it must have
+ * the same types. array(10) will fail in strict against array('10') (str vs int).
+ *
+ * @param mixed $element The element to remove from the list
+ * @param bool $strict Whether to check the type of the element match
+ * @return bool
+ */
+ public function remove($element, $strict = false) {
+ $index = array_search($element, $this->elements, $strict);
+ if ($index !== false) {
+ unset($this->elements[$index]);
+ return true;
+ } else {
+ return false;
+ }
+ }
+
+ /**
+ * Move an existing element to a new priority.
+ *
+ * @param mixed $element The element to move
+ * @param int $new_priority The new priority for the element
+ * @param bool $strict Whether to check the type of the element match
+ * @return bool
+ */
+ public function move($element, $new_priority, $strict = false) {
+ $new_priority = (int) $new_priority;
+
+ $current_priority = $this->getPriority($element, $strict);
+ if ($current_priority === false) {
+ return false;
+ }
+
+ if ($current_priority == $new_priority) {
+ return true;
+ }
+
+ // move the actual element so strict operations still work
+ $element = $this->getElement($current_priority);
+ unset($this->elements[$current_priority]);
+ return $this->add($element, $new_priority);
+ }
+
+ /**
+ * Returns the elements
+ *
+ * @return array
+ */
+ public function getElements() {
+ $this->sortIfUnsorted();
+ return $this->elements;
+ }
+
+ /**
+ * Sort the elements optionally by a callback function.
+ *
+ * If no user function is provided the elements are sorted by priority registered.
+ *
+ * The callback function should accept the array of elements as the first
+ * argument and should return a sorted array.
+ *
+ * This function can be called multiple times.
+ *
+ * @param callback $callback The callback for sorting. Numeric sorting is the default.
+ * @return bool
+ */
+ public function sort($callback = null) {
+ if (!$callback) {
+ ksort($this->elements, SORT_NUMERIC);
+ } else {
+ $sorted = call_user_func($callback, $this->elements);
+
+ if (!$sorted) {
+ return false;
+ }
+
+ $this->elements = $sorted;
+ }
+
+ $this->sorted = true;
+ return true;
+ }
+
+ /**
+ * Sort the elements if they haven't been sorted yet.
+ *
+ * @return bool
+ */
+ private function sortIfUnsorted() {
+ if (!$this->sorted) {
+ return $this->sort();
+ }
+ }
+
+ /**
+ * Returns the next priority available.
+ *
+ * @param int $near Make the priority as close to $near as possible.
+ * @return int
+ */
+ public function getNextPriority($near = 0) {
+ $near = (int) $near;
+
+ while (array_key_exists($near, $this->elements)) {
+ $near++;
+ }
+
+ return $near;
+ }
+
+ /**
+ * Returns the priority of an element if it exists in the list.
+ *
+ * @warning This can return 0 if the element's priority is 0.
+ *
+ * @param mixed $element The element to check for.
+ * @param bool $strict Use strict checking?
+ * @return mixed False if the element doesn't exists, the priority if it does.
+ */
+ public function getPriority($element, $strict = false) {
+ return array_search($element, $this->elements, $strict);
+ }
+
+ /**
+ * Returns the element at $priority.
+ *
+ * @param int $priority The priority
+ * @return mixed The element or false on fail.
+ */
+ public function getElement($priority) {
+ return (isset($this->elements[$priority])) ? $this->elements[$priority] : false;
+ }
+
+ /**
+ * Returns if the list contains $element.
+ *
+ * @param mixed $element The element to check.
+ * @param bool $strict Use strict checking?
+ * @return bool
+ */
+ public function contains($element, $strict = false) {
+ return $this->getPriority($element, $strict) !== false;
+ }
+
+
+ /**********************
+ * Interface methods *
+ **********************/
+
+ /**
+ * Iterator
+ */
+
+ /**
+ * PHP Iterator Interface
+ *
+ * @see Iterator::rewind()
+ * @return void
+ */
+ public function rewind() {
+ $this->sortIfUnsorted();
+ return reset($this->elements);
+ }
+
+ /**
+ * PHP Iterator Interface
+ *
+ * @see Iterator::current()
+ * @return mixed
+ */
+ public function current() {
+ $this->sortIfUnsorted();
+ return current($this->elements);
+ }
+
+ /**
+ * PHP Iterator Interface
+ *
+ * @see Iterator::key()
+ * @return int
+ */
+ public function key() {
+ $this->sortIfUnsorted();
+ return key($this->elements);
+ }
+
+ /**
+ * PHP Iterator Interface
+ *
+ * @see Iterator::next()
+ * @return mixed
+ */
+ public function next() {
+ $this->sortIfUnsorted();
+ return next($this->elements);
+ }
+
+ /**
+ * PHP Iterator Interface
+ *
+ * @see Iterator::valid()
+ * @return bool
+ */
+ public function valid() {
+ $this->sortIfUnsorted();
+ $key = key($this->elements);
+ return ($key !== NULL && $key !== FALSE);
+ }
+
+ /**
+ * Countable interface
+ *
+ * @see Countable::count()
+ * @return int
+ */
+ public function count() {
+ return count($this->elements);
+ }
+} \ No newline at end of file
diff --git a/engine/classes/ElggRelationship.php b/engine/classes/ElggRelationship.php
index 50a86fff1..d2e88882a 100644
--- a/engine/classes/ElggRelationship.php
+++ b/engine/classes/ElggRelationship.php
@@ -4,15 +4,21 @@
*
* @package Elgg.Core
* @subpackage Core
+ *
+ * @property int $id The unique identifier (read-only)
+ * @property int $guid_one The GUID of the subject of the relationship
+ * @property string $relationship The name of the relationship
+ * @property int $guid_two The GUID of the object of the relationship
+ * @property int $time_created A UNIX timestamp of when the relationship was created (read-only, set on first save)
*/
class ElggRelationship extends ElggData implements
Importable
{
/**
- * Construct a new site object, optionally from a given id value or row.
+ * Create a relationship object, optionally from a given id value or row.
*
- * @param mixed $id ElggRelationship id
+ * @param mixed $id ElggRelationship id, database row, or null for new relationship
*/
function __construct($id = null) {
$this->initializeAttributes();
@@ -41,7 +47,7 @@ class ElggRelationship extends ElggData implements
* @return mixed
*/
function get($name) {
- if (isset($this->attributes[$name])) {
+ if (array_key_exists($name, $this->attributes)) {
return $this->attributes[$name];
}
@@ -65,6 +71,7 @@ class ElggRelationship extends ElggData implements
* Save the relationship
*
* @return int the relationship id
+ * @throws IOException
*/
public function save() {
if ($this->id > 0) {
@@ -136,14 +143,13 @@ class ElggRelationship extends ElggData implements
/**
* Import a relationship
*
- * @param array $data ODD data
- *
- * @return ElggRelationship
- *
- * @throws ImportException
+ * @param ODD $data ODD data
+
+ * @return bool
+ * @throws ImportException|InvalidParameterException
*/
public function import(ODD $data) {
- if (!($element instanceof ODDRelationship)) {
+ if (!($data instanceof ODDRelationship)) {
throw new InvalidParameterException(elgg_echo('InvalidParameterException:UnexpectedODDClass'));
}
@@ -171,9 +177,11 @@ class ElggRelationship extends ElggData implements
throw new ImportException(elgg_echo('ImportException:ProblemSaving', array(get_class())));
}
- return $this;
+ return true;
}
}
+
+ return false;
}
// SYSTEM LOG INTERFACE ////////////////////////////////////////////////////////////
@@ -220,4 +228,4 @@ class ElggRelationship extends ElggData implements
return $this->relationship;
}
-} \ No newline at end of file
+}
diff --git a/engine/classes/ElggRiverItem.php b/engine/classes/ElggRiverItem.php
new file mode 100644
index 000000000..d3d09cd91
--- /dev/null
+++ b/engine/classes/ElggRiverItem.php
@@ -0,0 +1,115 @@
+<?php
+/**
+ * River item class.
+ *
+ * @package Elgg.Core
+ * @subpackage Core
+ *
+ * @property int $id The unique identifier (read-only)
+ * @property int $subject_guid The GUID of the actor
+ * @property int $object_guid The GUID of the object
+ * @property int $annotation_id The ID of the annotation involved in the action
+ * @property string $type The type of one of the entities involved in the action
+ * @property string $subtype The subtype of one of the entities involved in the action
+ * @property string $action_type The name of the action
+ * @property string $view The view for displaying this river item
+ * @property int $access_id The visibility of the river item
+ * @property int $posted UNIX timestamp when the action occurred
+ */
+class ElggRiverItem {
+ public $id;
+ public $subject_guid;
+ public $object_guid;
+ public $annotation_id;
+ public $type;
+ public $subtype;
+ public $action_type;
+ public $access_id;
+ public $view;
+ public $posted;
+
+ /**
+ * Construct a river item object given a database row.
+ *
+ * @param stdClass $object Object obtained from database
+ */
+ function __construct($object) {
+ if (!($object instanceof stdClass)) {
+ // throw exception
+ }
+
+ // the casting is to support typed serialization like json
+ $int_types = array('id', 'subject_guid', 'object_guid', 'annotation_id', 'access_id', 'posted');
+ foreach ($object as $key => $value) {
+ if (in_array($key, $int_types)) {
+ $this->$key = (int)$value;
+ } else {
+ $this->$key = $value;
+ }
+ }
+ }
+
+ /**
+ * Get the subject of this river item
+ *
+ * @return ElggEntity
+ */
+ public function getSubjectEntity() {
+ return get_entity($this->subject_guid);
+ }
+
+ /**
+ * Get the object of this river item
+ *
+ * @return ElggEntity
+ */
+ public function getObjectEntity() {
+ return get_entity($this->object_guid);
+ }
+
+ /**
+ * Get the Annotation for this river item
+ *
+ * @return ElggAnnotation
+ */
+ public function getAnnotation() {
+ return elgg_get_annotation_from_id($this->annotation_id);
+ }
+
+ /**
+ * Get the view used to display this river item
+ *
+ * @return string
+ */
+ public function getView() {
+ return $this->view;
+ }
+
+ /**
+ * Get the time this activity was posted
+ *
+ * @return int
+ */
+ public function getPostedTime() {
+ return (int)$this->posted;
+ }
+
+ /**
+ * Get the type of the object
+ *
+ * @return string 'river'
+ */
+ public function getType() {
+ return 'river';
+ }
+
+ /**
+ * Get the subtype of the object
+ *
+ * @return string 'item'
+ */
+ public function getSubtype() {
+ return 'item';
+ }
+
+}
diff --git a/engine/classes/ElggSession.php b/engine/classes/ElggSession.php
index 8a3bed84a..9750f063e 100644
--- a/engine/classes/ElggSession.php
+++ b/engine/classes/ElggSession.php
@@ -54,7 +54,7 @@ class ElggSession implements ArrayAccess {
*
* @param mixed $key Name
*
- * @return void
+ * @return mixed
*/
function offsetGet($key) {
if (!ElggSession::$__localcache) {
@@ -98,7 +98,7 @@ class ElggSession implements ArrayAccess {
*
* @param int $offset Offset
*
- * @return int
+ * @return bool
*/
function offsetExists($offset) {
if (isset(ElggSession::$__localcache[$offset])) {
@@ -112,6 +112,8 @@ class ElggSession implements ArrayAccess {
if ($this->offsetGet($offset)) {
return true;
}
+
+ return false;
}
@@ -132,10 +134,10 @@ class ElggSession implements ArrayAccess {
* @param string $key Name
* @param mixed $value Value
*
- * @return mixed
+ * @return void
*/
function set($key, $value) {
- return $this->offsetSet($key, $value);
+ $this->offsetSet($key, $value);
}
/**
@@ -143,9 +145,9 @@ class ElggSession implements ArrayAccess {
*
* @param string $key Name
*
- * @return bool
+ * @return void
*/
function del($key) {
- return $this->offsetUnset($key);
+ $this->offsetUnset($key);
}
-} \ No newline at end of file
+}
diff --git a/engine/classes/ElggSharedMemoryCache.php b/engine/classes/ElggSharedMemoryCache.php
index 6d6a3d625..f5f11d2c7 100644
--- a/engine/classes/ElggSharedMemoryCache.php
+++ b/engine/classes/ElggSharedMemoryCache.php
@@ -37,4 +37,4 @@ abstract class ElggSharedMemoryCache extends ElggCache {
public function getNamespace() {
return $this->namespace;
}
-} \ No newline at end of file
+}
diff --git a/engine/classes/ElggSite.php b/engine/classes/ElggSite.php
index da239f1be..dd996fe98 100644
--- a/engine/classes/ElggSite.php
+++ b/engine/classes/ElggSite.php
@@ -21,23 +21,12 @@
* @package Elgg.Core
* @subpackage DataMode.Site
* @link http://docs.elgg.org/DataModel/Sites
+ *
+ * @property string $name The name or title of the website
+ * @property string $description A motto, mission statement, or description of the website
+ * @property string $url The root web address for the site, including trailing slash
*/
class ElggSite extends ElggEntity {
- /**
- * Initialise the attributes array.
- * This is vital to distinguish between metadata and base parameters.
- *
- * Place your base parameters here.
- *
- * @deprecated 1.8 Use ElggSite::initializeAttributes()
- *
- * @return void
- */
- protected function initialise_attributes() {
- elgg_deprecated_notice('ElggSite::initialise_attributes() is deprecated by ::initializeAttributes()', 1.8);
-
- return $this->initializeAttributes();
- }
/**
* Initialise the attributes array.
@@ -68,8 +57,8 @@ class ElggSite extends ElggEntity {
* - A URL as stored in ElggSite->url
* - A DB result object with a guid property
*
- * @param mixed $guid If an int, load that GUID. If a db row then will attempt
- * to load the rest of the data.
+ * @param mixed $guid If an int, load that GUID. If a db row then will
+ * load the rest of the data.
*
* @throws IOException If passed an incorrect guid
* @throws InvalidParameterException If passed an Elgg* Entity that isn't an ElggSite
@@ -77,36 +66,35 @@ class ElggSite extends ElggEntity {
function __construct($guid = null) {
$this->initializeAttributes();
+ // compatibility for 1.7 api.
+ $this->initialise_attributes(false);
+
if (!empty($guid)) {
- // Is $guid is a DB row - either a entity row, or a site table row.
+ // Is $guid is a DB entity table row
if ($guid instanceof stdClass) {
// Load the rest
- if (!$this->load($guid->guid)) {
+ if (!$this->load($guid)) {
$msg = elgg_echo('IOException:FailedToLoadGUID', array(get_class(), $guid->guid));
throw new IOException($msg);
}
-
- // Is $guid is an ElggSite? Use a copy constructor
} else if ($guid instanceof ElggSite) {
+ // $guid is an ElggSite so this is a copy constructor
elgg_deprecated_notice('This type of usage of the ElggSite constructor was deprecated. Please use the clone method.', 1.7);
foreach ($guid->attributes as $key => $value) {
$this->attributes[$key] = $value;
}
-
- // Is this is an ElggEntity but not an ElggSite = ERROR!
} else if ($guid instanceof ElggEntity) {
+ // @todo remove and just use else clause
throw new InvalidParameterException(elgg_echo('InvalidParameterException:NonElggSite'));
-
- // See if this is a URL
} else if (strpos($guid, "http") !== false) {
+ // url so retrieve by url
$guid = get_site_by_url($guid);
foreach ($guid->attributes as $key => $value) {
$this->attributes[$key] = $value;
}
-
- // We assume if we have got this far, $guid is an int
} else if (is_numeric($guid)) {
+ // $guid is a GUID so load
if (!$this->load($guid)) {
throw new IOException(elgg_echo('IOException:FailedToLoadGUID', array(get_class(), $guid)));
}
@@ -119,35 +107,24 @@ class ElggSite extends ElggEntity {
/**
* Loads the full ElggSite when given a guid.
*
- * @param int $guid Guid of ElggSite entity
+ * @param mixed $guid GUID of ElggSite entity or database row object
*
* @return bool
* @throws InvalidClassException
*/
protected function load($guid) {
- // Test to see if we have the generic stuff
- if (!parent::load($guid)) {
- return false;
- }
+ $attr_loader = new ElggAttributeLoader(get_class(), 'site', $this->attributes);
+ $attr_loader->requires_access_control = !($this instanceof ElggPlugin);
+ $attr_loader->secondary_loader = 'get_site_entity_as_row';
- // Check the type
- if ($this->attributes['type'] != 'site') {
- $msg = elgg_echo('InvalidClassException:NotValidElggStar', array($guid, get_class()));
- throw new InvalidClassException($msg);
- }
-
- // Load missing data
- $row = get_site_entity_as_row($guid);
- if (($row) && (!$this->isFullyLoaded())) {
- // If $row isn't a cached copy then increment the counter
- $this->attributes['tables_loaded'] ++;
+ $attrs = $attr_loader->getRequiredAttributes($guid);
+ if (!$attrs) {
+ return false;
}
- // Now put these into the attributes array as core values
- $objarray = (array) $row;
- foreach ($objarray as $key => $value) {
- $this->attributes[$key] = $value;
- }
+ $this->attributes = $attrs;
+ $this->attributes['tables_loaded'] = 2;
+ _elgg_cache_entity($this);
return true;
}
@@ -160,11 +137,20 @@ class ElggSite extends ElggEntity {
* @return bool
*/
public function save() {
+ global $CONFIG;
+
// Save generic stuff
if (!parent::save()) {
return false;
}
+ // make sure the site guid is set (if not, set to self)
+ if (!$this->get('site_guid')) {
+ $guid = $this->get('guid');
+ update_data("UPDATE {$CONFIG->dbprefix}entities SET site_guid=$guid
+ WHERE guid=$guid");
+ }
+
// Now save specific stuff
return create_site_entity($this->get('guid'), $this->get('name'),
$this->get('description'), $this->get('url'));
@@ -192,31 +178,79 @@ class ElggSite extends ElggEntity {
*
* @note You cannot disable the current site.
*
- * @param string $reason Optional reason for disabling
+ * @param string $reason Optional reason for disabling
+ * @param bool $recursive Recursively disable all contained entities?
*
* @return bool
* @throws SecurityException
*/
- public function disable($reason = "") {
+ public function disable($reason = "", $recursive = true) {
global $CONFIG;
if ($CONFIG->site->getGUID() == $this->guid) {
throw new SecurityException('SecurityException:deletedisablecurrentsite');
}
- return parent::disable($reason);
+ return parent::disable($reason, $recursive);
}
/**
- * Returns an array of ElggUser entities who are members of the site.
+ * Gets an array of ElggUser entities who are members of the site.
+ *
+ * @param array $options An associative array for key => value parameters
+ * accepted by elgg_get_entities(). Common parameters
+ * include 'limit', and 'offset'.
+ * Note: this was $limit before version 1.8
+ * @param int $offset Offset @deprecated parameter
*
- * @param int $limit Limit
- * @param int $offset Offset
+ * @todo remove $offset in 2.0
*
* @return array of ElggUsers
*/
- public function getMembers($limit = 10, $offset = 0) {
- get_site_members($this->getGUID(), $limit, $offset);
+ public function getMembers($options = array(), $offset = 0) {
+ if (!is_array($options)) {
+ elgg_deprecated_notice("ElggSite::getMembers uses different arguments!", 1.8);
+ $options = array(
+ 'limit' => $options,
+ 'offset' => $offset,
+ );
+ }
+
+ $defaults = array(
+ 'site_guids' => ELGG_ENTITIES_ANY_VALUE,
+ 'relationship' => 'member_of_site',
+ 'relationship_guid' => $this->getGUID(),
+ 'inverse_relationship' => TRUE,
+ 'type' => 'user',
+ );
+
+ $options = array_merge($defaults, $options);
+
+ return elgg_get_entities_from_relationship($options);
+ }
+
+ /**
+ * List the members of this site
+ *
+ * @param array $options An associative array for key => value parameters
+ * accepted by elgg_list_entities(). Common parameters
+ * include 'full_view', 'limit', and 'offset'.
+ *
+ * @return string
+ * @since 1.8.0
+ */
+ public function listMembers($options = array()) {
+ $defaults = array(
+ 'site_guids' => ELGG_ENTITIES_ANY_VALUE,
+ 'relationship' => 'member_of_site',
+ 'relationship_guid' => $this->getGUID(),
+ 'inverse_relationship' => TRUE,
+ 'type' => 'user',
+ );
+
+ $options = array_merge($defaults, $options);
+
+ return elgg_list_entities_from_relationship($options);
}
/**
@@ -244,6 +278,9 @@ class ElggSite extends ElggEntity {
/**
* Returns an array of ElggObject entities that belong to the site.
*
+ * @warning This only returns objects that have been explicitly added to the
+ * site through addObject()
+ *
* @param string $subtype Entity subtype
* @param int $limit Limit
* @param int $offset Offset
@@ -251,7 +288,7 @@ class ElggSite extends ElggEntity {
* @return array
*/
public function getObjects($subtype = "", $limit = 10, $offset = 0) {
- get_site_objects($this->getGUID(), $subtype, $limit, $offset);
+ return get_site_objects($this->getGUID(), $subtype, $limit, $offset);
}
/**
@@ -284,9 +321,10 @@ class ElggSite extends ElggEntity {
* @param int $offset Offset
*
* @return unknown
- * @todo Unimplemented
+ * @deprecated 1.8 Was never implemented
*/
public function getCollections($subtype = "", $limit = 10, $offset = 0) {
+ elgg_deprecated_notice("ElggSite::getCollections() is deprecated", 1.8);
get_site_collections($this->getGUID(), $subtype, $limit, $offset);
}
@@ -315,17 +353,36 @@ class ElggSite extends ElggEntity {
* @link http://docs.elgg.org/Tutorials/WalledGarden
*
* @return void
+ * @since 1.8.0
*/
public function checkWalledGarden() {
global $CONFIG;
- if ($CONFIG->walled_garden && !isloggedin()) {
- // hook into the index system call at the highest priority
- elgg_register_plugin_hook_handler('index', 'system', 'elgg_walled_garden_index', 1);
+ // command line calls should not invoke the walled garden check
+ if (PHP_SAPI === 'cli') {
+ return;
+ }
- if (!$this->isPublicPage()) {
- register_error(elgg_echo('loggedinrequired'));
- forward();
+ if ($CONFIG->walled_garden) {
+ if ($CONFIG->default_access == ACCESS_PUBLIC) {
+ $CONFIG->default_access = ACCESS_LOGGED_IN;
+ }
+ elgg_register_plugin_hook_handler(
+ 'access:collections:write',
+ 'user',
+ '_elgg_walled_garden_remove_public_access');
+
+ if (!elgg_is_logged_in()) {
+ // hook into the index system call at the highest priority
+ elgg_register_plugin_hook_handler('index', 'system', 'elgg_walled_garden_index', 1);
+
+ if (!$this->isPublicPage()) {
+ if (!elgg_is_xhr()) {
+ $_SESSION['last_forward_from'] = current_page_url();
+ }
+ register_error(elgg_echo('loggedinrequired'));
+ forward();
+ }
}
}
}
@@ -338,6 +395,7 @@ class ElggSite extends ElggEntity {
* @param string $url Defaults to the current URL.
*
* @return bool
+ * @since 1.8.0
*/
public function isPublicPage($url = '') {
global $CONFIG;
@@ -358,24 +416,31 @@ class ElggSite extends ElggEntity {
// default public pages
$defaults = array(
+ 'walled_garden/.*',
+ 'login',
'action/login',
- 'pg/register',
+ 'register',
'action/register',
- 'pages/account/forgotten_password\.php',
+ 'forgotpassword',
+ 'resetpassword',
'action/user/requestnewpassword',
- 'pg/resetpassword',
+ 'action/user/passwordreset',
+ 'action/security/refreshtoken',
+ 'ajax/view/js/languages',
'upgrade\.php',
'xml-rpc\.php',
'mt/mt-xmlrpc\.cgi',
- '_css/css\.css',
- '_css/js\.php',
+ 'css/.*',
+ 'js/.*',
+ 'cache/css/.*',
+ 'cache/js/.*',
+ 'cron/.*',
+ 'services/.*',
);
// include a hook for plugin authors to include public pages
$plugins = elgg_trigger_plugin_hook('public_pages', 'walled_garden', NULL, array());
- // lookup admin-specific public pages
-
// allow public pages
foreach (array_merge($defaults, $plugins) as $public) {
$pattern = "`^{$CONFIG->url}$public/*$`i";
diff --git a/engine/classes/ElggStaticVariableCache.php b/engine/classes/ElggStaticVariableCache.php
index a846ab60f..9c14fdfba 100644
--- a/engine/classes/ElggStaticVariableCache.php
+++ b/engine/classes/ElggStaticVariableCache.php
@@ -11,7 +11,7 @@ class ElggStaticVariableCache extends ElggSharedMemoryCache {
/**
* The cache.
*
- * @var unknown_type
+ * @var array
*/
private static $__cache;
@@ -21,8 +21,8 @@ class ElggStaticVariableCache extends ElggSharedMemoryCache {
* This function creates a variable cache in a static variable in
* memory, optionally with a given namespace (to avoid overlap).
*
- * @param string $namespace The namespace for this cache to write to
- * note, namespaces of the same name are shared!
+ * @param string $namespace The namespace for this cache to write to.
+ * @warning namespaces of the same name are shared!
*/
function __construct($namespace = 'default') {
$this->setNamespace($namespace);
@@ -80,7 +80,7 @@ class ElggStaticVariableCache extends ElggSharedMemoryCache {
}
/**
- * This was probably meant to delete everything?
+ * Clears the cache for a particular namespace
*
* @return void
*/
@@ -93,4 +93,4 @@ class ElggStaticVariableCache extends ElggSharedMemoryCache {
ElggStaticVariableCache::$__cache[$namespace] = array();
}
-} \ No newline at end of file
+}
diff --git a/engine/classes/ElggTranslit.php b/engine/classes/ElggTranslit.php
new file mode 100644
index 000000000..b4bf87797
--- /dev/null
+++ b/engine/classes/ElggTranslit.php
@@ -0,0 +1,269 @@
+<?php
+/**
+ * Elgg Transliterate
+ *
+ * For creating "friendly titles" for URLs
+ *
+ * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
+ * "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
+ * LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
+ * A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
+ * OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
+ * SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT
+ * LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,
+ * DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY
+ * THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
+ * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
+ * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
+ *
+ * This software consists of voluntary contributions made by many individuals
+ * and is licensed under the LGPL. For more information, see
+ * <http://www.doctrine-project.org>.
+ *
+ * @package Elgg.Core
+ * @author Konsta Vesterinen <kvesteri@cc.hut.fi>
+ * @author Jonathan H. Wage <jonwage@gmail.com>
+ * @author Steve Clay <steve@mrclay.org>
+ *
+ * @access private Plugin authors should not use this directly
+ */
+class ElggTranslit {
+
+ /**
+ * Create a version of a string for embedding in a URL
+ *
+ * @param string $string A UTF-8 string
+ * @param string $separator The character to separate words with
+ * @return string
+ */
+ static public function urlize($string, $separator = '-') {
+ // I帽t毛rn芒ti么n脿liz忙ti酶n, AND 鏃ユ湰瑾!
+
+ // try to force combined chars because the translit map and others expect it
+ if (self::hasNormalizerSupport()) {
+ $nfc = normalizer_normalize($string);
+ if (is_string($nfc)) {
+ $string = $nfc;
+ }
+ }
+ // Internationalization, AND 鏃ユ湰瑾!
+ $string = self::transliterateAscii($string);
+
+ // allow HTML tags in titles
+ $string = preg_replace('~<([a-zA-Z][^>]*)>~', ' $1 ', $string);
+
+ // more substitutions
+ // @todo put these somewhere else
+ $string = strtr($string, array(
+ // currency
+ "\xE2\x82\xAC" /* 鈧 */ => ' E ',
+ "\xC2\xA3" /* 拢 */ => ' GBP ',
+ ));
+
+ // remove all ASCII except 0-9a-zA-Z, hyphen, underscore, and whitespace
+ // note: "x" modifier did not work with this pattern.
+ $string = preg_replace('~['
+ . '\x00-\x08' // control chars
+ . '\x0b\x0c' // vert tab, form feed
+ . '\x0e-\x1f' // control chars
+ . '\x21-\x2c' // ! ... ,
+ . '\x2e\x2f' // . slash
+ . '\x3a-\x40' // : ... @
+ . '\x5b-\x5e' // [ ... ^
+ . '\x60' // `
+ . '\x7b-\x7f' // { ... DEL
+ . ']~', '', $string);
+ $string = strtr($string, '', '');
+
+ // internationalization, and 鏃ユ湰瑾!
+ // note: not using elgg_strtolower to keep this class portable
+ $string = is_callable('mb_strtolower')
+ ? mb_strtolower($string, 'UTF-8')
+ : strtolower($string);
+
+ // split by ASCII chars not in 0-9a-zA-Z
+ // note: we cannot use [^0-9a-zA-Z] because that matches multibyte chars.
+ // note: "x" modifier did not work with this pattern.
+ $pattern = '~['
+ . '\x00-\x2f' // controls ... slash
+ . '\x3a-\x40' // : ... @
+ . '\x5b-\x60' // [ ... `
+ . '\x7b-\x7f' // { ... DEL
+ . ']+~x';
+
+ // ['internationalization', 'and', '鏃ユ湰瑾']
+ $words = preg_split($pattern, $string, -1, PREG_SPLIT_NO_EMPTY);
+
+ // ['internationalization', 'and', '%E6%97%A5%E6%9C%AC%E8%AA%9E']
+ $words = array_map('urlencode', $words);
+
+ // internationalization-and-%E6%97%A5%E6%9C%AC%E8%AA%9E
+ return implode($separator, $words);
+ }
+
+ /**
+ * Transliterate Western multibyte chars to ASCII
+ *
+ * @param string $utf8 a UTF-8 string
+ * @return string
+ */
+ static public function transliterateAscii($utf8) {
+ static $map = null;
+ if (!preg_match('/[\x80-\xff]/', $utf8)) {
+ return $utf8;
+ }
+ if (null === $map) {
+ $map = self::getAsciiTranslitMap();
+ }
+ return strtr($utf8, $map);
+ }
+
+ /**
+ * Get array of UTF-8 (NFC) character replacements.
+ *
+ * @return array
+ */
+ static public function getAsciiTranslitMap() {
+ return array(
+ // Decompositions for Latin-1 Supplement
+ "\xC2\xAA" /* 陋 */ => 'a', "\xC2\xBA" /* 潞 */ => 'o', "\xC3\x80" /* 脌 */ => 'A',
+ "\xC3\x81" /* 脕 */ => 'A', "\xC3\x82" /* 脗 */ => 'A', "\xC3\x83" /* 脙 */ => 'A',
+ "\xC3\x84" /* 脛 */ => 'A', "\xC3\x85" /* 脜 */ => 'A', "\xC3\x86" /* 脝 */ => 'AE',
+ "\xC3\x87" /* 脟 */ => 'C', "\xC3\x88" /* 脠 */ => 'E', "\xC3\x89" /* 脡 */ => 'E',
+ "\xC3\x8A" /* 脢 */ => 'E', "\xC3\x8B" /* 脣 */ => 'E', "\xC3\x8C" /* 脤 */ => 'I',
+ "\xC3\x8D" /* 脥 */ => 'I', "\xC3\x8E" /* 脦 */ => 'I', "\xC3\x8F" /* 脧 */ => 'I',
+ "\xC3\x90" /* 脨 */ => 'D', "\xC3\x91" /* 脩 */ => 'N', "\xC3\x92" /* 脪 */ => 'O',
+ "\xC3\x93" /* 脫 */ => 'O', "\xC3\x94" /* 脭 */ => 'O', "\xC3\x95" /* 脮 */ => 'O',
+ "\xC3\x96" /* 脰 */ => 'O', "\xC3\x99" /* 脵 */ => 'U', "\xC3\x9A" /* 脷 */ => 'U',
+ "\xC3\x9B" /* 脹 */ => 'U', "\xC3\x9C" /* 脺 */ => 'U', "\xC3\x9D" /* 脻 */ => 'Y',
+ "\xC3\x9E" /* 脼 */ => 'TH', "\xC3\x9F" /* 脽 */ => 'ss', "\xC3\xA0" /* 脿 */ => 'a',
+ "\xC3\xA1" /* 谩 */ => 'a', "\xC3\xA2" /* 芒 */ => 'a', "\xC3\xA3" /* 茫 */ => 'a',
+ "\xC3\xA4" /* 盲 */ => 'a', "\xC3\xA5" /* 氓 */ => 'a', "\xC3\xA6" /* 忙 */ => 'ae',
+ "\xC3\xA7" /* 莽 */ => 'c', "\xC3\xA8" /* 猫 */ => 'e', "\xC3\xA9" /* 茅 */ => 'e',
+ "\xC3\xAA" /* 锚 */ => 'e', "\xC3\xAB" /* 毛 */ => 'e', "\xC3\xAC" /* 矛 */ => 'i',
+ "\xC3\xAD" /* 铆 */ => 'i', "\xC3\xAE" /* 卯 */ => 'i', "\xC3\xAF" /* 茂 */ => 'i',
+ "\xC3\xB0" /* 冒 */ => 'd', "\xC3\xB1" /* 帽 */ => 'n', "\xC3\xB2" /* 貌 */ => 'o',
+ "\xC3\xB3" /* 贸 */ => 'o', "\xC3\xB4" /* 么 */ => 'o', "\xC3\xB5" /* 玫 */ => 'o',
+ "\xC3\xB6" /* 枚 */ => 'o', "\xC3\xB8" /* 酶 */ => 'o', "\xC3\xB9" /* 霉 */ => 'u',
+ "\xC3\xBA" /* 煤 */ => 'u', "\xC3\xBB" /* 没 */ => 'u', "\xC3\xBC" /* 眉 */ => 'u',
+ "\xC3\xBD" /* 媒 */ => 'y', "\xC3\xBE" /* 镁 */ => 'th', "\xC3\xBF" /* 每 */ => 'y',
+ "\xC3\x98" /* 脴 */ => 'O',
+ // Decompositions for Latin Extended-A
+ "\xC4\x80" /* 膧 */ => 'A', "\xC4\x81" /* 膩 */ => 'a', "\xC4\x82" /* 膫 */ => 'A',
+ "\xC4\x83" /* 膬 */ => 'a', "\xC4\x84" /* 膭 */ => 'A', "\xC4\x85" /* 膮 */ => 'a',
+ "\xC4\x86" /* 膯 */ => 'C', "\xC4\x87" /* 膰 */ => 'c', "\xC4\x88" /* 膱 */ => 'C',
+ "\xC4\x89" /* 膲 */ => 'c', "\xC4\x8A" /* 膴 */ => 'C', "\xC4\x8B" /* 膵 */ => 'c',
+ "\xC4\x8C" /* 膶 */ => 'C', "\xC4\x8D" /* 膷 */ => 'c', "\xC4\x8E" /* 膸 */ => 'D',
+ "\xC4\x8F" /* 膹 */ => 'd', "\xC4\x90" /* 膼 */ => 'D', "\xC4\x91" /* 膽 */ => 'd',
+ "\xC4\x92" /* 膾 */ => 'E', "\xC4\x93" /* 膿 */ => 'e', "\xC4\x94" /* 臄 */ => 'E',
+ "\xC4\x95" /* 臅 */ => 'e', "\xC4\x96" /* 臇 */ => 'E', "\xC4\x97" /* 臈 */ => 'e',
+ "\xC4\x98" /* 臉 */ => 'E', "\xC4\x99" /* 臋 */ => 'e', "\xC4\x9A" /* 臍 */ => 'E',
+ "\xC4\x9B" /* 臎 */ => 'e', "\xC4\x9C" /* 臏 */ => 'G', "\xC4\x9D" /* 臐 */ => 'g',
+ "\xC4\x9E" /* 臑 */ => 'G', "\xC4\x9F" /* 臒 */ => 'g', "\xC4\xA0" /* 臓 */ => 'G',
+ "\xC4\xA1" /* 摹 */ => 'g', "\xC4\xA2" /* 蘑 */ => 'G', "\xC4\xA3" /* 模 */ => 'g',
+ "\xC4\xA4" /* 膜 */ => 'H', "\xC4\xA5" /* 磨 */ => 'h', "\xC4\xA6" /* 摩 */ => 'H',
+ "\xC4\xA7" /* 魔 */ => 'h', "\xC4\xA8" /* 抹 */ => 'I', "\xC4\xA9" /* 末 */ => 'i',
+ "\xC4\xAA" /* 莫 */ => 'I', "\xC4\xAB" /* 墨 */ => 'i', "\xC4\xAC" /* 默 */ => 'I',
+ "\xC4\xAD" /* 沫 */ => 'i', "\xC4\xAE" /* 漠 */ => 'I', "\xC4\xAF" /* 寞 */ => 'i',
+ "\xC4\xB0" /* 陌 */ => 'I', "\xC4\xB1" /* 谋 */ => 'i', "\xC4\xB2" /* 牟 */ => 'IJ',
+ "\xC4\xB3" /* 某 */ => 'ij', "\xC4\xB4" /* 拇 */ => 'J', "\xC4\xB5" /* 牡 */ => 'j',
+ "\xC4\xB6" /* 亩 */ => 'K', "\xC4\xB7" /* 姆 */ => 'k', "\xC4\xB8" /* 母 */ => 'k',
+ "\xC4\xB9" /* 墓 */ => 'L', "\xC4\xBA" /* 暮 */ => 'l', "\xC4\xBB" /* 幕 */ => 'L',
+ "\xC4\xBC" /* 募 */ => 'l', "\xC4\xBD" /* 慕 */ => 'L', "\xC4\xBE" /* 木 */ => 'l',
+ "\xC4\xBF" /* 目 */ => 'L', "\xC5\x80" /* 艀 */ => 'l', "\xC5\x81" /* 艁 */ => 'L',
+ "\xC5\x82" /* 艂 */ => 'l', "\xC5\x83" /* 艃 */ => 'N', "\xC5\x84" /* 艅 */ => 'n',
+ "\xC5\x85" /* 艆 */ => 'N', "\xC5\x86" /* 艈 */ => 'n', "\xC5\x87" /* 艊 */ => 'N',
+ "\xC5\x88" /* 艌 */ => 'n', "\xC5\x89" /* 艍 */ => 'N', "\xC5\x8A" /* 艎 */ => 'n',
+ "\xC5\x8B" /* 艐 */ => 'N', "\xC5\x8C" /* 艑 */ => 'O', "\xC5\x8D" /* 艒 */ => 'o',
+ "\xC5\x8E" /* 艓 */ => 'O', "\xC5\x8F" /* 艔 */ => 'o', "\xC5\x90" /* 艕 */ => 'O',
+ "\xC5\x91" /* 艖 */ => 'o', "\xC5\x92" /* 艗 */ => 'OE', "\xC5\x93" /* 艙 */ => 'oe',
+ "\xC5\x94" /* 艛 */ => 'R', "\xC5\x95" /* 艜 */ => 'r', "\xC5\x96" /* 艝 */ => 'R',
+ "\xC5\x97" /* 艞 */ => 'r', "\xC5\x98" /* 艠 */ => 'R', "\xC5\x99" /* 艡 */ => 'r',
+ "\xC5\x9A" /* 艢 */ => 'S', "\xC5\x9B" /* 艣 */ => 's', "\xC5\x9C" /* 艤 */ => 'S',
+ "\xC5\x9D" /* 艥 */ => 's', "\xC5\x9E" /* 艦 */ => 'S', "\xC5\x9F" /* 艧 */ => 's',
+ "\xC5\xA0" /* 艩 */ => 'S', "\xC5\xA1" /* 拧 */ => 's', "\xC5\xA2" /* 泞 */ => 'T',
+ "\xC5\xA3" /* 牛 */ => 't', "\xC5\xA4" /* 扭 */ => 'T', "\xC5\xA5" /* 钮 */ => 't',
+ "\xC5\xA6" /* 纽 */ => 'T', "\xC5\xA7" /* 脓 */ => 't', "\xC5\xA8" /* 浓 */ => 'U',
+ "\xC5\xA9" /* 农 */ => 'u', "\xC5\xAA" /* 弄 */ => 'U', "\xC5\xAB" /* 奴 */ => 'u',
+ "\xC5\xAC" /* 努 */ => 'U', "\xC5\xAD" /* 怒 */ => 'u', "\xC5\xAE" /* 女 */ => 'U',
+ "\xC5\xAF" /* 暖 */ => 'u', "\xC5\xB0" /* 虐 */ => 'U', "\xC5\xB1" /* 疟 */ => 'u',
+ "\xC5\xB2" /* 挪 */ => 'U', "\xC5\xB3" /* 懦 */ => 'u', "\xC5\xB4" /* 糯 */ => 'W',
+ "\xC5\xB5" /* 诺 */ => 'w', "\xC5\xB6" /* 哦 */ => 'Y', "\xC5\xB7" /* 欧 */ => 'y',
+ "\xC5\xB8" /* 鸥 */ => 'Y', "\xC5\xB9" /* 殴 */ => 'Z', "\xC5\xBA" /* 藕 */ => 'z',
+ "\xC5\xBB" /* 呕 */ => 'Z', "\xC5\xBC" /* 偶 */ => 'z', "\xC5\xBD" /* 沤 */ => 'Z',
+ "\xC5\xBE" /* 啪 */ => 'z', "\xC5\xBF" /* 趴 */ => 's',
+ // Decompositions for Latin Extended-B
+ "\xC8\x98" /* 葮 */ => 'S', "\xC8\x99" /* 葯 */ => 's',
+ "\xC8\x9A" /* 葰 */ => 'T', "\xC8\x9B" /* 葲 */ => 't',
+ // unmarked
+ "\xC6\xA0" /* 茽 */ => 'O', "\xC6\xA1" /* 啤 */ => 'o',
+ "\xC6\xAF" /* 漂 */ => 'U', "\xC6\xB0" /* 瓢 */ => 'u',
+ // grave accent
+ "\xE1\xBA\xA6" /* 岷 */ => 'A', "\xE1\xBA\xA7" /* 岷 */ => 'a',
+ "\xE1\xBA\xB0" /* 岷 */ => 'A', "\xE1\xBA\xB1" /* 岷 */ => 'a',
+ "\xE1\xBB\x80" /* 峄 */ => 'E', "\xE1\xBB\x81" /* 峄 */ => 'e',
+ "\xE1\xBB\x92" /* 峄 */ => 'O', "\xE1\xBB\x93" /* 峄 */ => 'o',
+ "\xE1\xBB\x9C" /* 峄 */ => 'O', "\xE1\xBB\x9D" /* 峄 */ => 'o',
+ "\xE1\xBB\xAA" /* 峄 */ => 'U', "\xE1\xBB\xAB" /* 峄 */ => 'u',
+ "\xE1\xBB\xB2" /* 峄 */ => 'Y', "\xE1\xBB\xB3" /* 峄 */ => 'y',
+ // hook
+ "\xE1\xBA\xA2" /* 岷 */ => 'A', "\xE1\xBA\xA3" /* 岷 */ => 'a',
+ "\xE1\xBA\xA8" /* 岷 */ => 'A', "\xE1\xBA\xA9" /* 岷 */ => 'a',
+ "\xE1\xBA\xB2" /* 岷 */ => 'A', "\xE1\xBA\xB3" /* 岷 */ => 'a',
+ "\xE1\xBA\xBA" /* 岷 */ => 'E', "\xE1\xBA\xBB" /* 岷 */ => 'e',
+ "\xE1\xBB\x82" /* 峄 */ => 'E', "\xE1\xBB\x83" /* 峄 */ => 'e',
+ "\xE1\xBB\x88" /* 峄 */ => 'I', "\xE1\xBB\x89" /* 峄 */ => 'i',
+ "\xE1\xBB\x8E" /* 峄 */ => 'O', "\xE1\xBB\x8F" /* 峄 */ => 'o',
+ "\xE1\xBB\x94" /* 峄 */ => 'O', "\xE1\xBB\x95" /* 峄 */ => 'o',
+ "\xE1\xBB\x9E" /* 峄 */ => 'O', "\xE1\xBB\x9F" /* 峄 */ => 'o',
+ "\xE1\xBB\xA6" /* 峄 */ => 'U', "\xE1\xBB\xA7" /* 峄 */ => 'u',
+ "\xE1\xBB\xAC" /* 峄 */ => 'U', "\xE1\xBB\xAD" /* 峄 */ => 'u',
+ "\xE1\xBB\xB6" /* 峄 */ => 'Y', "\xE1\xBB\xB7" /* 峄 */ => 'y',
+ // tilde
+ "\xE1\xBA\xAA" /* 岷 */ => 'A', "\xE1\xBA\xAB" /* 岷 */ => 'a',
+ "\xE1\xBA\xB4" /* 岷 */ => 'A', "\xE1\xBA\xB5" /* 岷 */ => 'a',
+ "\xE1\xBA\xBC" /* 岷 */ => 'E', "\xE1\xBA\xBD" /* 岷 */ => 'e',
+ "\xE1\xBB\x84" /* 峄 */ => 'E', "\xE1\xBB\x85" /* 峄 */ => 'e',
+ "\xE1\xBB\x96" /* 峄 */ => 'O', "\xE1\xBB\x97" /* 峄 */ => 'o',
+ "\xE1\xBB\xA0" /* 峄 */ => 'O', "\xE1\xBB\xA1" /* 峄 */ => 'o',
+ "\xE1\xBB\xAE" /* 峄 */ => 'U', "\xE1\xBB\xAF" /* 峄 */ => 'u',
+ "\xE1\xBB\xB8" /* 峄 */ => 'Y', "\xE1\xBB\xB9" /* 峄 */ => 'y',
+ // acute accent
+ "\xE1\xBA\xA4" /* 岷 */ => 'A', "\xE1\xBA\xA5" /* 岷 */ => 'a',
+ "\xE1\xBA\xAE" /* 岷 */ => 'A', "\xE1\xBA\xAF" /* 岷 */ => 'a',
+ "\xE1\xBA\xBE" /* 岷 */ => 'E', "\xE1\xBA\xBF" /* 岷 */ => 'e',
+ "\xE1\xBB\x90" /* 峄 */ => 'O', "\xE1\xBB\x91" /* 峄 */ => 'o',
+ "\xE1\xBB\x9A" /* 峄 */ => 'O', "\xE1\xBB\x9B" /* 峄 */ => 'o',
+ "\xE1\xBB\xA8" /* 峄 */ => 'U', "\xE1\xBB\xA9" /* 峄 */ => 'u',
+ // dot below
+ "\xE1\xBA\xA0" /* 岷 */ => 'A', "\xE1\xBA\xA1" /* 岷 */ => 'a',
+ "\xE1\xBA\xAC" /* 岷 */ => 'A', "\xE1\xBA\xAD" /* 岷 */ => 'a',
+ "\xE1\xBA\xB6" /* 岷 */ => 'A', "\xE1\xBA\xB7" /* 岷 */ => 'a',
+ "\xE1\xBA\xB8" /* 岷 */ => 'E', "\xE1\xBA\xB9" /* 岷 */ => 'e',
+ "\xE1\xBB\x86" /* 峄 */ => 'E', "\xE1\xBB\x87" /* 峄 */ => 'e',
+ "\xE1\xBB\x8A" /* 峄 */ => 'I', "\xE1\xBB\x8B" /* 峄 */ => 'i',
+ "\xE1\xBB\x8C" /* 峄 */ => 'O', "\xE1\xBB\x8D" /* 峄 */ => 'o',
+ "\xE1\xBB\x98" /* 峄 */ => 'O', "\xE1\xBB\x99" /* 峄 */ => 'o',
+ "\xE1\xBB\xA2" /* 峄 */ => 'O', "\xE1\xBB\xA3" /* 峄 */ => 'o',
+ "\xE1\xBB\xA4" /* 峄 */ => 'U', "\xE1\xBB\xA5" /* 峄 */ => 'u',
+ "\xE1\xBB\xB0" /* 峄 */ => 'U', "\xE1\xBB\xB1" /* 峄 */ => 'u',
+ "\xE1\xBB\xB4" /* 峄 */ => 'Y', "\xE1\xBB\xB5" /* 峄 */ => 'y',
+ );
+ }
+
+ /**
+ * Tests that "normalizer_normalize" exists and works
+ *
+ * @return bool
+ */
+ static public function hasNormalizerSupport() {
+ static $ret = null;
+ if (null === $ret) {
+ $form_c = "\xC3\x85"; // 'LATIN CAPITAL LETTER A WITH RING ABOVE' (U+00C5)
+ $form_d = "A\xCC\x8A"; // A followed by 'COMBINING RING ABOVE' (U+030A)
+ $ret = (function_exists('normalizer_normalize')
+ && $form_c === normalizer_normalize($form_d));
+ }
+ return $ret;
+ }
+}
diff --git a/engine/classes/ElggUser.php b/engine/classes/ElggUser.php
index ab1bd71a0..6163f9b62 100644
--- a/engine/classes/ElggUser.php
+++ b/engine/classes/ElggUser.php
@@ -6,26 +6,20 @@
*
* @package Elgg.Core
* @subpackage DataModel.User
+ *
+ * @property string $name The display name that the user will be known by in the network
+ * @property string $username The short, reference name for the user in the network
+ * @property string $email The email address to which Elgg will send email notifications
+ * @property string $language The language preference of the user (ISO 639-1 formatted)
+ * @property string $banned 'yes' if the user is banned from the network, 'no' otherwise
+ * @property string $admin 'yes' if the user is an administrator of the network, 'no' otherwise
+ * @property string $password The hashed password of the user
+ * @property string $salt The salt used to secure the password before hashing
*/
class ElggUser extends ElggEntity
implements Friendable {
- /**
- * Initialise the attributes array.
- * This is vital to distinguish between metadata and base parameters.
- *
- * Place your base parameters here.
- *
- * @deprecated 1.8 Use ElggUser::initializeAttributes()
- *
- * @return void
- */
- protected function initialise_attributes() {
- elgg_deprecated_notice('ElggUser::initialise_attributes() is deprecated by ::initializeAttributes()', 1.8);
-
- return $this->initializeAttributes();
- }
- /**
+ /**
* Initialise the attributes array.
* This is vital to distinguish between metadata and base parameters.
*
@@ -46,6 +40,9 @@ class ElggUser extends ElggEntity
$this->attributes['code'] = NULL;
$this->attributes['banned'] = "no";
$this->attributes['admin'] = 'no';
+ $this->attributes['prev_last_action'] = NULL;
+ $this->attributes['last_login'] = NULL;
+ $this->attributes['prev_last_login'] = NULL;
$this->attributes['tables_split'] = 2;
}
@@ -53,43 +50,44 @@ class ElggUser extends ElggEntity
* Construct a new user entity, optionally from a given id value.
*
* @param mixed $guid If an int, load that GUID.
- * If a db row then will attempt to load the rest of the data.
+ * If an entity table db row then will load the rest of the data.
*
* @throws Exception if there was a problem creating the user.
*/
function __construct($guid = null) {
$this->initializeAttributes();
+ // compatibility for 1.7 api.
+ $this->initialise_attributes(false);
+
if (!empty($guid)) {
- // Is $guid is a DB row - either a entity row, or a user table row.
+ // Is $guid is a DB entity row
if ($guid instanceof stdClass) {
// Load the rest
- if (!$this->load($guid->guid)) {
+ if (!$this->load($guid)) {
$msg = elgg_echo('IOException:FailedToLoadGUID', array(get_class(), $guid->guid));
throw new IOException($msg);
}
-
- // See if this is a username
} else if (is_string($guid)) {
- $guid = get_user_by_username($guid);
- foreach ($guid->attributes as $key => $value) {
- $this->attributes[$key] = $value;
+ // $guid is a username
+ $user = get_user_by_username($guid);
+ if ($user) {
+ foreach ($user->attributes as $key => $value) {
+ $this->attributes[$key] = $value;
+ }
}
-
- // Is $guid is an ElggUser? Use a copy constructor
} else if ($guid instanceof ElggUser) {
+ // $guid is an ElggUser so this is a copy constructor
elgg_deprecated_notice('This type of usage of the ElggUser constructor was deprecated. Please use the clone method.', 1.7);
foreach ($guid->attributes as $key => $value) {
$this->attributes[$key] = $value;
}
-
- // Is this is an ElggEntity but not an ElggUser = ERROR!
} else if ($guid instanceof ElggEntity) {
+ // @todo why have a special case here
throw new InvalidParameterException(elgg_echo('InvalidParameterException:NonElggUser'));
-
- // We assume if we have got this far, $guid is an int
} else if (is_numeric($guid)) {
+ // $guid is a GUID so load entity
if (!$this->load($guid)) {
throw new IOException(elgg_echo('IOException:FailedToLoadGUID', array(get_class(), $guid)));
}
@@ -100,38 +98,24 @@ class ElggUser extends ElggEntity
}
/**
- * Override the load function.
- * This function will ensure that all data is loaded (were possible), so
- * if only part of the ElggUser is loaded, it'll load the rest.
+ * Load the ElggUser data from the database
*
- * @param int $guid ElggUser GUID
+ * @param mixed $guid ElggUser GUID or stdClass database row from entity table
*
- * @return true|false
+ * @return bool
*/
protected function load($guid) {
- // Test to see if we have the generic stuff
- if (!parent::load($guid)) {
- return false;
- }
-
- // Check the type
- if ($this->attributes['type'] != 'user') {
- $msg = elgg_echo('InvalidClassException:NotValidElggStar', array($guid, get_class()));
- throw new InvalidClassException($msg);
- }
+ $attr_loader = new ElggAttributeLoader(get_class(), 'user', $this->attributes);
+ $attr_loader->secondary_loader = 'get_user_entity_as_row';
- // Load missing data
- $row = get_user_entity_as_row($guid);
- if (($row) && (!$this->isFullyLoaded())) {
- // If $row isn't a cached copy then increment the counter
- $this->attributes['tables_loaded'] ++;
+ $attrs = $attr_loader->getRequiredAttributes($guid);
+ if (!$attrs) {
+ return false;
}
- // Now put these into the attributes array as core values
- $objarray = (array) $row;
- foreach ($objarray as $key => $value) {
- $this->attributes[$key] = $value;
- }
+ $this->attributes = $attrs;
+ $this->attributes['tables_loaded'] = 2;
+ _elgg_cache_entity($this);
return true;
}
@@ -139,7 +123,7 @@ class ElggUser extends ElggEntity
/**
* Saves this user to the database.
*
- * @return true|false
+ * @return bool
*/
public function save() {
// Save generic stuff
@@ -148,9 +132,13 @@ class ElggUser extends ElggEntity
}
// Now save specific stuff
- return create_user_entity($this->get('guid'), $this->get('name'), $this->get('username'),
+ _elgg_disable_caching_for_entity($this->guid);
+ $ret = create_user_entity($this->get('guid'), $this->get('name'), $this->get('username'),
$this->get('password'), $this->get('salt'), $this->get('email'), $this->get('language'),
$this->get('code'));
+ _elgg_enable_caching_for_entity($this->guid);
+
+ return $ret;
}
/**
@@ -169,9 +157,6 @@ class ElggUser extends ElggEntity
unset($CODE_TO_GUID_MAP_CACHE[$this->code]);
}
- // Delete owned data
- clear_annotations_by_owner($this->guid);
- clear_metadata_by_owner($this->guid);
clear_user_files($this);
// Delete entity
@@ -262,7 +247,7 @@ class ElggUser extends ElggEntity
* @param int $limit The number of results to return
* @param int $offset Any indexing offset
*
- * @return bool
+ * @return array
*/
function getSites($subtype = "", $limit = 10, $offset = 0) {
return get_user_sites($this->getGUID(), $subtype, $limit, $offset);
@@ -273,7 +258,7 @@ class ElggUser extends ElggEntity
*
* @param int $site_guid The guid of the site to add it to
*
- * @return true|false
+ * @return bool
*/
function addToSite($site_guid) {
return add_site_user($site_guid, $this->getGUID());
@@ -284,29 +269,29 @@ class ElggUser extends ElggEntity
*
* @param int $site_guid The guid of the site to remove it from
*
- * @return true|false
+ * @return bool
*/
function removeFromSite($site_guid) {
return remove_site_user($site_guid, $this->getGUID());
}
/**
- * Adds a user to this user's friends list
+ * Adds a user as a friend
*
* @param int $friend_guid The GUID of the user to add
*
- * @return true|false Depending on success
+ * @return bool
*/
function addFriend($friend_guid) {
return user_add_friend($this->getGUID(), $friend_guid);
}
/**
- * Removes a user from this user's friends list
+ * Removes a user as a friend
*
* @param int $friend_guid The GUID of the user to remove
*
- * @return true|false Depending on success
+ * @return bool
*/
function removeFriend($friend_guid) {
return user_remove_friend($this->getGUID(), $friend_guid);
@@ -315,62 +300,141 @@ class ElggUser extends ElggEntity
/**
* Determines whether or not this user is a friend of the currently logged in user
*
- * @return true|false
+ * @return bool
*/
function isFriend() {
- return user_is_friend(get_loggedin_userid(), $this->getGUID());
+ return $this->isFriendOf(elgg_get_logged_in_user_guid());
}
/**
* Determines whether this user is friends with another user
*
- * @param int $user_guid The GUID of the user to check is on this user's friends list
+ * @param int $user_guid The GUID of the user to check against
*
- * @return true|false
+ * @return bool
*/
function isFriendsWith($user_guid) {
return user_is_friend($this->getGUID(), $user_guid);
}
/**
- * Determines whether or not this user is on another user's friends list
+ * Determines whether or not this user is another user's friend
*
* @param int $user_guid The GUID of the user to check against
*
- * @return true|false
+ * @return bool
*/
function isFriendOf($user_guid) {
return user_is_friend($user_guid, $this->getGUID());
}
/**
- * Retrieves a list of this user's friends
+ * Gets this user's friends
*
- * @param string $subtype Optionally, the subtype of user to filter to (leave blank for all)
+ * @param string $subtype Optionally, the user subtype (leave blank for all)
* @param int $limit The number of users to retrieve
* @param int $offset Indexing offset, if any
*
- * @return array|false Array of ElggUsers, or false, depending on success
+ * @return array|false Array of ElggUser, or false, depending on success
*/
function getFriends($subtype = "", $limit = 10, $offset = 0) {
return get_user_friends($this->getGUID(), $subtype, $limit, $offset);
}
/**
- * Retrieves a list of people who have made this user a friend
+ * Gets users who have made this user a friend
*
- * @param string $subtype Optionally, the subtype of user to filter to (leave blank for all)
+ * @param string $subtype Optionally, the user subtype (leave blank for all)
* @param int $limit The number of users to retrieve
* @param int $offset Indexing offset, if any
*
- * @return array|false Array of ElggUsers, or false, depending on success
+ * @return array|false Array of ElggUser, or false, depending on success
*/
function getFriendsOf($subtype = "", $limit = 10, $offset = 0) {
return get_user_friends_of($this->getGUID(), $subtype, $limit, $offset);
}
/**
- * Get an array of ElggObjects owned by this user.
+ * Lists the user's friends
+ *
+ * @param string $subtype Optionally, the user subtype (leave blank for all)
+ * @param int $limit The number of users to retrieve
+ * @param array $vars Display variables for the user view
+ *
+ * @return string Rendered list of friends
+ * @since 1.8.0
+ */
+ function listFriends($subtype = "", $limit = 10, array $vars = array()) {
+ $defaults = array(
+ 'type' => 'user',
+ 'relationship' => 'friend',
+ 'relationship_guid' => $this->guid,
+ 'limit' => $limit,
+ 'full_view' => false,
+ );
+
+ $options = array_merge($defaults, $vars);
+
+ if ($subtype) {
+ $options['subtype'] = $subtype;
+ }
+
+ return elgg_list_entities_from_relationship($options);
+ }
+
+ /**
+ * Gets the user's groups
+ *
+ * @param string $subtype Optionally, the subtype of user to filter to (leave blank for all)
+ * @param int $limit The number of groups to retrieve
+ * @param int $offset Indexing offset, if any
+ *
+ * @return array|false Array of ElggGroup, or false, depending on success
+ */
+ function getGroups($subtype = "", $limit = 10, $offset = 0) {
+ $options = array(
+ 'type' => 'group',
+ 'relationship' => 'member',
+ 'relationship_guid' => $this->guid,
+ 'limit' => $limit,
+ 'offset' => $offset,
+ );
+
+ if ($subtype) {
+ $options['subtype'] = $subtype;
+ }
+
+ return elgg_get_entities_from_relationship($options);
+ }
+
+ /**
+ * Lists the user's groups
+ *
+ * @param string $subtype Optionally, the user subtype (leave blank for all)
+ * @param int $limit The number of users to retrieve
+ * @param int $offset Indexing offset, if any
+ *
+ * @return string
+ */
+ function listGroups($subtype = "", $limit = 10, $offset = 0) {
+ $options = array(
+ 'type' => 'group',
+ 'relationship' => 'member',
+ 'relationship_guid' => $this->guid,
+ 'limit' => $limit,
+ 'offset' => $offset,
+ 'full_view' => false,
+ );
+
+ if ($subtype) {
+ $options['subtype'] = $subtype;
+ }
+
+ return elgg_list_entities_from_relationship($options);
+ }
+
+ /**
+ * Get an array of ElggObject owned by this user.
*
* @param string $subtype The subtype of the objects, if any
* @param int $limit Number of results to return
@@ -379,7 +443,14 @@ class ElggUser extends ElggEntity
* @return array|false
*/
public function getObjects($subtype = "", $limit = 10, $offset = 0) {
- return get_user_objects($this->getGUID(), $subtype, $limit, $offset);
+ $params = array(
+ 'type' => 'object',
+ 'subtype' => $subtype,
+ 'owner_guid' => $this->getGUID(),
+ 'limit' => $limit,
+ 'offset' => $offset
+ );
+ return elgg_get_entities($params);
}
/**
@@ -416,7 +487,8 @@ class ElggUser extends ElggEntity
* @return array|false
*/
public function getCollections($subtype = "", $limit = 10, $offset = 0) {
- return get_user_collections($this->getGUID(), $subtype, $limit, $offset);
+ elgg_deprecated_notice("ElggUser::getCollections() has been deprecated", 1.8);
+ return false;
}
/**
@@ -470,7 +542,7 @@ class ElggUser extends ElggEntity
*/
public function __set($name, $value) {
if ($name == 'admin' || $name == 'siteadmin') {
- elgg_deprecated_notice('The admin/siteadmin metadata are not longer used. Use ElggUser->makeAdmin() and ElggUser->removeAdmin().', '1.7.1');
+ elgg_deprecated_notice('The admin/siteadmin metadata are not longer used. Use ElggUser->makeAdmin() and ElggUser->removeAdmin().', 1.7);
if ($value == 'yes' || $value == '1') {
$this->makeAdmin();
@@ -490,10 +562,27 @@ class ElggUser extends ElggEntity
*/
public function __get($name) {
if ($name == 'admin' || $name == 'siteadmin') {
- elgg_deprecated_notice('The admin/siteadmin metadata are not longer used. Use ElggUser->isAdmin().', '1.7.1');
+ elgg_deprecated_notice('The admin/siteadmin metadata are not longer used. Use ElggUser->isAdmin().', 1.7);
return $this->isAdmin();
}
return parent::__get($name);
}
+
+ /**
+ * Can a user comment on this user?
+ *
+ * @see ElggEntity::canComment()
+ *
+ * @param int $user_guid User guid (default is logged in user)
+ * @return bool
+ * @since 1.8.0
+ */
+ public function canComment($user_guid = 0) {
+ $result = parent::canComment($user_guid);
+ if ($result !== null) {
+ return $result;
+ }
+ return false;
+ }
}
diff --git a/engine/classes/ElggVolatileMetadataCache.php b/engine/classes/ElggVolatileMetadataCache.php
new file mode 100644
index 000000000..4acda7cee
--- /dev/null
+++ b/engine/classes/ElggVolatileMetadataCache.php
@@ -0,0 +1,355 @@
+<?php
+/**
+ * ElggVolatileMetadataCache
+ * In memory cache of known metadata values stored by entity.
+ *
+ * @package Elgg.Core
+ * @subpackage Cache
+ *
+ * @access private
+ */
+class ElggVolatileMetadataCache {
+
+ /**
+ * The cached values (or null for known to be empty). If the portion of the cache
+ * is synchronized, missing values are assumed to indicate that values do not
+ * exist in storage, otherwise, we don't know what's there.
+ *
+ * @var array
+ */
+ protected $values = array();
+
+ /**
+ * Does the cache know that it contains all names fetch-able from storage?
+ * The keys are entity GUIDs and either the value exists (true) or it's not set.
+ *
+ * @var array
+ */
+ protected $isSynchronized = array();
+
+ /**
+ * @var null|bool
+ */
+ protected $ignoreAccess = null;
+
+ /**
+ * Cache metadata for an entity
+ *
+ * @param int $entity_guid The GUID of the entity
+ * @param array $values The metadata values to cache
+ * @return void
+ */
+ public function saveAll($entity_guid, array $values) {
+ if (!$this->getIgnoreAccess()) {
+ $this->values[$entity_guid] = $values;
+ $this->isSynchronized[$entity_guid] = true;
+ }
+ }
+
+ /**
+ * Get the metadata for an entity
+ *
+ * @param int $entity_guid The GUID of the entity
+ * @return array
+ */
+ public function loadAll($entity_guid) {
+ if (isset($this->values[$entity_guid])) {
+ return $this->values[$entity_guid];
+ } else {
+ return array();
+ }
+ }
+
+ /**
+ * Declare that there may be fetch-able metadata names in storage that this
+ * cache doesn't know about
+ *
+ * @param int $entity_guid The GUID of the entity
+ * @return void
+ */
+ public function markOutOfSync($entity_guid) {
+ unset($this->isSynchronized[$entity_guid]);
+ }
+
+ /**
+ * Have all the metadata for this entity been cached?
+ *
+ * @param int $entity_guid The GUID of the entity
+ * @return bool
+ */
+ public function isSynchronized($entity_guid) {
+ return isset($this->isSynchronized[$entity_guid]);
+ }
+
+ /**
+ * Cache a piece of metadata
+ *
+ * @param int $entity_guid The GUID of the entity
+ * @param string $name The metadata name
+ * @param array|int|string|null $value The metadata value. null means it is
+ * known that there is no fetch-able
+ * metadata under this name
+ * @param bool $allow_multiple Can the metadata be an array
+ * @return void
+ */
+ public function save($entity_guid, $name, $value, $allow_multiple = false) {
+ if ($this->getIgnoreAccess()) {
+ // we don't know if what gets saves here will be available to user once
+ // access control returns, hence it's best to forget :/
+ $this->markUnknown($entity_guid, $name);
+ } else {
+ if ($allow_multiple) {
+ if ($this->isKnown($entity_guid, $name)) {
+ $existing = $this->load($entity_guid, $name);
+ if ($existing !== null) {
+ $existing = (array) $existing;
+ $existing[] = $value;
+ $value = $existing;
+ }
+ } else {
+ // we don't know whether there are unknown values, so it's
+ // safest to leave that assumption
+ $this->markUnknown($entity_guid, $name);
+ return;
+ }
+ }
+ $this->values[$entity_guid][$name] = $value;
+ }
+ }
+
+ /**
+ * Warning: You should always call isKnown() beforehand to verify that this
+ * function's return value should be trusted (otherwise a null return value
+ * is ambiguous).
+ *
+ * @param int $entity_guid The GUID of the entity
+ * @param string $name The metadata name
+ * @return array|string|int|null null = value does not exist
+ */
+ public function load($entity_guid, $name) {
+ if (isset($this->values[$entity_guid]) && array_key_exists($name, $this->values[$entity_guid])) {
+ return $this->values[$entity_guid][$name];
+ } else {
+ return null;
+ }
+ }
+
+ /**
+ * Forget about this metadata entry. We don't want to try to guess what the
+ * next fetch from storage will return
+ *
+ * @param int $entity_guid The GUID of the entity
+ * @param string $name The metadata name
+ * @return void
+ */
+ public function markUnknown($entity_guid, $name) {
+ unset($this->values[$entity_guid][$name]);
+ $this->markOutOfSync($entity_guid);
+ }
+
+ /**
+ * If true, load() will return an accurate value for this name
+ *
+ * @param int $entity_guid The GUID of the entity
+ * @param string $name The metadata name
+ * @return bool
+ */
+ public function isKnown($entity_guid, $name) {
+ if (isset($this->isSynchronized[$entity_guid])) {
+ return true;
+ } else {
+ return (isset($this->values[$entity_guid]) && array_key_exists($name, $this->values[$entity_guid]));
+ }
+
+ }
+
+ /**
+ * Declare that metadata under this name is known to be not fetch-able from storage
+ *
+ * @param int $entity_guid The GUID of the entity
+ * @param string $name The metadata name
+ * @return array
+ */
+ public function markEmpty($entity_guid, $name) {
+ $this->values[$entity_guid][$name] = null;
+ }
+
+ /**
+ * Forget about all metadata for an entity
+ *
+ * @param int $entity_guid The GUID of the entity
+ * @return void
+ */
+ public function clear($entity_guid) {
+ $this->values[$entity_guid] = array();
+ $this->markOutOfSync($entity_guid);
+ }
+
+ /**
+ * Clear entire cache and mark all entities as out of sync
+ *
+ * @return void
+ */
+ public function flush() {
+ $this->values = array();
+ $this->isSynchronized = array();
+ }
+
+ /**
+ * Use this value instead of calling elgg_get_ignore_access(). By default that
+ * function will be called.
+ *
+ * This setting makes this component a little more loosely-coupled.
+ *
+ * @param bool $ignore Whether to ignore access or not
+ * @return void
+ */
+ public function setIgnoreAccess($ignore) {
+ $this->ignoreAccess = (bool) $ignore;
+ }
+
+ /**
+ * Tell the cache to call elgg_get_ignore_access() to determing access status.
+ *
+ * @return void
+ */
+ public function unsetIgnoreAccess() {
+ $this->ignoreAccess = null;
+ }
+
+ /**
+ * Get the ignore access value
+ *
+ * @return bool
+ */
+ protected function getIgnoreAccess() {
+ if (null === $this->ignoreAccess) {
+ return elgg_get_ignore_access();
+ } else {
+ return $this->ignoreAccess;
+ }
+ }
+
+ /**
+ * Invalidate based on options passed to the global *_metadata functions
+ *
+ * @param string $action Action performed on metadata. "delete", "disable", or "enable"
+ * @param array $options Options passed to elgg_(delete|disable|enable)_metadata
+ * "guid" if given, invalidation will be limited to this entity
+ * "metadata_name" if given, invalidation will be limited to metadata with this name
+ * @return void
+ */
+ public function invalidateByOptions($action, array $options) {
+ // remove as little as possible, optimizing for common cases
+ if (empty($options['guid'])) {
+ // safest to clear everything unless we want to make this even more complex :(
+ $this->flush();
+ } else {
+ if (empty($options['metadata_name'])) {
+ // safest to clear the whole entity
+ $this->clear($options['guid']);
+ } else {
+ switch ($action) {
+ case 'delete':
+ $this->markEmpty($options['guid'], $options['metadata_name']);
+ break;
+ default:
+ $this->markUnknown($options['guid'], $options['metadata_name']);
+ }
+ }
+ }
+ }
+
+ /**
+ * Populate the cache from a set of entities
+ *
+ * @param int|array $guids Array of or single GUIDs
+ * @return void
+ */
+ public function populateFromEntities($guids) {
+ if (empty($guids)) {
+ return;
+ }
+ if (!is_array($guids)) {
+ $guids = array($guids);
+ }
+ $guids = array_unique($guids);
+
+ // could be useful at some point in future
+ //$guids = $this->filterMetadataHeavyEntities($guids);
+
+ $db_prefix = elgg_get_config('dbprefix');
+ $options = array(
+ 'guids' => $guids,
+ 'limit' => 0,
+ 'callback' => false,
+ 'joins' => array(
+ "JOIN {$db_prefix}metastrings v ON n_table.value_id = v.id",
+ "JOIN {$db_prefix}metastrings n ON n_table.name_id = n.id",
+ ),
+ 'selects' => array('n.string AS name', 'v.string AS value'),
+ 'order_by' => 'n_table.entity_guid, n_table.time_created ASC',
+
+ // @todo don't know why this is necessary
+ 'wheres' => array(get_access_sql_suffix('n_table')),
+ );
+ $data = elgg_get_metadata($options);
+
+ // build up metadata for each entity, save when GUID changes (or data ends)
+ $last_guid = null;
+ $metadata = array();
+ $last_row_idx = count($data) - 1;
+ foreach ($data as $i => $row) {
+ $name = $row->name;
+ $value = ($row->value_type === 'text') ? $row->value : (int) $row->value;
+ $guid = $row->entity_guid;
+ if ($guid !== $last_guid) {
+ if ($last_guid) {
+ $this->saveAll($last_guid, $metadata);
+ }
+ $metadata = array();
+ }
+ if (isset($metadata[$name])) {
+ $metadata[$name] = (array) $metadata[$name];
+ $metadata[$name][] = $value;
+ } else {
+ $metadata[$name] = $value;
+ }
+ if (($i == $last_row_idx)) {
+ $this->saveAll($guid, $metadata);
+ }
+ $last_guid = $guid;
+ }
+ }
+
+ /**
+ * Filter out entities whose concatenated metadata values (INTs casted as string)
+ * exceed a threshold in characters. This could be used to avoid overpopulating the
+ * cache if RAM usage becomes an issue.
+ *
+ * @param array $guids GUIDs of entities to examine
+ * @param int $limit Limit in characters of all metadata (with ints casted to strings)
+ * @return array
+ */
+ public function filterMetadataHeavyEntities(array $guids, $limit = 1024000) {
+ $db_prefix = elgg_get_config('dbprefix');
+
+ $options = array(
+ 'guids' => $guids,
+ 'limit' => 0,
+ 'callback' => false,
+ 'joins' => "JOIN {$db_prefix}metastrings v ON n_table.value_id = v.id",
+ 'selects' => array('SUM(LENGTH(v.string)) AS bytes'),
+ 'order_by' => 'n_table.entity_guid, n_table.time_created ASC',
+ 'group_by' => 'n_table.entity_guid',
+ );
+ $data = elgg_get_metadata($options);
+ // don't cache if metadata for entity is over 10MB (or rolled INT)
+ foreach ($data as $row) {
+ if ($row->bytes > $limit || $row->bytes < 0) {
+ array_splice($guids, array_search($row->entity_guid, $guids), 1);
+ }
+ }
+ return $guids;
+ }
+}
diff --git a/engine/classes/ElggWidget.php b/engine/classes/ElggWidget.php
index 0a0eb9b1c..66191bf47 100644
--- a/engine/classes/ElggWidget.php
+++ b/engine/classes/ElggWidget.php
@@ -1,29 +1,23 @@
<?php
/**
- * Override ElggObject in order to store widget data in private stores.
+ * ElggWidget
+ *
+ * Stores metadata in private settings rather than as ElggMetadata
*
* @package Elgg.Core
* @subpackage Widgets
+ *
+ * @property-read string $handler internal, do not use
+ * @property-read string $column internal, do not use
+ * @property-read string $order internal, do not use
+ * @property-read string $context internal, do not use
*/
class ElggWidget extends ElggObject {
/**
* Set subtype to widget.
*
- * @deprecated 1.8 use ElggWidget::initializeAttributes()
- *
- * @return void
- */
- protected function initialise_attributes() {
- elgg_deprecated_notice('ElggWidget::initialise_attributes() is deprecated by ::initializeAttributes()', 1.8);
-
- return $this->initializeAttributes();
- }
-
- /**
- * Set subtype to widget.
- *
* @return void
*/
protected function initializeAttributes() {
@@ -41,12 +35,12 @@ class ElggWidget extends ElggObject {
*/
public function get($name) {
// See if its in our base attribute
- if (isset($this->attributes[$name])) {
+ if (array_key_exists($name, $this->attributes)) {
return $this->attributes[$name];
}
// No, so see if its in the private data store.
- $meta = get_private_setting($this->guid, $name);
+ $meta = $this->getPrivateSetting($name);
if ($meta) {
return $meta;
}
@@ -72,7 +66,7 @@ class ElggWidget extends ElggObject {
$this->attributes[$name] = $value;
} else {
- return set_private_setting($this->guid, $name, $value);
+ return $this->setPrivateSetting($name, $value);
}
return true;
@@ -86,7 +80,7 @@ class ElggWidget extends ElggObject {
* @since 1.8.0
*/
public function setContext($context) {
- return set_private_setting($this->guid, 'context', $context);
+ return $this->setPrivateSetting('context', $context);
}
/**
@@ -96,7 +90,22 @@ class ElggWidget extends ElggObject {
* @since 1.8.0
*/
public function getContext() {
- return get_private_setting($this->guid, 'context');
+ return $this->getPrivateSetting('context');
+ }
+
+ /**
+ * Get the title of the widget
+ *
+ * @return string
+ * @since 1.8.0
+ */
+ public function getTitle() {
+ $title = $this->title;
+ if (!$title) {
+ global $CONFIG;
+ $title = $CONFIG->widgets->handlers[$this->handler]->name;
+ }
+ return $title;
}
/**
@@ -107,10 +116,12 @@ class ElggWidget extends ElggObject {
* @return void
* @since 1.8.0
*/
- public function move($column, $position) {
+ public function move($column, $rank) {
$options = array(
'type' => 'object',
'subtype' => 'widget',
+ 'container_guid' => $this->container_guid,
+ 'limit' => false,
'private_setting_name_value_pairs' => array(
array('name' => 'context', 'value' => $this->getContext()),
array('name' => 'column', 'value' => $column)
@@ -118,32 +129,117 @@ class ElggWidget extends ElggObject {
);
$widgets = elgg_get_entities_from_private_settings($options);
if (!$widgets) {
- $this->column = $column;
+ $this->column = (int)$column;
$this->order = 0;
return;
}
usort($widgets, create_function('$a,$b','return (int)$a->order > (int)$b->order;'));
+ // remove widgets from inactive plugins
+ $widget_types = elgg_get_widget_types($this->context);
+ $inactive_widgets = array();
+ foreach ($widgets as $index => $widget) {
+ if (!array_key_exists($widget->handler, $widget_types)) {
+ $inactive_widgets[] = $widget;
+ unset($widgets[$index]);
+ }
+ }
+
+ $bottom_rank = count($widgets);
+ if ($column == $this->column) {
+ $bottom_rank--;
+ }
+
if ($rank == 0) {
// top of the column
- $this->order = $widgets[0]->order - 10;
- } elseif ($rank == count($widgets)) {
- // bottom of the column
+ $this->order = reset($widgets)->order - 10;
+ } elseif ($rank == $bottom_rank) {
+ // bottom of the column of active widgets
$this->order = end($widgets)->order + 10;
} else {
- // reorder widgets that are below
- $this->order = $widgets[$rank]->order;
- for ($index = $rank; $index < count($widgets); $index++) {
- if ($widgets[$index]->guid != $this->guid) {
- $widgets[$index]-> order += 10;
+ // reorder widgets
+
+ // remove the widget that's being moved from the array
+ foreach ($widgets as $index => $widget) {
+ if ($widget->guid == $this->guid) {
+ unset($widgets[$index]);
}
}
+
+ // split the array in two and recombine with the moved widget in middle
+ $before = array_slice($widgets, 0, $rank);
+ array_push($before, $this);
+ $after = array_slice($widgets, $rank);
+ $widgets = array_merge($before, $after);
+ ksort($widgets);
+ $order = 0;
+ foreach ($widgets as $widget) {
+ $widget->order = $order;
+ $order += 10;
+ }
+ }
+
+ // put inactive widgets at the bottom
+ if ($inactive_widgets) {
+ $bottom = 0;
+ foreach ($widgets as $widget) {
+ if ($widget->order > $bottom) {
+ $bottom = $widget->order;
+ }
+ }
+ $bottom += 10;
+ foreach ($inactive_widgets as $widget) {
+ $widget->order = $bottom;
+ $bottom += 10;
+ }
}
+
$this->column = $column;
}
- public function canEdit($user_guid = 0) {
+ /**
+ * Saves the widget's settings
+ *
+ * Plugins can override the save mechanism using the plugin hook:
+ * 'widget_settings', <widget handler identifier>. The widget and
+ * the parameters are passed. The plugin hook handler should return
+ * true to indicate that it has successfully saved the settings.
+ *
+ * @warning The values in the parameter array cannot be arrays
+ *
+ * @param array $params An array of name => value parameters
+ *
+ * @return bool
+ * @since 1.8.0
+ */
+ public function saveSettings($params) {
+ if (!$this->canEdit()) {
+ return false;
+ }
+
+ // plugin hook handlers should return true to indicate the settings have
+ // been saved so that default code does not run
+ $hook_params = array(
+ 'widget' => $this,
+ 'params' => $params
+ );
+ if (elgg_trigger_plugin_hook('widget_settings', $this->handler, $hook_params, false) == true) {
+ return true;
+ }
+
+ if (is_array($params) && count($params) > 0) {
+ foreach ($params as $name => $value) {
+ if (is_array($value)) {
+ // private settings cannot handle arrays
+ return false;
+ } else {
+ $this->$name = $value;
+ }
+ }
+ $this->save();
+ }
+
return true;
}
-} \ No newline at end of file
+}
diff --git a/engine/classes/ElggXMLElement.php b/engine/classes/ElggXMLElement.php
new file mode 100644
index 000000000..cbd3fc5ce
--- /dev/null
+++ b/engine/classes/ElggXMLElement.php
@@ -0,0 +1,131 @@
+<?php
+/**
+ * A parser for XML that uses SimpleXMLElement
+ *
+ * @package Elgg.Core
+ * @subpackage XML
+ */
+class ElggXMLElement {
+ /**
+ * @var SimpleXMLElement
+ */
+ private $_element;
+
+ /**
+ * Creates an ElggXMLParser from a string or existing SimpleXMLElement
+ *
+ * @param string|SimpleXMLElement $xml The XML to parse
+ */
+ public function __construct($xml) {
+ if ($xml instanceof SimpleXMLElement) {
+ $this->_element = $xml;
+ } else {
+ // do not load entities
+ $disable_load_entities = libxml_disable_entity_loader(true);
+
+ $this->_element = new SimpleXMLElement($xml);
+
+ libxml_disable_entity_loader($disable_load_entities);
+ }
+ }
+
+ /**
+ * @return string The name of the element
+ */
+ public function getName() {
+ return $this->_element->getName();
+ }
+
+ /**
+ * @return string[] The attributes
+ */
+ public function getAttributes() {
+ //include namespace declarations as attributes
+ $xmlnsRaw = $this->_element->getNamespaces();
+ $xmlns = array();
+ foreach ($xmlnsRaw as $key => $val) {
+ $label = 'xmlns' . ($key ? ":$key" : $key);
+ $xmlns[$label] = $val;
+ }
+ //get attributes and merge with namespaces
+ $attrRaw = $this->_element->attributes();
+ $attr = array();
+ foreach ($attrRaw as $key => $val) {
+ $attr[$key] = $val;
+ }
+ $attr = array_merge((array) $xmlns, (array) $attr);
+ $result = array();
+ foreach ($attr as $key => $val) {
+ $result[$key] = (string) $val;
+ }
+ return $result;
+ }
+
+ /**
+ * @return string CData
+ */
+ public function getContent() {
+ return (string) $this->_element;
+ }
+
+ /**
+ * @return ElggXMLElement[] Child elements
+ */
+ public function getChildren() {
+ $children = $this->_element->children();
+ $result = array();
+ foreach ($children as $val) {
+ $result[] = new ElggXMLElement($val);
+ }
+
+ return $result;
+ }
+
+ /**
+ * Override ->
+ *
+ * @param string $name Property name
+ * @return mixed
+ */
+ function __get($name) {
+ switch ($name) {
+ case 'name':
+ return $this->getName();
+ break;
+ case 'attributes':
+ return $this->getAttributes();
+ break;
+ case 'content':
+ return $this->getContent();
+ break;
+ case 'children':
+ return $this->getChildren();
+ break;
+ }
+ return null;
+ }
+
+ /**
+ * Override isset
+ *
+ * @param string $name Property name
+ * @return boolean
+ */
+ function __isset($name) {
+ switch ($name) {
+ case 'name':
+ return $this->getName() !== null;
+ break;
+ case 'attributes':
+ return $this->getAttributes() !== null;
+ break;
+ case 'content':
+ return $this->getContent() !== null;
+ break;
+ case 'children':
+ return $this->getChildren() !== null;
+ break;
+ }
+ return false;
+ }
+}
diff --git a/engine/classes/ErrorResult.php b/engine/classes/ErrorResult.php
index 8dbb7c13f..afad4c740 100644
--- a/engine/classes/ErrorResult.php
+++ b/engine/classes/ErrorResult.php
@@ -26,7 +26,7 @@ class ErrorResult extends GenericResult {
*
* @return void
*/
- public function ErrorResult($message, $code = "", Exception $exception = NULL) {
+ public function __construct($message, $code = "", Exception $exception = NULL) {
if ($code == "") {
$code = ErrorResult::$RESULT_FAIL;
}
@@ -51,4 +51,4 @@ class ErrorResult extends GenericResult {
// Return a new error object.
return new ErrorResult($message, $code, $exception);
}
-} \ No newline at end of file
+}
diff --git a/engine/classes/ExportException.php b/engine/classes/ExportException.php
index 11781d91b..ae8a8e41b 100644
--- a/engine/classes/ExportException.php
+++ b/engine/classes/ExportException.php
@@ -6,4 +6,4 @@
* @subpackage Exception
*
*/
-class ExportException extends DataFormatException {} \ No newline at end of file
+class ExportException extends DataFormatException {}
diff --git a/engine/classes/Exportable.php b/engine/classes/Exportable.php
index 1adcd781e..0c1ea5282 100644
--- a/engine/classes/Exportable.php
+++ b/engine/classes/Exportable.php
@@ -20,4 +20,4 @@ interface Exportable {
* @return array
*/
public function getExportableValues();
-} \ No newline at end of file
+}
diff --git a/engine/classes/Friendable.php b/engine/classes/Friendable.php
index 588f9ec5a..c308b4598 100644
--- a/engine/classes/Friendable.php
+++ b/engine/classes/Friendable.php
@@ -101,4 +101,4 @@ interface Friendable {
* @return int
*/
public function countObjects($subtype = "");
-} \ No newline at end of file
+}
diff --git a/engine/classes/GenericResult.php b/engine/classes/GenericResult.php
index bb8a7e76e..e42e924d1 100644
--- a/engine/classes/GenericResult.php
+++ b/engine/classes/GenericResult.php
@@ -122,4 +122,4 @@ abstract class GenericResult {
return $result;
}
-} \ No newline at end of file
+}
diff --git a/engine/classes/ImportException.php b/engine/classes/ImportException.php
index 14287fdf2..909c599d5 100644
--- a/engine/classes/ImportException.php
+++ b/engine/classes/ImportException.php
@@ -5,4 +5,4 @@
* @package Elgg.Core
* @subpackage Exception
*/
-class ImportException extends DataFormatException {} \ No newline at end of file
+class ImportException extends DataFormatException {}
diff --git a/engine/classes/IncompleteEntityException.php b/engine/classes/IncompleteEntityException.php
new file mode 100644
index 000000000..8c86edcc6
--- /dev/null
+++ b/engine/classes/IncompleteEntityException.php
@@ -0,0 +1,10 @@
+<?php
+/**
+ * IncompleteEntityException
+ * Thrown when constructing an entity that is missing its secondary entity table
+ *
+ * @package Elgg.Core
+ * @subpackage Exception
+ * @access private
+ */
+class IncompleteEntityException extends Exception {}
diff --git a/engine/classes/Locatable.php b/engine/classes/Locatable.php
index 980256cbb..7287d9798 100644
--- a/engine/classes/Locatable.php
+++ b/engine/classes/Locatable.php
@@ -13,7 +13,7 @@ interface Locatable {
* @param string $location Textual representation of location
*
* @return bool
- **/
+ */
public function setLocation($location);
/**
@@ -46,4 +46,4 @@ interface Locatable {
* @return string
*/
public function getLocation();
-} \ No newline at end of file
+}
diff --git a/engine/classes/Loggable.php b/engine/classes/Loggable.php
index 40690f87a..b9e8bf26b 100644
--- a/engine/classes/Loggable.php
+++ b/engine/classes/Loggable.php
@@ -62,4 +62,4 @@ interface Loggable {
* @deprecated 1.8 Use getOwnerGUID() instead
*/
public function getObjectOwnerGUID();
-} \ No newline at end of file
+}
diff --git a/engine/classes/LoginException.php b/engine/classes/LoginException.php
index 9189a6afd..7546fa36f 100644
--- a/engine/classes/LoginException.php
+++ b/engine/classes/LoginException.php
@@ -7,4 +7,4 @@
* @package Elgg.Core
* @subpackage Exceptions.Stub
*/
-class LoginException extends Exception {} \ No newline at end of file
+class LoginException extends Exception {}
diff --git a/engine/classes/Notable.php b/engine/classes/Notable.php
index e36699dfc..0c21af27d 100644
--- a/engine/classes/Notable.php
+++ b/engine/classes/Notable.php
@@ -38,4 +38,4 @@ interface Notable {
* @return int
*/
public function getCalendarEndTime();
-} \ No newline at end of file
+}
diff --git a/engine/classes/ODDDocument.php b/engine/classes/ODDDocument.php
index 4d185aba5..540c35a3b 100644
--- a/engine/classes/ODDDocument.php
+++ b/engine/classes/ODDDocument.php
@@ -70,8 +70,8 @@ class ODDDocument implements Iterator {
public function addElement(ODD $element) {
if (!is_array($this->elements)) {
$this->elements = array();
- $this->elements[] = $element;
}
+ $this->elements[] = $element;
}
/**
diff --git a/engine/classes/ODDEntity.php b/engine/classes/ODDEntity.php
index acdfe2f71..e9bb5da6a 100644
--- a/engine/classes/ODDEntity.php
+++ b/engine/classes/ODDEntity.php
@@ -32,75 +32,3 @@ class ODDEntity extends ODD {
return "entity";
}
}
-
-/**
- * ODD Metadata class.
- *
- * @package Elgg.Core
- * @subpackage ODD
- */
-class ODDMetaData extends ODD {
-
- /**
- * New ODD metadata
- *
- * @param unknown_type $uuid Unique ID
- * @param unknown_type $entity_uuid Another unique ID
- * @param unknown_type $name Name
- * @param unknown_type $value Value
- * @param unknown_type $type Type
- * @param unknown_type $owner_uuid Owner ID
- */
- function __construct($uuid, $entity_uuid, $name, $value, $type = "", $owner_uuid = "") {
- parent::__construct();
-
- $this->setAttribute('uuid', $uuid);
- $this->setAttribute('entity_uuid', $entity_uuid);
- $this->setAttribute('name', $name);
- $this->setAttribute('type', $type);
- $this->setAttribute('owner_uuid', $owner_uuid);
- $this->setBody($value);
- }
-
- /**
- * Returns 'metadata'
- *
- * @return 'metadata'
- */
- protected function getTagName() {
- return "metadata";
- }
-}
-
-/**
- * ODD Relationship class.
- *
- * @package Elgg
- * @subpackage Core
- */
-class ODDRelationship extends ODD {
-
- /**
- * New ODD Relationship
- *
- * @param unknown_type $uuid1 First UUID
- * @param unknown_type $type Type of telationship
- * @param unknown_type $uuid2 Second UUId
- */
- function __construct($uuid1, $type, $uuid2) {
- parent::__construct();
-
- $this->setAttribute('uuid1', $uuid1);
- $this->setAttribute('type', $type);
- $this->setAttribute('uuid2', $uuid2);
- }
-
- /**
- * Returns 'relationship'
- *
- * @return 'relationship'
- */
- protected function getTagName() {
- return "relationship";
- }
-} \ No newline at end of file
diff --git a/engine/classes/ODDMetaData.php b/engine/classes/ODDMetaData.php
new file mode 100644
index 000000000..09b653582
--- /dev/null
+++ b/engine/classes/ODDMetaData.php
@@ -0,0 +1,39 @@
+<?php
+/**
+ * ODD Metadata class.
+ *
+ * @package Elgg.Core
+ * @subpackage ODD
+ */
+class ODDMetaData extends ODD {
+
+ /**
+ * New ODD metadata
+ *
+ * @param string $uuid Unique ID
+ * @param string $entity_uuid Another unique ID
+ * @param string $name Name
+ * @param string $value Value
+ * @param string $type Type
+ * @param string $owner_uuid Owner ID
+ */
+ function __construct($uuid, $entity_uuid, $name, $value, $type = "", $owner_uuid = "") {
+ parent::__construct();
+
+ $this->setAttribute('uuid', $uuid);
+ $this->setAttribute('entity_uuid', $entity_uuid);
+ $this->setAttribute('name', $name);
+ $this->setAttribute('type', $type);
+ $this->setAttribute('owner_uuid', $owner_uuid);
+ $this->setBody($value);
+ }
+
+ /**
+ * Returns 'metadata'
+ *
+ * @return string 'metadata'
+ */
+ protected function getTagName() {
+ return "metadata";
+ }
+}
diff --git a/engine/classes/ODDRelationship.php b/engine/classes/ODDRelationship.php
new file mode 100644
index 000000000..8b1fe217b
--- /dev/null
+++ b/engine/classes/ODDRelationship.php
@@ -0,0 +1,33 @@
+<?php
+/**
+ * ODD Relationship class.
+ *
+ * @package Elgg
+ * @subpackage Core
+ */
+class ODDRelationship extends ODD {
+
+ /**
+ * New ODD Relationship
+ *
+ * @param string $uuid1 First UUID
+ * @param string $type Type of telationship
+ * @param string $uuid2 Second UUId
+ */
+ function __construct($uuid1, $type, $uuid2) {
+ parent::__construct();
+
+ $this->setAttribute('uuid1', $uuid1);
+ $this->setAttribute('type', $type);
+ $this->setAttribute('uuid2', $uuid2);
+ }
+
+ /**
+ * Returns 'relationship'
+ *
+ * @return string 'relationship'
+ */
+ protected function getTagName() {
+ return "relationship";
+ }
+}
diff --git a/engine/classes/PluginException.php b/engine/classes/PluginException.php
index 56cf29596..a74303695 100644
--- a/engine/classes/PluginException.php
+++ b/engine/classes/PluginException.php
@@ -8,4 +8,4 @@
* @package Elgg.Core
* @subpackage Exception
*/
-class PluginException extends Exception {} \ No newline at end of file
+class PluginException extends Exception {}
diff --git a/engine/classes/RegistrationException.php b/engine/classes/RegistrationException.php
index 05af35a01..5246efc25 100644
--- a/engine/classes/RegistrationException.php
+++ b/engine/classes/RegistrationException.php
@@ -6,4 +6,4 @@
* @package Elgg.Core
* @subpackage Exceptions
*/
-class RegistrationException extends InstallationException {} \ No newline at end of file
+class RegistrationException extends InstallationException {}
diff --git a/engine/classes/SuccessResult.php b/engine/classes/SuccessResult.php
index f68ddd39e..ab5468ad8 100644
--- a/engine/classes/SuccessResult.php
+++ b/engine/classes/SuccessResult.php
@@ -15,7 +15,7 @@ class SuccessResult extends GenericResult {
*
* @param string $result The result
*/
- public function SuccessResult($result) {
+ public function __construct($result) {
$this->setResult($result);
$this->setStatusCode(SuccessResult::$RESULT_SUCCESS);
}
@@ -31,4 +31,4 @@ class SuccessResult extends GenericResult {
// Return a new error object.
return new SuccessResult($result);
}
-} \ No newline at end of file
+}
diff --git a/engine/classes/XMLRPCArrayParameter.php b/engine/classes/XMLRPCArrayParameter.php
index c0d358d5a..a8edccba7 100644
--- a/engine/classes/XMLRPCArrayParameter.php
+++ b/engine/classes/XMLRPCArrayParameter.php
@@ -53,4 +53,4 @@ class XMLRPCArrayParameter extends XMLRPCParameter
return "<array><data>$params</data></array>";
}
-} \ No newline at end of file
+}
diff --git a/engine/classes/XMLRPCBase64Parameter.php b/engine/classes/XMLRPCBase64Parameter.php
index eb82b24a8..7db0a761c 100644
--- a/engine/classes/XMLRPCBase64Parameter.php
+++ b/engine/classes/XMLRPCBase64Parameter.php
@@ -25,4 +25,4 @@ class XMLRPCBase64Parameter extends XMLRPCParameter {
function __toString() {
return "<value><base64>{$value}</base64></value>";
}
-} \ No newline at end of file
+}
diff --git a/engine/classes/XMLRPCBoolParameter.php b/engine/classes/XMLRPCBoolParameter.php
index c53d9ad14..607841cb8 100644
--- a/engine/classes/XMLRPCBoolParameter.php
+++ b/engine/classes/XMLRPCBoolParameter.php
@@ -27,4 +27,4 @@ class XMLRPCBoolParameter extends XMLRPCParameter {
$code = ($this->value) ? "1" : "0";
return "<value><boolean>{$code}</boolean></value>";
}
-} \ No newline at end of file
+}
diff --git a/engine/classes/XMLRPCCall.php b/engine/classes/XMLRPCCall.php
index 3b33f3cee..fd28f1e3e 100644
--- a/engine/classes/XMLRPCCall.php
+++ b/engine/classes/XMLRPCCall.php
@@ -18,7 +18,7 @@ class XMLRPCCall {
* @param string $xml XML
*/
function __construct($xml) {
- $this->_parse($xml);
+ $this->parse($xml);
}
/**
@@ -45,7 +45,7 @@ class XMLRPCCall {
*
* @return void
*/
- private function _parse($xml) {
+ private function parse($xml) {
$xml = xml_to_object($xml);
// sanity check
@@ -59,4 +59,4 @@ class XMLRPCCall {
// parameters
$this->params = $xml->children[1]->children;
}
-} \ No newline at end of file
+}
diff --git a/engine/classes/XMLRPCDateParameter.php b/engine/classes/XMLRPCDateParameter.php
index 35e02fb37..93bbbd8f5 100644
--- a/engine/classes/XMLRPCDateParameter.php
+++ b/engine/classes/XMLRPCDateParameter.php
@@ -30,4 +30,4 @@ class XMLRPCDateParameter extends XMLRPCParameter {
$value = date('c', $this->value);
return "<value><dateTime.iso8601>{$value}</dateTime.iso8601></value>";
}
-} \ No newline at end of file
+}
diff --git a/engine/classes/XMLRPCErrorResponse.php b/engine/classes/XMLRPCErrorResponse.php
index c3dbd0373..425c075cc 100644
--- a/engine/classes/XMLRPCErrorResponse.php
+++ b/engine/classes/XMLRPCErrorResponse.php
@@ -33,4 +33,4 @@ class XMLRPCErrorResponse extends XMLRPCResponse {
public function __toString() {
return "<methodResponse><fault><value>{$this->parameters[0]}</value></fault></methodResponse>";
}
-} \ No newline at end of file
+}
diff --git a/engine/classes/XMLRPCParameter.php b/engine/classes/XMLRPCParameter.php
index 5fac33201..ffbad8082 100644
--- a/engine/classes/XMLRPCParameter.php
+++ b/engine/classes/XMLRPCParameter.php
@@ -13,4 +13,4 @@ abstract class XMLRPCParameter {
*/
function __construct() { }
-} \ No newline at end of file
+}
diff --git a/engine/classes/XMLRPCResponse.php b/engine/classes/XMLRPCResponse.php
index 2a0319431..a6256d385 100644
--- a/engine/classes/XMLRPCResponse.php
+++ b/engine/classes/XMLRPCResponse.php
@@ -68,4 +68,4 @@ abstract class XMLRPCResponse {
public function addBoolean($value) {
$this->addParameter(new XMLRPCBoolParameter($value));
}
-} \ No newline at end of file
+}
diff --git a/engine/classes/XMLRPCStructParameter.php b/engine/classes/XMLRPCStructParameter.php
index 754c92616..694ddf5df 100644
--- a/engine/classes/XMLRPCStructParameter.php
+++ b/engine/classes/XMLRPCStructParameter.php
@@ -52,4 +52,4 @@ class XMLRPCStructParameter extends XMLRPCParameter {
return "<value><struct>$params</struct></value>";
}
-} \ No newline at end of file
+}
diff --git a/engine/classes/XMLRPCSuccessResponse.php b/engine/classes/XMLRPCSuccessResponse.php
index 2f452ef42..e02e82c5c 100644
--- a/engine/classes/XMLRPCSuccessResponse.php
+++ b/engine/classes/XMLRPCSuccessResponse.php
@@ -19,4 +19,4 @@ class XMLRPCSuccessResponse extends XMLRPCResponse {
return "<methodResponse><params>$params</params></methodResponse>";
}
-} \ No newline at end of file
+}
diff --git a/engine/classes/XmlElement.php b/engine/classes/XmlElement.php
index 64d54e6b0..280bba664 100644
--- a/engine/classes/XmlElement.php
+++ b/engine/classes/XmlElement.php
@@ -17,4 +17,4 @@ class XmlElement {
/** Child elements */
public $children;
-}; \ No newline at end of file
+};
diff --git a/engine/handlers/action_handler.php b/engine/handlers/action_handler.php
index cf6c8c9eb..bcad110b2 100644
--- a/engine/handlers/action_handler.php
+++ b/engine/handlers/action_handler.php
@@ -17,4 +17,4 @@
require_once(dirname(dirname(__FILE__)) . "/start.php");
$action = get_input("action");
-action($action); \ No newline at end of file
+action($action);
diff --git a/engine/handlers/cache_handler.php b/engine/handlers/cache_handler.php
new file mode 100644
index 000000000..36fc665bb
--- /dev/null
+++ b/engine/handlers/cache_handler.php
@@ -0,0 +1,105 @@
+<?php
+/**
+ * Cache handler.
+ *
+ * External access to cached CSS and JavaScript views. The cached file URLS
+ * should be of the form: cache/<type>/<viewtype>/<name/of/view>.<unique_id>.<type> where
+ * type is either css or js, view is the name of the cached view, and
+ * unique_id is an identifier that is updated every time the cache is flushed.
+ * The simplest way to maintain a unique identifier is to use the lastcache
+ * variable in Elgg's config object.
+ *
+ * @see elgg_register_simplecache_view()
+ *
+ * @package Elgg.Core
+ * @subpackage Cache
+ */
+
+// Get dataroot
+require_once(dirname(dirname(__FILE__)) . '/settings.php');
+$mysql_dblink = mysql_connect($CONFIG->dbhost, $CONFIG->dbuser, $CONFIG->dbpass, true);
+if (!$mysql_dblink) {
+ echo 'Cache error: unable to connect to database server';
+ exit;
+}
+
+if (!mysql_select_db($CONFIG->dbname, $mysql_dblink)) {
+ echo 'Cache error: unable to connect to Elgg database';
+ exit;
+}
+
+$query = "select name, value from {$CONFIG->dbprefix}datalists
+ where name in ('dataroot', 'simplecache_enabled')";
+
+$result = mysql_query($query, $mysql_dblink);
+if (!$result) {
+ echo 'Cache error: unable to get the data root';
+ exit;
+}
+while ($row = mysql_fetch_object($result)) {
+ ${$row->name} = $row->value;
+}
+mysql_free_result($result);
+
+
+$dirty_request = $_GET['request'];
+// only alphanumeric characters plus /, ., and _ and no '..'
+$filter = array("options" => array("regexp" => "/^(\.?[_a-zA-Z0-9\/]+)+$/"));
+$request = filter_var($dirty_request, FILTER_VALIDATE_REGEXP, $filter);
+if (!$request || !$simplecache_enabled) {
+ echo 'Cache error: bad request';
+ exit;
+}
+
+// testing showed regex to be marginally faster than array / string functions over 100000 reps
+// it won't make a difference in real life and regex is easier to read.
+// <type>/<viewtype>/<name/of/view.and.dots>.<ts>.<type>
+$regex = '|([^/]+)/([^/]+)/(.+)\.([^\.]+)\.([^.]+)$|';
+preg_match($regex, $request, $matches);
+
+$type = $matches[1];
+$viewtype = $matches[2];
+$view = $matches[3];
+$ts = $matches[4];
+
+// If is the same ETag, content didn't changed.
+$etag = $ts;
+if (isset($_SERVER['HTTP_IF_NONE_MATCH']) && trim($_SERVER['HTTP_IF_NONE_MATCH']) == "\"$etag\"") {
+ header("HTTP/1.1 304 Not Modified");
+ exit;
+}
+
+switch ($type) {
+ case 'css':
+ header("Content-type: text/css", true);
+ $view = "css/$view";
+ break;
+ case 'js':
+ header('Content-type: text/javascript', true);
+ $view = "js/$view";
+ break;
+}
+
+header('Expires: ' . gmdate('D, d M Y H:i:s \G\M\T', strtotime("+6 months")), true);
+header("Pragma: public", true);
+header("Cache-Control: public", true);
+header("ETag: \"$etag\"");
+
+$filename = $dataroot . 'views_simplecache/' . md5($viewtype . $view);
+
+if (file_exists($filename)) {
+ readfile($filename);
+} else {
+ // someone trying to access a non-cached file or a race condition with cache flushing
+ mysql_close($mysql_dblink);
+ require_once(dirname(dirname(__FILE__)) . "/start.php");
+
+ global $CONFIG;
+ if (!in_array($view, $CONFIG->views->simplecache)) {
+ header("HTTP/1.1 404 Not Found");
+ exit;
+ }
+
+ elgg_set_viewtype($viewtype);
+ echo elgg_view($view);
+}
diff --git a/engine/handlers/cron_handler.php b/engine/handlers/cron_handler.php
deleted file mode 100644
index 9d3891892..000000000
--- a/engine/handlers/cron_handler.php
+++ /dev/null
@@ -1,40 +0,0 @@
-<?php
-/**
- * Cron handlers
- *
- * This file dispatches cron actions. It is called via a URL rewrite in .htaccess
- * from http://site/p/. Anything after 'action/' is considered the action
- * and will be passed to {@link action()}.
- *
- * @package Elgg.Core
- * @subpackage Actions
- * @link http://docs.elgg.org/Tutorials/Actions
- *
- * @todo
- */
-
-require_once(dirname(dirname(__FILE__)) . "/start.php");
-
-$period = get_input('period');
-if (!$period) {
- throw new CronException(elgg_echo('CronException:unknownperiod', array($period)));
-}
-
-// Get a list of parameters
-$params = array();
-$params['time'] = time();
-
-foreach ($CONFIG->input as $k => $v) {
- $params[$k] = $v;
-}
-
-// Data to return to
-$std_out = "";
-$old_stdout = "";
-ob_start();
-
-$old_stdout = elgg_trigger_plugin_hook('cron', $period, $params, $old_stdout);
-$std_out = ob_get_clean();
-
-// Return event
-echo $std_out . $old_stdout; \ No newline at end of file
diff --git a/engine/handlers/export_handler.php b/engine/handlers/export_handler.php
new file mode 100644
index 000000000..aa5214c23
--- /dev/null
+++ b/engine/handlers/export_handler.php
@@ -0,0 +1,118 @@
+<?php
+/**
+ * Export handler.
+ *
+ * @package Elgg.Core
+ * @subpackage Export
+ */
+
+require_once(dirname(dirname(__FILE__)) . "/start.php");
+
+
+// Get input values, these will be mapped via modrewrite
+$guid = get_input("guid"); // guid of the entity
+
+// For attributes eg http://example.com/odd/73/attr/owner_uuid/
+// or http://example.com/odd/73/metadata/86/
+$type = get_input("type"); // attr, metadata, annotation, relationship
+$id_or_name = get_input("idname"); // Either a number or the key name (if attribute)
+
+$body = "";
+$title = "";
+
+// Only export the GUID
+if (($guid != "") && ($type == "") && ($id_or_name == "")) {
+ $entity = get_entity($guid);
+
+ if (!$entity) {
+ $query = elgg_echo('InvalidParameterException:GUIDNotFound', array($guid));
+ throw new InvalidParameterException($query);
+ }
+
+ $title = "GUID:$guid";
+ $body = elgg_view("export/entity", array("entity" => $entity, "uuid" => guid_to_uuid($guid)));
+
+ // Export an individual attribute
+} else if (($guid != "") && ($type != "") && ($id_or_name != "")) {
+ // Get a uuid
+ $entity = get_entity($guid);
+ if (!$entity) {
+ $msg = elgg_echo('InvalidParameterException:GUIDNotFound', array($guid));
+ throw new InvalidParameterException($msg);
+ }
+
+ $uuid = guid_to_uuid($entity->getGUID()) . "$type/$id_or_name/";
+
+ switch ($type) {
+ case 'attr' : // @todo: Do this better? - This is a bit of a hack...
+ $v = $entity->get($id_or_name);
+ if (!$v) {
+ $msg = elgg_echo('InvalidParameterException:IdNotExistForGUID', array($id_or_name, $guid));
+ throw new InvalidParameterException($msg);
+ }
+
+ $m = new ElggMetadata();
+
+ $m->value = $v;
+ $m->name = $id_or_name;
+ $m->entity_guid = $guid;
+ $m->time_created = $entity->time_created;
+ $m->time_updated = $entity->time_updated;
+ $m->owner_guid = $entity->owner_guid;
+ $m->id = $id_or_name;
+ $m->type = "attr";
+ break;
+ case 'metadata' :
+ $m = elgg_get_metadata_from_id($id_or_name);
+ break;
+ case 'annotation' :
+ $m = elgg_get_annotation_from_id($id_or_name);
+ break;
+ case 'relationship' :
+ $r = get_relationship($id_or_name);
+ break;
+ case 'volatile' :
+ $m = elgg_trigger_plugin_hook('volatile', 'metadata', array(
+ 'guid' => $guid,
+ 'varname' => $id_or_name,
+ ));
+ break;
+
+ default :
+ $msg = elgg_echo('InvalidParameterException:CanNotExportType', array($type));
+ throw new InvalidParameterException($msg);
+ }
+
+ // Render metadata or relationship
+ if ((!$m) && (!$r)) {
+ throw new InvalidParameterException(elgg_echo('InvalidParameterException:NoDataFound'));
+ }
+
+ // Exporting metadata?
+ if ($m) {
+ if ($m->entity_guid != $entity->guid) {
+ throw new InvalidParameterException(elgg_echo('InvalidParameterException:DoesNotBelong'));
+ }
+
+ $title = "$type:$id_or_name";
+ $body = elgg_view("export/metadata", array("metadata" => $m, "uuid" => $uuid));
+ }
+
+ // Exporting relationship
+ if ($r) {
+ if (($r->guid_one != $entity->guid) && ($r->guid_two != $entity->guid)) {
+ throw new InvalidParameterException(elgg_echo('InvalidParameterException:DoesNotBelongOrRefer'));
+ }
+
+ $title = "$type:$id_or_name";
+ $body = elgg_view("export/relationship", array("relationship" => $r, "uuid" => $uuid));
+ }
+
+ // Something went wrong
+} else {
+ throw new InvalidParameterException(elgg_echo('InvalidParameterException:MissingParameter'));
+}
+
+$content = elgg_view_title($title) . $body;
+$body = elgg_view_layout('one_sidebar', array('content' => $content));
+echo elgg_view_page($title, $body);
diff --git a/engine/handlers/page_handler.php b/engine/handlers/page_handler.php
new file mode 100644
index 000000000..1ed295b7d
--- /dev/null
+++ b/engine/handlers/page_handler.php
@@ -0,0 +1,48 @@
+<?php
+/**
+ * Pages handler.
+ *
+ * This file dispatches pages. It is called via a URL rewrite in .htaccess
+ * from http://site/handler/page1/page2. The first element after site/ is
+ * the page handler name as registered by {@link elgg_register_page_handler()}.
+ * The rest of the string is sent to {@link page_handler()}.
+ *
+ * Note that the following handler names are reserved by elgg and should not be
+ * registered by any plugins:
+ * * action
+ * * cache
+ * * services
+ * * export
+ * * mt
+ * * xml-rpc.php
+ * * rewrite.php
+ * * tag (deprecated, reserved for backwards compatibility)
+ * * pg (deprecated, reserved for backwards compatibility)
+ *
+ * {@link page_handler()} explodes the pages string by / and sends it to
+ * the page handler function as registered by {@link elgg_register_page_handler()}.
+ * If a valid page handler isn't found, plugins have a chance to provide a 404.
+ *
+ * @package Elgg.Core
+ * @subpackage PageHandler
+ * @link http://docs.elgg.org/Tutorials/PageHandlers
+ */
+
+
+// Permanent redirect to pg-less urls
+$url = $_SERVER['REQUEST_URI'];
+$new_url = preg_replace('#/pg/#', '/', $url, 1);
+
+if ($url !== $new_url) {
+ header("HTTP/1.1 301 Moved Permanently");
+ header("Location: $new_url");
+}
+
+require_once(dirname(dirname(__FILE__)) . "/start.php");
+
+$handler = get_input('handler');
+$page = get_input('page');
+
+if (!page_handler($handler, $page)) {
+ forward('', '404');
+}
diff --git a/engine/handlers/pagehandler.php b/engine/handlers/pagehandler.php
deleted file mode 100644
index 7d293c3e4..000000000
--- a/engine/handlers/pagehandler.php
+++ /dev/null
@@ -1,26 +0,0 @@
-<?php
-/**
- * Pages handler.
- *
- * This file dispatches pages. It is called via a URL rewrite in .htaccess
- * from http://site/pg/handler/page1/page2. The first element after 'pg/' is
- * the page handler name as registered by {@link register_page_handler()}.
- * The rest of the string is sent to {@link page_handler()}.
- *
- * {@link page_handler()} explodes the pages string by / and sends it to
- * the page handler function as registered by {@link register_page_handler()}.
- * If a valid page handler isn't found, plugins have a chance to provide a 404.
- *
- * @package Elgg.Core
- * @subpackage PageHandler
- * @link http://docs.elgg.org/Tutorials/PageHandlers
- */
-
-require_once(dirname(dirname(__FILE__)) . "/start.php");
-
-$handler = get_input('handler');
-$page = get_input('page');
-
-if (!page_handler($handler, $page)) {
- forward('', '404');
-} \ No newline at end of file
diff --git a/engine/handlers/service_handler.php b/engine/handlers/service_handler.php
index a23528be8..9cfcd230f 100644
--- a/engine/handlers/service_handler.php
+++ b/engine/handlers/service_handler.php
@@ -24,4 +24,4 @@ require_once(dirname(dirname(__FILE__)) . "/start.php");
$handler = get_input('handler');
$request = get_input('request');
-service_handler($handler, $request); \ No newline at end of file
+service_handler($handler, $request);
diff --git a/engine/handlers/xml-rpc_handler.php b/engine/handlers/xml-rpc_handler.php
index c5dedea1d..2ee29e5b7 100644
--- a/engine/handlers/xml-rpc_handler.php
+++ b/engine/handlers/xml-rpc_handler.php
@@ -41,4 +41,4 @@ if (!($result instanceof XMLRPCResponse)) {
}
// Output result
-echo elgg_view_page("XML-RPC", elgg_view("xml-rpc/output", array('result' => $result))); \ No newline at end of file
+echo elgg_view_page("XML-RPC", elgg_view("xml-rpc/output", array('result' => $result)));
diff --git a/engine/lib/access.php b/engine/lib/access.php
index d56eb3dea..de0693ea8 100644
--- a/engine/lib/access.php
+++ b/engine/lib/access.php
@@ -1,9 +1,9 @@
<?php
/**
- * Primary function for Elgg's entity and metadata access systems.
+ * Functions for Elgg's access system for entities, metadata, and annotations.
*
* Access is generally saved in the database as access_id. This corresponds to
- * one of the ACCESS_* constants defined in {@link elgglib.php}, or the ID of an
+ * one of the ACCESS_* constants defined in {@link elgglib.php} or the ID of an
* access collection.
*
* @package Elgg.Core
@@ -12,30 +12,51 @@
*/
/**
+ * Return an ElggCache static variable cache for the access caches
+ *
+ * @staticvar ElggStaticVariableCache $access_cache
+ * @return \ElggStaticVariableCache
+ * @access private
+ */
+function _elgg_get_access_cache() {
+ /**
+ * A default filestore cache using the dataroot.
+ */
+ static $access_cache;
+
+ if (!$access_cache) {
+ $access_cache = new ElggStaticVariableCache('access');
+ }
+
+ return $access_cache;
+}
+
+/**
* Return a string of access_ids for $user_id appropriate for inserting into an SQL IN clause.
*
* @uses get_access_array
*
- * @return string A list of access collections suitable for injection in an SQL call
* @link http://docs.elgg.org/Access
* @see get_access_array()
*
* @param int $user_id User ID; defaults to currently logged in user
* @param int $site_id Site ID; defaults to current site
- * @param bool $flush If set to true, will refresh the access list from the database
+ * @param bool $flush If set to true, will refresh the access list from the
+ * database rather than using this function's cache.
*
- * @return string
+ * @return string A list of access collections suitable for using in an SQL call
+ * @access private
*/
function get_access_list($user_id = 0, $site_id = 0, $flush = false) {
- global $CONFIG, $init_finished, $SESSION;
- static $access_list;
-
- if (!isset($access_list) || !$init_finished) {
- $access_list = array();
+ global $CONFIG, $init_finished;
+ $cache = _elgg_get_access_cache();
+
+ if ($flush) {
+ $cache->clear();
}
if ($user_id == 0) {
- $user_id = $SESSION['id'];
+ $user_id = elgg_get_logged_in_user_guid();
}
if (($site_id == 0) && (isset($CONFIG->site_id))) {
@@ -44,40 +65,55 @@ function get_access_list($user_id = 0, $site_id = 0, $flush = false) {
$user_id = (int) $user_id;
$site_id = (int) $site_id;
- if (isset($access_list[$user_id])) {
- return $access_list[$user_id];
- }
+ $hash = $user_id . $site_id . 'get_access_list';
- $access_list[$user_id] = "(" . implode(",", get_access_array($user_id, $site_id, $flush)) . ")";
+ if ($cache[$hash]) {
+ return $cache[$hash];
+ }
+
+ $access_array = get_access_array($user_id, $site_id, $flush);
+ $access = "(" . implode(",", $access_array) . ")";
- return $access_list[$user_id];
+ if ($init_finished) {
+ $cache[$hash] = $access;
+ }
+
+ return $access;
}
/**
* Returns an array of access IDs a user is permitted to see.
*
- * Can be overridden with the access:collections:read, user plugin hook.
+ * Can be overridden with the 'access:collections:read', 'user' plugin hook.
+ *
+ * This returns a list of all the collection ids a user owns or belongs
+ * to plus public and logged in access levels. If the user is an admin, it includes
+ * the private access level.
*
- * @param int $user_id User ID; defaults to currently logged in user
- * @param int $site_id Site ID; defaults to current site
- * @param boolean $flush If set to true, will refresh the access list from the database
+ * @internal this is only used in core for creating the SQL where clause when
+ * retrieving content from the database. The friends access level is handled by
+ * get_access_sql_suffix().
+ *
+ * @see get_write_access_array() for the access levels that a user can write to.
+ *
+ * @param int $user_id User ID; defaults to currently logged in user
+ * @param int $site_id Site ID; defaults to current site
+ * @param bool $flush If set to true, will refresh the access ids from the
+ * database rather than using this function's cache.
*
* @return array An array of access collections ids
- * @see get_access_list()
*/
function get_access_array($user_id = 0, $site_id = 0, $flush = false) {
global $CONFIG, $init_finished;
- // @todo everything from the db is cached.
- // this cache might be redundant.
- static $access_array;
+ $cache = _elgg_get_access_cache();
- if (!isset($access_array) || (!isset($init_finished)) || (!$init_finished)) {
- $access_array = array();
+ if ($flush) {
+ $cache->clear();
}
if ($user_id == 0) {
- $user_id = get_loggedin_userid();
+ $user_id = elgg_get_logged_in_user_guid();
}
if (($site_id == 0) && (isset($CONFIG->site_guid))) {
@@ -87,35 +123,41 @@ function get_access_array($user_id = 0, $site_id = 0, $flush = false) {
$user_id = (int) $user_id;
$site_id = (int) $site_id;
- if (empty($access_array[$user_id]) || $flush == true) {
- $tmp_access_array = array(ACCESS_PUBLIC);
+ $hash = $user_id . $site_id . 'get_access_array';
+
+ if ($cache[$hash]) {
+ $access_array = $cache[$hash];
+ } else {
+ $access_array = array(ACCESS_PUBLIC);
// The following can only return sensible data if the user is logged in.
- if (isloggedin()) {
- $tmp_access_array[] = ACCESS_LOGGED_IN;
+ if (elgg_is_logged_in()) {
+ $access_array[] = ACCESS_LOGGED_IN;
// Get ACL memberships
$query = "SELECT am.access_collection_id"
. " FROM {$CONFIG->dbprefix}access_collection_membership am"
. " LEFT JOIN {$CONFIG->dbprefix}access_collections ag ON ag.id = am.access_collection_id"
- . " WHERE am.user_guid = {$user_id} AND (ag.site_guid = {$site_id} OR ag.site_guid = 0)";
+ . " WHERE am.user_guid = $user_id AND (ag.site_guid = $site_id OR ag.site_guid = 0)";
- if ($collections = get_data($query)) {
+ $collections = get_data($query);
+ if ($collections) {
foreach ($collections as $collection) {
if (!empty($collection->access_collection_id)) {
- $tmp_access_array[] = $collection->access_collection_id;
+ $access_array[] = (int)$collection->access_collection_id;
}
}
}
// Get ACLs owned.
$query = "SELECT ag.id FROM {$CONFIG->dbprefix}access_collections ag ";
- $query .= "WHERE ag.owner_guid = {$user_id} AND (ag.site_guid = {$site_id} OR ag.site_guid = 0)";
+ $query .= "WHERE ag.owner_guid = $user_id AND (ag.site_guid = $site_id OR ag.site_guid = 0)";
- if ($collections = get_data($query)) {
+ $collections = get_data($query);
+ if ($collections) {
foreach ($collections as $collection) {
if (!empty($collection->id)) {
- $tmp_access_array[] = $collection->id;
+ $access_array[] = (int)$collection->id;
}
}
}
@@ -123,21 +165,21 @@ function get_access_array($user_id = 0, $site_id = 0, $flush = false) {
$ignore_access = elgg_check_access_overrides($user_id);
if ($ignore_access == true) {
- $tmp_access_array[] = ACCESS_PRIVATE;
+ $access_array[] = ACCESS_PRIVATE;
}
-
- $access_array[$user_id] = $tmp_access_array;
- } else {
- // No user id logged in so we can only access public info
- $tmp_return = $tmp_access_array;
}
- } else {
- $tmp_access_array = $access_array[$user_id];
+ if ($init_finished) {
+ $cache[$hash] = $access_array;
+ }
}
- $options = array('user_id' => $user_id, 'site_id' => $site_id);
- return elgg_trigger_plugin_hook('access:collections:read', 'user', $options, $tmp_access_array);
+ $options = array(
+ 'user_id' => $user_id,
+ 'site_id' => $site_id
+ );
+
+ return elgg_trigger_plugin_hook('access:collections:read', 'user', $options, $access_array);
}
/**
@@ -157,7 +199,7 @@ function get_default_access(ElggUser $user = null) {
return $CONFIG->default_access;
}
- if (!($user) && (!$user = get_loggedin_user())) {
+ if (!($user) && (!$user = elgg_get_logged_in_user_entity())) {
return $CONFIG->default_access;
}
@@ -180,11 +222,9 @@ $ENTITY_SHOW_HIDDEN_OVERRIDE = false;
/**
* Show or hide disabled entities.
*
- * @access private
- *
* @param bool $show_hidden Show disabled entities.
- *
* @return void
+ * @access private
*/
function access_show_hidden_entities($show_hidden) {
global $ENTITY_SHOW_HIDDEN_OVERRIDE;
@@ -194,8 +234,8 @@ function access_show_hidden_entities($show_hidden) {
/**
* Return current status of showing disabled entities.
*
- * @access private
* @return bool
+ * @access private
*/
function access_get_show_hidden_status() {
global $ENTITY_SHOW_HIDDEN_OVERRIDE;
@@ -203,48 +243,11 @@ function access_get_show_hidden_status() {
}
/**
- * Add annotation restriction
- *
- * Returns an SQL fragment that is true (or optionally false) if the given user has
- * added an annotation with the given name to the given entity.
- *
- * @todo This is fairly generic so perhaps it could be moved to annotations.php
- *
- * @param string $annotation_name Name of the annotation
- * @param string $entity_guid SQL GUID of entity the annotation is attached to.
- * @param string $owner_guid SQL string that evaluates to the GUID of the annotation owner
- * @param boolean $exists If true, returns BOOL if the annotation exists
- *
- * @return string An SQL fragment suitable for inserting into a WHERE clause
- * @todo Document and maybe even remove. At least rename to something that makes sense.
- */
-function get_annotation_sql($annotation_name, $entity_guid, $owner_guid, $exists) {
- global $CONFIG;
-
- if ($exists) {
- $not = '';
- } else {
- $not = 'NOT';
- }
-
- $sql = <<<END
-$not EXISTS (SELECT * FROM {$CONFIG->dbprefix}annotations a
-INNER JOIN {$CONFIG->dbprefix}metastrings ms ON (a.name_id = ms.id)
-WHERE ms.string = '$annotation_name'
-AND a.entity_guid = $entity_guid
-AND a.owner_guid = $owner_guid)
-END;
- return $sql;
-}
-
-/**
* Returns the SQL where clause for a table with a access_id and enabled columns.
*
- * This handles returning where clauses for ACCESS_FRIENDS, and the currently
- * unused block and filter lists.
- *
- * @warning If an admin is logged in or {@link elgg_set_ignore_access()} is true,
- * this will return blank.
+ * This handles returning where clauses for ACCESS_FRIENDS and the currently
+ * unused block and filter lists in addition to using get_access_list() for
+ * access collections and the standard access levels.
*
* @param string $table_prefix Optional table. prefix for the access code.
* @param int $owner The guid to check access for. Defaults to logged in user.
@@ -260,11 +263,11 @@ function get_access_sql_suffix($table_prefix = '', $owner = null) {
$enemies_bit = "";
if ($table_prefix) {
- $table_prefix = sanitise_string($table_prefix) . ".";
+ $table_prefix = sanitise_string($table_prefix) . ".";
}
if (!isset($owner)) {
- $owner = get_loggedin_userid();
+ $owner = elgg_get_logged_in_user_guid();
}
if (!$owner) {
@@ -277,6 +280,7 @@ function get_access_sql_suffix($table_prefix = '', $owner = null) {
if ($ignore_access) {
$sql = " (1 = 1) ";
} else if ($owner != -1) {
+ // we have an entity's guid and auto check for friend relationships
$friends_bit = "{$table_prefix}access_id = " . ACCESS_FRIENDS . "
AND {$table_prefix}owner_guid IN (
SELECT guid_one FROM {$CONFIG->dbprefix}entity_relationships
@@ -285,14 +289,15 @@ function get_access_sql_suffix($table_prefix = '', $owner = null) {
$friends_bit = '(' . $friends_bit . ') OR ';
+ // @todo untested and unsupported at present
if ((isset($CONFIG->user_block_and_filter_enabled)) && ($CONFIG->user_block_and_filter_enabled)) {
// check to see if the user is in the entity owner's block list
// or if the entity owner is in the user's filter list
// if so, disallow access
- $enemies_bit = get_annotation_sql('elgg_block_list', "{$table_prefix}owner_guid", $owner, false);
+ $enemies_bit = get_access_restriction_sql('elgg_block_list', "{$table_prefix}owner_guid", $owner, false);
$enemies_bit = '('
. $enemies_bit
- . ' AND ' . get_annotation_sql('elgg_filter_list', $owner, "{$table_prefix}owner_guid", false)
+ . ' AND ' . get_access_restriction_sql('elgg_filter_list', $owner, "{$table_prefix}owner_guid", false)
. ')';
}
}
@@ -319,19 +324,59 @@ function get_access_sql_suffix($table_prefix = '', $owner = null) {
}
/**
- * Can $user access $entity.
+ * Get the where clause for an access restriction based on annotations
+ *
+ * Returns an SQL fragment that is true (or optionally false) if the given user has
+ * added an annotation with the given name to the given entity.
+ *
+ * @warning this is a private function for an untested capability and will likely
+ * be removed from a future version of Elgg.
+ *
+ * @param string $annotation_name Name of the annotation
+ * @param string $entity_guid SQL GUID of entity the annotation is attached to.
+ * @param string $owner_guid SQL string that evaluates to the GUID of the annotation owner
+ * @param boolean $exists If true, returns BOOL if the annotation exists
+ *
+ * @return string An SQL fragment suitable for inserting into a WHERE clause
+ * @access private
+ */
+function get_access_restriction_sql($annotation_name, $entity_guid, $owner_guid, $exists) {
+ global $CONFIG;
+
+ if ($exists) {
+ $not = '';
+ } else {
+ $not = 'NOT';
+ }
+
+ $sql = <<<END
+$not EXISTS (SELECT * FROM {$CONFIG->dbprefix}annotations a
+INNER JOIN {$CONFIG->dbprefix}metastrings ms ON (a.name_id = ms.id)
+WHERE ms.string = '$annotation_name'
+AND a.entity_guid = $entity_guid
+AND a.owner_guid = $owner_guid)
+END;
+ return $sql;
+}
+
+/**
+ * Can a user access an entity.
*
* @warning If a logged in user doesn't have access to an entity, the
* core engine will not load that entity.
*
- * @tip This is mostly useful for checking if a 3rd user has access
- * to an entity that is currently loaded.
+ * @tip This is mostly useful for checking if a user other than the logged in
+ * user has access to an entity that is currently loaded.
+ *
+ * @todo This function would be much more useful if we could pass the guid of the
+ * entity to test access for. We need to be able to tell whether the entity exists
+ * and whether the user has access to the entity.
*
* @param ElggEntity $entity The entity to check access for.
* @param ElggUser $user Optionally user to check access for. Defaults to
- * logged in user (which doesn't make sense).
+ * logged in user (which is a useless default).
*
- * @return boolean True if the user can access the entity
+ * @return bool
* @link http://docs.elgg.org/Access
*/
function has_access_to_entity($entity, $user = null) {
@@ -354,23 +399,41 @@ function has_access_to_entity($entity, $user = null) {
}
/**
- * Returns an array of access permissions that the user is allowed to save objects with.
- * Permissions are of the form ('id' => 'Description')
+ * Returns an array of access permissions that the user is allowed to save content with.
+ * Permissions returned are of the form (id => 'name').
+ *
+ * Example return value in English:
+ * array(
+ * 0 => 'Private',
+ * -2 => 'Friends',
+ * 1 => 'Logged in users',
+ * 2 => 'Public',
+ * 34 => 'My favorite friends',
+ * );
+ *
+ * Plugin hook of 'access:collections:write', 'user'
+ *
+ * @warning this only returns access collections that the user owns plus the
+ * standard access levels. It does not return access collections that the user
+ * belongs to such as the access collection for a group.
*
* @param int $user_id The user's GUID.
* @param int $site_id The current site.
- * @param bool $flush If this is set to true, this will ignore any cached version
+ * @param bool $flush If this is set to true, this will ignore a cached access array
*
* @return array List of access permissions
* @link http://docs.elgg.org/Access
*/
function get_write_access_array($user_id = 0, $site_id = 0, $flush = false) {
- global $CONFIG;
- //@todo this is probably not needed since caching happens at the DB level.
- static $access_array;
+ global $CONFIG, $init_finished;
+ $cache = _elgg_get_access_cache();
+
+ if ($flush) {
+ $cache->clear();
+ }
if ($user_id == 0) {
- $user_id = get_loggedin_userid();
+ $user_id = elgg_get_logged_in_user_guid();
}
if (($site_id == 0) && (isset($CONFIG->site_id))) {
@@ -380,34 +443,78 @@ function get_write_access_array($user_id = 0, $site_id = 0, $flush = false) {
$user_id = (int) $user_id;
$site_id = (int) $site_id;
- if (empty($access_array[$user_id]) || $flush == true) {
+ $hash = $user_id . $site_id . 'get_write_access_array';
+
+ if ($cache[$hash]) {
+ $access_array = $cache[$hash];
+ } else {
+ // @todo is there such a thing as public write access?
+ $access_array = array(
+ ACCESS_PRIVATE => elgg_echo("PRIVATE"),
+ ACCESS_FRIENDS => elgg_echo("access:friends:label"),
+ ACCESS_LOGGED_IN => elgg_echo("LOGGED_IN"),
+ ACCESS_PUBLIC => elgg_echo("PUBLIC")
+ );
+
$query = "SELECT ag.* FROM {$CONFIG->dbprefix}access_collections ag ";
- $query .= " WHERE (ag.site_guid = {$site_id} OR ag.site_guid = 0)";
- $query .= " AND (ag.owner_guid = {$user_id})";
- $query .= " AND ag.id >= 3";
-
- $tmp_access_array = array(
- ACCESS_PRIVATE => elgg_echo("PRIVATE"),
- ACCESS_FRIENDS => elgg_echo("access:friends:label"),
- ACCESS_LOGGED_IN => elgg_echo("LOGGED_IN"),
- ACCESS_PUBLIC => elgg_echo("PUBLIC")
- );
- if ($collections = get_data($query)) {
+ $query .= " WHERE (ag.site_guid = $site_id OR ag.site_guid = 0)";
+ $query .= " AND (ag.owner_guid = $user_id)";
+
+ $collections = get_data($query);
+ if ($collections) {
foreach ($collections as $collection) {
- $tmp_access_array[$collection->id] = $collection->name;
+ $access_array[$collection->id] = $collection->name;
}
}
- $access_array[$user_id] = $tmp_access_array;
+ if ($init_finished) {
+ $cache[$hash] = $access_array;
+ }
+ }
+
+ $options = array(
+ 'user_id' => $user_id,
+ 'site_id' => $site_id
+ );
+ return elgg_trigger_plugin_hook('access:collections:write', 'user',
+ $options, $access_array);
+}
+
+/**
+ * Can the user change this access collection?
+ *
+ * Use the plugin hook of 'access:collections:write', 'user' to change this.
+ * @see get_write_access_array() for details on the hook.
+ *
+ * Respects access control disabling for admin users and {@see elgg_set_ignore_access()}
+ *
+ * @see get_write_access_array()
+ *
+ * @param int $collection_id The collection id
+ * @param mixed $user_guid The user GUID to check for. Defaults to logged in user.
+ * @return bool
+ */
+function can_edit_access_collection($collection_id, $user_guid = null) {
+ if ($user_guid) {
+ $user = get_entity((int) $user_guid);
} else {
- $tmp_access_array = $access_array[$user_id];
+ $user = elgg_get_logged_in_user_entity();
+ }
+
+ $collection = get_access_collection($collection_id);
+
+ if (!($user instanceof ElggUser) || !$collection) {
+ return false;
}
- $options = array('user_id' => $user_id, 'site_id' => $site_id);
- $tmp_access_array = elgg_trigger_plugin_hook('access:collections:write', 'user',
- $options, $tmp_access_array);
+ $write_access = get_write_access_array($user->getGUID(), 0, true);
- return $tmp_access_array;
+ // don't ignore access when checking users.
+ if ($user_guid) {
+ return array_key_exists($collection_id, $write_access);
+ } else {
+ return elgg_get_ignore_access() || array_key_exists($collection_id, $write_access);
+ }
}
/**
@@ -416,6 +523,8 @@ function get_write_access_array($user_id = 0, $site_id = 0, $flush = false) {
* Access colletions allow plugins and users to create granular access
* for entities.
*
+ * Triggers plugin hook 'access:collections:addcollection', 'collection'
+ *
* @internal Access collections are stored in the access_collections table.
* Memberships to collections are in access_collections_membership.
*
@@ -423,7 +532,7 @@ function get_write_access_array($user_id = 0, $site_id = 0, $flush = false) {
* @param int $owner_guid The GUID of the owner (default: currently logged in user).
* @param int $site_guid The GUID of the site (default: current site).
*
- * @return int|false Depending on success (the collection ID if successful).
+ * @return int|false The collection ID if successful and false on failure.
* @link http://docs.elgg.org/Access/Collections
* @see update_access_collection()
* @see delete_access_collection()
@@ -437,7 +546,7 @@ function create_access_collection($name, $owner_guid = 0, $site_guid = 0) {
}
if ($owner_guid == 0) {
- $owner_guid = get_loggedin_userid();
+ $owner_guid = elgg_get_logged_in_user_guid();
}
if (($site_guid == 0) && (isset($CONFIG->site_guid))) {
$site_guid = $CONFIG->site_guid;
@@ -448,7 +557,8 @@ function create_access_collection($name, $owner_guid = 0, $site_guid = 0) {
SET name = '{$name}',
owner_guid = {$owner_guid},
site_guid = {$site_guid}";
- if (!$id = insert_data($q)) {
+ $id = insert_data($q);
+ if (!$id) {
return false;
}
@@ -467,7 +577,7 @@ function create_access_collection($name, $owner_guid = 0, $site_guid = 0) {
* Updates the membership in an access collection.
*
* @warning Expects a full list of all members that should
- * be part o the access collection
+ * be part of the access collection
*
* @note This will run all hooks associated with adding or removing
* members to access collections.
@@ -475,45 +585,36 @@ function create_access_collection($name, $owner_guid = 0, $site_guid = 0) {
* @param int $collection_id The ID of the collection.
* @param array $members Array of member GUIDs
*
- * @return true|false Depending on success
+ * @return bool
* @link http://docs.elgg.org/Access/Collections
* @see add_user_to_access_collection()
* @see remove_user_from_access_collection()
*/
function update_access_collection($collection_id, $members) {
- global $CONFIG;
+ $acl = get_access_collection($collection_id);
- $collection_id = (int) $collection_id;
+ if (!$acl) {
+ return false;
+ }
$members = (is_array($members)) ? $members : array();
- $collections = get_write_access_array();
-
- if (array_key_exists($collection_id, $collections)) {
- $cur_members = get_members_of_access_collection($collection_id, true);
- $cur_members = (is_array($cur_members)) ? $cur_members : array();
+ $cur_members = get_members_of_access_collection($collection_id, true);
+ $cur_members = (is_array($cur_members)) ? $cur_members : array();
- $remove_members = array_diff($cur_members, $members);
- $add_members = array_diff($members, $cur_members);
-
- $params = array(
- 'collection_id' => $collection_id,
- 'members' => $members,
- 'add_members' => $add_members,
- 'remove_members' => $remove_members
- );
+ $remove_members = array_diff($cur_members, $members);
+ $add_members = array_diff($members, $cur_members);
- foreach ($add_members as $guid) {
- add_user_to_access_collection($guid, $collection_id);
- }
+ $result = true;
- foreach ($remove_members as $guid) {
- remove_user_from_access_collection($guid, $collection_id);
- }
+ foreach ($add_members as $guid) {
+ $result = $result && add_user_to_access_collection($guid, $collection_id);
+ }
- return true;
+ foreach ($remove_members as $guid) {
+ $result = $result && remove_user_from_access_collection($guid, $collection_id);
}
- return false;
+ return $result;
}
/**
@@ -527,27 +628,25 @@ function update_access_collection($collection_id, $members) {
* @see update_access_collection()
*/
function delete_access_collection($collection_id) {
+ global $CONFIG;
+
$collection_id = (int) $collection_id;
- $collections = get_write_access_array(null, null, TRUE);
$params = array('collection_id' => $collection_id);
if (!elgg_trigger_plugin_hook('access:collections:deletecollection', 'collection', $params, true)) {
return false;
}
- if (array_key_exists($collection_id, $collections)) {
- global $CONFIG;
- $query = "delete from {$CONFIG->dbprefix}access_collection_membership"
- . " where access_collection_id = {$collection_id}";
- delete_data($query);
+ // Deleting membership doesn't affect result of deleting ACL.
+ $q = "DELETE FROM {$CONFIG->dbprefix}access_collection_membership
+ WHERE access_collection_id = {$collection_id}";
+ delete_data($q);
- $query = "delete from {$CONFIG->dbprefix}access_collections where id = {$collection_id}";
- delete_data($query);
- return true;
- } else {
- return false;
- }
+ $q = "DELETE FROM {$CONFIG->dbprefix}access_collections
+ WHERE id = {$collection_id}";
+ $result = delete_data($q);
+ return (bool)$result;
}
/**
@@ -556,9 +655,11 @@ function delete_access_collection($collection_id) {
* @note This doesn't return the members of an access collection,
* just the database row of the actual collection.
*
+ * @see get_members_of_access_collection()
+ *
* @param int $collection_id The collection ID
*
- * @return array|false
+ * @return object|false
*/
function get_access_collection($collection_id) {
global $CONFIG;
@@ -573,91 +674,88 @@ function get_access_collection($collection_id) {
/**
* Adds a user to an access collection.
*
- * Emits the access:collections:add_user, collection plugin hook.
+ * Triggers the 'access:collections:add_user', 'collection' plugin hook.
*
* @param int $user_guid The GUID of the user to add
* @param int $collection_id The ID of the collection to add them to
*
- * @return true|false Depending on success
- * @link http://docs.elgg.org/Access/Collections
+ * @return bool
* @see update_access_collection()
* @see remove_user_from_access_collection()
+ * @link http://docs.elgg.org/Access/Collections
*/
function add_user_to_access_collection($user_guid, $collection_id) {
+ global $CONFIG;
+
$collection_id = (int) $collection_id;
$user_guid = (int) $user_guid;
- $collections = get_write_access_array();
+ $user = get_user($user_guid);
- if (!($collection = get_access_collection($collection_id))) {
+ $collection = get_access_collection($collection_id);
+
+ if (!($user instanceof Elgguser) || !$collection) {
return false;
}
- if ((array_key_exists($collection_id, $collections) || $collection->owner_guid == 0)
- && $user = get_user($user_guid)) {
- global $CONFIG;
-
- $params = array(
- 'collection_id' => $collection_id,
- 'user_guid' => $user_guid
- );
-
- if (!elgg_trigger_plugin_hook('access:collections:add_user', 'collection', $params, true)) {
- return false;
- }
-
- try {
- $query = "insert into {$CONFIG->dbprefix}access_collection_membership"
- . " set access_collection_id = {$collection_id}, user_guid = {$user_guid}";
- insert_data($query);
- } catch (DatabaseException $e) {
- // nothing.
- }
- return true;
+ $params = array(
+ 'collection_id' => $collection_id,
+ 'user_guid' => $user_guid
+ );
+ $result = elgg_trigger_plugin_hook('access:collections:add_user', 'collection', $params, true);
+ if ($result == false) {
+ return false;
}
- return false;
+ // if someone tries to insert the same data twice, we do a no-op on duplicate key
+ $q = "INSERT INTO {$CONFIG->dbprefix}access_collection_membership
+ SET access_collection_id = $collection_id, user_guid = $user_guid
+ ON DUPLICATE KEY UPDATE user_guid = user_guid";
+ $result = insert_data($q);
+
+ return $result !== false;
}
/**
* Removes a user from an access collection.
*
- * Emits the access:collections:remove_user, collection plugin hook.
+ * Triggers the 'access:collections:remove_user', 'collection' plugin hook.
*
* @param int $user_guid The user GUID
* @param int $collection_id The access collection ID
*
- * @return true|false Depending on success
+ * @return bool
+ * @see update_access_collection()
+ * @see remove_user_from_access_collection()
+ * @link http://docs.elgg.org/Access/Collections
*/
function remove_user_from_access_collection($user_guid, $collection_id) {
+ global $CONFIG;
+
$collection_id = (int) $collection_id;
$user_guid = (int) $user_guid;
- $collections = get_write_access_array();
- $user = $user = get_user($user_guid);
+ $user = get_user($user_guid);
- if (!($collection = get_access_collection($collection_id))) {
+ $collection = get_access_collection($collection_id);
+
+ if (!($user instanceof Elgguser) || !$collection) {
return false;
}
- if ((array_key_exists($collection_id, $collections) || $collection->owner_guid == 0) && $user) {
- global $CONFIG;
- $params = array(
- 'collection_id' => $collection_id,
- 'user_guid' => $user_guid
- );
-
- if (!elgg_trigger_plugin_hook('access:collections:remove_user', 'collection', $params, true)) {
- return false;
- }
-
- delete_data("delete from {$CONFIG->dbprefix}access_collection_membership "
- . "where access_collection_id = {$collection_id} and user_guid = {$user_guid}");
-
- return true;
+ $params = array(
+ 'collection_id' => $collection_id,
+ 'user_guid' => $user_guid
+ );
+ if (!elgg_trigger_plugin_hook('access:collections:remove_user', 'collection', $params, true)) {
+ return false;
}
- return false;
+ $q = "DELETE FROM {$CONFIG->dbprefix}access_collection_membership
+ WHERE access_collection_id = {$collection_id}
+ AND user_guid = {$user_guid}";
+
+ return (bool)delete_data($q);
}
/**
@@ -725,97 +823,13 @@ function get_members_of_access_collection($collection, $idonly = FALSE) {
}
/**
- * Displays a user's access collections, using the friends/collections view
- *
- * @param int $owner_guid The GUID of the owning user
- *
- * @return string A formatted rendition of the collections
- * @todo Move to the friends/collection.php page.
- */
-function elgg_view_access_collections($owner_guid) {
- if ($collections = get_user_access_collections($owner_guid)) {
- foreach ($collections as $key => $collection) {
- $collections[$key]->members = get_members_of_access_collection($collection->id, true);
- $collections[$key]->entities = get_user_friends($owner_guid, "", 9999);
- }
- }
-
- return elgg_view('friends/collections', array('collections' => $collections));
-}
-
-/**
- * Get entities with the specified access collection id.
- *
- * @deprecated 1.7. Use elgg_get_entities_from_access_id()
- *
- * @param int $collection_id ID of collection
- * @param string $entity_type Type of entities
- * @param string $entity_subtype Subtype of entities
- * @param int $owner_guid Guid of owner
- * @param int $limit Limit of number of entities to return
- * @param int $offset Skip this many entities
- * @param string $order_by Column to order by
- * @param int $site_guid The site guid
- * @param bool $count Return a count or entities
- *
- * @return array
- */
-function get_entities_from_access_id($collection_id, $entity_type = "", $entity_subtype = "",
- $owner_guid = 0, $limit = 10, $offset = 0, $order_by = "", $site_guid = 0, $count = false) {
- // log deprecated warning
- elgg_deprecated_notice('get_entities_from_access_id() was deprecated by elgg_get_entities()', 1.7);
-
- if (!$collection_id) {
- return FALSE;
- }
-
- // build the options using given parameters
- $options = array();
- $options['limit'] = $limit;
- $options['offset'] = $offset;
- $options['count'] = $count;
-
- if ($entity_type) {
- $options['type'] = sanitise_string($entity_type);
- }
-
- if ($entity_subtype) {
- $options['subtype'] = $entity_subtype;
- }
-
- if ($site_guid) {
- $options['site_guid'] = $site_guid;
- }
-
- if ($order_by) {
- $options['order_by'] = sanitise_string("e.time_created, $order_by");
- }
-
- if ($owner_guid) {
- if (is_array($owner_guid)) {
- $options['owner_guids'] = $owner_guid;
- } else {
- $options['owner_guid'] = $owner_guid;
- }
- }
-
- if ($site_guid) {
- $options['site_guid'] = $site_guid;
- }
-
- $options['access_id'] = $collection_id;
-
- return elgg_get_entities_from_access_id($options);
-}
-
-/**
* Return entities based upon access id.
*
- * @param array $options Any options accepted by {@link elgg_get_entities()} and:
+ * @param array $options Any options accepted by {@link elgg_get_entities()} and
* access_id => int The access ID of the entity.
*
* @see elgg_get_entities()
- * @return array
+ * @return mixed If count, int. If not count, array. false on errors.
* @since 1.7.0
*/
function elgg_get_entities_from_access_id(array $options = array()) {
@@ -848,61 +862,37 @@ function elgg_get_entities_from_access_id(array $options = array()) {
* @see elgg_list_entities()
* @see elgg_get_entities_from_access_id()
*
- * @return str
+ * @return string
*/
function elgg_list_entities_from_access_id(array $options = array()) {
return elgg_list_entities($options, 'elgg_get_entities_from_access_id');
}
/**
- * @return str
- * @deprecated 1.8 Use elgg_list_entities_from_access_id()
- */
-function list_entities_from_access_id($access_id, $entity_type = "", $entity_subtype = "",
- $owner_guid = 0, $limit = 10, $fullview = true, $listtypetoggle = true, $pagination = true) {
-
- elgg_deprecated_notice("All list_entities* functions were deprecated in 1.8. Use elgg_list_entities* instead.", 1.8);
-
- echo elgg_list_entities_from_access_id(array(
- 'access_id' => $access_id,
- 'types' => $entity_type,
- 'subtypes' => $entity_subtype,
- 'owner_guids' => $owner_guid,
- 'limit' => $limit,
- 'full_view' => $fullview,
- 'list_type_toggle' => $listtypetoggle,
- 'pagination' => $pagination,
- ));
-}
-
-/**
* Return the name of an ACCESS_* constant or a access collection,
* but only if the user has write access on that ACL.
*
* @warning This function probably doesn't work how it's meant to.
*
- * @param int $entity_accessid The entity's access id
+ * @param int $entity_access_id The entity's access id
*
- * @return string e.g. Public, Private etc
+ * @return string 'Public', 'Private', etc.
* @since 1.7.0
* @todo I think this probably wants get_access_array() instead of get_write_access_array(),
* but those two functions return different types of arrays.
*/
-function get_readable_access_level($entity_accessid) {
- $access = (int) $entity_accessid;
+function get_readable_access_level($entity_access_id) {
+ $access = (int) $entity_access_id;
//get the access level for object in readable string
$options = get_write_access_array();
- //@todo Really? Use array_key_exists()
- foreach ($options as $key => $option) {
- if ($key == $access) {
- $entity_acl = htmlentities($option, ENT_QUOTES, 'UTF-8');
- return $entity_acl;
- break;
- }
+ if (array_key_exists($access, $options)) {
+ return $options[$access];
}
- return false;
+
+ // return 'Limited' if the user does not have access to the access collection
+ return elgg_echo('access:limited:label');
}
/**
@@ -911,13 +901,15 @@ function get_readable_access_level($entity_accessid) {
* The access system will not return entities in any getter
* functions if the user doesn't have access.
*
- * @internal For performance reasons this is done at the database level.
+ * @internal For performance reasons this is done at the database access clause level.
*
* @tip Use this to access entities in automated scripts
* when no user is logged in.
*
- * @warning This will not show disabled entities. Use {@link $ENTITY_SHOW_HIDDEN_OVERRIDE}
- * for that.
+ * @note This clears the access cache.
+ *
+ * @warning This will not show disabled entities.
+ * Use {@link access_show_hidden_entities()} to access disabled entities.
*
* @param bool $ignore If true, disables all access checks.
*
@@ -927,6 +919,8 @@ function get_readable_access_level($entity_accessid) {
* @see elgg_get_ignore_access()
*/
function elgg_set_ignore_access($ignore = true) {
+ $cache = _elgg_get_access_cache();
+ $cache->clear();
$elgg_access = elgg_get_access_object();
return $elgg_access->setIgnoreAccess($ignore);
}
@@ -944,17 +938,20 @@ function elgg_get_ignore_access() {
}
/**
- * Decides if the access system is being ignored.
+ * Decides if the access system should be ignored for a user.
+ *
+ * Returns true (meaning ignore access) if either of these 2 conditions are true:
+ * 1) an admin user guid is passed to this function.
+ * 2) {@link elgg_get_ignore_access()} returns true.
*
- * The access system can be ignored if 1) an admin user is logged in
- * or 2) {@link elgg_set_ignore_access()} was called with true.
+ * @see elgg_set_ignore_access()
*
- * @param mixed $user_guid The user to check against. Defaults to logged in.
+ * @param int $user_guid The user to check against.
*
* @return bool
* @since 1.7.0
*/
-function elgg_check_access_overrides($user_guid = null) {
+function elgg_check_access_overrides($user_guid = 0) {
if (!$user_guid || $user_guid <= 0) {
$is_admin = false;
} else {
@@ -967,6 +964,7 @@ function elgg_check_access_overrides($user_guid = null) {
/**
* Returns the ElggAccess object.
*
+ * // @todo comment is incomplete
* This is used to
*
* @return ElggAccess
@@ -988,7 +986,8 @@ function elgg_get_access_object() {
*
* @global bool $init_finished
* @access private
- * @todo investigate why this is needed
+ * @todo This is required to tell the access system to start caching because
+ * calls are made while in ignore access mode and before the user is logged in.
*/
$init_finished = false;
@@ -1006,17 +1005,35 @@ function access_init() {
}
/**
- * Check if the access system should be overridden.
+ * Overrides the access system if appropriate.
*
* Allows admin users and calls after {@link elgg_set_ignore_access} to
- * by pass the access system.
+ * bypass the access system.
+ *
+ * Registered for the 'permissions_check', 'all' and the
+ * 'container_permissions_check', 'all' plugin hooks.
*
+ * Returns true to override the access system or null if no change is needed.
+ *
+ * @param string $hook
+ * @param string $type
+ * @param bool $value
+ * @param array $params
* @return true|null
- * @since 1.7.0
- * @elgg_event_handler permissions_check all
+ * @access private
*/
-function elgg_override_permissions_hook() {
- $user_guid = get_loggedin_userid();
+function elgg_override_permissions($hook, $type, $value, $params) {
+ $user = elgg_extract('user', $params);
+ if ($user) {
+ $user_guid = $user->getGUID();
+ } else {
+ $user_guid = elgg_get_logged_in_user_guid();
+ }
+
+ // don't do this so ignore access still works with no one logged in
+ //if (!$user instanceof ElggUser) {
+ // return false;
+ //}
// check for admin
if ($user_guid && elgg_is_admin_user($user_guid)) {
@@ -1032,9 +1049,30 @@ function elgg_override_permissions_hook() {
return NULL;
}
-// This function will let us know when 'init' has finished
-elgg_register_event_handler('init', 'system', 'access_init', 9999);
+/**
+ * Runs unit tests for the entities object.
+ *
+ * @param string $hook
+ * @param string $type
+ * @param array $value
+ * @param array $params
+ * @return array
+ *
+ * @access private
+ */
+function access_test($hook, $type, $value, $params) {
+ global $CONFIG;
+
+ $value[] = $CONFIG->path . 'engine/tests/api/access_collections.php';
+ return $value;
+}
+
+// Tell the access functions the system has booted, plugins are loaded,
+// and the user is logged in so it can start caching
+elgg_register_event_handler('ready', 'system', 'access_init');
// For overrided permissions
-elgg_register_plugin_hook_handler('permissions_check', 'all', 'elgg_override_permissions_hook');
-elgg_register_plugin_hook_handler('container_permissions_check', 'all', 'elgg_override_permissions_hook'); \ No newline at end of file
+elgg_register_plugin_hook_handler('permissions_check', 'all', 'elgg_override_permissions');
+elgg_register_plugin_hook_handler('container_permissions_check', 'all', 'elgg_override_permissions');
+
+elgg_register_plugin_hook_handler('unit_test', 'system', 'access_test'); \ No newline at end of file
diff --git a/engine/lib/actions.php b/engine/lib/actions.php
index bdd519458..8047914ac 100644
--- a/engine/lib/actions.php
+++ b/engine/lib/actions.php
@@ -2,21 +2,23 @@
/**
* Elgg Actions
*
- * Actions are the primary controllers (The C in MVC) in Elgg. The are
- * registered by {@link register_elgg_action()} and are called either by URL
- * http://elggsite.org/action/action_name or {@link action($action_name}. For
- * URLs, rewrite a rule in .htaccess passes the action name to
- * engine/handlers/action_handler.php, which dispatches the action.
+ * Actions are one of the primary controllers (The C in MVC) in Elgg. They are
+ * registered by {@link register_elgg_action()} and are called by URL
+ * http://elggsite.org/action/action_name. For URLs, a rewrite rule in
+ * .htaccess passes the action name to engine/handlers/action_handler.php,
+ * which dispatches the request for the action.
*
- * An action name should be registered to exactly one file in the system, usually under
- * the actions/ directory.
+ * An action name must be registered to a file in the system. Core actions are
+ * found in /actions/ and plugin actions are usually under /mod/<plugin>/actions/.
+ * It is recommended that actions be namespaced to avoid collisions.
*
* All actions require security tokens. Using the {@elgg_view input/form} view
- * will automatically add tokens as hidden inputs. To manually add hidden inputs,
- * use the {@elgg_view input/securitytoken} view.
+ * will automatically add tokens as hidden inputs as will the elgg_view_form()
+ * function. To manually add hidden inputs, use the {@elgg_view input/securitytoken} view.
*
* To include security tokens for actions called via GET, use
- * {@link elgg_add_security_tokens_to_url()}.
+ * {@link elgg_add_security_tokens_to_url()} or specify is_action as true when
+ * using {@lgg_view output/url}.
*
* Action tokens can be manually generated by using {@link generate_action_token()}.
*
@@ -31,30 +33,30 @@
*/
/**
-* Perform an action.
-*
-* This function executes the action with name $action as
-* registered by {@link register_action()}.
-*
-* The plugin hook action, $action_name will be emitted before
-* the action is executed. If a handler returns false, it will
-* prevent the action from being called.
-*
-* @note If an action isn't registered in the system or is registered
-* to an unavailable file the user will be forwarded to the site front
-* page and an error will be emitted via {@link register_error()}.
-*
-* @warning All actions require {@link http://docs.elgg.org/Actions/Tokens Action Tokens}.
-* @warning Most plugin shouldn't call this manually.
-*
-* @param string $action The requested action
-* @param string $forwarder Optionally, the location to forward to
-*
-* @link http://docs.elgg.org/Actions
-* @see register_action()
-*
-* @return void
-*/
+ * Perform an action.
+ *
+ * This function executes the action with name $action as registered
+ * by {@link elgg_register_action()}.
+ *
+ * The plugin hook 'action', $action_name will be triggered before the action
+ * is executed. If a handler returns false, it will prevent the action script
+ * from being called.
+ *
+ * @note If an action isn't registered in the system or is registered
+ * to an unavailable file the user will be forwarded to the site front
+ * page and an error will be emitted via {@link register_error()}.
+ *
+ * @warning All actions require {@link http://docs.elgg.org/Actions/Tokens Action Tokens}.
+ *
+ * @param string $action The requested action
+ * @param string $forwarder Optionally, the location to forward to
+ *
+ * @link http://docs.elgg.org/Actions
+ * @see elgg_register_action()
+ *
+ * @return void
+ * @access private
+ */
function action($action, $forwarder = "") {
global $CONFIG;
@@ -63,99 +65,83 @@ function action($action, $forwarder = "") {
// @todo REMOVE THESE ONCE #1509 IS IN PLACE.
// Allow users to disable plugins without a token in order to
// remove plugins that are incompatible.
- // Login and logout are for convenience.
+ // Logout for convenience.
// file/download (see #2010)
$exceptions = array(
'admin/plugins/disable',
'logout',
- 'login',
'file/download',
);
if (!in_array($action, $exceptions)) {
- // All actions require a token.
- action_gatekeeper();
+ action_gatekeeper($action);
}
$forwarder = str_replace(elgg_get_site_url(), "", $forwarder);
$forwarder = str_replace("http://", "", $forwarder);
$forwarder = str_replace("@", "", $forwarder);
-
if (substr($forwarder, 0, 1) == "/") {
$forwarder = substr($forwarder, 1);
}
- if (isset($CONFIG->actions[$action])) {
- if ((isadminloggedin()) || (!$CONFIG->actions[$action]['admin'])) {
- if ($CONFIG->actions[$action]['public'] || get_loggedin_userid()) {
-
- // Trigger action event
- // @todo This is only called before the primary action is called.
- $event_result = true;
- $event_result = elgg_trigger_plugin_hook('action', $action, null, $event_result);
-
- // Include action
- // Event_result being false doesn't produce an error
- // since i assume this will be handled in the hook itself.
- // @todo make this better!
- if ($event_result) {
- if (!include($CONFIG->actions[$action]['file'])) {
- register_error(elgg_echo('actionnotfound', array($action)));
- }
- }
- } else {
- register_error(elgg_echo('actionloggedout'));
+ if (!isset($CONFIG->actions[$action])) {
+ register_error(elgg_echo('actionundefined', array($action)));
+ } elseif (!elgg_is_admin_logged_in() && ($CONFIG->actions[$action]['access'] === 'admin')) {
+ register_error(elgg_echo('actionunauthorized'));
+ } elseif (!elgg_is_logged_in() && ($CONFIG->actions[$action]['access'] !== 'public')) {
+ register_error(elgg_echo('actionloggedout'));
+ } else {
+ // Returning falsy doesn't produce an error
+ // We assume this will be handled in the hook itself.
+ if (elgg_trigger_plugin_hook('action', $action, null, true)) {
+ if (!include($CONFIG->actions[$action]['file'])) {
+ register_error(elgg_echo('actionnotfound', array($action)));
}
- } else {
- register_error(elgg_echo('actionunauthorized'));
}
- } else {
- register_error(elgg_echo('actionundefined', array($action)));
}
+ $forwarder = empty($forwarder) ? REFERER : $forwarder;
forward($forwarder);
}
/**
* Registers an action.
*
- * Actions are registered to a single file in the system and are executed
- * either by the URL http://elggsite.org/action/action_name or by calling
- * {@link action()}.
+ * Actions are registered to a script in the system and are executed
+ * either by the URL http://elggsite.org/action/action_name/.
*
- * $file must be the full path of the file to register, or a path relative
+ * $filename must be the full path of the file to register, or a path relative
* to the core actions/ dir.
*
* Actions should be namedspaced for your plugin. Example:
* <code>
- * register_action('myplugin/save_settings', ...);
+ * elgg_register_action('myplugin/save_settings', ...);
* </code>
*
- * @tip Put action files under the actions/ directory of your plugin.
+ * @tip Put action files under the actions/<plugin_name> directory of your plugin.
*
- * @tip You don't need to include engine/start.php, call {@link gatekeeper()},
- * or call {@link admin_gatekeeper()}.
+ * @tip You don't need to include engine/start.php in your action files.
*
* @internal Actions are saved in $CONFIG->actions as an array in the form:
* <code>
* array(
* 'file' => '/location/to/file.php',
- * 'public' => BOOL If false, user must be logged in.
- * 'admin' => BOOL If true, user must be admin (implies plugin = false)
+ * 'access' => 'public', 'logged_in', or 'admin'
* )
* </code>
*
- * @param string $action The name of the action (eg "register", "account/settings/save")
- * @param boolean $public Can this action be accessed by people not logged into the system?
- * @param string $filename Optionally, the filename where this action is located
- * @param boolean $admin_only Whether this action is only available to admin users.
+ * @param string $action The name of the action (eg "register", "account/settings/save")
+ * @param string $filename Optionally, the filename where this action is located. If not specified,
+ * will assume the action is in elgg/actions/<action>.php
+ * @param string $access Who is allowed to execute this action: public, logged_in, admin.
+ * (default: logged_in)
*
* @see action()
* @see http://docs.elgg.org/Actions
*
- * @return true
+ * @return bool
*/
-function register_action($action, $public = false, $filename = "", $admin_only = false) {
+function elgg_register_action($action, $filename = "", $access = 'logged_in') {
global $CONFIG;
// plugins are encouraged to call actions with a trailing / to prevent 301
@@ -177,23 +163,57 @@ function register_action($action, $public = false, $filename = "", $admin_only =
$CONFIG->actions[$action] = array(
'file' => $filename,
- 'public' => $public,
- 'admin' => $admin_only
+ 'access' => $access,
);
return true;
}
/**
+ * Unregisters an action
+ *
+ * @param string $action Action name
+ * @return bool
+ * @since 1.8.1
+ */
+function elgg_unregister_action($action) {
+ global $CONFIG;
+
+ if (isset($CONFIG->actions[$action])) {
+ unset($CONFIG->actions[$action]);
+ return true;
+ } else {
+ return false;
+ }
+}
+
+/**
+ * Is the token timestamp within acceptable range?
+ *
+ * @param int $ts timestamp from the CSRF token
+ *
+ * @return bool
+ */
+function _elgg_validate_token_timestamp($ts) {
+ $action_token_timeout = elgg_get_config('action_token_timeout');
+ // default is 2 hours
+ $timeout = ($action_token_timeout !== null) ? $action_token_timeout : 2;
+
+ $hour = 60 * 60;
+ $timeout = $timeout * $hour;
+ $now = time();
+
+ // Validate time to ensure its not crazy
+ return ($timeout == 0 || ($ts > $now - $timeout) && ($ts < $now + $timeout));
+}
+
+/**
* Validate an action token.
*
- * Calls to actions will automatically validate tokens.
- * If tokens are not present or invalid, the action will be
- * denied and the user will be redirected to the front page.
+ * Calls to actions will automatically validate tokens. If tokens are not
+ * present or invalid, the action will be denied and the user will be redirected.
*
* Plugin authors should never have to manually validate action tokens.
*
- * @access private
- *
* @param bool $visibleerrors Emit {@link register_error()} errors on failure?
* @param mixed $token The token to test against. Default: $_REQUEST['__elgg_token']
* @param mixed $ts The time stamp to test against. Default: $_REQUEST['__elgg_ts']
@@ -201,6 +221,7 @@ function register_action($action, $public = false, $filename = "", $admin_only =
* @return bool
* @see generate_action_token()
* @link http://docs.elgg.org/Actions/Tokens
+ * @access private
*/
function validate_action_token($visibleerrors = TRUE, $token = NULL, $ts = NULL) {
if (!$token) {
@@ -215,17 +236,14 @@ function validate_action_token($visibleerrors = TRUE, $token = NULL, $ts = NULL)
if (($token) && ($ts) && ($session_id)) {
// generate token, check with input and forward if invalid
- $generated_token = generate_action_token($ts);
+ $required_token = generate_action_token($ts);
// Validate token
- if ($token == $generated_token) {
- $hour = 60 * 60;
- $now = time();
-
- // Validate time to ensure its not crazy
- if (($ts > $now - $hour) && ($ts < $now + $hour)) {
+ if ($token == $required_token) {
+
+ if (_elgg_validate_token_timestamp($ts)) {
// We have already got this far, so unless anything
- // else says something to the contry we assume we're ok
+ // else says something to the contrary we assume we're ok
$returnval = true;
$returnval = elgg_trigger_plugin_hook('action_gatekeeper:permissions:check', 'all', array(
@@ -239,37 +257,78 @@ function validate_action_token($visibleerrors = TRUE, $token = NULL, $ts = NULL)
register_error(elgg_echo('actiongatekeeper:pluginprevents'));
}
} else if ($visibleerrors) {
- register_error(elgg_echo('actiongatekeeper:timeerror'));
+ // this is necessary because of #5133
+ if (elgg_is_xhr()) {
+ register_error(elgg_echo('js:security:token_refresh_failed', array(elgg_get_site_url())));
+ } else {
+ register_error(elgg_echo('actiongatekeeper:timeerror'));
+ }
}
} else if ($visibleerrors) {
- register_error(elgg_echo('actiongatekeeper:tokeninvalid'));
+ // this is necessary because of #5133
+ if (elgg_is_xhr()) {
+ register_error(elgg_echo('js:security:token_refresh_failed', array(elgg_get_site_url())));
+ } else {
+ register_error(elgg_echo('actiongatekeeper:tokeninvalid'));
+ }
+ }
+ } else {
+ if (! empty($_SERVER['CONTENT_LENGTH']) && empty($_POST)) {
+ // The size of $_POST or uploaded file has exceed the size limit
+ $error_msg = elgg_trigger_plugin_hook('action_gatekeeper:upload_exceeded_msg', 'all', array(
+ 'post_size' => $_SERVER['CONTENT_LENGTH'],
+ 'visible_errors' => $visibleerrors,
+ ), elgg_echo('actiongatekeeper:uploadexceeded'));
+ } else {
+ $error_msg = elgg_echo('actiongatekeeper:missingfields');
+ }
+ if ($visibleerrors) {
+ register_error($error_msg);
}
- } else if ($visibleerrors) {
- register_error(elgg_echo('actiongatekeeper:missingfields'));
}
return FALSE;
}
/**
-* Validates the presence of action tokens.
-*
-* This function is called for all actions. If action tokens are missing,
-* the user will be forwarded to the site front page and an error emitted.
-*
-* This function verifies form input for security features (like a generated token), and forwards
-* the page if they are invalid.
-*
-* @access private
-* @return mixed True if valid, or redirects to front page and exists.
-*/
-function action_gatekeeper() {
- if (validate_action_token()) {
- return TRUE;
+ * Validates the presence of action tokens.
+ *
+ * This function is called for all actions. If action tokens are missing,
+ * the user will be forwarded to the site front page and an error emitted.
+ *
+ * This function verifies form input for security features (like a generated token),
+ * and forwards if they are invalid.
+ *
+ * @param string $action The action being performed
+ *
+ * @return mixed True if valid or redirects.
+ * @access private
+ */
+function action_gatekeeper($action) {
+ if ($action === 'login') {
+ if (validate_action_token(false)) {
+ return true;
+ }
+
+ $token = get_input('__elgg_token');
+ $ts = (int)get_input('__elgg_ts');
+ if ($token && _elgg_validate_token_timestamp($ts)) {
+ // The tokens are present and the time looks valid: this is probably a mismatch due to the
+ // login form being on a different domain.
+ register_error(elgg_echo('actiongatekeeper:crosssitelogin'));
+
+
+ forward('login', 'csrf');
+ }
+
+ // let the validator send an appropriate msg
+ validate_action_token();
+
+ } elseif (validate_action_token()) {
+ return true;
}
- forward('', 'csrf');
- exit;
+ forward(REFERER, 'csrf');
}
/**
@@ -289,6 +348,7 @@ function action_gatekeeper() {
* @example actions/manual_tokens.php
*
* @return string|false
+ * @access private
*/
function generate_action_token($timestamp) {
$site_secret = get_site_secret();
@@ -304,16 +364,19 @@ function generate_action_token($timestamp) {
}
/**
- * Initialise the site secret hash.
+ * Initialise the site secret (32 bytes: "z" to indicate format + 186-bit key in Base64 URL).
*
* Used during installation and saves as a datalist.
*
+ * Note: Old secrets were hex encoded.
+ *
* @return mixed The site secret hash or false
* @access private
* @todo Move to better file.
*/
function init_site_secret() {
- $secret = md5(rand() . microtime());
+ $secret = 'z' . ElggCrypto::getRandomString(31);
+
if (datalist_set('__site_secret__', $secret)) {
return $secret;
}
@@ -340,40 +403,49 @@ function get_site_secret() {
}
/**
- * Check if an action is registered and its file exists.
+ * Get the strength of the site secret
*
- * @param string $action Action name
- *
- * @return BOOL
- * @since 1.8
+ * @return string "strong", "moderate", or "weak"
+ * @access private
*/
-function elgg_action_exist($action) {
- global $CONFIG;
-
- return (isset($CONFIG->actions[$action]) && file_exists($CONFIG->actions[$action]['file']));
+function _elgg_get_site_secret_strength() {
+ $secret = get_site_secret();
+ if ($secret[0] !== 'z') {
+ $rand_max = getrandmax();
+ if ($rand_max < pow(2, 16)) {
+ return 'weak';
+ }
+ if ($rand_max < pow(2, 32)) {
+ return 'moderate';
+ }
+ }
+ return 'strong';
}
/**
- * Initialize some ajaxy actions features
+ * Check if an action is registered and its script exists.
+ *
+ * @param string $action Action name
+ *
+ * @return bool
+ * @since 1.8.0
*/
-function actions_init()
-{
- register_action('security/refreshtoken', TRUE);
-
- elgg_view_register_simplecache('js/languages/en');
+function elgg_action_exists($action) {
+ global $CONFIG;
- elgg_register_plugin_hook_handler('action', 'all', 'ajax_action_hook');
- elgg_register_plugin_hook_handler('forward', 'all', 'ajax_forward_hook');
+ return (isset($CONFIG->actions[$action]) && file_exists($CONFIG->actions[$action]['file']));
}
/**
* Checks whether the request was requested via ajax
*
* @return bool whether page was requested via ajax
+ * @since 1.8.0
*/
function elgg_is_xhr() {
return isset($_SERVER['HTTP_X_REQUESTED_WITH'])
- && strtolower($_SERVER['HTTP_X_REQUESTED_WITH']) == 'xmlhttprequest';
+ && strtolower($_SERVER['HTTP_X_REQUESTED_WITH']) == 'xmlhttprequest' ||
+ get_input('X-Requested-With') === 'XMLHttpRequest';
}
/**
@@ -397,10 +469,21 @@ function elgg_is_xhr() {
* @param string $type
* @param string $reason
* @param array $params
- *
+ * @return void
+ * @access private
*/
function ajax_forward_hook($hook, $type, $reason, $params) {
if (elgg_is_xhr()) {
+ // always pass the full structure to avoid boilerplate JS code.
+ $params = array(
+ 'output' => '',
+ 'status' => 0,
+ 'system_messages' => array(
+ 'error' => array(),
+ 'success' => array()
+ )
+ );
+
//grab any data echo'd in the action
$output = ob_get_clean();
@@ -413,15 +496,27 @@ function ajax_forward_hook($hook, $type, $reason, $params) {
}
//Grab any system messages so we can inject them via ajax too
- $params['system_messages'] = system_messages(NULL, "");
+ $system_messages = system_messages(NULL, "");
- if (isset($params['system_messages']['errors'])) {
+ if (isset($system_messages['success'])) {
+ $params['system_messages']['success'] = $system_messages['success'];
+ }
+
+ if (isset($system_messages['error'])) {
+ $params['system_messages']['error'] = $system_messages['error'];
$params['status'] = -1;
+ }
+
+ // Check the requester can accept JSON responses, if not fall back to
+ // returning JSON in a plain-text response. Some libraries request
+ // JSON in an invisible iframe which they then read from the iframe,
+ // however some browsers will not accept the JSON MIME type.
+ if (stripos($_SERVER['HTTP_ACCEPT'], 'application/json') === FALSE) {
+ header("Content-type: text/plain");
} else {
- $params['status'] = 0;
+ header("Content-type: application/json");
}
- header("Content-type: application/json");
echo json_encode($params);
exit;
}
@@ -429,6 +524,8 @@ function ajax_forward_hook($hook, $type, $reason, $params) {
/**
* Buffer all output echo'd directly in the action for inclusion in the returned JSON.
+ * @return void
+ * @access private
*/
function ajax_action_hook() {
if (elgg_is_xhr()) {
@@ -436,4 +533,17 @@ function ajax_action_hook() {
}
}
-elgg_register_event_handler('init', 'system', 'actions_init'); \ No newline at end of file
+/**
+ * Initialize some ajaxy actions features
+ * @access private
+ */
+function actions_init() {
+ elgg_register_action('security/refreshtoken', '', 'public');
+
+ elgg_register_simplecache_view('js/languages/en');
+
+ elgg_register_plugin_hook_handler('action', 'all', 'ajax_action_hook');
+ elgg_register_plugin_hook_handler('forward', 'all', 'ajax_forward_hook');
+}
+
+elgg_register_event_handler('init', 'system', 'actions_init');
diff --git a/engine/lib/admin.php b/engine/lib/admin.php
index 1e6f896ae..f36f29668 100644
--- a/engine/lib/admin.php
+++ b/engine/lib/admin.php
@@ -1,159 +1,443 @@
<?php
/**
* Elgg admin functions.
- * Functions for adding and manipulating options on the admin panel.
*
- * @package Elgg
- * @subpackage Core
- */
-
-/**
- * Register an admin page with the admin panel.
- * This function extends the view "admin/main" with the provided view.
- * This view should provide a description and either a control or a link to.
+ * Admin menu items
+ * Elgg has a convenience function for adding menu items to the sidebar of the
+ * admin area. @see elgg_register_admin_menu_item()
*
- * Usage:
- * - To add a control to the main admin panel then extend admin/main
- * - To add a control to a new page create a page which renders a view admin/subpage
- * (where subpage is your new page -
- * nb. some pages already exist that you can extend), extend the main view to point to it,
- * and add controls to your new view.
+ * Admin pages
+ * Plugins no not need to provide their own page handler to add a page to the
+ * admin area. A view placed at admin/<section>/<subsection> can be access
+ * at http://example.org/admin/<section>/<subsection>. The title of the page
+ * will be elgg_echo('admin:<section>:<subsection>'). For an example of how to
+ * add a page to the admin area, see the diagnostics plugin.
*
- * At the moment this is essentially a wrapper around elgg_extend_view().
+ * Admin notices
+ * System messages (success and error messages) are used in both the main site
+ * and the admin area. There is a special presistent message for the admin area
+ * called an admin notice. It should be used when a plugin requires an
+ * administrator to take an action. An example is the categories plugin
+ * requesting that the administrator set site categories after the plugin has
+ * been activated. @see elgg_add_admin_notice()
*
- * @param string $new_admin_view The view associated with the control you're adding
- * @param string $view The view to extend, by default this is 'admin/main'.
- * @param int $priority Optional priority to govern the appearance in the list.
*
- * @return void
+ * @package Elgg.Core
+ * @subpackage Admin
*/
-function extend_elgg_admin_page($new_admin_view, $view = 'admin/main', $priority = 500) {
- elgg_deprecated_notice('extend_elgg_admin_page() does nothing. Extend admin views manually.', 1.8);
-}
/**
- * Calculate the plugin settings submenu.
- * This is done in a separate function called from the admin
- * page handler because of performance concerns.
+ * Get the admin users
*
- * @return void
+ * @param array $options Options array, @see elgg_get_entities() for parameters
+ *
+ * @return mixed Array of admin users or false on failure. If a count, returns int.
+ * @since 1.8.0
*/
-function elgg_admin_add_plugin_settings_sidemenu() {
+function elgg_get_admins(array $options = array()) {
global $CONFIG;
- if (!$installed_plugins = get_installed_plugins()) {
- // nothing added because no items
- return FALSE;
+ if (isset($options['joins'])) {
+ if (!is_array($options['joins'])) {
+ $options['joins'] = array($options['joins']);
+ }
+ $options['joins'][] = "join {$CONFIG->dbprefix}users_entity u on e.guid=u.guid";
+ } else {
+ $options['joins'] = array("join {$CONFIG->dbprefix}users_entity u on e.guid=u.guid");
}
- $parent_item = array(
- 'text' => elgg_echo('admin:plugin_settings'),
- 'id' => 'admin:plugin_settings'
- );
+ if (isset($options['wheres'])) {
+ if (!is_array($options['wheres'])) {
+ $options['wheres'] = array($options['wheres']);
+ }
+ $options['wheres'][] = "u.admin = 'yes'";
+ } else {
+ $options['wheres'][] = "u.admin = 'yes'";
+ }
- elgg_add_submenu_item($parent_item, 'admin');
+ return elgg_get_entities($options);
+}
- foreach ($installed_plugins as $plugin_id => $info) {
- if (!$info['active']) {
- continue;
+/**
+ * Write a persistent message to the admin view.
+ * Useful to alert the admin to take a certain action.
+ * The id is a unique ID that can be cleared once the admin
+ * completes the action.
+ *
+ * eg: add_admin_notice('twitter_services_no_api',
+ * 'Before your users can use Twitter services on this site, you must set up
+ * the Twitter API key in the <a href="link">Twitter Services Settings</a>');
+ *
+ * @param string $id A unique ID that your plugin can remember
+ * @param string $message Body of the message
+ *
+ * @return bool
+ * @since 1.8.0
+ */
+function elgg_add_admin_notice($id, $message) {
+ if ($id && $message) {
+ if (elgg_admin_notice_exists($id)) {
+ return false;
}
- if (elgg_view_exists("settings/{$plugin_id}/edit")) {
- $item = array(
- 'text' => $info['manifest']['name'],
- 'href' => "pg/admin/plugin_settings/$plugin_id",
- 'parent_id' => 'admin:plugin_settings'
- );
+ // need to handle when no one is logged in
+ $old_ia = elgg_set_ignore_access(true);
+
+ $admin_notice = new ElggObject();
+ $admin_notice->subtype = 'admin_notice';
+ // admins can see ACCESS_PRIVATE but no one else can.
+ $admin_notice->access_id = ACCESS_PRIVATE;
+ $admin_notice->admin_notice_id = $id;
+ $admin_notice->description = $message;
+
+ $result = $admin_notice->save();
+
+ elgg_set_ignore_access($old_ia);
+
+ return (bool)$result;
+ }
+
+ return false;
+}
+
+/**
+ * Remove an admin notice by ID.
+ *
+ * eg In actions/twitter_service/save_settings:
+ * if (is_valid_twitter_api_key()) {
+ * delete_admin_notice('twitter_services_no_api');
+ * }
+ *
+ * @param string $id The unique ID assigned in add_admin_notice()
+ *
+ * @return bool
+ * @since 1.8.0
+ */
+function elgg_delete_admin_notice($id) {
+ if (!$id) {
+ return FALSE;
+ }
+ $result = TRUE;
+ $notices = elgg_get_entities_from_metadata(array(
+ 'metadata_name' => 'admin_notice_id',
+ 'metadata_value' => $id
+ ));
- elgg_add_submenu_item($item, 'admin');
+ if ($notices) {
+ // in case a bad plugin adds many, let it remove them all at once.
+ foreach ($notices as $notice) {
+ $result = ($result && $notice->delete());
}
+ return $result;
}
+ return FALSE;
+}
+
+/**
+ * Get admin notices. An admin must be logged in since the notices are private.
+ *
+ * @param int $limit Limit
+ *
+ * @return array Array of admin notices
+ * @since 1.8.0
+ */
+function elgg_get_admin_notices($limit = 10) {
+ return elgg_get_entities_from_metadata(array(
+ 'type' => 'object',
+ 'subtype' => 'admin_notice',
+ 'limit' => $limit
+ ));
+}
+
+/**
+ * Check if an admin notice is currently active.
+ *
+ * @param string $id The unique ID used to register the notice.
+ *
+ * @return bool
+ * @since 1.8.0
+ */
+function elgg_admin_notice_exists($id) {
+ $old_ia = elgg_set_ignore_access(true);
+ $notice = elgg_get_entities_from_metadata(array(
+ 'type' => 'object',
+ 'subtype' => 'admin_notice',
+ 'metadata_name_value_pair' => array('name' => 'admin_notice_id', 'value' => $id)
+ ));
+ elgg_set_ignore_access($old_ia);
+
+ return ($notice) ? TRUE : FALSE;
}
/**
* Add an admin area section or child section.
- * This is a wrapper for elgg_add_admin_item(array(...), 'admin').
+ * This is a wrapper for elgg_register_menu_item().
*
* Used in conjuction with http://elgg.org/admin/section_id/child_section style
- * page handler.
+ * page handler. See the documentation at the top of this file for more details
+ * on that.
+ *
+ * The text of the menu item is obtained from elgg_echo(admin:$parent_id:$menu_id)
+ *
+ * This function handles registering the parent if it has not been registered.
*
- * @param string $section_id The Unique ID of section
- * @param string $section_title Human readable section title.
- * @param string $parent_id If a child section, the parent section id.
+ * @param string $section The menu section to add to
+ * @param string $menu_id The unique ID of section
+ * @param string $parent_id If a child section, the parent section id
+ * @param int $priority The menu item priority
*
* @return bool
+ * @since 1.8.0
*/
-function elgg_add_admin_submenu_item($section_id, $section_title, $parent_id = NULL) {
- global $CONFIG;
+function elgg_register_admin_menu_item($section, $menu_id, $parent_id = NULL, $priority = 100) {
+
+ // make sure parent is registered
+ if ($parent_id && !elgg_is_menu_item_registered('page', $parent_id)) {
+ elgg_register_admin_menu_item($section, $parent_id);
+ }
// in the admin section parents never have links
if ($parent_id) {
- $href = "pg/admin/$parent_id/$section_id";
- } elseif ($section_id == 'overview') {
- $href = "pg/admin/$section_id";
-
+ $href = "admin/$parent_id/$menu_id";
} else {
$href = NULL;
}
- $item = array(
- 'text' => $section_title,
- 'href' => $href,
- 'id' => $section_id,
- 'parent_id' => $parent_id
- );
+ $name = $menu_id;
+ if ($parent_id) {
+ $name = "$parent_id:$name";
+ }
- return elgg_add_submenu_item($item, 'admin');
+ return elgg_register_menu_item('page', array(
+ 'name' => $name,
+ 'href' => $href,
+ 'text' => elgg_echo("admin:$name"),
+ 'context' => 'admin',
+ 'parent_name' => $parent_id,
+ 'priority' => $priority,
+ 'section' => $section
+ ));
}
/**
- * Initialise the admin page.
- *
+ * Initialize the admin backend.
* @return void
+ * @access private
*/
function admin_init() {
- register_action('admin/user/ban', FALSE, "", TRUE);
- register_action('admin/user/unban', FALSE, "", TRUE);
- register_action('admin/user/delete', FALSE, "", TRUE);
- register_action('admin/user/resetpassword', FALSE, "", TRUE);
- register_action('admin/user/makeadmin', FALSE, "", TRUE);
- register_action('admin/user/removeadmin', FALSE, "", TRUE);
+ elgg_register_action('admin/user/ban', '', 'admin');
+ elgg_register_action('admin/user/unban', '', 'admin');
+ elgg_register_action('admin/user/delete', '', 'admin');
+ elgg_register_action('admin/user/resetpassword', '', 'admin');
+ elgg_register_action('admin/user/makeadmin', '', 'admin');
+ elgg_register_action('admin/user/removeadmin', '', 'admin');
+
+ elgg_register_action('admin/site/update_basic', '', 'admin');
+ elgg_register_action('admin/site/update_advanced', '', 'admin');
+ elgg_register_action('admin/site/flush_cache', '', 'admin');
+ elgg_register_action('admin/site/unlock_upgrade', '', 'admin');
+ elgg_register_action('admin/site/regenerate_secret', '', 'admin');
+
+ elgg_register_action('admin/menu/save', '', 'admin');
+
+ elgg_register_action('admin/delete_admin_notice', '', 'admin');
+
+ elgg_register_action('profile/fields/reset', '', 'admin');
+ elgg_register_action('profile/fields/add', '', 'admin');
+ elgg_register_action('profile/fields/edit', '', 'admin');
+ elgg_register_action('profile/fields/delete', '', 'admin');
+ elgg_register_action('profile/fields/reorder', '', 'admin');
+
+ elgg_register_simplecache_view('css/admin');
+ elgg_register_simplecache_view('js/admin');
+ $url = elgg_get_simplecache_url('js', 'admin');
+ elgg_register_js('elgg.admin', $url);
+ elgg_register_js('jquery.jeditable', 'vendors/jquery/jquery.jeditable.mini.js');
+
+ // administer
+ // dashboard
+ elgg_register_menu_item('page', array(
+ 'name' => 'dashboard',
+ 'href' => 'admin/dashboard',
+ 'text' => elgg_echo('admin:dashboard'),
+ 'context' => 'admin',
+ 'priority' => 10,
+ 'section' => 'administer'
+ ));
+ // statistics
+ elgg_register_admin_menu_item('administer', 'statistics', null, 20);
+ elgg_register_admin_menu_item('administer', 'overview', 'statistics');
+ elgg_register_admin_menu_item('administer', 'server', 'statistics');
- register_action('admin/site/update_basic', FALSE, "", TRUE);
- register_action('admin/site/update_advanced', FALSE, "", TRUE);
+ // users
+ elgg_register_admin_menu_item('administer', 'users', null, 20);
+ elgg_register_admin_menu_item('administer', 'online', 'users', 10);
+ elgg_register_admin_menu_item('administer', 'admins', 'users', 20);
+ elgg_register_admin_menu_item('administer', 'newest', 'users', 30);
+ elgg_register_admin_menu_item('administer', 'add', 'users', 40);
+
+ // configure
+ // plugins
+ elgg_register_menu_item('page', array(
+ 'name' => 'plugins',
+ 'href' => 'admin/plugins',
+ 'text' => elgg_echo('admin:plugins'),
+ 'context' => 'admin',
+ 'priority' => 75,
+ 'section' => 'configure'
+ ));
- register_action('admin/menu_items', FALSE, "", TRUE);
+ // settings
+ elgg_register_admin_menu_item('configure', 'appearance', null, 50);
+ elgg_register_admin_menu_item('configure', 'settings', null, 100);
+ elgg_register_admin_menu_item('configure', 'basic', 'settings', 10);
+ elgg_register_admin_menu_item('configure', 'advanced', 'settings', 20);
+ elgg_register_admin_menu_item('configure', 'advanced/site_secret', 'settings', 25);
+ elgg_register_admin_menu_item('configure', 'menu_items', 'appearance', 30);
+ elgg_register_admin_menu_item('configure', 'profile_fields', 'appearance', 40);
+ // default widgets is added via an event handler elgg_default_widgets_init() in widgets.php
+ // because it requires additional setup.
+
+ // plugin settings are added in elgg_admin_add_plugin_settings_menu() via the admin page handler
+ // for performance reasons.
+
+ // we want plugin settings menu items to be sorted alphabetical
+ if (elgg_in_context('admin')) {
+ elgg_register_plugin_hook_handler('prepare', 'menu:page', 'elgg_admin_sort_page_menu');
+ }
- register_action('admin/plugins/simple_update_states', FALSE, '', TRUE);
+ if (elgg_is_admin_logged_in()) {
+ elgg_register_menu_item('topbar', array(
+ 'name' => 'administration',
+ 'href' => 'admin',
+ 'text' => elgg_view_icon('settings') . elgg_echo('admin'),
+ 'priority' => 100,
+ 'section' => 'alt',
+ ));
+ }
+
+ // widgets
+ $widgets = array('online_users', 'new_users', 'content_stats', 'admin_welcome', 'control_panel');
+ foreach ($widgets as $widget) {
+ elgg_register_widget_type(
+ $widget,
+ elgg_echo("admin:widget:$widget"),
+ elgg_echo("admin:widget:$widget:help"),
+ 'admin'
+ );
+ }
- // admin area overview and basic site settings
- elgg_add_admin_submenu_item('overview', elgg_echo('admin:overview'));
+ // automatic adding of widgets for admin
+ elgg_register_event_handler('make_admin', 'user', 'elgg_add_admin_widgets');
- elgg_add_admin_submenu_item('site', elgg_echo('admin:site'));
- elgg_add_admin_submenu_item('basic', elgg_echo('admin:site:basic'), 'site');
- elgg_add_admin_submenu_item('advanced', elgg_echo('admin:site:advanced'), 'site');
+ elgg_register_page_handler('admin', 'admin_page_handler');
+ elgg_register_page_handler('admin_plugin_screenshot', 'admin_plugin_screenshot_page_handler');
+ elgg_register_page_handler('admin_plugin_text_file', 'admin_markdown_page_handler');
+}
- // appearance
- elgg_add_admin_submenu_item('appearance', elgg_echo('admin:appearance'));
+/**
+ * Create the plugin settings page menu.
+ *
+ * This is done in a separate function called from the admin
+ * page handler because of performance concerns.
+ *
+ * @return void
+ * @access private
+ * @since 1.8.0
+ */
+function elgg_admin_add_plugin_settings_menu() {
- //elgg_add_admin_submenu_item('basic', elgg_echo('admin:appearance'), 'appearance');
- elgg_add_admin_submenu_item('menu_items', elgg_echo('admin:menu_items'), 'appearance');
+ $active_plugins = elgg_get_plugins('active');
+ if (!$active_plugins) {
+ // nothing added because no items
+ return;
+ }
- // users
- elgg_add_admin_submenu_item('users', elgg_echo('admin:users'));
- elgg_add_admin_submenu_item('online', elgg_echo('admin:users:online'), 'users');
- elgg_add_admin_submenu_item('newest', elgg_echo('admin:users:newest'), 'users');
- elgg_add_admin_submenu_item('add', elgg_echo('admin:users:add'), 'users');
+ foreach ($active_plugins as $plugin) {
+ $plugin_id = $plugin->getID();
+ $settings_view_old = 'settings/' . $plugin_id . '/edit';
+ $settings_view_new = 'plugins/' . $plugin_id . '/settings';
+ if (elgg_view_exists($settings_view_new) || elgg_view_exists($settings_view_old)) {
+ elgg_register_menu_item('page', array(
+ 'name' => $plugin_id,
+ 'href' => "admin/plugin_settings/$plugin_id",
+ 'text' => $plugin->getManifest()->getName(),
+ 'parent_name' => 'settings',
+ 'context' => 'admin',
+ 'section' => 'configure',
+ ));
+ }
+ }
+}
- // plugins
- elgg_add_admin_submenu_item('plugins', elgg_echo('admin:plugins'));
- elgg_add_admin_submenu_item('simple', elgg_echo('admin:plugins:simple'), 'plugins');
- elgg_add_admin_submenu_item('advanced', elgg_echo('admin:plugins:advanced'), 'plugins');
+/**
+ * Sort the plugin settings menu items
+ *
+ * @param string $hook
+ * @param string $type
+ * @param array $return
+ * @param array $params
+ *
+ * @return void
+ * @since 1.8.0
+ * @access private
+ */
+function elgg_admin_sort_page_menu($hook, $type, $return, $params) {
+ $configure_items = $return['configure'];
+ /* @var ElggMenuItem[] $configure_items */
+ foreach ($configure_items as $menu_item) {
+ if ($menu_item->getName() == 'settings') {
+ $settings = $menu_item;
+ }
+ }
- // handled in the admin sidemenu so we don't have to generate this on every page load.
- //elgg_add_admin_submenu_item('plugin_settings', elgg_echo('admin:plugin_settings'));
+ // keep the basic and advanced settings at the top
+ /* @var ElggMenuItem $settings */
+ $children = $settings->getChildren();
+ $site_settings = array_splice($children, 0, 2);
+ usort($children, array('ElggMenuBuilder', 'compareByText'));
+ array_splice($children, 0, 0, $site_settings);
+ $settings->setChildren($children);
+}
- register_page_handler('admin', 'admin_settings_page_handler');
+/**
+ * Handles any set up required for administration pages
+ *
+ * @return void
+ * @access private
+ */
+function admin_pagesetup() {
+ if (elgg_in_context('admin')) {
+ $url = elgg_get_simplecache_url('css', 'admin');
+ elgg_register_css('elgg.admin', $url);
+ elgg_load_css('elgg.admin');
+ elgg_unregister_css('elgg');
+
+ // setup footer menu
+ elgg_register_menu_item('admin_footer', array(
+ 'name' => 'faq',
+ 'text' => elgg_echo('admin:footer:faq'),
+ 'href' => 'http://docs.elgg.org/wiki/Category:Administration_FAQ',
+ ));
+
+ elgg_register_menu_item('admin_footer', array(
+ 'name' => 'manual',
+ 'text' => elgg_echo('admin:footer:manual'),
+ 'href' => 'http://docs.elgg.org/wiki/Administration_Manual',
+ ));
+
+ elgg_register_menu_item('admin_footer', array(
+ 'name' => 'community_forums',
+ 'text' => elgg_echo('admin:footer:community_forums'),
+ 'href' => 'http://community.elgg.org/groups/all/',
+ ));
+
+ elgg_register_menu_item('admin_footer', array(
+ 'name' => 'blog',
+ 'text' => elgg_echo('admin:footer:blog'),
+ 'href' => 'http://blog.elgg.org/',
+ ));
+ }
}
/**
@@ -161,18 +445,22 @@ function admin_init() {
*
* @param array $page Array of pages
*
- * @return void
+ * @return bool
+ * @access private
*/
-function admin_settings_page_handler($page) {
- global $CONFIG;
+function admin_page_handler($page) {
admin_gatekeeper();
- elgg_admin_add_plugin_settings_sidemenu();
+ elgg_admin_add_plugin_settings_menu();
elgg_set_context('admin');
- // default to overview
+ elgg_unregister_css('elgg');
+ elgg_load_js('elgg.admin');
+ elgg_load_js('jquery.jeditable');
+
+ // default to dashboard
if (!isset($page[0]) || empty($page[0])) {
- $page = array('overview');
+ $page = array('dashboard');
}
// was going to fix this in the page_handler() function but
@@ -184,132 +472,192 @@ function admin_settings_page_handler($page) {
$vars = array('page' => $page);
// special page for plugin settings since we create the form for them
- if ($page[0] == 'plugin_settings' && isset($page[1])
- && elgg_view_exists("settings/{$page[1]}/edit")) {
+ if ($page[0] == 'plugin_settings') {
+ if (isset($page[1]) && (elgg_view_exists("settings/{$page[1]}/edit") ||
+ elgg_view_exists("plugins/{$page[1]}/settings"))) {
+
+ $view = 'admin/plugin_settings';
+ $plugin = elgg_get_plugin_from_id($page[1]);
+ $vars['plugin'] = $plugin;
- $view = '/admin/components/plugin_settings';
- $vars['plugin'] = $page[1];
- $vars['entity'] = find_plugin_settings($page[1]);
- $title = elgg_echo("admin:plugin_settings:{$page[1]}");
+ $title = elgg_echo("admin:{$page[0]}");
+ } else {
+ forward('', '404');
+ }
} else {
$view = 'admin/' . implode('/', $page);
- $title = elgg_echo('admin:' . implode(':', $page));
+ $title = elgg_echo("admin:{$page[0]}");
+ if (count($page) > 1) {
+ $title .= ' : ' . elgg_echo('admin:' . implode(':', $page));
+ }
}
- // allow a place to store helper views outside of the web-accessible views
+ // gets content and prevents direct access to 'components' views
if ($page[0] == 'components' || !($content = elgg_view($view, $vars))) {
$title = elgg_echo('admin:unknown_section');
$content = elgg_echo('admin:unknown_section');
}
- $notices_html = '';
- if ($notices = elgg_get_admin_notices()) {
- foreach ($notices as $notice) {
- $notices_html .= elgg_view_entity($notice);
- }
-
- $content = "<div class=\"admin_notices\">$notices_html</div>$content";
- }
-
- $body = elgg_view_layout('administration', array('content' => $content));
+ $body = elgg_view_layout('admin', array('content' => $content, 'title' => $title));
echo elgg_view_page($title, $body, 'admin');
+ return true;
}
/**
- * Write a persistent message to the admin view.
- * Useful to alert the admin to take a certain action.
- * The id is a unique ID that can be cleared once the admin
- * completes the action.
- *
- * eg: add_admin_notice('twitter_services_no_api',
- * 'Before your users can use Twitter services on this site, you must set up
- * the Twitter API key in the <a href="link">Twitter Services Settings</a>');
- *
- * @param string $id A unique ID that your plugin can remember
- * @param string $message Body of the message
+ * Serves up screenshots for plugins from
+ * admin_plugin_screenshot/<plugin_id>/<size>/<ss_name>.<ext>
*
- * @return boo
+ * @param array $pages The pages array
+ * @return bool
+ * @access private
*/
-function elgg_add_admin_notice($id, $message) {
- if ($id && $message) {
- $admin_notice = new ElggObject();
- $admin_notice->subtype = 'admin_notice';
- // admins can see ACCESS_PRIVATE but no one else can.
- $admin_notice->access_id = ACCESS_PRIVATE;
- $admin_notice->admin_notice_id = $id;
- $admin_notice->description = $message;
+function admin_plugin_screenshot_page_handler($pages) {
+ // only admins can use this for security
+ admin_gatekeeper();
- return $admin_notice->save();
+ $plugin_id = elgg_extract(0, $pages);
+ // only thumbnail or full.
+ $size = elgg_extract(1, $pages, 'thumbnail');
+
+ // the rest of the string is the filename
+ $filename_parts = array_slice($pages, 2);
+ $filename = implode('/', $filename_parts);
+ $filename = sanitise_filepath($filename, false);
+
+ $plugin = new ElggPlugin($plugin_id);
+ if (!$plugin) {
+ $file = elgg_get_root_path() . '_graphics/icons/default/medium.png';
+ } else {
+ $file = $plugin->getPath() . $filename;
+ if (!file_exists($file)) {
+ $file = elgg_get_root_path() . '_graphics/icons/default/medium.png';
+ }
}
- return FALSE;
-}
+ header("Content-type: image/jpeg");
+ // resize to 100x100 for thumbnails
+ switch ($size) {
+ case 'thumbnail':
+ echo get_resized_image_from_existing_file($file, 100, 100, true);
+ break;
+
+ case 'full':
+ default:
+ echo file_get_contents($file);
+ break;
+ }
+ return true;
+}
/**
- * Remove an admin notice by ID.
+ * Formats and serves out markdown files from plugins.
*
- * eg In actions/twitter_service/save_settings:
- * if (is_valid_twitter_api_key()) {
- * delete_admin_notice('twitter_services_no_api');
- * }
+ * URLs in format like admin_plugin_text_file/<plugin_id>/filename.ext
*
- * @param string $id The unique ID assigned in add_admin_notice()
+ * The only valid files are:
+ * * README.txt
+ * * CHANGES.txt
+ * * INSTALL.txt
+ * * COPYRIGHT.txt
+ * * LICENSE.txt
*
+ * @param array $pages
* @return bool
+ * @access private
*/
-function elgg_delete_admin_notice($id) {
- if (!$id) {
- return FALSE;
+function admin_markdown_page_handler($pages) {
+ admin_gatekeeper();
+
+ elgg_set_context('admin');
+
+ elgg_unregister_css('elgg');
+ elgg_load_js('elgg.admin');
+ elgg_load_js('jquery.jeditable');
+ elgg_load_library('elgg:markdown');
+
+ $plugin_id = elgg_extract(0, $pages);
+ $plugin = elgg_get_plugin_from_id($plugin_id);
+ $filename = elgg_extract(1, $pages);
+
+ $error = false;
+ if (!$plugin) {
+ $error = elgg_echo('admin:plugins:markdown:unknown_plugin');
+ $body = elgg_view_layout('admin', array('content' => $error, 'title' => $error));
+ echo elgg_view_page($error, $body, 'admin');
+ return true;
}
- $result = TRUE;
- $notices = elgg_get_entities_from_metadata(array(
- 'metadata_name' => 'admin_notice_id',
- 'metadata_value' => $id
- ));
- if ($notices) {
- // in case a bad plugin adds many, let it remove them all at once.
- foreach ($notices as $notice) {
- $result = ($result && $notice->delete());
- }
- return $result;
+ $text_files = $plugin->getAvailableTextFiles();
+
+ if (!array_key_exists($filename, $text_files)) {
+ $error = elgg_echo('admin:plugins:markdown:unknown_file');
}
- return FALSE;
-}
-/**
- * List all admin messages.
- *
- * @param int $limit Limit
- *
- * @return array List of admin notices
- */
-function elgg_get_admin_notices($limit = 10) {
- return elgg_get_entities_from_metadata(array(
- 'type' => 'object',
- 'subtype' => 'admin_notice',
- 'limit' => $limit
+ $file = $text_files[$filename];
+ $file_contents = file_get_contents($file);
+
+ if (!$file_contents) {
+ $error = elgg_echo('admin:plugins:markdown:unknown_file');
+ }
+
+ if ($error) {
+ $title = $error;
+ $body = elgg_view_layout('admin', array('content' => $error, 'title' => $title));
+ echo elgg_view_page($title, $body, 'admin');
+ return true;
+ }
+
+ $title = $plugin->getManifest()->getName() . ": $filename";
+ $text = Markdown($file_contents);
+
+ $body = elgg_view_layout('admin', array(
+ // setting classes here because there's no way to pass classes
+ // to the layout
+ 'content' => '<div class="elgg-markdown">' . $text . '</div>',
+ 'title' => $title
));
+
+ echo elgg_view_page($title, $body, 'admin');
+ return true;
}
/**
- * Check if an admin notice is currently active.
+ * Adds default admin widgets to the admin dashboard.
*
- * @param string $id The unique ID used to register the notice.
+ * @param string $event
+ * @param string $type
+ * @param ElggUser $user
*
- * @return bool
+ * @return null|true
+ * @access private
*/
-function elgg_admin_notice_exists($id) {
- $notice = elgg_get_entities_from_metadata(array(
- 'type' => 'object',
- 'subtype' => 'admin_notice',
- 'metadata_name_value_pair' => array('name' => 'admin_notice_id', 'value' => $id)
- ));
+function elgg_add_admin_widgets($event, $type, $user) {
+ elgg_set_ignore_access(true);
- return ($notice) ? TRUE : FALSE;
+ // check if the user already has widgets
+ if (elgg_get_widgets($user->getGUID(), 'admin')) {
+ return true;
+ }
+
+ // In the form column => array of handlers in order, top to bottom
+ $adminWidgets = array(
+ 1 => array('control_panel', 'admin_welcome'),
+ 2 => array('online_users', 'new_users', 'content_stats'),
+ );
+
+ foreach ($adminWidgets as $column => $handlers) {
+ foreach ($handlers as $position => $handler) {
+ $guid = elgg_create_widget($user->getGUID(), $handler, 'admin');
+ if ($guid) {
+ $widget = get_entity($guid);
+ /* @var ElggWidget $widget */
+ $widget->move($column, $position);
+ }
+ }
+ }
+ elgg_set_ignore_access(false);
}
-// Register init functions
elgg_register_event_handler('init', 'system', 'admin_init');
-elgg_register_event_handler('pagesetup', 'system', 'admin_pagesetup');
+elgg_register_event_handler('pagesetup', 'system', 'admin_pagesetup', 1000);
diff --git a/engine/lib/annotations.php b/engine/lib/annotations.php
index 851d558b5..5e9b530de 100644
--- a/engine/lib/annotations.php
+++ b/engine/lib/annotations.php
@@ -13,9 +13,11 @@
* @param stdClass $row Db row result object
*
* @return ElggAnnotation
+ * @access private
*/
function row_to_elggannotation($row) {
if (!($row instanceof stdClass)) {
+ // @todo should throw in this case?
return $row;
}
@@ -23,25 +25,30 @@ function row_to_elggannotation($row) {
}
/**
- * Get a specific annotation.
+ * Get a specific annotation by its id.
+ * If you want multiple annotation objects, use
+ * {@link elgg_get_annotations()}.
*
- * @param int $annotation_id Annotation ID
+ * @param int $id The id of the annotation object being retrieved.
*
- * @return ElggAnnotation
+ * @return ElggAnnotation|false
*/
-function get_annotation($annotation_id) {
- global $CONFIG;
-
- $annotation_id = (int) $annotation_id;
- $access = get_access_sql_suffix("a");
-
- $query = "SELECT a.*, n.string as name, v.string as value"
- . " from {$CONFIG->dbprefix}annotations a"
- . " JOIN {$CONFIG->dbprefix}metastrings n on a.name_id = n.id"
- . " JOIN {$CONFIG->dbprefix}metastrings v on a.value_id = v.id"
- . " where a.id=$annotation_id and $access";
+function elgg_get_annotation_from_id($id) {
+ return elgg_get_metastring_based_object_from_id($id, 'annotations');
+}
- return row_to_elggannotation(get_data_row($query));
+/**
+ * Deletes an annotation using its ID.
+ *
+ * @param int $id The annotation ID to delete.
+ * @return bool
+ */
+function elgg_delete_annotation_by_id($id) {
+ $annotation = elgg_get_annotation_from_id($id);
+ if (!$annotation) {
+ return false;
+ }
+ return $annotation->delete();
}
/**
@@ -50,14 +57,14 @@ function get_annotation($annotation_id) {
* @param int $entity_guid Entity Guid
* @param string $name Name of annotation
* @param string $value Value of annotation
- * @param string $value_type Type of value
- * @param int $owner_guid Owner of annotation
+ * @param string $value_type Type of value (default is auto detection)
+ * @param int $owner_guid Owner of annotation (default is logged in user)
* @param int $access_id Access level of annotation
*
* @return int|bool id on success or false on failure
*/
-function create_annotation($entity_guid, $name, $value, $value_type,
-$owner_guid, $access_id = ACCESS_PRIVATE) {
+function create_annotation($entity_guid, $name, $value, $value_type = '',
+$owner_guid = 0, $access_id = ACCESS_PRIVATE) {
global $CONFIG;
$result = false;
@@ -69,7 +76,7 @@ $owner_guid, $access_id = ACCESS_PRIVATE) {
$owner_guid = (int)$owner_guid;
if ($owner_guid == 0) {
- $owner_guid = get_loggedin_userid();
+ $owner_guid = elgg_get_logged_in_user_guid();
}
$access_id = (int)$access_id;
@@ -89,20 +96,18 @@ $owner_guid, $access_id = ACCESS_PRIVATE) {
$entity = get_entity($entity_guid);
if (elgg_trigger_event('annotate', $entity->type, $entity)) {
- system_log($entity, 'annotate');
-
// If ok then add it
$result = insert_data("INSERT into {$CONFIG->dbprefix}annotations
(entity_guid, name_id, value_id, value_type, owner_guid, time_created, access_id) VALUES
($entity_guid,'$name',$value,'$value_type', $owner_guid, $time, $access_id)");
if ($result !== false) {
- $obj = get_annotation($result);
+ $obj = elgg_get_annotation_from_id($result);
if (elgg_trigger_event('create', 'annotation', $obj)) {
return $result;
} else {
// plugin returned false to reject annotation
- delete_annotation($result);
+ elgg_delete_annotation_by_id($result);
return FALSE;
}
}
@@ -133,7 +138,7 @@ function update_annotation($annotation_id, $name, $value, $value_type, $owner_gu
$owner_guid = (int)$owner_guid;
if ($owner_guid == 0) {
- $owner_guid = get_loggedin_userid();
+ $owner_guid = elgg_get_logged_in_user_guid();
}
$access_id = (int)$access_id;
@@ -153,186 +158,158 @@ function update_annotation($annotation_id, $name, $value, $value_type, $owner_gu
// If ok then add it
$result = update_data("UPDATE {$CONFIG->dbprefix}annotations
- set value_id='$value', value_type='$value_type', access_id=$access_id, owner_guid=$owner_guid
- where id=$annotation_id and name_id='$name' and $access");
+ set name_id='$name', value_id='$value', value_type='$value_type', access_id=$access_id, owner_guid=$owner_guid
+ where id=$annotation_id and $access");
if ($result !== false) {
- $obj = get_annotation($annotation_id);
- if (elgg_trigger_event('update', 'annotation', $obj)) {
- return true;
- } else {
- // @todo add plugin hook that sends old and new annotation information before db access
- delete_annotation($annotation_id);
- }
+ // @todo add plugin hook that sends old and new annotation information before db access
+ $obj = elgg_get_annotation_from_id($annotation_id);
+ elgg_trigger_event('update', 'annotation', $obj);
}
return $result;
}
/**
- * Get a list of annotations for a given object/user/annotation type.
+ * Returns annotations. Accepts all elgg_get_entities() options for entity
+ * restraints.
*
- * @param int|array $entity_guid GUID to return annotations of (falsey for any)
- * @param string $entity_type Type of entity
- * @param string $entity_subtype Subtype of entity
- * @param string $name Name of annotation
- * @param mixed $value Value of annotation
- * @param int|array $owner_guid Owner(s) of annotation
- * @param int $limit Limit
- * @param int $offset Offset
- * @param string $order_by Order annotations by SQL
- * @param int $timelower Lower time limit
- * @param int $timeupper Upper time limit
- * @param int $entity_owner_guid Owner guid for the entity
+ * @see elgg_get_entities
*
- * @return array
+ * @param array $options Array in format:
+ *
+ * annotation_names => NULL|ARR Annotation names
+ * annotation_values => NULL|ARR Annotation values
+ * annotation_ids => NULL|ARR annotation ids
+ * annotation_case_sensitive => BOOL Overall Case sensitive
+ * annotation_owner_guids => NULL|ARR guids for annotation owners
+ * annotation_created_time_lower => INT Lower limit for created time.
+ * annotation_created_time_upper => INT Upper limit for created time.
+ * annotation_calculation => STR Perform the MySQL function on the annotation values returned.
+ * Do not confuse this "annotation_calculation" option with the
+ * "calculation" option to elgg_get_entities_from_annotation_calculation().
+ * The "annotation_calculation" option causes this function to
+ * return the result of performing a mathematical calculation on
+ * all annotations that match the query instead of ElggAnnotation
+ * objects.
+ * See the docs for elgg_get_entities_from_annotation_calculation()
+ * for the proper use of the "calculation" option.
+ *
+ *
+ * @return ElggAnnotation[]|mixed
+ * @since 1.8.0
*/
-function get_annotations($entity_guid = 0, $entity_type = "", $entity_subtype = "", $name = "",
-$value = "", $owner_guid = 0, $limit = 10, $offset = 0, $order_by = "asc", $timelower = 0,
-$timeupper = 0, $entity_owner_guid = 0) {
- global $CONFIG;
-
- $timelower = (int) $timelower;
- $timeupper = (int) $timeupper;
+function elgg_get_annotations(array $options = array()) {
- if (is_array($entity_guid)) {
- if (sizeof($entity_guid) > 0) {
- foreach ($entity_guid as $key => $val) {
- $entity_guid[$key] = (int) $val;
- }
- } else {
- $entity_guid = 0;
- }
+ // @todo remove support for count shortcut - see #4393
+ if (isset($options['__egefac']) && $options['__egefac']) {
+ unset($options['__egefac']);
} else {
- $entity_guid = (int)$entity_guid;
- }
-
- $entity_type = sanitise_string($entity_type);
-
- if ($entity_subtype) {
- if (!$entity_subtype = get_subtype_id($entity_type, $entity_subtype)) {
- // requesting a non-existing subtype: return false
- return FALSE;
- }
- }
-
- if ($name) {
- $name = get_metastring_id($name);
-
- if ($name === false) {
- $name = 0;
- }
- }
- if ($value != "") {
- $value = get_metastring_id($value);
- }
-
- if (is_array($owner_guid)) {
- if (sizeof($owner_guid) > 0) {
- foreach ($owner_guid as $key => $val) {
- $owner_guid[$key] = (int) $val;
- }
- } else {
- $owner_guid = 0;
- }
- } else {
- $owner_guid = (int)$owner_guid;
- }
-
- if (is_array($entity_owner_guid)) {
- if (sizeof($entity_owner_guid) > 0) {
- foreach ($entity_owner_guid as $key => $val) {
- $entity_owner_guid[$key] = (int) $val;
- }
- } else {
- $entity_owner_guid = 0;
- }
- } else {
- $entity_owner_guid = (int)$entity_owner_guid;
- }
-
- $limit = (int)$limit;
- $offset = (int)$offset;
- if ($order_by == 'asc') {
- $order_by = "a.time_created asc";
- }
-
- if ($order_by == 'desc') {
- $order_by = "a.time_created desc";
- }
-
- $where = array();
-
- if ($entity_guid != 0 && !is_array($entity_guid)) {
- $where[] = "a.entity_guid=$entity_guid";
- } else if (is_array($entity_guid)) {
- $where[] = "a.entity_guid in (" . implode(",", $entity_guid) . ")";
- }
-
- if ($entity_type != "") {
- $where[] = "e.type='$entity_type'";
- }
-
- if ($entity_subtype != "") {
- $where[] = "e.subtype='$entity_subtype'";
- }
+ // support shortcut of 'count' => true for 'annotation_calculation' => 'count'
+ if (isset($options['count']) && $options['count']) {
+ $options['annotation_calculation'] = 'count';
+ unset($options['count']);
+ }
+ }
+
+ $options['metastring_type'] = 'annotations';
+ return elgg_get_metastring_based_objects($options);
+}
- if ($owner_guid != 0 && !is_array($owner_guid)) {
- $where[] = "a.owner_guid=$owner_guid";
- } else {
- if (is_array($owner_guid)) {
- $where[] = "a.owner_guid in (" . implode(",", $owner_guid) . ")";
- }
+/**
+ * Deletes annotations based on $options.
+ *
+ * @warning Unlike elgg_get_annotations() this will not accept an empty options array!
+ * This requires at least one constraint: annotation_owner_guid(s),
+ * annotation_name(s), annotation_value(s), or guid(s) must be set.
+ *
+ * @param array $options An options array. {@See elgg_get_annotations()}
+ * @return bool|null true on success, false on failure, null if no annotations to delete.
+ * @since 1.8.0
+ */
+function elgg_delete_annotations(array $options) {
+ if (!elgg_is_valid_options_for_batch_operation($options, 'annotations')) {
+ return false;
}
- if ($entity_owner_guid != 0 && !is_array($entity_owner_guid)) {
- $where[] = "e.owner_guid=$entity_owner_guid";
- } else {
- if (is_array($entity_owner_guid)) {
- $where[] = "e.owner_guid in (" . implode(",", $entity_owner_guid) . ")";
- }
- }
+ $options['metastring_type'] = 'annotations';
+ return elgg_batch_metastring_based_objects($options, 'elgg_batch_delete_callback', false);
+}
- if ($name !== "") {
- $where[] = "a.name_id='$name'";
+/**
+ * Disables annotations based on $options.
+ *
+ * @warning Unlike elgg_get_annotations() this will not accept an empty options array!
+ *
+ * @param array $options An options array. {@See elgg_get_annotations()}
+ * @return bool|null true on success, false on failure, null if no annotations disabled.
+ * @since 1.8.0
+ */
+function elgg_disable_annotations(array $options) {
+ if (!elgg_is_valid_options_for_batch_operation($options, 'annotations')) {
+ return false;
}
+
+ // if we can see hidden (disabled) we need to use the offset
+ // otherwise we risk an infinite loop if there are more than 50
+ $inc_offset = access_get_show_hidden_status();
- if ($value != "") {
- $where[] = "a.value_id='$value'";
- }
+ $options['metastring_type'] = 'annotations';
+ return elgg_batch_metastring_based_objects($options, 'elgg_batch_disable_callback', $inc_offset);
+}
- if ($timelower) {
- $where[] = "a.time_created >= {$timelower}";
+/**
+ * Enables annotations based on $options.
+ *
+ * @warning Unlike elgg_get_annotations() this will not accept an empty options array!
+ *
+ * @warning In order to enable annotations, you must first use
+ * {@link access_show_hidden_entities()}.
+ *
+ * @param array $options An options array. {@See elgg_get_annotations()}
+ * @return bool|null true on success, false on failure, null if no metadata enabled.
+ * @since 1.8.0
+ */
+function elgg_enable_annotations(array $options) {
+ if (!$options || !is_array($options)) {
+ return false;
}
- if ($timeupper) {
- $where[] = "a.time_created <= {$timeupper}";
- }
+ $options['metastring_type'] = 'annotations';
+ return elgg_batch_metastring_based_objects($options, 'elgg_batch_enable_callback');
+}
- $query = "SELECT a.*, n.string as name, v.string as value
- FROM {$CONFIG->dbprefix}annotations a
- JOIN {$CONFIG->dbprefix}entities e on a.entity_guid = e.guid
- JOIN {$CONFIG->dbprefix}metastrings v on a.value_id=v.id
- JOIN {$CONFIG->dbprefix}metastrings n on a.name_id = n.id where ";
+/**
+ * Returns a rendered list of annotations with pagination.
+ *
+ * @param array $options Annotation getter and display options.
+ * {@see elgg_get_annotations()} and {@see elgg_list_entities()}.
+ *
+ * @return string The list of entities
+ * @since 1.8.0
+ */
+function elgg_list_annotations($options) {
+ $defaults = array(
+ 'limit' => 25,
+ 'offset' => (int) max(get_input('annoff', 0), 0),
+ );
- foreach ($where as $w) {
- $query .= " $w and ";
- }
- $query .= get_access_sql_suffix("a"); // Add access controls
- $query .= " order by $order_by limit $offset,$limit"; // Add order and limit
+ $options = array_merge($defaults, $options);
- return get_data($query, "row_to_elggannotation");
+ return elgg_list_entities($options, 'elgg_get_annotations', 'elgg_view_annotation_list');
}
/**
- * Returns entities based upon annotations. Accepts the same values as
- * elgg_get_entities_from_metadata() but uses the annotations table.
+ * Entities interfaces
+ */
+
+/**
+ * Returns entities based upon annotations. Also accepts all options available
+ * to elgg_get_entities() and elgg_get_entities_from_metadata().
*
- * NB: Entity creation time is selected as max_time. To sort based upon
+ * Entity creation time is selected as maxtime. To sort based upon
* this, pass 'order_by' => 'maxtime asc' || 'maxtime desc'
*
- * time_created in this case will be the time the annotation was created.
- *
* @see elgg_get_entities
* @see elgg_get_entities_from_metadata
*
@@ -343,9 +320,9 @@ $timeupper = 0, $entity_owner_guid = 0) {
* annotation_values => NULL|ARR annotations values
*
* annotation_name_value_pairs => NULL|ARR (name = 'name', value => 'value',
- * 'operand' => '=', 'case_sensitive' => TRUE) entries.
+ * 'operator' => '=', 'case_sensitive' => TRUE) entries.
* Currently if multiple values are sent via an array (value => array('value1', 'value2')
- * the pair's operand will be forced to "IN".
+ * the pair's operator will be forced to "IN".
*
* annotation_name_value_pairs_operator => NULL|STR The operator to use for combining
* (name = value) OPERATOR (name = value); default AND
@@ -359,7 +336,7 @@ $timeupper = 0, $entity_owner_guid = 0) {
*
* annotation_owner_guids => NULL|ARR guids for annotaiton owners
*
- * @return array
+ * @return mixed If count, int. If not count, array. false on errors.
* @since 1.7.0
*/
function elgg_get_entities_from_annotations(array $options = array()) {
@@ -372,6 +349,9 @@ function elgg_get_entities_from_annotations(array $options = array()) {
'annotation_case_sensitive' => TRUE,
'order_by_annotation' => array(),
+ 'annotation_created_time_lower' => ELGG_ENTITIES_ANY_VALUE,
+ 'annotation_created_time_upper' => ELGG_ENTITIES_ANY_VALUE,
+
'annotation_owner_guids' => ELGG_ENTITIES_ANY_VALUE,
'order_by' => 'maxtime desc',
@@ -384,9 +364,10 @@ function elgg_get_entities_from_annotations(array $options = array()) {
'annotation_name_value_pair', 'annotation_owner_guid');
$options = elgg_normalise_plural_options_array($options, $singulars);
+ $options = elgg_entities_get_metastrings_options('annotation', $options);
- if (!$options = elgg_entities_get_metastrings_options('annotation', $options)) {
- return FALSE;
+ if (!$options) {
+ return false;
}
// special sorting for annotations
@@ -394,727 +375,116 @@ function elgg_get_entities_from_annotations(array $options = array()) {
$options['selects'][] = "max(n_table.time_created) as maxtime";
$options['group_by'] = 'n_table.entity_guid';
- return elgg_get_entities($options);
-}
+ $time_wheres = elgg_get_entity_time_where_sql('a', $options['annotation_created_time_upper'],
+ $options['annotation_created_time_lower']);
-/**
- * Get entities from annotations
- *
- * No longer used.
- *
- * @deprecated 1.7 Use elgg_get_entities_from_annotations()
- *
- * @param mixed $entity_type Type of entity
- * @param mixed $entity_subtype Subtype of entity
- * @param string $name Name of annotation
- * @param string $value Value of annotation
- * @param int $owner_guid Guid of owner of annotation
- * @param int $group_guid Guid of group
- * @param int $limit Limit
- * @param int $offset Offset
- * @param string $order_by SQL order by string
- * @param bool $count Count or return entities
- * @param int $timelower Lower time limit
- * @param int $timeupper Upper time limit
- *
- * @return unknown_type
- */
-function get_entities_from_annotations($entity_type = "", $entity_subtype = "", $name = "",
-$value = "", $owner_guid = 0, $group_guid = 0, $limit = 10, $offset = 0, $order_by = "asc",
-$count = false, $timelower = 0, $timeupper = 0) {
- $msg = 'get_entities_from_annotations() is deprecated by elgg_get_entities_from_annotations().';
- elgg_deprecated_notice($msg, 1.7);
-
- $options = array();
-
- $options['annotation_names'] = $name;
-
- if ($value) {
- $options['annotation_values'] = $value;
- }
-
- if ($entity_type) {
- $options['types'] = $entity_type;
- }
-
- if ($entity_subtype) {
- $options['subtypes'] = $entity_subtype;
- }
-
- if ($owner_guid) {
- if (is_array($owner_guid)) {
- $options['annotation_owner_guids'] = $owner_guid;
- } else {
- $options['annotation_owner_guid'] = $owner_guid;
- }
- }
-
- if ($group_guid) {
- $options['container_guid'] = $group_guid;
- }
-
- if ($limit) {
- $options['limit'] = $limit;
- }
-
- if ($offset) {
- $options['offset'] = $offset;
- }
-
- if ($order_by) {
- $options['order_by'] = "maxtime $order_by";
- }
-
- if ($count) {
- $options['count'] = $count;
- }
-
- return elgg_get_entities_from_annotations($options);
-}
-
-/**
- * Lists entities
- *
- * @see elgg_view_entity_list
- *
- * @param string $entity_type Type of entity.
- * @param string $entity_subtype Subtype of entity.
- * @param string $name Name of annotation.
- * @param string $value Value of annotation.
- * @param int $limit Maximum number of results to return.
- * @param int $owner_guid Owner.
- * @param int $group_guid Group container. Currently only supported if entity_type is object
- * @param boolean $asc Whether to list in ascending or descending order (default: desc)
- * @param boolean $fullview Whether to display the entities in full
- * @param boolean $listtypetoggle Can 'gallery' view can be displayed (default: no)
- *
- * @deprecated 1.7 Use elgg_list_entities_from_annotations()
- * @return string Formatted entity list
- */
-function list_entities_from_annotations($entity_type = "", $entity_subtype = "", $name = "",
-$value = "", $limit = 10, $owner_guid = 0, $group_guid = 0, $asc = false, $fullview = true,
-$listtypetoggle = false) {
-
- $msg = 'list_entities_from_annotations is deprecated by elgg_list_entities_from_annotations';
- elgg_deprecated_notice($msg, 1.8);
-
- $options = array();
-
- if ($entity_type) {
- $options['types'] = $entity_type;
- }
-
- if ($entity_subtype) {
- $options['subtypes'] = $entity_subtype;
- }
-
- if ($name) {
- $options['annotation_names'] = $name;
- }
-
- if ($value) {
- $options['annotation_values'] = $value;
- }
-
- if ($limit) {
- $options['limit'] = $limit;
- }
-
- if ($owner_guid) {
- $options['annotation_owner_guid'] = $owner_guid;
- }
-
- if ($group_guid) {
- $options['container_guid'] = $group_guid;
- }
-
- if ($asc) {
- $options['order_by'] = 'maxtime desc';
+ if ($time_wheres) {
+ $options['wheres'] = array_merge($options['wheres'], $time_wheres);
}
- if ($offset = sanitise_int(get_input('offset', null))) {
- $options['offset'] = $offset;
- }
-
- $options['full_view'] = $fullview;
- $options['list_type_toggle'] = $listtypetoggle;
- $options['pagination'] = $pagination;
-
- return elgg_list_entities_from_annotations($options);
+ return elgg_get_entities_from_metadata($options);
}
/**
* Returns a viewable list of entities from annotations.
*
- * @param array $options
+ * @param array $options Options array
*
* @see elgg_get_entities_from_annotations()
* @see elgg_list_entities()
*
- * @return str
+ * @return string
*/
function elgg_list_entities_from_annotations($options = array()) {
return elgg_list_entities($options, 'elgg_get_entities_from_annotations');
}
/**
- * Returns a human-readable list of annotations on a particular entity.
- *
- * @param int $entity_guid The entity GUID
- * @param string $name The name of the kind of annotation
- * @param int $limit The number of annotations to display at once
- * @param true|false $asc Display annotations in ascending order. (Default: true)
- *
- * @return string HTML (etc) version of the annotation list
- */
-function list_annotations($entity_guid, $name = "", $limit = 25, $asc = true) {
- if ($asc) {
- $asc = "asc";
- } else {
- $asc = "desc";
- }
- $count = count_annotations($entity_guid, "", "", $name);
- $offset = (int) get_input("annoff", 0);
- $annotations = get_annotations($entity_guid, "", "", $name, "", "", $limit, $offset, $asc);
-
- return elgg_view_annotation_list($annotations, $count, $offset, $limit);
-}
-
-/**
- * Return the sum of a given integer annotation.
- *
- * @param int $entity_guid Guid of Entity
- * @param string $entity_type Type of Entity
- * @param string $entity_subtype Subtype of Entity
- * @param string $name Name of annotation
- * @param string $value Value of annotation
- * @param string $value_type Type of value
- * @param int $owner_guid GUID of owner of annotation
- *
- * @return int
- */
-function get_annotations_sum($entity_guid, $entity_type = "", $entity_subtype = "", $name = "",
-$value = "", $value_type = "", $owner_guid = 0) {
-
- return get_annotations_calculate_x("sum", $entity_guid, $entity_type, $entity_subtype, $name,
- $value, $value_type, $owner_guid);
-}
-
-/**
- * Return the max of a given integer annotation.
- *
- * @param int $entity_guid Guid of Entity
- * @param string $entity_type Type of Entity
- * @param string $entity_subtype Subtype of Entity
- * @param string $name Name of annotation
- * @param string $value Value of annotation
- * @param string $value_type Type of value
- * @param int $owner_guid GUID of owner of annotation
- *
- * @return int
- */
-function get_annotations_max($entity_guid, $entity_type = "", $entity_subtype = "", $name = "",
-$value = "", $value_type = "", $owner_guid = 0) {
-
- return get_annotations_calculate_x("max", $entity_guid, $entity_type, $entity_subtype, $name,
- $value, $value_type, $owner_guid);
-}
-
-/**
- * Return the minumum of a given integer annotation.
- *
- * @param int $entity_guid Guid of Entity
- * @param string $entity_type Type of Entity
- * @param string $entity_subtype Subtype of Entity
- * @param string $name Name of annotation
- * @param string $value Value of annotation
- * @param string $value_type Type of value
- * @param int $owner_guid GUID of owner of annotation
- *
- * @return int
- */
-function get_annotations_min($entity_guid, $entity_type = "", $entity_subtype = "", $name = "",
-$value = "", $value_type = "", $owner_guid = 0) {
-
- return get_annotations_calculate_x("min", $entity_guid, $entity_type, $entity_subtype, $name,
- $value, $value_type, $owner_guid);
-}
-
-/**
- * Return the average of a given integer annotation.
- *
- * @param int $entity_guid Guid of Entity
- * @param string $entity_type Type of Entity
- * @param string $entity_subtype Subtype of Entity
- * @param string $name Name of annotation
- * @param string $value Value of annotation
- * @param string $value_type Type of value
- * @param int $owner_guid GUID of owner of annotation
- *
- * @return int
- */
-function get_annotations_avg($entity_guid, $entity_type = "", $entity_subtype = "", $name = "",
-$value = "", $value_type = "", $owner_guid = 0) {
-
- return get_annotations_calculate_x("avg", $entity_guid, $entity_type, $entity_subtype, $name,
- $value, $value_type, $owner_guid);
-}
-
-/**
- * Count the number of annotations based on search parameters
- *
- * @param int $entity_guid Guid of Entity
- * @param string $entity_type Type of Entity
- * @param string $entity_subtype Subtype of Entity
- * @param string $name Name of annotation
- * @param string $value Value of annotation
- * @param string $value_type Type of value
- * @param int $owner_guid GUID of owner of annotation
- * @param int $timelower Lower time limit
- * @param int $timeupper Upper time limit
- *
- * @return int
+ * Get entities ordered by a mathematical calculation on annotation values
+ *
+ * @param array $options An options array:
+ * 'calculation' => The calculation to use. Must be a valid MySQL function.
+ * Defaults to sum. Result selected as 'annotation_calculation'.
+ * Don't confuse this "calculation" option with the
+ * "annotation_calculation" option to elgg_get_annotations().
+ * This "calculation" option is applied to each entity's set of
+ * annotations and is selected as annotation_calculation for that row.
+ * See the docs for elgg_get_annotations() for proper use of the
+ * "annotation_calculation" option.
+ * 'order_by' => The order for the sorting. Defaults to 'annotation_calculation desc'.
+ * 'annotation_names' => The names of annotations on the entity.
+ * 'annotation_values' => The values of annotations on the entity.
+ *
+ * 'metadata_names' => The name of metadata on the entity.
+ * 'metadata_values' => The value of metadata on the entitiy.
+ *
+ * @return mixed If count, int. If not count, array. false on errors.
*/
-function count_annotations($entity_guid = 0, $entity_type = "", $entity_subtype = "",
-$name = "", $value = "", $value_type = "", $owner_guid = 0, $timelower = 0,
-$timeupper = 0) {
- return get_annotations_calculate_x("count", $entity_guid, $entity_type, $entity_subtype,
- $name, $value, $value_type, $owner_guid, $timelower, $timeupper);
-}
-
-/**
- * Perform a mathmatical calculation on integer annotations.
- *
- * @param string $sum What sort of calculation to perform
- * @param int $entity_guid Guid of Entity
- * @param string $entity_type Type of Entity
- * @param string $entity_subtype Subtype of Entity
- * @param string $name Name of annotation
- * @param string $value Value of annotation
- * @param string $value_type Type of value
- * @param int $owner_guid GUID of owner of annotation
- * @param int $timelower Lower time limit
- * @param int $timeupper Upper time limit
- *
- * @return int
- */
-function get_annotations_calculate_x($sum = "avg", $entity_guid, $entity_type = "",
-$entity_subtype = "", $name = "", $value = "", $value_type = "", $owner_guid = 0,
-$timelower = 0, $timeupper = 0) {
-
- global $CONFIG;
-
- $sum = sanitise_string($sum);
- $entity_guid = (int)$entity_guid;
- $entity_type = sanitise_string($entity_type);
- $timeupper = (int)$timeupper;
- $timelower = (int)$timelower;
-
- if ($entity_subtype) {
- if (!$entity_subtype = get_subtype_id($entity_type, $entity_subtype)) {
- // requesting a non-existing subtype: return false
- return FALSE;
- }
- }
-
- if ($name != '' AND !$name = get_metastring_id($name)) {
- return 0;
- }
-
- if ($value != '' AND !$value = get_metastring_id($value)) {
- return 0;
- }
- $value_type = sanitise_string($value_type);
- $owner_guid = (int)$owner_guid;
-
- // if (empty($name)) return 0;
-
- $where = array();
-
- if ($entity_guid) {
- $where[] = "e.guid=$entity_guid";
- }
-
- if ($entity_type != "") {
- $where[] = "e.type='$entity_type'";
- }
-
- if ($entity_subtype) {
- $where[] = "e.subtype=$entity_subtype";
- }
-
- if ($name != "") {
- $where[] = "a.name_id='$name'";
- }
-
- if ($value != "") {
- $where[] = "a.value_id='$value'";
- }
-
- if ($value_type != "") {
- $where[] = "a.value_type='$value_type'";
- }
-
- if ($owner_guid) {
- $where[] = "a.owner_guid='$owner_guid'";
- }
-
- if ($timelower) {
- $where[] = "a.time_created >= {$timelower}";
- }
-
- if ($timeupper) {
- $where[] = "a.time_created <= {$timeupper}";
- }
-
- if ($sum != "count") {
- $where[] = "a.value_type='integer'"; // Limit on integer types
- }
-
- $query = "SELECT $sum(ms.string) as sum
- FROM {$CONFIG->dbprefix}annotations a
- JOIN {$CONFIG->dbprefix}entities e on a.entity_guid = e.guid
- JOIN {$CONFIG->dbprefix}metastrings ms on a.value_id=ms.id WHERE ";
-
- foreach ($where as $w) {
- $query .= " $w and ";
- }
-
- $query .= get_access_sql_suffix("a"); // now add access
- $query .= ' and ' . get_access_sql_suffix("e"); // now add access
-
- $row = get_data_row($query);
- if ($row) {
- return $row->sum;
- }
-
- return false;
-}
-
-/**
- * Get entities ordered by a mathematical calculation
- *
- * @param string $sum What sort of calculation to perform
- * @param string $entity_type Type of Entity
- * @param string $entity_subtype Subtype of Entity
- * @param string $name Name of annotation
- * @param string $mdname Metadata name
- * @param string $mdvalue Metadata value
- * @param int $owner_guid GUID of owner of annotation
- * @param int $limit Limit of results
- * @param int $offset Offset of results
- * @param string $orderdir Order of results
- * @param bool $count Return count or entities
- *
- * @return mixed
- */
-function get_entities_from_annotations_calculate_x($sum = "sum", $entity_type = "",
-$entity_subtype = "", $name = "", $mdname = '', $mdvalue = '', $owner_guid = 0,
-$limit = 10, $offset = 0, $orderdir = 'desc', $count = false) {
- global $CONFIG;
-
- $sum = sanitise_string($sum);
- $entity_type = sanitise_string($entity_type);
-
- if ($entity_subtype) {
- if (!$entity_subtype = get_subtype_id($entity_type, $entity_subtype)) {
- // requesting a non-existing subtype: return false
- return FALSE;
- }
- }
-
- $name = get_metastring_id($name);
- $limit = (int) $limit;
- $offset = (int) $offset;
- $owner_guid = (int) $owner_guid;
- if (!empty($mdname) && !empty($mdvalue)) {
- $meta_n = get_metastring_id($mdname);
- $meta_v = get_metastring_id($mdvalue);
- }
-
- if (empty($name)) {
- return 0;
- }
-
- $where = array();
-
- if ($entity_type != "") {
- $where[] = "e.type='$entity_type'";
- }
-
- if ($owner_guid > 0) {
- $where[] = "e.container_guid = $owner_guid";
- }
-
- if ($entity_subtype) {
- $where[] = "e.subtype=$entity_subtype";
- }
-
- if ($name != "") {
- $where[] = "a.name_id='$name'";
- }
-
- if (!empty($mdname) && !empty($mdvalue)) {
- if ($mdname != "") {
- $where[] = "m.name_id='$meta_n'";
- }
-
- if ($mdvalue != "") {
- $where[] = "m.value_id='$meta_v'";
- }
- }
-
- if ($sum != "count") {
- // Limit on integer types
- $where[] = "a.value_type='integer'";
- }
-
- if (!$count) {
- $query = "SELECT distinct e.*, $sum(ms.string) as sum ";
- } else {
- $query = "SELECT count(distinct e.guid) as num, $sum(ms.string) as sum ";
- }
- $query .= " from {$CONFIG->dbprefix}entities e"
- . " JOIN {$CONFIG->dbprefix}annotations a on a.entity_guid = e.guid"
- . " JOIN {$CONFIG->dbprefix}metastrings ms on a.value_id=ms.id ";
-
- if (!empty($mdname) && !empty($mdvalue)) {
- $query .= " JOIN {$CONFIG->dbprefix}metadata m on m.entity_guid = e.guid ";
- }
-
- $query .= " WHERE ";
- foreach ($where as $w) {
- $query .= " $w and ";
- }
-
- $query .= get_access_sql_suffix("a"); // now add access
- $query .= ' and ' . get_access_sql_suffix("e"); // now add access
- if (!$count) {
- $query .= ' group by e.guid';
- }
-
- if (!$count) {
- $query .= ' order by sum ' . $orderdir;
- $query .= ' limit ' . $offset . ' , ' . $limit;
- return get_data($query, "entity_row_to_elggstar");
- } else {
- if ($row = get_data_row($query)) {
- return $row->num;
- }
- }
- return false;
-}
-
-/**
- * Returns entities ordered by the sum of an annotation
- *
- * @param string $entity_type Type of Entity
- * @param string $entity_subtype Subtype of Entity
- * @param string $name Name of annotation
- * @param string $mdname Metadata name
- * @param string $mdvalue Metadata value
- * @param int $owner_guid GUID of owner of annotation
- * @param int $limit Limit of results
- * @param int $offset Offset of results
- * @param string $orderdir Order of results
- * @param bool $count Return count or entities
- *
- * @return unknown
- */
-function get_entities_from_annotation_count($entity_type = "", $entity_subtype = "", $name = "",
-$mdname = '', $mdvalue = '', $owner_guid = 0, $limit = 10, $offset = 0, $orderdir = 'desc',
-$count = false) {
-
- return get_entities_from_annotations_calculate_x('sum', $entity_type, $entity_subtype,
- $name, $mdname, $mdvalue, $owner_guid, $limit, $offset, $orderdir, $count);
-}
-
-/**
- * Lists entities by the totals of a particular kind of annotation
- *
- * @param string $entity_type Type of entity.
- * @param string $entity_subtype Subtype of entity.
- * @param string $name Name of annotation.
- * @param int $limit Maximum number of results to return.
- * @param int $owner_guid Owner.
- * @param int $group_guid Group container. Currently only supported if entity_type is object
- * @param boolean $asc Whether to list in ascending or descending order (default: desc)
- * @param boolean $fullview Whether to display the entities in full
- * @param boolean $listtypetoggle Can the 'gallery' view can be displayed (default: no)
- * @param boolean $pagination Add pagination
- * @param string $orderdir Order desc or asc
- *
- * @return string Formatted entity list
- */
-function list_entities_from_annotation_count($entity_type = "", $entity_subtype = "", $name = "",
-$limit = 10, $owner_guid = 0, $group_guid = 0, $asc = false, $fullview = true,
-$listtypetoggle = false, $pagination = true, $orderdir = 'desc') {
- if ($asc) {
- $asc = "asc";
- } else {
- $asc = "desc";
- }
-
- $offset = (int) get_input("offset", 0);
- $count = get_entities_from_annotation_count($entity_type, $entity_subtype, $name,
- '', '', $owner_guid, $limit, $offset, $orderdir, true);
-
- $entities = get_entities_from_annotation_count($entity_type, $entity_subtype, $name,
- '', '', $owner_guid, $limit, $offset, $orderdir, false);
-
- return elgg_view_entity_list($entities, $count, $offset, $limit,
- $fullview, $listtypetoggle, $pagination);
-}
-
-/**
- * Lists entities by the totals of a particular kind of annotation AND
- * the value of a piece of metadata
- *
- * @param string $entity_type Type of entity.
- * @param string $entity_subtype Subtype of entity.
- * @param string $name Name of annotation.
- * @param string $mdname Metadata name
- * @param string $mdvalue Metadata value
- * @param int $limit Maximum number of results to return.
- * @param int $owner_guid Owner.
- * @param int $group_guid Group container. Currently only supported if entity_type is object
- * @param boolean $asc Whether to list in ascending or descending order (default: desc)
- * @param boolean $fullview Whether to display the entities in full
- * @param boolean $listtypetoggle Can the 'gallery' view can be displayed (default: no)
- * @param boolean $pagination Display pagination
- * @param string $orderdir 'desc' or 'asc'
- *
- * @return string Formatted entity list
- */
-function list_entities_from_annotation_count_by_metadata($entity_type = "", $entity_subtype = "",
-$name = "", $mdname = '', $mdvalue = '', $limit = 10, $owner_guid = 0, $group_guid = 0,
-$asc = false, $fullview = true, $listtypetoggle = false, $pagination = true, $orderdir = 'desc') {
+function elgg_get_entities_from_annotation_calculation($options) {
+ $db_prefix = elgg_get_config('dbprefix');
+ $defaults = array(
+ 'calculation' => 'sum',
+ 'order_by' => 'annotation_calculation desc'
+ );
- if ($asc) {
- $asc = "asc";
- } else {
- $asc = "desc";
- }
+ $options = array_merge($defaults, $options);
- $offset = (int) get_input("offset", 0);
- $count = get_entities_from_annotation_count($entity_type, $entity_subtype, $name, $mdname,
- $mdvalue, $owner_guid, $limit, $offset, $orderdir, true);
- $entities = get_entities_from_annotation_count($entity_type, $entity_subtype, $name, $mdname,
- $mdvalue, $owner_guid, $limit, $offset, $orderdir, false);
+ $function = sanitize_string(elgg_extract('calculation', $options, 'sum', false));
- return elgg_view_entity_list($entities, $count, $offset, $limit, $fullview,
- $listtypetoggle, $pagination);
-}
+ // you must cast this as an int or it sorts wrong.
+ $options['selects'][] = 'e.*';
+ $options['selects'][] = "$function(cast(a_msv.string as signed)) as annotation_calculation";
-/**
- * Delete a given annotation.
- *
- * @param int $id The annotation id
- *
- * @return bool
- */
-function delete_annotation($id) {
- global $CONFIG;
+ // need our own join to get the values because the lower level functions don't
+ // add all the joins if it's a different callback.
+ $options['joins'][] = "JOIN {$db_prefix}metastrings a_msv ON n_table.value_id = a_msv.id";
- $id = (int)$id;
+ // don't need access control because it's taken care of by elgg_get_annotations.
+ $options['group_by'] = 'n_table.entity_guid';
- $access = get_access_sql_suffix();
- $annotation = get_annotation($id);
+ $options['callback'] = 'entity_row_to_elggstar';
- if (elgg_trigger_event('delete', 'annotation', $annotation)) {
- remove_from_river_by_annotation($id);
- return delete_data("DELETE from {$CONFIG->dbprefix}annotations where id=$id and $access");
- }
+ // see #4393
+ // @todo remove after the 'count' shortcut is removed from elgg_get_annotations()
+ $options['__egefac'] = true;
- return FALSE;
+ return elgg_get_annotations($options);
}
/**
- * Clear all the annotations for a given entity, assuming you have access to that metadata.
+ * List entities from an annotation calculation.
*
- * @param int $guid The entity guid
- * @param string $name The name of the annotation to delete.
- *
- * @return int Number of annotations deleted or false if an error
- */
-function clear_annotations($guid, $name = "") {
- global $CONFIG;
-
- $guid = (int)$guid;
-
- if (!empty($name)) {
- $name = get_metastring_id($name);
- if ($name === false) {
- // name doesn't exist so 0 rows were deleted
- return 0;
- }
- }
-
- $entity_guid = (int) $guid;
- if ($entity = get_entity($entity_guid)) {
- if ($entity->canEdit()) {
- $where = array();
-
- if ($name != "") {
- $where[] = " name_id='$name'";
- }
-
- $query = "DELETE from {$CONFIG->dbprefix}annotations where entity_guid=$guid ";
- foreach ($where as $w) {
- $query .= " and $w";
- }
-
- return delete_data($query);
- }
- }
-
- return FALSE;
-}
-
-/**
- * Clear all annotations belonging to a given owner_guid
+ * @see elgg_get_entities_from_annotation_calculation()
*
- * @param int $owner_guid The owner
+ * @param array $options An options array.
*
- * @return int Number of annotations deleted
+ * @return string
*/
-function clear_annotations_by_owner($owner_guid) {
- global $CONFIG;
-
- $owner_guid = (int)$owner_guid;
-
- $query = "SELECT id from {$CONFIG->dbprefix}annotations WHERE owner_guid=$owner_guid";
-
- $annotations = get_data($query);
- $deleted = 0;
-
- if (!$annotations) {
- return 0;
- }
-
- foreach ($annotations as $id) {
- // Is this the best way?
- if (delete_annotation($id->id)) {
- $deleted++;
- }
- }
+function elgg_list_entities_from_annotation_calculation($options) {
+ $defaults = array(
+ 'calculation' => 'sum',
+ 'order_by' => 'annotation_calculation desc'
+ );
+ $options = array_merge($defaults, $options);
- return $deleted;
+ return elgg_list_entities($options, 'elgg_get_entities_from_annotation_calculation');
}
/**
- * Handler called by trigger_plugin_hook on the "export" event.
+ * Export the annotations for the specified entity
*
* @param string $hook 'export'
- * @param string $entity_type 'all'
+ * @param string $type 'all'
* @param mixed $returnvalue Default return value
- * @param mixed $params List of params to export
+ * @param mixed $params Parameters determining what annotations to export
*
* @elgg_plugin_hook export all
*
- * @return mixed
+ * @return array
+ * @throws InvalidParameterException
+ * @access private
*/
-function export_annotation_plugin_hook($hook, $entity_type, $returnvalue, $params) {
+function export_annotation_plugin_hook($hook, $type, $returnvalue, $params) {
// Sanity check values
if ((!is_array($params)) && (!isset($params['guid']))) {
throw new InvalidParameterException(elgg_echo('InvalidParameterException:GUIDNotForExport'));
@@ -1125,9 +495,12 @@ function export_annotation_plugin_hook($hook, $entity_type, $returnvalue, $param
}
$guid = (int)$params['guid'];
- $name = $params['name'];
+ $options = array('guid' => $guid, 'limit' => 0);
+ if (isset($params['name'])) {
+ $options['annotation_name'] = $params['name'];
+ }
- $result = get_annotations($guid);
+ $result = elgg_get_annotations($options);
if ($result) {
foreach ($result as $r) {
@@ -1149,7 +522,7 @@ function export_annotation_plugin_hook($hook, $entity_type, $returnvalue, $param
function get_annotation_url($id) {
$id = (int)$id;
- if ($extender = get_annotation($id)) {
+ if ($extender = elgg_get_annotation_from_id($id)) {
return get_extender_url($extender);
}
return false;
@@ -1162,24 +535,26 @@ function get_annotation_url($id) {
* @param string $annotation_type Type of annotation
* @param int $owner_guid Defaults to logged in user.
*
- * @return true | false
+ * @return bool
+ * @since 1.8.0
*/
function elgg_annotation_exists($entity_guid, $annotation_type, $owner_guid = NULL) {
global $CONFIG;
- if (!$owner_guid && !($owner_guid = get_loggedin_userid())) {
+ if (!$owner_guid && !($owner_guid = elgg_get_logged_in_user_guid())) {
return FALSE;
}
- $entity_guid = (int)$entity_guid;
- $annotation_type = sanitise_string($annotation_type);
+ $entity_guid = sanitize_int($entity_guid);
+ $owner_guid = sanitize_int($owner_guid);
+ $annotation_type = sanitize_string($annotation_type);
- $sql = "select a.id" .
- " FROM {$CONFIG->dbprefix}annotations a, {$CONFIG->dbprefix}metastrings m " .
- " WHERE a.owner_guid={$owner_guid} AND a.entity_guid={$entity_guid} " .
- " AND a.name_id=m.id AND m.string='{$annotation_type}'";
+ $sql = "SELECT a.id FROM {$CONFIG->dbprefix}annotations a" .
+ " JOIN {$CONFIG->dbprefix}metastrings m ON a.name_id = m.id" .
+ " WHERE a.owner_guid = $owner_guid AND a.entity_guid = $entity_guid" .
+ " AND m.string = '$annotation_type'";
- if ($check_annotation = get_data_row($sql)) {
+ if (get_data_row($sql)) {
return TRUE;
}
@@ -1187,16 +562,57 @@ function elgg_annotation_exists($entity_guid, $annotation_type, $owner_guid = NU
}
/**
+ * Return the URL for a comment
+ *
+ * @param ElggAnnotation $comment The comment object
+ * @return string
+ * @access private
+ */
+function elgg_comment_url_handler(ElggAnnotation $comment) {
+ $entity = $comment->getEntity();
+ if ($entity) {
+ return $entity->getURL() . '#item-annotation-' . $comment->id;
+ }
+ return "";
+}
+
+/**
* Register an annotation url handler.
*
- * @param string $function_name The function.
* @param string $extender_name The name, default 'all'.
+ * @param string $function_name The function.
*
* @return string
*/
-function register_annotation_url_handler($function_name, $extender_name = "all") {
- return register_extender_url_handler($function_name, 'annotation', $extender_name);
+function elgg_register_annotation_url_handler($extender_name = "all", $function_name) {
+ return elgg_register_extender_url_handler('annotation', $extender_name, $function_name);
+}
+
+/**
+ * Register annotation unit tests
+ *
+ * @param string $hook
+ * @param string $type
+ * @param array $value
+ * @param array $params
+ * @return array
+ * @access private
+ */
+function annotations_test($hook, $type, $value, $params) {
+ global $CONFIG;
+ $value[] = $CONFIG->path . 'engine/tests/api/annotations.php';
+ return $value;
+}
+
+/**
+ * Initialize the annotation library
+ * @access private
+ */
+function elgg_annotations_init() {
+ elgg_register_annotation_url_handler('generic_comment', 'elgg_comment_url_handler');
+
+ elgg_register_plugin_hook_handler("export", "all", "export_annotation_plugin_hook", 2);
+ elgg_register_plugin_hook_handler('unit_test', 'system', 'annotations_test');
}
-/** Register the hook */
-elgg_register_plugin_hook_handler("export", "all", "export_annotation_plugin_hook", 2);
+elgg_register_event_handler('init', 'system', 'elgg_annotations_init');
diff --git a/engine/lib/api.php b/engine/lib/api.php
deleted file mode 100644
index 2c566b479..000000000
--- a/engine/lib/api.php
+++ /dev/null
@@ -1,1404 +0,0 @@
-<?php
-/**
- * Elgg API
- * Functions and objects that make up the API engine.
- *
- * @package Elgg.Core
- * @subpackage WebServicesAPI
- */
-
-// Primary Services API Server functions
-
-/**
- * A global array holding API methods.
- * The structure of this is
- * $API_METHODS = array (
- * $method => array (
- * "description" => "Some human readable description"
- * "function" = 'my_function_callback'
- * "parameters" = array (
- * "variable" = array ( // the order should be the same as the function callback
- * type => 'int' | 'bool' | 'float' | 'string'
- * required => true (default) | false
- * default => value // optional
- * )
- * )
- * "call_method" = 'GET' | 'POST'
- * "require_api_auth" => true | false (default)
- * "require_user_auth" => true | false (default)
- * )
- * )
- */
-$API_METHODS = array();
-
-/**
- * Expose a function as a services api call.
- *
- * Limitations: Currently cannot expose functions which expect objects.
- * It also cannot handle arrays of bools or arrays of arrays.
- * Also, input will be filtered to protect against XSS attacks through the API.
- *
- * @param string $method The api name to expose - for example "myapi.dosomething"
- * @param string $function Your function callback.
- * @param array $parameters (optional) List of parameters in the same order as in
- * your function. Default values may be set for parameters which
- * allow REST api users flexibility in what parameters are passed.
- * Generally, optional parameters should be after required
- * parameters.
- *
- * This array should be in the format
- * "variable" = array (
- * type => 'int' | 'bool' | 'float' | 'string' | 'array'
- * required => true (default) | false
- * default => value (optional)
- * )
- * @param string $description (optional) human readable description of the function.
- * @param string $call_method (optional) Define what http method must be used for
- * this function. Default: GET
- * @param bool $require_api_auth (optional) (default is false) Does this method
- * require API authorization? (example: API key)
- * @param bool $require_user_auth (optional) (default is false) Does this method
- * require user authorization?
- *
- * @return bool
- */
-function expose_function($method, $function, array $parameters = NULL, $description = "",
-$call_method = "GET", $require_api_auth = false, $require_user_auth = false) {
-
- global $API_METHODS;
-
- if (($method == "") || ($function == "")) {
- $msg = elgg_echo('InvalidParameterException:APIMethodOrFunctionNotSet');
- throw new InvalidParameterException($msg);
- }
-
- // does not check whether this method has already been exposed - good idea?
- $API_METHODS[$method] = array();
-
- $API_METHODS[$method]["description"] = $description;
-
- // does not check whether callable - done in execute_method()
- $API_METHODS[$method]["function"] = $function;
-
- if ($parameters != NULL) {
- if (!is_array($parameters)) {
- $msg = elgg_echo('InvalidParameterException:APIParametersArrayStructure', array($method));
- throw new InvalidParameterException($msg);
- }
-
- // catch common mistake of not setting up param array correctly
- $first = current($parameters);
- if (!is_array($first)) {
- $msg = elgg_echo('InvalidParameterException:APIParametersArrayStructure', array($method));
- throw new InvalidParameterException($msg);
- }
- }
-
- if ($parameters != NULL) {
- // ensure the required flag is set correctly in default case for each parameter
- foreach ($parameters as $key => $value) {
- // check if 'required' was specified - if not, make it true
- if (!array_key_exists('required', $value)) {
- $parameters[$key]['required'] = true;
- }
- }
-
- $API_METHODS[$method]["parameters"] = $parameters;
- }
-
- $call_method = strtoupper($call_method);
- switch ($call_method) {
- case 'POST' :
- $API_METHODS[$method]["call_method"] = 'POST';
- break;
- case 'GET' :
- $API_METHODS[$method]["call_method"] = 'GET';
- break;
- default :
- $msg = elgg_echo('InvalidParameterException:UnrecognisedHttpMethod',
- array($call_method, $method));
-
- throw new InvalidParameterException($msg);
- }
-
- $API_METHODS[$method]["require_api_auth"] = $require_api_auth;
-
- $API_METHODS[$method]["require_user_auth"] = $require_user_auth;
-
- return true;
-}
-
-/**
- * Unregister an API method
- *
- * @param string $method The api name that was exposed
- *
- * @since 1.7.0
- *
- * @return void
- */
-function unexpose_function($method) {
- global $API_METHODS;
-
- if (isset($API_METHODS[$method])) {
- unset($API_METHODS[$method]);
- }
-}
-
-/**
- * Check that the method call has the proper API and user authentication
- *
- * @param string $method The api name that was exposed
- *
- * @return true or throws an exception
- * @throws APIException
- * @since 1.7.0
- */
-function authenticate_method($method) {
- global $API_METHODS;
-
- // method must be exposed
- if (!isset($API_METHODS[$method])) {
- throw new APIException(elgg_echo('APIException:MethodCallNotImplemented', array($method)));
- }
-
- // make sure that POST variables are available if needed
- // @todo this may not be needed anymore due to adding %{QUERY_STRING} in .htaccess in 1.7.2
- if (get_call_method() === 'POST' && empty($_POST)) {
- include_post_data();
- }
-
- // check API authentication if required
- if ($API_METHODS[$method]["require_api_auth"] == true) {
- $api_pam = new ElggPAM('api');
- if ($api_pam->authenticate() !== true) {
- throw new APIException(elgg_echo('APIException:APIAuthenticationFailed'));
- }
- }
-
- $user_pam = new ElggPAM('user');
- $user_auth_result = $user_pam->authenticate();
-
- // check if user authentication is required
- if ($API_METHODS[$method]["require_user_auth"] == true) {
- if ($user_auth_result == false) {
- throw new APIException($user_pam->getFailureMessage());
- }
- }
-
- return true;
-}
-
-/**
- * Executes a method.
- * A method is a function which you have previously exposed using expose_function.
- *
- * @param string $method Method, e.g. "foo.bar"
- *
- * @return GenericResult The result of the execution.
- * @throws APIException, CallException
- */
-function execute_method($method) {
- global $API_METHODS, $CONFIG;
-
- // method must be exposed
- if (!isset($API_METHODS[$method])) {
- $msg = elgg_echo('APIException:MethodCallNotImplemented', array($method));
- throw new APIException($msg);
- }
-
- // function must be callable
- if (!(isset($API_METHODS[$method]["function"]))
- || !(is_callable($API_METHODS[$method]["function"]))) {
-
- $msg = elgg_echo('APIException:FunctionDoesNotExist', array($method));
- throw new APIException($msg);
- }
-
- // check http call method
- if (strcmp(get_call_method(), $API_METHODS[$method]["call_method"]) != 0) {
- $msg = elgg_echo('CallException:InvalidCallMethod', array($method,
- $API_METHODS[$method]["call_method"]));
-
- throw new CallException($msg);
- }
-
- $parameters = get_parameters_for_method($method);
-
- if (verify_parameters($method, $parameters) == false) {
- // if verify_parameters fails, it throws exception which is not caught here
- }
-
- $serialised_parameters = serialise_parameters($method, $parameters);
-
- // Execute function: Construct function and calling parameters
- $function = $API_METHODS[$method]["function"];
- $serialised_parameters = trim($serialised_parameters, ", ");
-
- $result = eval("return $function($serialised_parameters);");
-
- // Sanity check result
- // If this function returns an api result itself, just return it
- if ($result instanceof GenericResult) {
- return $result;
- }
-
- if ($result === false) {
- $msg = elgg_echo('APIException:FunctionParseError', array($function, $serialised_parameters));
- throw new APIException($msg);
- }
-
- if ($result === NULL) {
- // If no value
- $msg = elgg_echo('APIException:FunctionNoReturn', array($function, $serialised_parameters));
- throw new APIException($msg);
- }
-
- // Otherwise assume that the call was successful and return it as a success object.
- return SuccessResult::getInstance($result);
-}
-
-/**
- * Get the request method.
- *
- * @return string HTTP request method
- */
-function get_call_method() {
- return $_SERVER['REQUEST_METHOD'];
-}
-
-/**
- * This function analyses all expected parameters for a given method
- *
- * This function sanitizes the input parameters and returns them in
- * an associated array.
- *
- * @param string $method The method
- *
- * @return array containing parameters as key => value
- */
-function get_parameters_for_method($method) {
- global $API_METHODS;
-
- $sanitised = array();
-
- // if there are parameters, sanitize them
- if (isset($API_METHODS[$method]['parameters'])) {
- foreach ($API_METHODS[$method]['parameters'] as $k => $v) {
- $param = get_input($k); // Make things go through the sanitiser
- if ($param !== '') {
- $sanitised[$k] = $param;
- } else {
- // parameter wasn't passed so check for default
- if (isset($v['default'])) {
- $sanitised[$k] = $v['default'];
- }
- }
- }
- }
-
- return $sanitised;
-}
-
-/**
- * Get POST data
- * Since this is called through a handler, we need to manually get the post data
- *
- * @return POST data as string encoded as multipart/form-data
- */
-function get_post_data() {
-
- $postdata = file_get_contents('php://input');
-
- return $postdata;
-}
-
-/**
- * This fixes the post parameters that are munged due to page handler
- *
- * @since 1.7.0
- *
- * @return void
- */
-function include_post_data() {
-
- $postdata = get_post_data();
-
- if (isset($postdata)) {
- $query_arr = elgg_parse_str($postdata);
-
- // grrrr... magic quotes is turned on so we need to strip slashes
- if (ini_get_bool('magic_quotes_gpc')) {
- if (function_exists('stripslashes_deep')) {
- // defined in input.php to handle magic quotes
- $query_arr = stripslashes_deep($query_arr);
- }
- }
-
- if (is_array($query_arr)) {
- foreach ($query_arr as $name => $val) {
- set_input($name, $val);
- }
- }
-
- }
-}
-
-/**
- * Verify that the required parameters are present
- *
- * @param string $method Method name
- * @param array $parameters List of expected parameters
- *
- * @return true on success or exception
- * @throws APIException
- * @since 1.7.0
- */
-function verify_parameters($method, $parameters) {
- global $API_METHODS;
-
- // are there any parameters for this method
- if (!(isset($API_METHODS[$method]["parameters"]))) {
- return true; // no so return
- }
-
- // check that the parameters were registered correctly and all required ones are there
- foreach ($API_METHODS[$method]['parameters'] as $key => $value) {
- // this tests the expose structure: must be array to describe parameter and type must be defined
- if (!is_array($value) || !isset($value['type'])) {
-
- $msg = elgg_echo('APIException:InvalidParameter', array($key, $method));
- throw new APIException($msg);
- }
-
- // Check that the variable is present in the request if required
- if ($value['required'] && !array_key_exists($key, $parameters)) {
- $msg = elgg_echo('APIException:MissingParameterInMethod', array($key, $method));
- throw new APIException($msg);
- }
- }
-
- return true;
-}
-
-/**
- * Serialize an array of parameters for an API method call
- *
- * @param string $method API method name
- * @param array $parameters Array of parameters
- *
- * @return string or exception
- * @throws APIException
- * @since 1.7.0
- */
-function serialise_parameters($method, $parameters) {
- global $API_METHODS;
-
- // are there any parameters for this method
- if (!(isset($API_METHODS[$method]["parameters"]))) {
- return ''; // if not, return
- }
-
- $serialised_parameters = "";
- foreach ($API_METHODS[$method]['parameters'] as $key => $value) {
-
- // avoid warning on parameters that are not required and not present
- if (!isset($parameters[$key])) {
- continue;
- }
-
- // Set variables casting to type.
- switch (strtolower($value['type']))
- {
- case 'int':
- case 'integer' :
- $serialised_parameters .= "," . (int)trim($parameters[$key]);
- break;
- case 'bool':
- case 'boolean':
- // change word false to boolean false
- if (strcasecmp(trim($parameters[$key]), "false") == 0) {
- $serialised_parameters .= ',false';
- } else if ($parameters[$key] == 0) {
- $serialised_parameters .= ',false';
- } else {
- $serialised_parameters .= ',true';
- }
-
- break;
- case 'string':
- $serialised_parameters .= ",'" . addcslashes(trim($parameters[$key]), "'") . "'";
- break;
- case 'float':
- $serialised_parameters .= "," . (float)trim($parameters[$key]);
- break;
- case 'array':
- // we can handle an array of strings, maybe ints, definitely not booleans or other arrays
- if (!is_array($parameters[$key])) {
- $msg = elgg_echo('APIException:ParameterNotArray', array($key));
- throw new APIException($msg);
- }
-
- $array = "array(";
-
- foreach ($parameters[$key] as $k => $v) {
- $k = sanitise_string($k);
- $v = sanitise_string($v);
-
- $array .= "'$k'=>'$v',";
- }
-
- $array = trim($array, ",");
-
- $array .= ")";
- $array = ",$array";
-
- $serialised_parameters .= $array;
- break;
- default:
- $msg = elgg_echo('APIException:UnrecognisedTypeCast', array($value['type'], $key, $method));
- throw new APIException($msg);
- }
- }
-
- return $serialised_parameters;
-}
-
-// API authorization handlers /////////////////////////////////////////////////////////////////////
-
-/**
- * PAM: Confirm that the call includes a valid API key
- *
- * @return true if good API key - otherwise throws exception
- *
- * @return mixed
- * @throws APIException
- * @since 1.7.0
- */
-function api_auth_key() {
- global $CONFIG;
-
- // check that an API key is present
- $api_key = get_input('api_key');
- if ($api_key == "") {
- throw new APIException(elgg_echo('APIException:MissingAPIKey'));
- }
-
- // check that it is active
- $api_user = get_api_user($CONFIG->site_id, $api_key);
- if (!$api_user) {
- // key is not active or does not exist
- throw new APIException(elgg_echo('APIException:BadAPIKey'));
- }
-
- // can be used for keeping stats
- // plugin can also return false to fail this authentication method
- return elgg_trigger_plugin_hook('api_key', 'use', $api_key, true);
-}
-
-
-/**
- * PAM: Confirm the HMAC signature
- *
- * @return true if success - otherwise throws exception
- *
- * @throws SecurityException
- * @since 1.7.0
- */
-function api_auth_hmac() {
- global $CONFIG;
-
- // Get api header
- $api_header = get_and_validate_api_headers();
-
- // Pull API user details
- $api_user = get_api_user($CONFIG->site_id, $api_header->api_key);
-
- if (!$api_user) {
- throw new SecurityException(elgg_echo('SecurityException:InvalidAPIKey'),
- ErrorResult::$RESULT_FAIL_APIKEY_INVALID);
- }
-
- // Get the secret key
- $secret_key = $api_user->secret;
-
- // get the query string
- $query = substr($_SERVER['REQUEST_URI'], strpos($_SERVER['REQUEST_URI'], '?') + 1);
-
- // calculate expected HMAC
- $hmac = calculate_hmac( $api_header->hmac_algo,
- $api_header->time,
- $api_header->nonce,
- $api_header->api_key,
- $secret_key,
- $query,
- $api_header->method == 'POST' ? $api_header->posthash : "");
-
-
- if ($api_header->hmac !== $hmac) {
- throw new SecurityException("HMAC is invalid. {$api_header->hmac} != [calc]$hmac");
- }
-
- // Now make sure this is not a replay
- if (cache_hmac_check_replay($hmac)) {
- throw new SecurityException(elgg_echo('SecurityException:DupePacket'));
- }
-
- // Validate post data
- if ($api_header->method == "POST") {
- $postdata = get_post_data();
- $calculated_posthash = calculate_posthash($postdata, $api_header->posthash_algo);
-
- if (strcmp($api_header->posthash, $calculated_posthash) != 0) {
- $msg = elgg_echo('SecurityException:InvalidPostHash',
- array($calculated_posthash, $api_header->posthash));
-
- throw new SecurityException($msg);
- }
- }
-
- return true;
-}
-
-// HMAC /////////////////////////////////////////////////////////////////////
-
-/**
- * This function looks at the super-global variable $_SERVER and extracts the various
- * header variables needed for the HMAC PAM
- *
- * @return stdClass Containing all the values.
- * @throws APIException Detailing any error.
- */
-function get_and_validate_api_headers() {
- $result = new stdClass;
-
- $result->method = get_call_method();
- // Only allow these methods
- if (($result->method != "GET") && ($result->method != "POST")) {
- throw new APIException(elgg_echo('APIException:NotGetOrPost'));
- }
-
- $result->api_key = $_SERVER['HTTP_X_ELGG_APIKEY'];
- if ($result->api_key == "") {
- throw new APIException(elgg_echo('APIException:MissingAPIKey'));
- }
-
- $result->hmac = $_SERVER['HTTP_X_ELGG_HMAC'];
- if ($result->hmac == "") {
- throw new APIException(elgg_echo('APIException:MissingHmac'));
- }
-
- $result->hmac_algo = $_SERVER['HTTP_X_ELGG_HMAC_ALGO'];
- if ($result->hmac_algo == "") {
- throw new APIException(elgg_echo('APIException:MissingHmacAlgo'));
- }
-
- $result->time = $_SERVER['HTTP_X_ELGG_TIME'];
- if ($result->time == "") {
- throw new APIException(elgg_echo('APIException:MissingTime'));
- }
-
- // Must have been sent within 25 hour period.
- // 25 hours is more than enough to handle server clock drift.
- // This values determines how long the HMAC cache needs to store previous
- // signatures. Heavy use of HMAC is better handled with a shorter sig lifetime.
- // See cache_hmac_check_replay()
- if (($result->time < (time() - 90000)) || ($result->time > (time() + 90000))) {
- throw new APIException(elgg_echo('APIException:TemporalDrift'));
- }
-
- $result->nonce = $_SERVER['HTTP_X_ELGG_NONCE'];
- if ($result->nonce == "") {
- throw new APIException(elgg_echo('APIException:MissingNonce'));
- }
-
- if ($result->method == "POST") {
- $result->posthash = $_SERVER['HTTP_X_ELGG_POSTHASH'];
- if ($result->posthash == "") {
- throw new APIException(elgg_echo('APIException:MissingPOSTHash'));
- }
-
- $result->posthash_algo = $_SERVER['HTTP_X_ELGG_POSTHASH_ALGO'];
- if ($result->posthash_algo == "") {
- throw new APIException(elgg_echo('APIException:MissingPOSTAlgo'));
- }
-
- $result->content_type = $_SERVER['CONTENT_TYPE'];
- if ($result->content_type == "") {
- throw new APIException(elgg_echo('APIException:MissingContentType'));
- }
- }
-
- return $result;
-}
-
-/**
- * Map various algorithms to their PHP equivs.
- * This also gives us an easy way to disable algorithms.
- *
- * @param string $algo The algorithm
- *
- * @return string The php algorithm
- * @throws APIException if an algorithm is not supported.
- */
-function map_api_hash($algo) {
- $algo = strtolower(sanitise_string($algo));
- $supported_algos = array(
- "md5" => "md5", // @todo Consider phasing this out
- "sha" => "sha1", // alias for sha1
- "sha1" => "sha1",
- "sha256" => "sha256"
- );
-
- if (array_key_exists($algo, $supported_algos)) {
- return $supported_algos[$algo];
- }
-
- throw new APIException(elgg_echo('APIException:AlgorithmNotSupported', array($algo)));
-}
-
-/**
- * Calculate the HMAC for the http request.
- * This function signs an api request using the information provided. The signature returned
- * has been base64 encoded and then url encoded.
- *
- * @param string $algo The HMAC algorithm used
- * @param string $time String representation of unix time
- * @param string $nonce Nonce
- * @param string $api_key Your api key
- * @param string $secret_key Your private key
- * @param string $get_variables URLEncoded string representation of the get variable parameters,
- * eg "method=user&guid=2"
- * @param string $post_hash Optional sha1 hash of the post data.
- *
- * @return string The HMAC signature
- */
-function calculate_hmac($algo, $time, $nonce, $api_key, $secret_key,
-$get_variables, $post_hash = "") {
-
- global $CONFIG;
-
- elgg_log("HMAC Parts: $algo, $time, $api_key, $secret_key, $get_variables, $post_hash");
-
- $ctx = hash_init(map_api_hash($algo), HASH_HMAC, $secret_key);
-
- hash_update($ctx, trim($time));
- hash_update($ctx, trim($nonce));
- hash_update($ctx, trim($api_key));
- hash_update($ctx, trim($get_variables));
- if (trim($post_hash) != "") {
- hash_update($ctx, trim($post_hash));
- }
-
- return urlencode(base64_encode(hash_final($ctx, true)));
-}
-
-/**
- * Calculate a hash for some post data.
- *
- * @todo Work out how to handle really large bits of data.
- *
- * @param string $postdata The post data.
- * @param string $algo The algorithm used.
- *
- * @return string The hash.
- */
-function calculate_posthash($postdata, $algo) {
- $ctx = hash_init(map_api_hash($algo));
-
- hash_update($ctx, $postdata);
-
- return hash_final($ctx);
-}
-
-/**
- * This function will do two things. Firstly it verifies that a HMAC signature
- * hasn't been seen before, and secondly it will add the given hmac to the cache.
- *
- * @param string $hmac The hmac string.
- *
- * @return bool True if replay detected, false if not.
- */
-function cache_hmac_check_replay($hmac) {
- // cache lifetime is 25 hours (this should be related to the time drift
- // allowed in get_and_validate_headers
- $cache = new ElggHMACCache(90000);
-
- if (!$cache->load($hmac)) {
- $cache->save($hmac, $hmac);
-
- return false;
- }
-
- return true;
-}
-
-// API key functions /////////////////////////////////////////////////////////////////////
-
-/**
- * Generate a new API user for a site, returning a new keypair on success.
- *
- * @param int $site_guid The GUID of the site. (default is current site)
- *
- * @return stdClass object or false
- */
-function create_api_user($site_guid) {
- global $CONFIG;
-
- if (!isset($site_guid)) {
- $site_guid = $CONFIG->site_id;
- }
-
- $site_guid = (int)$site_guid;
-
- $public = sha1(rand() . $site_guid . microtime());
- $secret = sha1(rand() . $site_guid . microtime() . $public);
-
- $insert = insert_data("INSERT into {$CONFIG->dbprefix}api_users
- (site_guid, api_key, secret) values
- ($site_guid, '$public', '$secret')");
-
- if ($insert) {
- return get_api_user($site_guid, $public);
- }
-
- return false;
-}
-
-/**
- * Find an API User's details based on the provided public api key.
- * These users are not users in the traditional sense.
- *
- * @param int $site_guid The GUID of the site.
- * @param string $api_key The API Key
- *
- * @return mixed stdClass representing the database row or false.
- */
-function get_api_user($site_guid, $api_key) {
- global $CONFIG;
-
- $api_key = sanitise_string($api_key);
- $site_guid = (int)$site_guid;
-
- $query = "SELECT * from {$CONFIG->dbprefix}api_users"
- . " where api_key='$api_key' and site_guid=$site_guid and active=1";
-
- return get_data_row($query);
-}
-
-/**
- * Revoke an api user key.
- *
- * @param int $site_guid The GUID of the site.
- * @param string $api_key The API Key (public).
- *
- * @return bool
- */
-function remove_api_user($site_guid, $api_key) {
- global $CONFIG;
-
- $keypair = get_api_user($site_guid, $api_key);
- if ($keypair) {
- return delete_data("DELETE from {$CONFIG->dbprefix}api_users where id={$keypair->id}");
- }
-
- return false;
-}
-
-
-// User Authorization functions
-
-/**
- * Check the user token
- * This examines whether an authentication token is present and returns true if
- * it is present and is valid. The user gets logged in so with the current
- * session code of Elgg, that user will be logged out of all other sessions.
- *
- * @return bool
- */
-function pam_auth_usertoken() {
- global $CONFIG;
-
- $token = get_input('auth_token');
- if (!$token) {
- return false;
- }
-
- $validated_userid = validate_user_token($token, $CONFIG->site_id);
-
- if ($validated_userid) {
- $u = get_entity($validated_userid);
-
- // Could we get the user?
- if (!$u) {
- return false;
- }
-
- // Not an elgg user
- if ((!$u instanceof ElggUser)) {
- return false;
- }
-
- // User is banned
- if ($u->isBanned()) {
- return false;
- }
-
- // Fail if we couldn't log the user in
- if (!login($u)) {
- return false;
- }
-
- return true;
- }
-
- return false;
-}
-
-/**
- * See if the user has a valid login sesson
- *
- * @return bool
- */
-function pam_auth_session() {
- return isloggedin();
-}
-
-// user token functions
-
-/**
- * Obtain a token for a user.
- *
- * @param string $username The username
- * @param int $expire Minutes until token expires (default is 60 minutes)
- *
- * @return bool
- */
-function create_user_token($username, $expire = 60) {
- global $CONFIG;
-
- $site_guid = $CONFIG->site_id;
- $user = get_user_by_username($username);
- $time = time();
- $time += 60 * $expire;
- $token = md5(rand() . microtime() . $username . $time . $site_guid);
-
- if (!$user) {
- return false;
- }
-
- if (insert_data("INSERT into {$CONFIG->dbprefix}users_apisessions
- (user_guid, site_guid, token, expires) values
- ({$user->guid}, $site_guid, '$token', '$time')
- on duplicate key update token='$token', expires='$time'")) {
- return $token;
- }
-
- return false;
-}
-
-/**
- * Get all tokens attached to a user
- *
- * @param int $user_guid The user GUID
- * @param int $site_guid The ID of the site (default is current site)
- *
- * @return false if none available or array of stdClass objects
- * (see users_apisessions schema for available variables in objects)
- * @since 1.7.0
- */
-function get_user_tokens($user_guid, $site_guid) {
- global $CONFIG;
-
- if (!isset($site_guid)) {
- $site_guid = $CONFIG->site_id;
- }
-
- $site_guid = (int)$site_guid;
- $user_guid = (int)$user_guid;
-
- $tokens = get_data("SELECT * from {$CONFIG->dbprefix}users_apisessions
- where user_guid=$user_guid and site_guid=$site_guid");
-
- return $tokens;
-}
-
-/**
- * Validate a token against a given site.
- *
- * A token registered with one site can not be used from a
- * different apikey(site), so be aware of this during development.
- *
- * @param string $token The Token.
- * @param int $site_guid The ID of the site (default is current site)
- *
- * @return mixed The user id attached to the token if not expired or false.
- */
-function validate_user_token($token, $site_guid) {
- global $CONFIG;
-
- if (!isset($site_guid)) {
- $site_guid = $CONFIG->site_id;
- }
-
- $site_guid = (int)$site_guid;
- $token = sanitise_string($token);
-
- $time = time();
-
- $user = get_data_row("SELECT * from {$CONFIG->dbprefix}users_apisessions
- where token='$token' and site_guid=$site_guid and $time < expires");
-
- if ($user) {
- return $user->user_guid;
- }
-
- return false;
-}
-
-/**
- * Remove user token
- *
- * @param string $token The toekn
- * @param int $site_guid The ID of the site (default is current site)
- *
- * @return bool
- * @since 1.7.0
- */
-function remove_user_token($token, $site_guid) {
- global $CONFIG;
-
- if (!isset($site_guid)) {
- $site_guid = $CONFIG->site_id;
- }
-
- $site_guid = (int)$site_guid;
- $token = sanitise_string($token);
-
- return delete_data("DELETE from {$CONFIG->dbprefix}users_apisessions
- where site_guid=$site_guid and token='$token'");
-}
-
-/**
- * Remove expired tokens
- *
- * @return bool
- * @since 1.7.0
- */
-function remove_expired_user_tokens() {
- global $CONFIG;
-
- $site_guid = $CONFIG->site_id;
-
- $time = time();
-
- return delete_data("DELETE from {$CONFIG->dbprefix}users_apisessions
- where site_guid=$site_guid and expires < $time");
-}
-
-// Client api functions
-
-/**
- * Utility function to serialise a header array into its text representation.
- *
- * @param array $headers The array of headers "key" => "value"
- *
- * @return string
- */
-function serialise_api_headers(array $headers) {
- $headers_str = "";
-
- foreach ($headers as $k => $v) {
- $headers_str .= trim($k) . ": " . trim($v) . "\r\n";
- }
-
- return trim($headers_str);
-}
-
-/**
- * Send a raw API call to an elgg api endpoint.
- *
- * @param array $keys The api keys.
- * @param string $url URL of the endpoint.
- * @param array $call Associated array of "variable" => "value"
- * @param string $method GET or POST
- * @param string $post_data The post data
- * @param string $content_type The content type
- *
- * @return string
- */
-function send_api_call(array $keys, $url, array $call, $method = 'GET', $post_data = '',
-$content_type = 'application/octet-stream') {
-
- global $CONFIG;
-
- $headers = array();
- $encoded_params = array();
-
- $method = strtoupper($method);
- switch (strtoupper($method)) {
- case 'GET' :
- case 'POST' :
- break;
- default:
- $msg = elgg_echo('NotImplementedException:CallMethodNotImplemented', array($method));
- throw new NotImplementedException($msg);
- }
-
- // Time
- $time = time();
-
- // Nonce
- $nonce = uniqid('');
-
- // URL encode all the parameters
- foreach ($call as $k => $v) {
- $encoded_params[] = urlencode($k) . '=' . urlencode($v);
- }
-
- $params = implode('&', $encoded_params);
-
- // Put together the query string
- $url = $url . "?" . $params;
-
- // Construct headers
- $posthash = "";
- if ($method == 'POST') {
- $posthash = calculate_posthash($post_data, 'md5');
- }
-
- if ((isset($keys['public'])) && (isset($keys['private']))) {
- $headers['X-Elgg-apikey'] = $keys['public'];
- $headers['X-Elgg-time'] = $time;
- $headers['X-Elgg-nonce'] = $nonce;
- $headers['X-Elgg-hmac-algo'] = 'sha1';
- $headers['X-Elgg-hmac'] = calculate_hmac('sha1',
- $time,
- $nonce,
- $keys['public'],
- $keys['private'],
- $params,
- $posthash
- );
- }
- if ($method == 'POST') {
- $headers['X-Elgg-posthash'] = $posthash;
- $headers['X-Elgg-posthash-algo'] = 'md5';
-
- $headers['Content-type'] = $content_type;
- $headers['Content-Length'] = strlen($post_data);
- }
-
- // Opt array
- $http_opts = array(
- 'method' => $method,
- 'header' => serialise_api_headers($headers)
- );
- if ($method == 'POST') {
- $http_opts['content'] = $post_data;
- }
-
- $opts = array('http' => $http_opts);
-
- // Send context
- $context = stream_context_create($opts);
-
- // Send the query and get the result and decode.
- elgg_log("APICALL: $url");
- $results = file_get_contents($url, false, $context);
-
- return $results;
-}
-
-/**
- * Send a GET call
- *
- * @param string $url URL of the endpoint.
- * @param array $call Associated array of "variable" => "value"
- * @param array $keys The keys dependant on chosen authentication method
- *
- * @return string
- */
-function send_api_get_call($url, array $call, array $keys) {
- return send_api_call($keys, $url, $call);
-}
-
-/**
- * Send a GET call
- *
- * @param string $url URL of the endpoint.
- * @param array $call Associated array of "variable" => "value"
- * @param array $keys The keys dependant on chosen authentication method
- * @param string $post_data The post data
- * @param string $content_type The content type
- *
- * @return string
- */
-function send_api_post_call($url, array $call, array $keys, $post_data,
-$content_type = 'application/octet-stream') {
-
- return send_api_call($keys, $url, $call, 'POST', $post_data, $content_type);
-}
-
-/**
- * Return a key array suitable for the API client using the standard
- * authentication method based on api-keys and secret keys.
- *
- * @param string $secret_key Your secret key
- * @param string $api_key Your api key
- *
- * @return array
- */
-function get_standard_api_key_array($secret_key, $api_key) {
- return array('public' => $api_key, 'private' => $secret_key);
-}
-
-// System functions
-
-/**
- * Simple api to return a list of all api's installed on the system.
- *
- * @return array
- */
-function list_all_apis() {
- global $API_METHODS;
-
- // sort first
- ksort($API_METHODS);
-
- return $API_METHODS;
-}
-
-/**
- * The auth.gettoken API.
- * This API call lets a user log in, returning an authentication token which can be used
- * to authenticate a user for a period of time. It is passed in future calls as the parameter
- * auth_token.
- *
- * @param string $username Username
- * @param string $password Clear text password
- *
- * @return string Token string or exception
- * @throws SecurityException
- */
-function auth_gettoken($username, $password) {
- if (authenticate($username, $password)) {
- $token = create_user_token($username);
- if ($token) {
- return $token;
- }
- }
-
- throw new SecurityException(elgg_echo('SecurityException:authenticationfailed'));
-}
-
-// Error handler functions
-
-/** Define a global array of errors */
-$ERRORS = array();
-
-/**
- * API PHP Error handler function.
- * This function acts as a wrapper to catch and report PHP error messages.
- *
- * @see http://uk3.php.net/set-error-handler
- *
- * @param int $errno Error number
- * @param string $errmsg Human readable message
- * @param string $filename Filename
- * @param int $linenum Line number
- * @param array $vars Vars
- *
- * @return void
- */
-function _php_api_error_handler($errno, $errmsg, $filename, $linenum, $vars) {
- global $ERRORS;
-
- $error = date("Y-m-d H:i:s (T)") . ": \"" . $errmsg . "\" in file "
- . $filename . " (line " . $linenum . ")";
-
- switch ($errno) {
- case E_USER_ERROR:
- error_log("ERROR: " . $error);
- $ERRORS[] = "ERROR: " . $error;
-
- // Since this is a fatal error, we want to stop any further execution but do so gracefully.
- throw new Exception("ERROR: " . $error);
- break;
-
- case E_WARNING :
- case E_USER_WARNING :
- error_log("WARNING: " . $error);
- $ERRORS[] = "WARNING: " . $error;
- break;
-
- default:
- error_log("DEBUG: " . $error);
- $ERRORS[] = "DEBUG: " . $error;
- }
-}
-
-/**
- * API PHP Exception handler.
- * This is a generic exception handler for PHP exceptions. This will catch any
- * uncaught exception, end API execution and return the result to the requestor
- * as an ErrorResult in the requested format.
- *
- * @param Exception $exception Exception
- *
- * @return void
- */
-function _php_api_exception_handler($exception) {
-
- error_log("*** FATAL EXCEPTION (API) *** : " . $exception);
-
- $code = $exception->getCode() == 0 ? ErrorResult::$RESULT_FAIL : $exception->getCode();
- $result = new ErrorResult($exception->getMessage(), $code, NULL);
-
- echo elgg_view_page($exception->getMessage(), elgg_view("api/output", array("result" => $result)));
-}
-
-
-// Services handler
-
-/**
- * Services handler - turns request over to the registered handler
- * If no handler is found, this returns a 404 error
- *
- * @param string $handler Handler name
- * @param array $request Request string
- *
- * @return void
- */
-function service_handler($handler, $request) {
- global $CONFIG;
-
- elgg_set_context('api');
-
- $request = explode('/', $request);
-
- // after the handler, the first identifier is response format
- // ex) http://example.org/services/api/rest/xml/?method=test
- $reponse_format = array_shift($request);
- // Which view - xml, json, ...
- if ($reponse_format) {
- elgg_set_viewtype($reponse_format);
- } else {
- // default to xml
- elgg_set_viewtype("xml");
- }
-
- if (!isset($CONFIG->servicehandler) || empty($handler)) {
- // no handlers set or bad url
- header("HTTP/1.0 404 Not Found");
- exit;
- } else if (isset($CONFIG->servicehandler[$handler])
- && is_callable($CONFIG->servicehandler[$handler])) {
-
- $function = $CONFIG->servicehandler[$handler];
- $function($request, $handler);
- } else {
- // no handler for this web service
- header("HTTP/1.0 404 Not Found");
- exit;
- }
-}
-
-/**
- * Registers a web services handler
- *
- * @param string $handler Web services type
- * @param string $function Your function name
- *
- * @return bool Depending on success
- * @since 1.7.0
- */
-function register_service_handler($handler, $function) {
- global $CONFIG;
- if (!isset($CONFIG->servicehandler)) {
- $CONFIG->servicehandler = array();
- }
- if (is_callable($function)) {
- $CONFIG->servicehandler[$handler] = $function;
- return true;
- }
-
- return false;
-}
-
-/**
- * Remove a web service
- * To replace a web service handler, register the desired handler over the old on
- * with register_service_handler().
- *
- * @param string $handler web services type
- *
- * @return 1.7.0
- */
-function unregister_service_handler($handler) {
- global $CONFIG;
- if (isset($CONFIG->servicehandler) && isset($CONFIG->servicehandler[$handler])) {
- unset($CONFIG->servicehandler[$handler]);
- }
-}
-
-// REST handler
-
-/**
- * REST API handler
- *
- * @return void
- */
-function rest_handler() {
- global $CONFIG;
-
- require $CONFIG->path . "services/api/rest_api.php";
-}
-
-// Initialisation
-
-/**
- * Unit tests for API
- *
- * @param sting $hook unit_test
- * @param string $type system
- * @param mixed $value Array of tests
- * @param mixed $params Params
- *
- * @return array
- */
-function api_unit_test($hook, $type, $value, $params) {
- global $CONFIG;
- $value[] = $CONFIG->path . 'engine/tests/services/api.php';
- return $value;
-}
-
-/**
- * Initialise the API subsystem.
- *
- * @return void
- */
-function api_init() {
- // Register a page handler, so we can have nice URLs
- register_service_handler('rest', 'rest_handler');
-
- elgg_register_plugin_hook_handler('unit_test', 'system', 'api_unit_test');
-
- // expose the list of api methods
- expose_function("system.api.list", "list_all_apis", NULL,
- elgg_echo("system.api.list"), "GET", false, false);
-
- // The authentication token api
- expose_function("auth.gettoken",
- "auth_gettoken", array(
- 'username' => array ('type' => 'string'),
- 'password' => array ('type' => 'string'),
- ),
- elgg_echo('auth.gettoken'),
- 'POST',
- false,
- false);
-}
-
-
-elgg_register_event_handler('init', 'system', 'api_init');
diff --git a/engine/lib/cache.php b/engine/lib/cache.php
index 8fe7d3562..3116c1a9b 100644
--- a/engine/lib/cache.php
+++ b/engine/lib/cache.php
@@ -7,16 +7,17 @@
* @subpackage Cache
*/
+/* Filepath Cache */
+
/**
- * Returns an ElggCache object suitable for caching view
- * file load paths to disk under $CONFIG->dataroot.
+ * Returns an ElggCache object suitable for caching system information
*
* @todo Can this be done in a cleaner way?
* @todo Swap to memcache etc?
*
- * @return ElggFileCache A cache object suitable for caching file load paths.
+ * @return ElggFileCache
*/
-function elgg_get_filepath_cache() {
+function elgg_get_system_cache() {
global $CONFIG;
/**
@@ -25,56 +26,55 @@ function elgg_get_filepath_cache() {
static $FILE_PATH_CACHE;
if (!$FILE_PATH_CACHE) {
- $FILE_PATH_CACHE = new ElggFileCache($CONFIG->dataroot);
+ $FILE_PATH_CACHE = new ElggFileCache($CONFIG->dataroot . 'system_cache/');
}
return $FILE_PATH_CACHE;
}
/**
- * Deletes the view file paths cache from disk.
+ * Reset the system cache by deleting the caches
*
- * @return bool On success
+ * @return void
*/
-function elgg_filepath_cache_reset() {
- $cache = elgg_get_filepath_cache();
- return $cache->delete('view_paths');
+function elgg_reset_system_cache() {
+ $cache = elgg_get_system_cache();
+ $cache->clear();
}
/**
- * Saves $data to the views file paths disk cache as
- * 'view_paths'.
+ * Saves a system cache.
*
- * @param mixed $data The data
- *
- * @return bool On success
+ * @param string $type The type or identifier of the cache
+ * @param string $data The data to be saved
+ * @return bool
*/
-function elgg_filepath_cache_save($data) {
+function elgg_save_system_cache($type, $data) {
global $CONFIG;
- if ($CONFIG->viewpath_cache_enabled) {
- $cache = elgg_get_filepath_cache();
- return $cache->save('view_paths', $data);
+ if ($CONFIG->system_cache_enabled) {
+ $cache = elgg_get_system_cache();
+ return $cache->save($type, $data);
}
return false;
}
/**
- * Returns the contents of the views file paths cache from disk.
+ * Retrieve the contents of a system cache.
*
- * @return mixed Null if simplecache isn't enabled, the contents of the
- * views file paths cache if it is.
+ * @param string $type The type of cache to load
+ * @return string
*/
-function elgg_filepath_cache_load() {
+function elgg_load_system_cache($type) {
global $CONFIG;
- if ($CONFIG->viewpath_cache_enabled) {
- $cache = elgg_get_filepath_cache();
- $cached_view_paths = $cache->load('view_paths');
+ if ($CONFIG->system_cache_enabled) {
+ $cache = elgg_get_system_cache();
+ $cached_data = $cache->load($type);
- if ($cached_view_paths) {
- return $cached_view_paths;
+ if ($cached_data) {
+ return $cached_data;
}
}
@@ -82,33 +82,372 @@ function elgg_filepath_cache_load() {
}
/**
- * Enables the views file paths disk cache.
+ * Enables the system disk cache.
*
- * Uses the 'viewpath_cache_enabled' datalist with a boolean value.
- * Resets the views paths cache.
+ * Uses the 'system_cache_enabled' datalist with a boolean value.
+ * Resets the system cache.
*
- * @return null
+ * @return void
*/
-function elgg_enable_filepath_cache() {
+function elgg_enable_system_cache() {
global $CONFIG;
- datalist_set('viewpath_cache_enabled', 1);
- $CONFIG->viewpath_cache_enabled = 1;
- elgg_filepath_cache_reset();
+ datalist_set('system_cache_enabled', 1);
+ $CONFIG->system_cache_enabled = 1;
+ elgg_reset_system_cache();
}
/**
- * Disables the views file paths disk cache.
+ * Disables the system disk cache.
*
- * Uses the 'viewpath_cache_enabled' datalist with a boolean value.
- * Resets the views paths cache.
+ * Uses the 'system_cache_enabled' datalist with a boolean value.
+ * Resets the system cache.
*
- * @return null
+ * @return void
+ */
+function elgg_disable_system_cache() {
+ global $CONFIG;
+
+ datalist_set('system_cache_enabled', 0);
+ $CONFIG->system_cache_enabled = 0;
+ elgg_reset_system_cache();
+}
+
+/** @todo deprecate in Elgg 1.9 **/
+
+/**
+ * @access private
+ */
+function elgg_get_filepath_cache() {
+ return elgg_get_system_cache();
+}
+/**
+ * @access private
+ */
+function elgg_filepath_cache_reset() {
+ elgg_reset_system_cache();
+}
+/**
+ * @access private
+ */
+function elgg_filepath_cache_save($type, $data) {
+ return elgg_save_system_cache($type, $data);
+}
+/**
+ * @access private
+ */
+function elgg_filepath_cache_load($type) {
+ return elgg_load_system_cache($type);
+}
+/**
+ * @access private
+ */
+function elgg_enable_filepath_cache() {
+ elgg_enable_system_cache();
+}
+/**
+ * @access private
*/
function elgg_disable_filepath_cache() {
+ elgg_disable_system_cache();
+}
+
+/* Simplecache */
+
+/**
+ * Registers a view to simple cache.
+ *
+ * Simple cache is a caching mechanism that saves the output of
+ * views and its extensions into a file. If the view is called
+ * by the {@link simplecache/view.php} file, the Elgg framework will
+ * not be loaded and the contents of the view will returned
+ * from file.
+ *
+ * @warning Simple cached views must take no parameters and return
+ * the same content no matter who is logged in.
+ *
+ * @example
+ * $blog_js = elgg_get_simplecache_url('js', 'blog/save_draft');
+ * elgg_register_simplecache_view('js/blog/save_draft');
+ * elgg_register_js('elgg.blog', $blog_js);
+ * elgg_load_js('elgg.blog');
+ *
+ * @param string $viewname View name
+ *
+ * @return void
+ * @link http://docs.elgg.org/Views/Simplecache
+ * @see elgg_regenerate_simplecache()
+ * @since 1.8.0
+ */
+function elgg_register_simplecache_view($viewname) {
global $CONFIG;
- datalist_set('viewpath_cache_enabled', 0);
- $CONFIG->viewpath_cache_enabled = 0;
- elgg_filepath_cache_reset();
+ if (!isset($CONFIG->views)) {
+ $CONFIG->views = new stdClass;
+ }
+
+ if (!isset($CONFIG->views->simplecache)) {
+ $CONFIG->views->simplecache = array();
+ }
+
+ $CONFIG->views->simplecache[] = $viewname;
}
+
+/**
+ * Get the URL for the cached file
+ *
+ * @warning You must register the view with elgg_register_simplecache_view()
+ * for caching to work. See elgg_register_simplecache_view() for a full example.
+ *
+ * @param string $type The file type: css or js
+ * @param string $view The view name
+ * @return string
+ * @since 1.8.0
+ */
+function elgg_get_simplecache_url($type, $view) {
+ global $CONFIG;
+ $lastcache = (int)$CONFIG->lastcache;
+ $viewtype = elgg_get_viewtype();
+ elgg_register_simplecache_view("$type/$view");// see #5302
+ if (elgg_is_simplecache_enabled()) {
+ $url = elgg_get_site_url() . "cache/$type/$viewtype/$view.$lastcache.$type";
+ } else {
+ $url = elgg_get_site_url() . "$type/$view.$lastcache.$type";
+ $elements = array("view" => $viewtype);
+ $url = elgg_http_add_url_query_elements($url, $elements);
+ }
+
+ return $url;
+}
+
+/**
+ * Regenerates the simple cache.
+ *
+ * @warning This does not invalidate the cache, but actively rebuilds it.
+ *
+ * @param string $viewtype Optional viewtype to regenerate. Defaults to all valid viewtypes.
+ *
+ * @return void
+ * @see elgg_register_simplecache_view()
+ * @since 1.8.0
+ */
+function elgg_regenerate_simplecache($viewtype = NULL) {
+ global $CONFIG;
+
+ if (!isset($CONFIG->views->simplecache) || !is_array($CONFIG->views->simplecache)) {
+ return;
+ }
+
+ $lastcached = time();
+
+ // @todo elgg_view() checks if the page set is done (isset($CONFIG->pagesetupdone)) and
+ // triggers an event if it's not. Calling elgg_view() here breaks submenus
+ // (at least) because the page setup hook is called before any
+ // contexts can be correctly set (since this is called before page_handler()).
+ // To avoid this, lie about $CONFIG->pagehandlerdone to force
+ // the trigger correctly when the first view is actually being output.
+ $CONFIG->pagesetupdone = TRUE;
+
+ if (!file_exists($CONFIG->dataroot . 'views_simplecache')) {
+ mkdir($CONFIG->dataroot . 'views_simplecache');
+ }
+
+ if (isset($viewtype)) {
+ $viewtypes = array($viewtype);
+ } else {
+ $viewtypes = $CONFIG->view_types;
+ }
+
+ $original_viewtype = elgg_get_viewtype();
+
+ // disable error reporting so we don't cache problems
+ $old_debug = elgg_get_config('debug');
+ elgg_set_config('debug', null);
+
+ foreach ($viewtypes as $viewtype) {
+ elgg_set_viewtype($viewtype);
+ foreach ($CONFIG->views->simplecache as $view) {
+ $viewcontents = elgg_view($view);
+ $viewname = md5(elgg_get_viewtype() . $view);
+ if ($handle = fopen($CONFIG->dataroot . 'views_simplecache/' . $viewname, 'w')) {
+ fwrite($handle, $viewcontents);
+ fclose($handle);
+ }
+ }
+
+ datalist_set("simplecache_lastupdate_$viewtype", $lastcached);
+ datalist_set("simplecache_lastcached_$viewtype", $lastcached);
+ }
+
+ elgg_set_config('debug', $old_debug);
+ elgg_set_viewtype($original_viewtype);
+
+ // needs to be set for links in html head
+ $CONFIG->lastcache = $lastcached;
+
+ unset($CONFIG->pagesetupdone);
+}
+
+/**
+ * Is simple cache enabled
+ *
+ * @return bool
+ * @since 1.8.0
+ */
+function elgg_is_simplecache_enabled() {
+ if (elgg_get_config('simplecache_enabled')) {
+ return true;
+ }
+
+ return false;
+}
+
+/**
+ * Enables the simple cache.
+ *
+ * @access private
+ * @see elgg_register_simplecache_view()
+ * @return void
+ * @since 1.8.0
+ */
+function elgg_enable_simplecache() {
+ global $CONFIG;
+
+ datalist_set('simplecache_enabled', 1);
+ $CONFIG->simplecache_enabled = 1;
+ elgg_regenerate_simplecache();
+}
+
+/**
+ * Disables the simple cache.
+ *
+ * @warning Simplecache is also purged when disabled.
+ *
+ * @access private
+ * @see elgg_register_simplecache_view()
+ * @return void
+ * @since 1.8.0
+ */
+function elgg_disable_simplecache() {
+ global $CONFIG;
+ if ($CONFIG->simplecache_enabled) {
+ datalist_set('simplecache_enabled', 0);
+ $CONFIG->simplecache_enabled = 0;
+
+ // purge simple cache
+ if ($handle = opendir($CONFIG->dataroot . 'views_simplecache')) {
+ while (false !== ($file = readdir($handle))) {
+ if ($file != "." && $file != "..") {
+ unlink($CONFIG->dataroot . 'views_simplecache/' . $file);
+ }
+ }
+ closedir($handle);
+ }
+ }
+}
+
+/**
+ * Deletes all cached views in the simplecache and sets the lastcache and
+ * lastupdate time to 0 for every valid viewtype.
+ *
+ * @return bool
+ * @since 1.7.4
+ */
+function elgg_invalidate_simplecache() {
+ global $CONFIG;
+
+ if (!isset($CONFIG->views->simplecache) || !is_array($CONFIG->views->simplecache)) {
+ return false;
+ }
+
+ $handle = opendir($CONFIG->dataroot . 'views_simplecache');
+
+ if (!$handle) {
+ return false;
+ }
+
+ // remove files.
+ $return = true;
+ while (false !== ($file = readdir($handle))) {
+ if ($file != "." && $file != "..") {
+ $return &= unlink($CONFIG->dataroot . 'views_simplecache/' . $file);
+ }
+ }
+ closedir($handle);
+
+ // reset cache times
+ $viewtypes = $CONFIG->view_types;
+
+ if (!is_array($viewtypes)) {
+ return false;
+ }
+
+ foreach ($viewtypes as $viewtype) {
+ $return &= datalist_set("simplecache_lastupdate_$viewtype", 0);
+ $return &= datalist_set("simplecache_lastcached_$viewtype", 0);
+ }
+
+ return $return;
+}
+
+/**
+ * @see elgg_reset_system_cache()
+ * @access private
+ */
+function _elgg_load_cache() {
+ global $CONFIG;
+
+ $CONFIG->system_cache_loaded = false;
+
+ $CONFIG->views = new stdClass();
+ $data = elgg_load_system_cache('view_locations');
+ if (!is_string($data)) {
+ return;
+ }
+ $CONFIG->views->locations = unserialize($data);
+
+ $data = elgg_load_system_cache('view_types');
+ if (!is_string($data)) {
+ return;
+ }
+ $CONFIG->view_types = unserialize($data);
+
+ $CONFIG->system_cache_loaded = true;
+}
+
+/**
+ * @access private
+ */
+function _elgg_cache_init() {
+ global $CONFIG;
+
+ $viewtype = elgg_get_viewtype();
+
+ // Regenerate the simple cache if expired.
+ // Don't do it on upgrade because upgrade does it itself.
+ // @todo - move into function and perhaps run off init system event
+ if (!defined('UPGRADING')) {
+ $lastupdate = datalist_get("simplecache_lastupdate_$viewtype");
+ $lastcached = datalist_get("simplecache_lastcached_$viewtype");
+ if ($lastupdate == 0 || $lastcached < $lastupdate) {
+ elgg_regenerate_simplecache($viewtype);
+ $lastcached = datalist_get("simplecache_lastcached_$viewtype");
+ }
+ $CONFIG->lastcache = $lastcached;
+ }
+
+ // cache system data if enabled and not loaded
+ if ($CONFIG->system_cache_enabled && !$CONFIG->system_cache_loaded) {
+ elgg_save_system_cache('view_locations', serialize($CONFIG->views->locations));
+ elgg_save_system_cache('view_types', serialize($CONFIG->view_types));
+ }
+
+ if ($CONFIG->system_cache_enabled && !$CONFIG->i18n_loaded_from_cache) {
+ reload_all_translations();
+ foreach ($CONFIG->translations as $lang => $map) {
+ elgg_save_system_cache("$lang.lang", serialize($map));
+ }
+ }
+}
+
+elgg_register_event_handler('ready', 'system', '_elgg_cache_init');
diff --git a/engine/lib/calendar.php b/engine/lib/calendar.php
index 6ee9980e5..e6f95934c 100644
--- a/engine/lib/calendar.php
+++ b/engine/lib/calendar.php
@@ -16,6 +16,7 @@
* @param int $year Year
*
* @return int
+ * @access private
*/
function get_day_start($day = null, $month = null, $year = null) {
return mktime(0, 0, 0, $month, $day, $year);
@@ -29,6 +30,7 @@ function get_day_start($day = null, $month = null, $year = null) {
* @param int $year Year
*
* @return int
+ * @access private
*/
function get_day_end($day = null, $month = null, $year = null) {
return mktime(23, 59, 59, $month, $day, $year);
@@ -37,6 +39,8 @@ function get_day_end($day = null, $month = null, $year = null) {
/**
* Return the notable entities for a given time period.
*
+ * @todo this function also accepts an array(type => subtypes) for 3rd arg. Should we document this?
+ *
* @param int $start_time The start time as a unix timestamp.
* @param int $end_time The end time as a unix timestamp.
* @param string $type The type of entity (eg "user", "object" etc)
@@ -50,6 +54,7 @@ function get_day_end($day = null, $month = null, $year = null) {
* @param mixed $container_guid Container or containers to get entities from (default: any).
*
* @return array|false
+ * @access private
*/
function get_notable_entities($start_time, $end_time, $type = "", $subtype = "", $owner_guid = 0,
$order_by = "asc", $limit = 10, $offset = 0, $count = false, $site_guid = 0,
@@ -197,6 +202,7 @@ $container_guid = null) {
* @param bool $count If true, returns count instead of entities. (Default: false)
*
* @return int|array A list of entities, or a count if $count is set to true
+ * @access private
*/
function get_notable_entities_from_metadata($start_time, $end_time, $meta_name, $meta_value = "",
$entity_type = "", $entity_subtype = "", $owner_guid = 0, $limit = 10, $offset = 0, $order_by = "",
@@ -326,6 +332,7 @@ $site_guid = 0, $count = false) {
* @param int $site_guid Site to get entities for. Default 0 = current site. -1 = any
*
* @return array|int|false An array of entities, or the number of entities, or false on failure
+ * @access private
*/
function get_noteable_entities_from_relationship($start_time, $end_time, $relationship,
$relationship_guid, $inverse_relationship = false, $type = "", $subtype = "", $owner_guid = 0,
@@ -435,6 +442,7 @@ $order_by = "", $limit = 10, $offset = 0, $count = false, $site_guid = 0) {
* @param mixed $container_guid Container(s) to get entities from (default: any).
*
* @return array|false
+ * @access private
*/
function get_todays_entities($type = "", $subtype = "", $owner_guid = 0, $order_by = "",
$limit = 10, $offset = 0, $count = false, $site_guid = 0, $container_guid = null) {
@@ -461,6 +469,7 @@ $limit = 10, $offset = 0, $count = false, $site_guid = 0, $container_guid = null
* @param bool $count If true, returns count instead of entities. (Default: false)
*
* @return int|array A list of entities, or a count if $count is set to true
+ * @access private
*/
function get_todays_entities_from_metadata($meta_name, $meta_value = "", $entity_type = "",
$entity_subtype = "", $owner_guid = 0, $limit = 10, $offset = 0, $order_by = "", $site_guid = 0,
@@ -491,6 +500,7 @@ $count = false) {
* @param int $site_guid Site to get entities for. Default 0 = current site. -1 = any
*
* @return array|int|false An array of entities, or the number of entities, or false on failure
+ * @access private
*/
function get_todays_entities_from_relationship($relationship, $relationship_guid,
$inverse_relationship = false, $type = "", $subtype = "", $owner_guid = 0,
@@ -520,6 +530,7 @@ $order_by = "", $limit = 10, $offset = 0, $count = false, $site_guid = 0) {
* @param boolean $navigation Display pagination? Default: true
*
* @return string A viewable list of entities
+ * @access private
*/
function list_notable_entities($start_time, $end_time, $type= "", $subtype = "", $owner_guid = 0,
$limit = 10, $fullview = true, $listtypetoggle = false, $navigation = true) {
@@ -549,6 +560,7 @@ $limit = 10, $fullview = true, $listtypetoggle = false, $navigation = true) {
* @param boolean $navigation Display pagination? Default: true
*
* @return string A viewable list of entities
+ * @access private
*/
function list_todays_entities($type= "", $subtype = "", $owner_guid = 0, $limit = 10,
$fullview = true, $listtypetoggle = false, $navigation = true) {
@@ -558,4 +570,4 @@ $fullview = true, $listtypetoggle = false, $navigation = true) {
return list_notable_entities($day_start, $day_end, $type, $subtype, $owner_guid, $limit,
$fullview, $listtypetoggle, $navigation);
-} \ No newline at end of file
+}
diff --git a/engine/lib/configuration.php b/engine/lib/configuration.php
index b222b6b4f..55e5bbd36 100644
--- a/engine/lib/configuration.php
+++ b/engine/lib/configuration.php
@@ -3,8 +3,9 @@
* Elgg configuration procedural code.
*
* Includes functions for manipulating the configuration values stored in the database
- * Plugin authors should use the {@link get_config()}, {@link set_config()},
- * and {@unset_config()} functions to access or update config values.
+ * Plugin authors should use the {@link elgg_get_config()}, {@link elgg_set_config()},
+ * {@link elgg_save_config()}, and {@unset_config()} functions to access or update
+ * config values.
*
* Elgg's configuration is split among 2 tables and 1 file:
* - dbprefix_config
@@ -18,16 +19,170 @@
*/
/**
+ * Get the URL for the current (or specified) site
+ *
+ * @param int $site_guid The GUID of the site whose URL we want to grab
+ * @return string
+ * @since 1.8.0
+ */
+function elgg_get_site_url($site_guid = 0) {
+ if ($site_guid == 0) {
+ global $CONFIG;
+ return $CONFIG->wwwroot;
+ }
+
+ $site = get_entity($site_guid);
+
+ if (!$site instanceof ElggSite) {
+ return false;
+ }
+ /* @var ElggSite $site */
+
+ return $site->url;
+}
+
+/**
+ * Get the plugin path for this installation
+ *
+ * @return string
+ * @since 1.8.0
+ */
+function elgg_get_plugins_path() {
+ global $CONFIG;
+ return $CONFIG->pluginspath;
+}
+
+/**
+ * Get the data directory path for this installation
+ *
+ * @return string
+ * @since 1.8.0
+ */
+function elgg_get_data_path() {
+ global $CONFIG;
+ return $CONFIG->dataroot;
+}
+
+/**
+ * Get the root directory path for this installation
+ *
+ * @return string
+ * @since 1.8.0
+ */
+function elgg_get_root_path() {
+ global $CONFIG;
+ return $CONFIG->path;
+}
+
+/**
+ * Get an Elgg configuration value
+ *
+ * @param string $name Name of the configuration value
+ * @param int $site_guid NULL for installation setting, 0 for default site
+ *
+ * @return mixed Configuration value or null if it does not exist
+ * @since 1.8.0
+ */
+function elgg_get_config($name, $site_guid = 0) {
+ global $CONFIG;
+
+ $name = trim($name);
+
+ if (isset($CONFIG->$name)) {
+ return $CONFIG->$name;
+ }
+
+ if ($site_guid === null) {
+ // installation wide setting
+ $value = datalist_get($name);
+ } else {
+ // hit DB only if we're not sure if value exists or not
+ if (!isset($CONFIG->site_config_loaded)) {
+ // site specific setting
+ if ($site_guid == 0) {
+ $site_guid = (int) $CONFIG->site_id;
+ }
+ $value = get_config($name, $site_guid);
+ } else {
+ $value = null;
+ }
+ }
+
+ // @todo document why we don't cache false
+ if ($value === false) {
+ return null;
+ }
+
+ $CONFIG->$name = $value;
+ return $value;
+}
+
+/**
+ * Set an Elgg configuration value
+ *
+ * @warning This does not persist the configuration setting. Use elgg_save_config()
+ *
+ * @param string $name Name of the configuration value
+ * @param mixed $value Value
+ *
+ * @return void
+ * @since 1.8.0
+ */
+function elgg_set_config($name, $value) {
+ global $CONFIG;
+
+ $name = trim($name);
+
+ $CONFIG->$name = $value;
+}
+
+/**
+ * Save a configuration setting
+ *
+ * @param string $name Configuration name (cannot be greater than 255 characters)
+ * @param mixed $value Configuration value. Should be string for installation setting
+ * @param int $site_guid NULL for installation setting, 0 for default site
+ *
+ * @return bool
+ * @since 1.8.0
+ */
+function elgg_save_config($name, $value, $site_guid = 0) {
+ global $CONFIG;
+
+ $name = trim($name);
+
+ if (strlen($name) > 255) {
+ elgg_log("The name length for configuration variables cannot be greater than 255", "ERROR");
+ return false;
+ }
+
+ elgg_set_config($name, $value);
+
+ if ($site_guid === NULL) {
+ if (is_array($value) || is_object($value)) {
+ return false;
+ }
+ return datalist_set($name, $value);
+ } else {
+ if ($site_guid == 0) {
+ $site_guid = (int) $CONFIG->site_id;
+ }
+ return set_config($name, $value, $site_guid);
+ }
+}
+
+/**
* Check that installation has completed and the database is populated.
*
- * @throws InstallationException
+ * @throws InstallationException|DatabaseException
* @return void
+ * @access private
*/
function verify_installation() {
global $CONFIG;
if (isset($CONFIG->installed)) {
- return $CONFIG->installed;
+ return;
}
try {
@@ -64,13 +219,21 @@ $DATALIST_CACHE = array();
*
* @tip Use datalists to store information common to a full installation.
*
- * @param string $name The name of the datalist element
- *
- * @return string|false The datalist value or false if it doesn't exist.
+ * @param string $name The name of the datalist
+ * @return string|null|false String if value exists, null if doesn't, false on error
+ * @access private
*/
function datalist_get($name) {
global $CONFIG, $DATALIST_CACHE;
+ $name = trim($name);
+
+ // cannot store anything longer than 255 characters in db, so catch here
+ if (elgg_strlen($name) > 255) {
+ elgg_log("The name length for configuration variables cannot be greater than 255", "ERROR");
+ return false;
+ }
+
$name = sanitise_string($name);
if (isset($DATALIST_CACHE[$name])) {
return $DATALIST_CACHE[$name];
@@ -109,7 +272,7 @@ function datalist_get($name) {
}
}
- return false;
+ return null;
}
/**
@@ -118,13 +281,20 @@ function datalist_get($name) {
* @param string $name The name of the datalist
* @param string $value The new value
*
- * @return true
+ * @return bool
+ * @access private
*/
function datalist_set($name, $value) {
global $CONFIG, $DATALIST_CACHE;
- $name = sanitise_string($name);
- $value = sanitise_string($value);
+ // cannot store anything longer than 255 characters in db, so catch before we set
+ if (elgg_strlen($name) > 255) {
+ elgg_log("The name length for configuration variables cannot be greater than 255", "ERROR");
+ return false;
+ }
+
+ $sanitised_name = sanitise_string($name);
+ $sanitised_value = sanitise_string($value);
// If memcache is available then invalidate the cached copy
static $datalist_memcache;
@@ -136,13 +306,16 @@ function datalist_set($name, $value) {
$datalist_memcache->delete($name);
}
- insert_data("INSERT into {$CONFIG->dbprefix}datalists"
- . " set name = '{$name}', value = '{$value}'"
- . " ON DUPLICATE KEY UPDATE value='{$value}'");
+ $success = insert_data("INSERT into {$CONFIG->dbprefix}datalists"
+ . " set name = '{$sanitised_name}', value = '{$sanitised_value}'"
+ . " ON DUPLICATE KEY UPDATE value='{$sanitised_value}'");
- $DATALIST_CACHE[$name] = $value;
-
- return true;
+ if ($success !== FALSE) {
+ $DATALIST_CACHE[$name] = $value;
+ return true;
+ } else {
+ return false;
+ }
}
/**
@@ -160,6 +333,9 @@ function datalist_set($name, $value) {
* This will cause the run once function to be run on all installations. To perform
* additional upgrades, create new functions for each release.
*
+ * @warning The function name cannot be longer than 255 characters long due to
+ * the current schema for the datalist table.
+ *
* @internal A datalist entry $functioname is created with the value of time().
*
* @param string $functionname The name of the function you want to run.
@@ -169,10 +345,14 @@ function datalist_set($name, $value) {
* @return bool
*/
function run_function_once($functionname, $timelastupdatedcheck = 0) {
- if ($lastupdated = datalist_get($functionname)) {
+ $lastupdated = datalist_get($functionname);
+ if ($lastupdated) {
$lastupdated = (int) $lastupdated;
- } else {
+ } elseif ($lastupdated !== false) {
$lastupdated = 0;
+ } else {
+ // unable to check datalist
+ return false;
}
if (is_callable($functionname) && $lastupdated <= $timelastupdatedcheck) {
$functionname();
@@ -201,6 +381,10 @@ function run_function_once($functionname, $timelastupdatedcheck = 0) {
function unset_config($name, $site_guid = 0) {
global $CONFIG;
+ if (isset($CONFIG->$name)) {
+ unset($CONFIG->$name);
+ }
+
$name = sanitise_string($name);
$site_guid = (int) $site_guid;
if ($site_guid == 0) {
@@ -224,15 +408,24 @@ function unset_config($name, $site_guid = 0) {
* @param string $value Its value
* @param int $site_guid Optionally, the GUID of the site (current site is assumed by default)
*
- * @return 0
+ * @return bool
* @todo The config table doens't have numeric primary keys so insert_data returns 0.
* @todo Use "INSERT ... ON DUPLICATE KEY UPDATE" instead of trying to delete then add.
* @see unset_config()
* @see get_config()
+ * @access private
*/
function set_config($name, $value, $site_guid = 0) {
global $CONFIG;
+ $name = trim($name);
+
+ // cannot store anything longer than 255 characters in db, so catch before we set
+ if (elgg_strlen($name) > 255) {
+ elgg_log("The name length for configuration variables cannot be greater than 255", "ERROR");
+ return false;
+ }
+
// Unset existing
unset_config($name, $site_guid);
@@ -245,7 +438,8 @@ function set_config($name, $value, $site_guid = 0) {
$query = "insert into {$CONFIG->dbprefix}config"
. " set name = '{$name}', value = '{$value}', site_guid = {$site_guid}";
- return insert_data($query);
+ $result = insert_data($query);
+ return $result !== false;
}
/**
@@ -258,30 +452,65 @@ function set_config($name, $value, $site_guid = 0) {
* @param string $name The name of the config value
* @param int $site_guid Optionally, the GUID of the site (current site is assumed by default)
*
- * @return mixed|false
+ * @return mixed|null
* @see set_config()
* @see unset_config()
+ * @access private
*/
function get_config($name, $site_guid = 0) {
global $CONFIG;
+ $name = sanitise_string($name);
+ $site_guid = (int) $site_guid;
+
+ // check for deprecated values.
+ // @todo might be a better spot to define this?
+ $new_name = false;
+ switch($name) {
+ case 'viewpath':
+ $new_name = 'view_path';
+ $dep_version = 1.8;
+ break;
+
+ case 'pluginspath':
+ $new_name = 'plugins_path';
+ $dep_version = 1.8;
+ break;
+
+ case 'sitename':
+ $new_name = 'site_name';
+ $dep_version = 1.8;
+ break;
+ }
+
+ // @todo these haven't really been implemented in Elgg 1.8. Complete in 1.9.
+ // show dep message
+ if ($new_name) {
+ // $msg = "Config value $name has been renamed as $new_name";
+ $name = $new_name;
+ // elgg_deprecated_notice($msg, $dep_version);
+ }
+
+ // decide from where to return the value
if (isset($CONFIG->$name)) {
return $CONFIG->$name;
}
- $name = sanitise_string($name);
- $site_guid = (int) $site_guid;
+
if ($site_guid == 0) {
$site_guid = (int) $CONFIG->site_id;
}
- if ($result = get_data_row("SELECT value FROM {$CONFIG->dbprefix}config
- WHERE name = '{$name}' and site_guid = {$site_guid}")) {
+
+ $result = get_data_row("SELECT value FROM {$CONFIG->dbprefix}config
+ WHERE name = '{$name}' and site_guid = {$site_guid}");
+
+ if ($result) {
$result = $result->value;
$result = unserialize($result->value);
$CONFIG->$name = $result;
return $result;
}
- return false;
+ return null;
}
/**
@@ -290,6 +519,7 @@ function get_config($name, $site_guid = 0) {
* @param int $site_guid Optionally, the GUID of the site (current site is assumed by default)
*
* @return bool
+ * @access private
*/
function get_all_config($site_guid = 0) {
global $CONFIG;
@@ -297,10 +527,10 @@ function get_all_config($site_guid = 0) {
$site_guid = (int) $site_guid;
if ($site_guid == 0) {
- $site_guid = (int) $CONFIG->site_id;
+ $site_guid = (int) $CONFIG->site_guid;
}
- if ($result = get_data("SELECT * from {$CONFIG->dbprefix}config where site_guid = {$site_guid}")) {
+ if ($result = get_data("SELECT * FROM {$CONFIG->dbprefix}config WHERE site_guid = $site_guid")) {
foreach ($result as $r) {
$name = $r->name;
$value = $r->value;
@@ -313,72 +543,59 @@ function get_all_config($site_guid = 0) {
}
/**
- * Sets defaults for or attempts to autodetect some common config values and
- * loads them into $CONFIG.
+ * Loads configuration related to this site
*
- * @return void
+ * This loads from the config database table and the site entity
+ * @access private
*/
-function set_default_config() {
+function _elgg_load_site_config() {
global $CONFIG;
- if (empty($CONFIG->path)) {
- $CONFIG->path = str_replace("\\", "/", dirname(dirname(dirname(__FILE__)))) . "/";
- }
-
- if (empty($CONFIG->viewpath)) {
- $CONFIG->viewpath = $CONFIG->path . "views/";
- }
-
- if (empty($CONFIG->pluginspath)) {
- $CONFIG->pluginspath = $CONFIG->path . "mod/";
- }
-
- if (empty($CONFIG->wwwroot)) {
- /*
- $CONFIG->wwwroot = "http://" . $_SERVER['SERVER_NAME'];
-
- $request = $_SERVER['REQUEST_URI'];
-
- if (strripos($request,"/") < (strlen($request) - 1)) {
- // addressing a file directly, not a dir
- $request = substr($request, 0, strripos($request,"/")+1);
- }
-
- $CONFIG->wwwroot .= $request;
- */
- $pathpart = str_replace("//", "/", str_replace($_SERVER['DOCUMENT_ROOT'], "", $CONFIG->path));
- if (substr($pathpart, 0, 1) != "/") {
- $pathpart = "/" . $pathpart;
- }
- $CONFIG->wwwroot = "http://" . $_SERVER['HTTP_HOST'] . $pathpart;
- }
-
- if (empty($CONFIG->url)) {
- $CONFIG->url = $CONFIG->wwwroot;
+ $CONFIG->site_guid = (int) datalist_get('default_site');
+ $CONFIG->site_id = $CONFIG->site_guid;
+ $CONFIG->site = get_entity($CONFIG->site_guid);
+ if (!$CONFIG->site) {
+ throw new InstallationException(elgg_echo('InstallationException:SiteNotInstalled'));
}
- if (empty($CONFIG->sitename)) {
- $CONFIG->sitename = "New Elgg site";
- }
+ $CONFIG->wwwroot = $CONFIG->site->url;
+ $CONFIG->sitename = $CONFIG->site->name;
+ $CONFIG->sitedescription = $CONFIG->site->description;
+ $CONFIG->siteemail = $CONFIG->site->email;
+ $CONFIG->url = $CONFIG->wwwroot;
- if (empty($CONFIG->language)) {
- $CONFIG->language = "en";
- }
+ get_all_config();
+ // gives hint to elgg_get_config function how to approach missing values
+ $CONFIG->site_config_loaded = true;
}
/**
- * Loads values into $CONFIG.
+ * Loads configuration related to Elgg as an application
*
- * If Elgg is installed, this function pulls all rows from dbprefix_config
- * and cherry picks some values from dbprefix_datalists. This also extracts
- * some commonly used values from the default site object.
- *
- * @elgg_event boot system
- * @return true|null
+ * This loads from the datalists database table
+ * @access private
*/
-function configuration_boot() {
+function _elgg_load_application_config() {
global $CONFIG;
+ $install_root = str_replace("\\", "/", dirname(dirname(dirname(__FILE__))));
+ $defaults = array(
+ 'path' => "$install_root/",
+ 'view_path' => "$install_root/views/",
+ 'plugins_path' => "$install_root/mod/",
+ 'language' => 'en',
+
+ // compatibility with old names for plugins not using elgg_get_config()
+ 'viewpath' => "$install_root/views/",
+ 'pluginspath' => "$install_root/mod/",
+ );
+
+ foreach ($defaults as $name => $value) {
+ if (empty($CONFIG->$name)) {
+ $CONFIG->$name = $value;
+ }
+ }
+
$path = datalist_get('path');
if (!empty($path)) {
$CONFIG->path = $path;
@@ -393,22 +610,23 @@ function configuration_boot() {
} else {
$CONFIG->simplecache_enabled = 1;
}
- $viewpath_cache_enabled = datalist_get('viewpath_cache_enabled');
- if ($viewpath_cache_enabled !== false) {
- $CONFIG->viewpath_cache_enabled = $viewpath_cache_enabled;
+ $system_cache_enabled = datalist_get('system_cache_enabled');
+ if ($system_cache_enabled !== false) {
+ $CONFIG->system_cache_enabled = $system_cache_enabled;
} else {
- $CONFIG->viewpath_cache_enabled = 1;
- }
- if (isset($CONFIG->site) && ($CONFIG->site instanceof ElggSite)) {
- $CONFIG->wwwroot = $CONFIG->site->url;
- $CONFIG->sitename = $CONFIG->site->name;
- $CONFIG->sitedescription = $CONFIG->site->description;
- $CONFIG->siteemail = $CONFIG->site->email;
+ $CONFIG->system_cache_enabled = 1;
}
- $CONFIG->url = $CONFIG->wwwroot;
- // Load default settings from database
- get_all_config();
-}
+ // initialize context here so it is set before the get_input call
+ $CONFIG->context = array();
+
+ // needs to be set before system, init for links in html head
+ $viewtype = get_input('view', 'default');
+ $lastcached = datalist_get("simplecache_lastcached_$viewtype");
+ $CONFIG->lastcache = $lastcached;
-elgg_register_event_handler('boot', 'system', 'configuration_boot', 10); \ No newline at end of file
+ $CONFIG->i18n_loaded_from_cache = false;
+
+ // this must be synced with the enum for the entities table
+ $CONFIG->entity_types = array('group', 'object', 'site', 'user');
+}
diff --git a/engine/lib/cron.php b/engine/lib/cron.php
index 9d47e95d1..4f3d05b93 100644
--- a/engine/lib/cron.php
+++ b/engine/lib/cron.php
@@ -7,51 +7,57 @@
*/
/**
- * Initialisation
+ * Cron initialization
*
* @return void
+ * @access private
*/
function cron_init() {
// Register a pagehandler for cron
- register_page_handler('cron', 'cron_page_handler');
+ elgg_register_page_handler('cron', 'cron_page_handler');
// register a hook for Walled Garden public pages
elgg_register_plugin_hook_handler('public_pages', 'walled_garden', 'cron_public_pages');
}
/**
- * Cron handler for redirecting pages.
+ * Cron handler
*
* @param array $page Pages
*
- * @return void
+ * @return bool
+ * @throws CronException
+ * @access private
*/
function cron_page_handler($page) {
- global $CONFIG;
+ if (!isset($page[0])) {
+ forward();
+ }
- if ($page[0]) {
- switch (strtolower($page[0])) {
- case 'minute' :
- case 'fiveminute' :
- case 'fifteenmin' :
- case 'halfhour' :
- case 'hourly' :
- case 'daily' :
- case 'weekly' :
- case 'monthly':
- case 'yearly' :
- case 'reboot' :
- set_input('period', $page[0]);
- break;
- default :
- throw new CronException(elgg_echo('CronException:unknownperiod', array($page[0])));
- }
+ $period = strtolower($page[0]);
- // Include cron handler
- include($CONFIG->path . "engine/handlers/cron_handler.php");
- } else {
- forward();
+ $allowed_periods = array(
+ 'minute', 'fiveminute', 'fifteenmin', 'halfhour', 'hourly',
+ 'daily', 'weekly', 'monthly', 'yearly', 'reboot'
+ );
+
+ if (!in_array($period, $allowed_periods)) {
+ throw new CronException(elgg_echo('CronException:unknownperiod', array($period)));
}
+
+ // Get a list of parameters
+ $params = array();
+ $params['time'] = time();
+
+ // Data to return to
+ $old_stdout = "";
+ ob_start();
+
+ $old_stdout = elgg_trigger_plugin_hook('cron', $period, $params, $old_stdout);
+ $std_out = ob_get_clean();
+
+ echo $std_out . $old_stdout;
+ return true;
}
/**
@@ -63,21 +69,21 @@ function cron_page_handler($page) {
* @param mixed $params Params
*
* @return array
+ * @access private
*/
function cron_public_pages($hook, $type, $return_value, $params) {
- $return_value[] = 'pg/cron/minute';
- $return_value[] = 'pg/cron/fiveminute';
- $return_value[] = 'pg/cron/fifteenmin';
- $return_value[] = 'pg/cron/halfhour';
- $return_value[] = 'pg/cron/hourly';
- $return_value[] = 'pg/cron/daily';
- $return_value[] = 'pg/cron/weekly';
- $return_value[] = 'pg/cron/monthly';
- $return_value[] = 'pg/cron/yearly';
- $return_value[] = 'pg/cron/reboot';
+ $return_value[] = 'cron/minute';
+ $return_value[] = 'cron/fiveminute';
+ $return_value[] = 'cron/fifteenmin';
+ $return_value[] = 'cron/halfhour';
+ $return_value[] = 'cron/hourly';
+ $return_value[] = 'cron/daily';
+ $return_value[] = 'cron/weekly';
+ $return_value[] = 'cron/monthly';
+ $return_value[] = 'cron/yearly';
+ $return_value[] = 'cron/reboot';
return $return_value;
}
-// Register a startup event
-elgg_register_event_handler('init', 'system', 'cron_init'); \ No newline at end of file
+elgg_register_event_handler('init', 'system', 'cron_init');
diff --git a/engine/lib/database.php b/engine/lib/database.php
index 3170f3ac1..a7949788d 100644
--- a/engine/lib/database.php
+++ b/engine/lib/database.php
@@ -12,14 +12,19 @@
/**
* Query cache for all queries.
*
- * Each query and its results are stored in this array as:
+ * Each query and its results are stored in this cache as:
* <code>
- * $DB_QUERY_CACHE[$query] => array(result1, result2, ... resultN)
+ * $DB_QUERY_CACHE[query hash] => array(result1, result2, ... resultN)
* </code>
+ * @see elgg_query_runner() for details on the hash.
*
- * @global array $DB_QUERY_CACHE
+ * @warning Elgg used to set this as an empty array to turn off the cache
+ *
+ * @global ElggLRUCache|null $DB_QUERY_CACHE
+ * @access private
*/
-$DB_QUERY_CACHE = array();
+global $DB_QUERY_CACHE;
+$DB_QUERY_CACHE = null;
/**
* Queries to be executed upon shutdown.
@@ -37,7 +42,9 @@ $DB_QUERY_CACHE = array();
* </code>
*
* @global array $DB_DELAYED_QUERIES
+ * @access private
*/
+global $DB_DELAYED_QUERIES;
$DB_DELAYED_QUERIES = array();
/**
@@ -46,8 +53,10 @@ $DB_DELAYED_QUERIES = array();
* Each database link created with establish_db_link($name) is stored in
* $dblink as $dblink[$name] => resource. Use get_db_link($name) to retrieve it.
*
- * @global array $dblink
+ * @global resource[] $dblink
+ * @access private
*/
+global $dblink;
$dblink = array();
/**
@@ -56,7 +65,9 @@ $dblink = array();
* Each call to the database increments this counter.
*
* @global integer $dbcalls
+ * @access private
*/
+global $dbcalls;
$dbcalls = 0;
/**
@@ -68,10 +79,12 @@ $dbcalls = 0;
* resource. eg "read", "write", or "readwrite".
*
* @return void
+ * @throws DatabaseException
+ * @access private
*/
function establish_db_link($dblinkname = "readwrite") {
// Get configuration, and globalise database link
- global $CONFIG, $dblink, $DB_QUERY_CACHE, $dbcalls;
+ global $CONFIG, $dblink, $DB_QUERY_CACHE;
if ($dblinkname != "readwrite" && isset($CONFIG->db[$dblinkname])) {
if (is_array($CONFIG->db[$dblinkname])) {
@@ -115,7 +128,8 @@ function establish_db_link($dblinkname = "readwrite") {
// Set up cache if global not initialized and query cache not turned off
if ((!$DB_QUERY_CACHE) && (!$db_cache_off)) {
- $DB_QUERY_CACHE = new ElggStaticVariableCache('db_query_cache');
+ // @todo if we keep this cache in 1.9, expose the size as a config parameter
+ $DB_QUERY_CACHE = new ElggLRUCache(200);
}
}
@@ -126,9 +140,10 @@ function establish_db_link($dblinkname = "readwrite") {
* links up separately; otherwise just create the one database link.
*
* @return void
+ * @access private
*/
function setup_db_connections() {
- global $CONFIG, $dblink;
+ global $CONFIG;
if (!empty($CONFIG->db->split)) {
establish_db_link('read');
@@ -142,6 +157,7 @@ function setup_db_connections() {
* Display profiling information about db at NOTICE debug level upon shutdown.
*
* @return void
+ * @access private
*/
function db_profiling_shutdown_hook() {
global $dbcalls;
@@ -154,15 +170,23 @@ function db_profiling_shutdown_hook() {
* Execute any delayed queries upon shutdown.
*
* @return void
+ * @access private
*/
function db_delayedexecution_shutdown_hook() {
- global $DB_DELAYED_QUERIES, $CONFIG;
+ global $DB_DELAYED_QUERIES;
foreach ($DB_DELAYED_QUERIES as $query_details) {
- // use one of our db functions so it is included in profiling.
- $result = execute_query($query_details['q'], $query_details['l']);
-
try {
+ $link = $query_details['l'];
+
+ if ($link == 'read' || $link == 'write') {
+ $link = get_db_link($link);
+ } elseif (!is_resource($link)) {
+ elgg_log("Link for delayed query not valid resource or db_link type. Query: {$query_details['q']}", 'WARNING');
+ }
+
+ $result = execute_query($query_details['q'], $link);
+
if ((isset($query_details['h'])) && (is_callable($query_details['h']))) {
$query_details['h']($result);
}
@@ -174,21 +198,6 @@ function db_delayedexecution_shutdown_hook() {
}
/**
- * Registers shutdown functions for database profiling and delayed queries.
- *
- * @note Database connections are established upon first call to database.
- *
- * @return true
- * @elgg_event_handler boot system
- */
-function init_db() {
- register_shutdown_function('db_delayedexecution_shutdown_hook');
- register_shutdown_function('db_profiling_shutdown_hook');
-
- return true;
-}
-
-/**
* Returns (if required, also creates) a database link resource.
*
* Database link resources are stored in the {@link $dblink} global. These
@@ -197,7 +206,8 @@ function init_db() {
*
* @param string $dblinktype The type of link we want: "read", "write" or "readwrite".
*
- * @return object Database link
+ * @return resource Database link
+ * @access private
*/
function get_db_link($dblinktype) {
global $dblink;
@@ -215,10 +225,11 @@ function get_db_link($dblinktype) {
/**
* Execute an EXPLAIN for $query.
*
- * @param str $query The query to explain
+ * @param string $query The query to explain
* @param mixed $link The database link resource to user.
*
* @return mixed An object of the query's result, or FALSE
+ * @access private
*/
function explain_query($query, $link) {
if ($result = execute_query("explain " . $query, $link)) {
@@ -238,20 +249,26 @@ function explain_query($query, $link) {
* {@link $dbcalls} is incremented and the query is saved into the {@link $DB_QUERY_CACHE}.
*
* @param string $query The query
- * @param link $dblink The DB link
+ * @param resource $dblink The DB link
*
- * @return The result of mysql_query()
+ * @return resource result of mysql_query()
* @throws DatabaseException
+ * @access private
*/
function execute_query($query, $dblink) {
- global $CONFIG, $dbcalls, $DB_QUERY_CACHE;
+ global $dbcalls;
+
+ if ($query == NULL) {
+ throw new DatabaseException(elgg_echo('DatabaseException:InvalidQuery'));
+ }
+
+ if (!is_resource($dblink)) {
+ throw new DatabaseException(elgg_echo('DatabaseException:InvalidDBLink'));
+ }
$dbcalls++;
$result = mysql_query($query, $dblink);
- if ($DB_QUERY_CACHE) {
- $DB_QUERY_CACHE[$query] = -1; // Set initial cache to -1
- }
if (mysql_errno($dblink)) {
throw new DatabaseException(mysql_error($dblink) . "\n\n QUERY: " . $query);
@@ -267,10 +284,11 @@ function execute_query($query, $dblink) {
* the raw result from {@link mysql_query()}.
*
* @param string $query The query to execute
- * @param resource $dblink The database link to use
+ * @param resource|string $dblink The database link to use or the link type (read | write)
* @param string $handler A callback function to pass the results array to
*
* @return true
+ * @access private
*/
function execute_delayed_query($query, $dblink, $handler = "") {
global $DB_DELAYED_QUERIES;
@@ -279,6 +297,10 @@ function execute_delayed_query($query, $dblink, $handler = "") {
$DB_DELAYED_QUERIES = array();
}
+ if (!is_resource($dblink) && $dblink != 'read' && $dblink != 'write') {
+ return false;
+ }
+
// Construct delayed query
$delayed_query = array();
$delayed_query['q'] = $query;
@@ -299,9 +321,10 @@ function execute_delayed_query($query, $dblink, $handler = "") {
* @return true
* @uses execute_delayed_query()
* @uses get_db_link()
+ * @access private
*/
function execute_delayed_write_query($query, $handler = "") {
- return execute_delayed_query($query, get_db_link('write'), $handler);
+ return execute_delayed_query($query, 'write', $handler);
}
/**
@@ -313,9 +336,10 @@ function execute_delayed_write_query($query, $handler = "") {
* @return true
* @uses execute_delayed_query()
* @uses get_db_link()
+ * @access private
*/
function execute_delayed_read_query($query, $handler = "") {
- return execute_delayed_query($query, get_db_link('read'), $handler);
+ return execute_delayed_query($query, 'read', $handler);
}
/**
@@ -327,59 +351,15 @@ function execute_delayed_read_query($query, $handler = "") {
* argument to $callback. If no callback function is defined, the
* entire result set is returned as an array.
*
- * If no results are matched, FALSE is returned.
- *
* @param mixed $query The query being passed.
* @param string $callback Optionally, the name of a function to call back to on each row
*
- * @return array|false An array of database result objects or callback function results or false
+ * @return array An array of database result objects or callback function results. If the query
+ * returned nothing, an empty array.
+ * @access private
*/
function get_data($query, $callback = "") {
- global $CONFIG, $DB_QUERY_CACHE;
-
- // Is cached?
- if ($DB_QUERY_CACHE) {
- $cached_query = $DB_QUERY_CACHE[$query];
- }
-
- if ((isset($cached_query)) && ($cached_query)) {
- elgg_log("$query results returned from cache");
-
- if ($cached_query === -1) {
- // Last time this query returned nothing, so return an empty array
- return array();
- }
-
- return $cached_query;
- }
-
- $dblink = get_db_link('read');
- $resultarray = array();
-
- if ($result = execute_query("$query", $dblink)) {
- while ($row = mysql_fetch_object($result)) {
- if (!empty($callback) && is_callable($callback)) {
- $row = $callback($row);
- }
- if ($row) {
- $resultarray[] = $row;
- }
- }
- }
-
- if (empty($resultarray)) {
- elgg_log("DB query \"$query\" returned no results.");
- // @todo consider changing this to return empty array #1242
- return FALSE;
- }
-
- // Cache result
- if ($DB_QUERY_CACHE) {
- $DB_QUERY_CACHE[$query] = $resultarray;
- elgg_log("$query results cached");
- }
-
- return $resultarray;
+ return elgg_query_runner($query, $callback, false);
}
/**
@@ -393,49 +373,77 @@ function get_data($query, $callback = "") {
* @param string $callback A callback function
*
* @return mixed A single database result object or the result of the callback function.
+ * @access private
*/
function get_data_row($query, $callback = "") {
- global $CONFIG, $DB_QUERY_CACHE;
+ return elgg_query_runner($query, $callback, true);
+}
- // Is cached
- if ($DB_QUERY_CACHE) {
- $cached_query = $DB_QUERY_CACHE[$query];
- }
+/**
+ * Handles returning data from a query, running it through a callback function,
+ * and caching the results. This is for R queries (from CRUD).
+ *
+ * @access private
+ *
+ * @param string $query The query to execute
+ * @param string $callback An optional callback function to run on each row
+ * @param bool $single Return only a single result?
+ *
+ * @return array An array of database result objects or callback function results. If the query
+ * returned nothing, an empty array.
+ * @since 1.8.0
+ * @access private
+ */
+function elgg_query_runner($query, $callback = null, $single = false) {
+ global $DB_QUERY_CACHE;
- if ((isset($cached_query)) && ($cached_query)) {
- elgg_log("$query results returned from cache");
+ // Since we want to cache results of running the callback, we need to
+ // need to namespace the query with the callback and single result request.
+ // https://github.com/elgg/elgg/issues/4049
+ $hash = (string)$callback . (int)$single . $query;
- if ($cached_query === -1) {
- // Last time this query returned nothing, so return false
- //@todo fix me this should return array().
- return FALSE;
+ // Is cached?
+ if ($DB_QUERY_CACHE) {
+ if (isset($DB_QUERY_CACHE[$hash])) {
+ elgg_log("DB query $query results returned from cache (hash: $hash)", 'NOTICE');
+ return $DB_QUERY_CACHE[$hash];
}
-
- return $cached_query;
}
$dblink = get_db_link('read');
+ $return = array();
if ($result = execute_query("$query", $dblink)) {
- $row = mysql_fetch_object($result);
-
- // Cache result (even if query returned no data)
- if ($DB_QUERY_CACHE) {
- $DB_QUERY_CACHE[$query] = $row;
- elgg_log("$query results cached");
- }
- if (!empty($callback) && is_callable($callback)) {
+ // test for callback once instead of on each iteration.
+ // @todo check profiling to see if this needs to be broken out into
+ // explicit cases instead of checking in the iteration.
+ $is_callable = is_callable($callback);
+ while ($row = mysql_fetch_object($result)) {
+ if ($is_callable) {
$row = $callback($row);
- }
+ }
- if ($row) {
- return $row;
+ if ($single) {
+ $return = $row;
+ break;
+ } else {
+ $return[] = $row;
+ }
}
}
- elgg_log("$query returned no results.");
- return FALSE;
+ if (empty($return)) {
+ elgg_log("DB query $query returned no results.", 'NOTICE');
+ }
+
+ // Cache result
+ if ($DB_QUERY_CACHE) {
+ $DB_QUERY_CACHE[$hash] = $return;
+ elgg_log("DB query $query results cached (hash: $hash)", 'NOTICE');
+ }
+
+ return $return;
}
/**
@@ -447,18 +455,15 @@ function get_data_row($query, $callback = "") {
*
* @return int|false The database id of the inserted row if a AUTO_INCREMENT field is
* defined, 0 if not, and false on failure.
+ * @access private
*/
function insert_data($query) {
- global $CONFIG, $DB_QUERY_CACHE;
+ elgg_log("DB query $query", 'NOTICE');
+
$dblink = get_db_link('write');
- // Invalidate query cache
- if ($DB_QUERY_CACHE) {
- $DB_QUERY_CACHE->clear();
- }
-
- elgg_log("Query cache invalidated");
+ _elgg_invalidate_query_cache();
if (execute_query("$query", $dblink)) {
return mysql_insert_id($dblink);
@@ -468,24 +473,22 @@ function insert_data($query) {
}
/**
- * Update a row in the database.
+ * Update the database.
*
* @note Altering the DB invalidates all queries in {@link $DB_QUERY_CACHE}.
*
* @param string $query The query to run.
*
- * @return Bool
+ * @return bool
+ * @access private
*/
function update_data($query) {
- global $CONFIG, $DB_QUERY_CACHE;
+
+ elgg_log("DB query $query", 'NOTICE');
$dblink = get_db_link('write');
- // Invalidate query cache
- if ($DB_QUERY_CACHE) {
- $DB_QUERY_CACHE->clear();
- elgg_log("Query cache invalidated");
- }
+ _elgg_invalidate_query_cache();
if (execute_query("$query", $dblink)) {
return TRUE;
@@ -495,24 +498,22 @@ function update_data($query) {
}
/**
- * Remove a row from the database.
+ * Remove data from the database.
*
* @note Altering the DB invalidates all queries in {@link $DB_QUERY_CACHE}.
*
* @param string $query The SQL query to run
*
* @return int|false The number of affected rows or false on failure
+ * @access private
*/
function delete_data($query) {
- global $CONFIG, $DB_QUERY_CACHE;
+
+ elgg_log("DB query $query", 'NOTICE');
$dblink = get_db_link('write');
- // Invalidate query cache
- if ($DB_QUERY_CACHE) {
- $DB_QUERY_CACHE->clear();
- elgg_log("Query cache invalidated");
- }
+ _elgg_invalidate_query_cache();
if (execute_query("$query", $dblink)) {
return mysql_affected_rows($dblink);
@@ -521,6 +522,22 @@ function delete_data($query) {
return FALSE;
}
+/**
+ * Invalidate the query cache
+ *
+ * @access private
+ */
+function _elgg_invalidate_query_cache() {
+ global $DB_QUERY_CACHE;
+ if ($DB_QUERY_CACHE instanceof ElggLRUCache) {
+ $DB_QUERY_CACHE->clear();
+ elgg_log("Query cache invalidated", 'NOTICE');
+ } elseif ($DB_QUERY_CACHE) {
+ // In case someone sets the cache to an array and primes it with data
+ $DB_QUERY_CACHE = array();
+ elgg_log("Query cache invalidated", 'NOTICE');
+ }
+}
/**
* Return tables matching the database prefix {@link $CONFIG->dbprefix}% in the currently
@@ -528,6 +545,7 @@ function delete_data($query) {
*
* @return array|false List of tables or false on failure
* @static array $tables Tables found matching the database prefix
+ * @access private
*/
function get_db_tables() {
global $CONFIG;
@@ -570,6 +588,7 @@ function get_db_tables() {
* @param string $table The name of the table to optimise
*
* @return bool
+ * @access private
*/
function optimize_table($table) {
$table = sanitise_string($table);
@@ -582,6 +601,7 @@ function optimize_table($table) {
* @param resource $dblink The DB link
*
* @return string Database error message
+ * @access private
*/
function get_db_error($dblink) {
return mysql_error($dblink);
@@ -606,6 +626,7 @@ function get_db_error($dblink) {
*
* @return void
* @throws DatabaseException
+ * @access private
*/
function run_sql_script($scriptlocation) {
if ($script = file_get_contents($scriptlocation)) {
@@ -624,7 +645,7 @@ function run_sql_script($scriptlocation) {
$statement = str_replace("prefix_", $CONFIG->dbprefix, $statement);
if (!empty($statement)) {
try {
- $result = update_data($statement);
+ update_data($statement);
} catch (DatabaseException $e) {
$errors[] = $e->getMessage();
}
@@ -646,67 +667,15 @@ function run_sql_script($scriptlocation) {
}
/**
- * Upgrade the database schema in an ordered sequence.
- *
- * Executes all upgrade files in elgg/engine/schema/upgrades/ in sequential order.
- * Upgrade files must be in the standard Elgg release format of YYYYMMDDII.sql
- * where II is an incrementor starting from 01.
- *
- * Files that are < $version will be ignored.
- *
- * @warning Plugin authors should not call this function directly.
- *
- * @param int $version The version you are upgrading from in the format YYYYMMDDII.
- * @param string $fromdir Optional directory to load upgrades from. default: engine/schema/upgrades/
- * @param bool $quiet If true, suppress all error messages. Only use for the upgrade from <=1.6.
+ * Format a query string for logging
*
- * @return bool
- * @see upgrade.php
- * @see version.php
+ * @param string $query Query string
+ * @return string
+ * @access private
*/
-function db_upgrade($version, $fromdir = "", $quiet = FALSE) {
- global $CONFIG;
-
- $version = (int) $version;
-
- if (!$fromdir) {
- $fromdir = $CONFIG->path . 'engine/schema/upgrades/';
- }
-
- if ($handle = opendir($fromdir)) {
- $sqlupgrades = array();
-
- while ($sqlfile = readdir($handle)) {
- if (!is_dir($fromdir . $sqlfile)) {
- if (preg_match('/^([0-9]{10})\.(sql)$/', $sqlfile, $matches)) {
- $sql_version = (int) $matches[1];
- if ($sql_version > $version) {
- $sqlupgrades[] = $sqlfile;
- }
- }
- }
- }
-
- asort($sqlupgrades);
-
- if (sizeof($sqlupgrades) > 0) {
- foreach ($sqlupgrades as $sqlfile) {
-
- // hide all errors.
- if ($quiet) {
- try {
- run_sql_script($fromdir . $sqlfile);
- } catch (DatabaseException $e) {
- error_log($e->getmessage());
- }
- } else {
- run_sql_script($fromdir . $sqlfile);
- }
- }
- }
- }
-
- return TRUE;
+function elgg_format_query($query) {
+ // remove newlines and extra spaces so logs are easier to read
+ return preg_replace('/\s\s+/', ' ', $query);
}
/**
@@ -754,26 +723,42 @@ function sanitize_string($string) {
/**
* Sanitises an integer for database use.
*
- * @param int $int Integer
- *
- * @return int Sanitised integer
+ * @param int $int Value to be sanitized
+ * @param bool $signed Whether negative values should be allowed (true)
+ * @return int
*/
-function sanitise_int($int) {
+function sanitise_int($int, $signed = true) {
+ $int = (int) $int;
+
+ if ($signed === false) {
+ if ($int < 0) {
+ $int = 0;
+ }
+ }
+
return (int) $int;
}
/**
- * Wrapper function for alternate English spelling
- *
- * @param int $int Integer
+ * Sanitizes an integer for database use.
+ * Wrapper function for alternate English spelling (@see sanitise_int)
*
- * @return int Sanitised integer
+ * @param int $int Value to be sanitized
+ * @param bool $signed Whether negative values should be allowed (true)
+ * @return int
*/
-function sanitize_int($int) {
- return (int) $int;
+function sanitize_int($int, $signed = true) {
+ return sanitise_int($int, $signed);
}
/**
- * @elgg_register_event boot system init_db
+ * Registers shutdown functions for database profiling and delayed queries.
+ *
+ * @access private
*/
-elgg_register_event_handler('boot', 'system', 'init_db', 0); \ No newline at end of file
+function init_db() {
+ register_shutdown_function('db_delayedexecution_shutdown_hook');
+ register_shutdown_function('db_profiling_shutdown_hook');
+}
+
+elgg_register_event_handler('init', 'system', 'init_db');
diff --git a/engine/lib/deprecated-1.7.php b/engine/lib/deprecated-1.7.php
new file mode 100644
index 000000000..ee95b5611
--- /dev/null
+++ b/engine/lib/deprecated-1.7.php
@@ -0,0 +1,1164 @@
+<?php
+/**
+ * Get entities with the specified access collection id.
+ *
+ * @deprecated 1.7. Use elgg_get_entities_from_access_id()
+ *
+ * @param int $collection_id ID of collection
+ * @param string $entity_type Type of entities
+ * @param string $entity_subtype Subtype of entities
+ * @param int $owner_guid Guid of owner
+ * @param int $limit Limit of number of entities to return
+ * @param int $offset Skip this many entities
+ * @param string $order_by Column to order by
+ * @param int $site_guid The site guid
+ * @param bool $count Return a count or entities
+ *
+ * @return array
+ */
+function get_entities_from_access_id($collection_id, $entity_type = "", $entity_subtype = "",
+ $owner_guid = 0, $limit = 10, $offset = 0, $order_by = "", $site_guid = 0, $count = false) {
+ // log deprecated warning
+ elgg_deprecated_notice('get_entities_from_access_id() was deprecated by elgg_get_entities()', 1.7);
+
+ if (!$collection_id) {
+ return FALSE;
+ }
+
+ // build the options using given parameters
+ $options = array();
+ $options['limit'] = $limit;
+ $options['offset'] = $offset;
+ $options['count'] = $count;
+
+ if ($entity_type) {
+ $options['type'] = sanitise_string($entity_type);
+ }
+
+ if ($entity_subtype) {
+ $options['subtype'] = $entity_subtype;
+ }
+
+ if ($site_guid) {
+ $options['site_guid'] = $site_guid;
+ }
+
+ if ($order_by) {
+ $options['order_by'] = sanitise_string("e.time_created, $order_by");
+ }
+
+ if ($owner_guid) {
+ if (is_array($owner_guid)) {
+ $options['owner_guids'] = $owner_guid;
+ } else {
+ $options['owner_guid'] = $owner_guid;
+ }
+ }
+
+ if ($site_guid) {
+ $options['site_guid'] = $site_guid;
+ }
+
+ $options['access_id'] = $collection_id;
+
+ return elgg_get_entities_from_access_id($options);
+}
+
+/**
+ * @deprecated 1.7
+ */
+function get_entities_from_access_collection($collection_id, $entity_type = "", $entity_subtype = "",
+ $owner_guid = 0, $limit = 10, $offset = 0, $order_by = "", $site_guid = 0, $count = false) {
+
+ elgg_deprecated_notice('get_entities_from_access_collection() was deprecated by elgg_get_entities()', 1.7);
+
+ return get_entities_from_access_id($collection_id, $entity_type, $entity_subtype,
+ $owner_guid, $limit, $offset, $order_by, $site_guid, $count);
+}
+
+/**
+ * Get entities from annotations
+ *
+ * No longer used.
+ *
+ * @deprecated 1.7 Use elgg_get_entities_from_annotations()
+ *
+ * @param mixed $entity_type Type of entity
+ * @param mixed $entity_subtype Subtype of entity
+ * @param string $name Name of annotation
+ * @param string $value Value of annotation
+ * @param int $owner_guid Guid of owner of annotation
+ * @param int $group_guid Guid of group
+ * @param int $limit Limit
+ * @param int $offset Offset
+ * @param string $order_by SQL order by string
+ * @param bool $count Count or return entities
+ * @param int $timelower Lower time limit
+ * @param int $timeupper Upper time limit
+ *
+ * @return unknown_type
+ */
+function get_entities_from_annotations($entity_type = "", $entity_subtype = "", $name = "",
+$value = "", $owner_guid = 0, $group_guid = 0, $limit = 10, $offset = 0, $order_by = "asc",
+$count = false, $timelower = 0, $timeupper = 0) {
+ $msg = 'get_entities_from_annotations() is deprecated by elgg_get_entities_from_annotations().';
+ elgg_deprecated_notice($msg, 1.7);
+
+ $options = array();
+
+ if ($entity_type) {
+ $options['types'] = $entity_type;
+ }
+
+ if ($entity_subtype) {
+ $options['subtypes'] = $entity_subtype;
+ }
+
+ $options['annotation_names'] = $name;
+
+ if ($value) {
+ $options['annotation_values'] = $value;
+ }
+
+ if ($owner_guid) {
+ if (is_array($owner_guid)) {
+ $options['annotation_owner_guids'] = $owner_guid;
+ } else {
+ $options['annotation_owner_guid'] = $owner_guid;
+ }
+ }
+
+ if ($group_guid) {
+ $options['container_guid'] = $group_guid;
+ }
+
+ if ($limit) {
+ $options['limit'] = $limit;
+ }
+
+ if ($offset) {
+ $options['offset'] = $offset;
+ }
+
+ if ($order_by) {
+ $options['order_by'] = "maxtime $order_by";
+ }
+
+ if ($count) {
+ $options['count'] = $count;
+ }
+
+ if ($timelower) {
+ $options['annotation_created_time_lower'] = $timelower;
+ }
+
+ if ($timeupper) {
+ $options['annotation_created_time_upper'] = $timeupper;
+ }
+
+ return elgg_get_entities_from_annotations($options);
+}
+
+/**
+ * Lists entities
+ *
+ * @see elgg_view_entity_list
+ *
+ * @param string $entity_type Type of entity.
+ * @param string $entity_subtype Subtype of entity.
+ * @param string $name Name of annotation.
+ * @param string $value Value of annotation.
+ * @param int $limit Maximum number of results to return.
+ * @param int $owner_guid Owner.
+ * @param int $group_guid Group container. Currently only supported if entity_type is object
+ * @param boolean $asc Whether to list in ascending or descending order (default: desc)
+ * @param boolean $fullview Whether to display the entities in full
+ * @param boolean $listtypetoggle Can 'gallery' view can be displayed (default: no)
+ *
+ * @deprecated 1.7 Use elgg_list_entities_from_annotations()
+ * @return string Formatted entity list
+ */
+function list_entities_from_annotations($entity_type = "", $entity_subtype = "", $name = "",
+$value = "", $limit = 10, $owner_guid = 0, $group_guid = 0, $asc = false, $fullview = true,
+$listtypetoggle = false) {
+
+ $msg = 'list_entities_from_annotations is deprecated by elgg_list_entities_from_annotations';
+ elgg_deprecated_notice($msg, 1.8);
+
+ $options = array();
+
+ if ($entity_type) {
+ $options['types'] = $entity_type;
+ }
+
+ if ($entity_subtype) {
+ $options['subtypes'] = $entity_subtype;
+ }
+
+ if ($name) {
+ $options['annotation_names'] = $name;
+ }
+
+ if ($value) {
+ $options['annotation_values'] = $value;
+ }
+
+ if ($limit) {
+ $options['limit'] = $limit;
+ }
+
+ if ($owner_guid) {
+ $options['annotation_owner_guid'] = $owner_guid;
+ }
+
+ if ($group_guid) {
+ $options['container_guid'] = $group_guid;
+ }
+
+ if ($asc) {
+ $options['order_by'] = 'maxtime desc';
+ }
+
+ if ($offset = sanitise_int(get_input('offset', null))) {
+ $options['offset'] = $offset;
+ }
+
+ $options['full_view'] = $fullview;
+ $options['list_type_toggle'] = $listtypetoggle;
+ $options['pagination'] = $pagination;
+
+ return elgg_list_entities_from_annotations($options);
+}
+
+/**
+ * Returns all php files in a directory.
+ *
+ * @deprecated 1.7 Use elgg_get_file_list() instead
+ *
+ * @param string $directory Directory to look in
+ * @param array $exceptions Array of extensions (with .!) to ignore
+ * @param array $list A list files to include in the return
+ *
+ * @return array
+ */
+function get_library_files($directory, $exceptions = array(), $list = array()) {
+ elgg_deprecated_notice('get_library_files() deprecated by elgg_get_file_list()', 1.7);
+ return elgg_get_file_list($directory, $exceptions, $list, array('.php'));
+}
+
+/**
+ * Add action tokens to URL.
+ *
+ * @param string $url URL
+ *
+ * @return string
+ *
+ * @deprecated 1.7 final
+ */
+function elgg_validate_action_url($url) {
+ elgg_deprecated_notice('elgg_validate_action_url() deprecated by elgg_add_action_tokens_to_url().',
+ 1.7);
+
+ return elgg_add_action_tokens_to_url($url);
+}
+
+/**
+ * Does nothing.
+ *
+ * @deprecated 1.7
+ * @return 0
+ */
+function test_ip() {
+ elgg_deprecated_notice('test_ip() was removed because of licensing issues.', 1.7);
+
+ return 0;
+}
+
+/**
+ * Does nothing.
+ *
+ * @return bool
+ * @deprecated 1.7
+ */
+function is_ip_in_array() {
+ elgg_deprecated_notice('is_ip_in_array() was removed because of licensing issues.', 1.7);
+
+ return false;
+}
+
+/**
+ * Returns entities.
+ *
+ * @deprecated 1.7. Use elgg_get_entities().
+ *
+ * @param string $type Entity type
+ * @param string $subtype Entity subtype
+ * @param int $owner_guid Owner GUID
+ * @param string $order_by Order by clause
+ * @param int $limit Limit
+ * @param int $offset Offset
+ * @param bool $count Return a count or an array of entities
+ * @param int $site_guid Site GUID
+ * @param int $container_guid Container GUID
+ * @param int $timelower Lower time limit
+ * @param int $timeupper Upper time limit
+ *
+ * @return array
+ */
+function get_entities($type = "", $subtype = "", $owner_guid = 0, $order_by = "", $limit = 10,
+$offset = 0, $count = false, $site_guid = 0, $container_guid = null, $timelower = 0,
+$timeupper = 0) {
+
+ elgg_deprecated_notice('get_entities() was deprecated by elgg_get_entities().', 1.7);
+
+ // rewrite owner_guid to container_guid to emulate old functionality
+ if ($owner_guid != "") {
+ if (is_null($container_guid)) {
+ $container_guid = $owner_guid;
+ $owner_guid = NULL;
+ }
+ }
+
+ $options = array();
+ if ($type) {
+ if (is_array($type)) {
+ $options['types'] = $type;
+ } else {
+ $options['type'] = $type;
+ }
+ }
+
+ if ($subtype) {
+ if (is_array($subtype)) {
+ $options['subtypes'] = $subtype;
+ } else {
+ $options['subtype'] = $subtype;
+ }
+ }
+
+ if ($owner_guid) {
+ if (is_array($owner_guid)) {
+ $options['owner_guids'] = $owner_guid;
+ } else {
+ $options['owner_guid'] = $owner_guid;
+ }
+ }
+
+ if ($order_by) {
+ $options['order_by'] = $order_by;
+ }
+
+ // need to pass 0 for all option
+ $options['limit'] = $limit;
+
+ if ($offset) {
+ $options['offset'] = $offset;
+ }
+
+ if ($count) {
+ $options['count'] = $count;
+ }
+
+ if ($site_guid) {
+ $options['site_guids'] = $site_guid;
+ }
+
+ if ($container_guid) {
+ $options['container_guids'] = $container_guid;
+ }
+
+ if ($timeupper) {
+ $options['created_time_upper'] = $timeupper;
+ }
+
+ if ($timelower) {
+ $options['created_time_lower'] = $timelower;
+ }
+
+ $r = elgg_get_entities($options);
+ return $r;
+}
+
+/**
+ * Delete multiple entities that match a given query.
+ * This function iterates through and calls delete_entity on
+ * each one, this is somewhat inefficient but lets
+ * the 'delete' event be called for each entity.
+ *
+ * @deprecated 1.7. This is a dangerous function as it defaults to deleting everything.
+ *
+ * @param string $type The type of entity (eg "user", "object" etc)
+ * @param string $subtype The arbitrary subtype of the entity
+ * @param int $owner_guid The GUID of the owning user
+ *
+ * @return false
+ */
+function delete_entities($type = "", $subtype = "", $owner_guid = 0) {
+ elgg_deprecated_notice('delete_entities() was deprecated because no one should use it.', 1.7);
+ return false;
+}
+
+/**
+ * Lists entities.
+ *
+ * @param int $owner_guid Owner GUID
+ * @param int $limit Limit
+ * @param bool $fullview Show entity full views
+ * @param bool $listtypetoggle Show list type toggle
+ * @param bool $allowedtypes A string of the allowed types
+ *
+ * @return string
+ * @deprecated 1.7. Use elgg_list_registered_entities().
+ */
+function list_registered_entities($owner_guid = 0, $limit = 10, $fullview = true,
+$listtypetoggle = false, $allowedtypes = true) {
+
+ elgg_deprecated_notice('list_registered_entities() was deprecated by elgg_list_registered_entities().', 1.7);
+
+ $options = array();
+
+ // don't want to send anything if not being used.
+ if ($owner_guid) {
+ $options['owner_guid'] = $owner_guid;
+ }
+
+ if ($limit) {
+ $options['limit'] = $limit;
+ }
+
+ if ($allowedtypes) {
+ $options['allowed_types'] = $allowedtypes;
+ }
+
+ // need to send because might be BOOL
+ $options['full_view'] = $fullview;
+ $options['list_type_toggle'] = $listtypetoggle;
+
+ $options['offset'] = get_input('offset', 0);
+
+ return elgg_list_registered_entities($options);
+}
+
+/**
+ * Lists entities
+ *
+ * @deprecated 1.7. Use elgg_list_entities().
+ *
+ * @param string $type Entity type
+ * @param string $subtype Entity subtype
+ * @param int $owner_guid Owner GUID
+ * @param int $limit Limit
+ * @param bool $fullview Display entity full views?
+ * @param bool $listtypetoggle Allow switching to gallery mode?
+ * @param bool $pagination Show pagination?
+ *
+ * @return string
+ */
+function list_entities($type= "", $subtype = "", $owner_guid = 0, $limit = 10, $fullview = true,
+$listtypetoggle = false, $pagination = true) {
+
+ elgg_deprecated_notice('list_entities() was deprecated by elgg_list_entities()!', 1.7);
+
+ $options = array();
+
+ // rewrite owner_guid to container_guid to emulate old functionality
+ if ($owner_guid) {
+ $options['container_guids'] = $owner_guid;
+ }
+
+ if ($type) {
+ $options['types'] = $type;
+ }
+
+ if ($subtype) {
+ $options['subtypes'] = $subtype;
+ }
+
+ if ($limit) {
+ $options['limit'] = $limit;
+ }
+
+ if ($offset = sanitise_int(get_input('offset', null))) {
+ $options['offset'] = $offset;
+ }
+
+ $options['full_view'] = $fullview;
+ $options['list_type_toggle'] = $listtypetoggle;
+ $options['pagination'] = $pagination;
+
+ return elgg_list_entities($options);
+}
+
+/**
+ * Searches for a group based on a complete or partial name or description
+ *
+ * @param string $criteria The partial or full name or description
+ * @param int $limit Limit of the search.
+ * @param int $offset Offset.
+ * @param string $order_by The order.
+ * @param boolean $count Whether to return the count of results or just the results.
+ *
+ * @return mixed
+ * @deprecated 1.7
+ */
+function search_for_group($criteria, $limit = 10, $offset = 0, $order_by = "", $count = false) {
+ elgg_deprecated_notice('search_for_group() was deprecated by new search plugin.', 1.7);
+ global $CONFIG;
+
+ $criteria = sanitise_string($criteria);
+ $limit = (int)$limit;
+ $offset = (int)$offset;
+ $order_by = sanitise_string($order_by);
+
+ $access = get_access_sql_suffix("e");
+
+ if ($order_by == "") {
+ $order_by = "e.time_created desc";
+ }
+
+ if ($count) {
+ $query = "SELECT count(e.guid) as total ";
+ } else {
+ $query = "SELECT e.* ";
+ }
+ $query .= "from {$CONFIG->dbprefix}entities e"
+ . " JOIN {$CONFIG->dbprefix}groups_entity g on e.guid=g.guid where ";
+
+ $query .= "(g.name like \"%{$criteria}%\" or g.description like \"%{$criteria}%\")";
+ $query .= " and $access";
+
+ if (!$count) {
+ $query .= " order by $order_by limit $offset, $limit"; // Add order and limit
+ return get_data($query, "entity_row_to_elggstar");
+ } else {
+ if ($count = get_data_row($query)) {
+ return $count->total;
+ }
+ }
+ return false;
+}
+
+/**
+ * Returns a formatted list of groups suitable for injecting into search.
+ *
+ * @deprecated 1.7
+ *
+ * @param string $hook Hook name
+ * @param string $user User
+ * @param mixed $returnvalue Previous hook's return value
+ * @param string $tag Tag to search on
+ *
+ * @return string
+ */
+function search_list_groups_by_name($hook, $user, $returnvalue, $tag) {
+ elgg_deprecated_notice('search_list_groups_by_name() was deprecated by new search plugin', 1.7);
+ // Change this to set the number of groups that display on the search page
+ $threshold = 4;
+
+ $object = get_input('object');
+
+ if (!get_input('offset') && (empty($object) || $object == 'group')) {
+ if ($groups = search_for_group($tag, $threshold)) {
+ $countgroups = search_for_group($tag, 0, 0, "", true);
+
+ $return = elgg_view('group/search/startblurb', array('count' => $countgroups, 'tag' => $tag));
+ foreach ($groups as $group) {
+ $return .= elgg_view_entity($group);
+ }
+ $vars = array('count' => $countgroups, 'threshold' => $threshold, 'tag' => $tag);
+ $return .= elgg_view('group/search/finishblurb', $vars);
+ return $return;
+ }
+ }
+}
+
+/**
+ * Displays a list of group objects that have been searched for.
+ *
+ * @see elgg_view_entity_list
+ *
+ * @param string $tag Search criteria
+ * @param int $limit The number of entities to display on a page
+ *
+ * @return string The list in a form suitable to display
+ * @deprecated 1.7
+ */
+function list_group_search($tag, $limit = 10) {
+ elgg_deprecated_notice('list_group_search() was deprecated by new search plugin.', 1.7);
+ $offset = (int) get_input('offset');
+ $limit = (int) $limit;
+ $count = (int) search_for_group($tag, 10, 0, '', true);
+ $entities = search_for_group($tag, $limit, $offset);
+
+ return elgg_view_entity_list($entities, $count, $offset, $limit, $fullview, false);
+
+}
+
+/**
+ * Return a list of entities based on the given search criteria.
+ *
+ * @deprecated 1.7 use elgg_get_entities_from_metadata().
+ *
+ * @param mixed $meta_name Metadat name
+ * @param mixed $meta_value Metadata value
+ * @param string $entity_type The type of entity to look for, eg 'site' or 'object'
+ * @param string $entity_subtype The subtype of the entity.
+ * @param int $owner_guid Owner GUID
+ * @param int $limit Limit
+ * @param int $offset Offset
+ * @param string $order_by Optional ordering.
+ * @param int $site_guid Site GUID. 0 for current, -1 for any.
+ * @param bool $count Return a count instead of entities
+ * @param bool $case_sensitive Metadata names case sensitivity
+ *
+ * @return int|array A list of entities, or a count if $count is set to true
+ */
+function get_entities_from_metadata($meta_name, $meta_value = "", $entity_type = "",
+$entity_subtype = "", $owner_guid = 0, $limit = 10, $offset = 0, $order_by = "",
+$site_guid = 0, $count = FALSE, $case_sensitive = TRUE) {
+
+ elgg_deprecated_notice('get_entities_from_metadata() was deprecated by elgg_get_entities_from_metadata()!', 1.7);
+
+ $options = array();
+
+ $options['metadata_names'] = $meta_name;
+
+ if ($meta_value) {
+ $options['metadata_values'] = $meta_value;
+ }
+
+ if ($entity_type) {
+ $options['types'] = $entity_type;
+ }
+
+ if ($entity_subtype) {
+ $options['subtypes'] = $entity_subtype;
+ }
+
+ if ($owner_guid) {
+ if (is_array($owner_guid)) {
+ $options['owner_guids'] = $owner_guid;
+ } else {
+ $options['owner_guid'] = $owner_guid;
+ }
+ }
+
+ if ($limit) {
+ $options['limit'] = $limit;
+ }
+
+ if ($offset) {
+ $options['offset'] = $offset;
+ }
+
+ if ($order_by) {
+ $options['order_by'];
+ }
+
+ if ($site_guid) {
+ $options['site_guid'];
+ }
+
+ if ($count) {
+ $options['count'] = $count;
+ }
+
+ // need to be able to pass false
+ $options['metadata_case_sensitive'] = $case_sensitive;
+
+ return elgg_get_entities_from_metadata($options);
+}
+
+/**
+ * Return entities from metadata
+ *
+ * @deprecated 1.7. Use elgg_get_entities_from_metadata().
+ *
+ * @param mixed $meta_array Metadata name
+ * @param string $entity_type The type of entity to look for, eg 'site' or 'object'
+ * @param string $entity_subtype The subtype of the entity.
+ * @param int $owner_guid Owner GUID
+ * @param int $limit Limit
+ * @param int $offset Offset
+ * @param string $order_by Optional ordering.
+ * @param int $site_guid Site GUID. 0 for current, -1 for any.
+ * @param bool $count Return a count instead of entities
+ * @param bool $meta_array_operator Operator for metadata values
+ *
+ * @return int|array A list of entities, or a count if $count is set to true
+ */
+function get_entities_from_metadata_multi($meta_array, $entity_type = "", $entity_subtype = "",
+$owner_guid = 0, $limit = 10, $offset = 0, $order_by = "", $site_guid = 0,
+$count = false, $meta_array_operator = 'and') {
+
+ elgg_deprecated_notice('get_entities_from_metadata_multi() was deprecated by elgg_get_entities_from_metadata()!', 1.7);
+
+ if (!is_array($meta_array) || sizeof($meta_array) == 0) {
+ return false;
+ }
+
+ $options = array();
+
+ $options['metadata_name_value_pairs'] = $meta_array;
+
+ if ($entity_type) {
+ $options['types'] = $entity_type;
+ }
+
+ if ($entity_subtype) {
+ $options['subtypes'] = $entity_subtype;
+ }
+
+ if ($owner_guid) {
+ if (is_array($owner_guid)) {
+ $options['owner_guids'] = $owner_guid;
+ } else {
+ $options['owner_guid'] = $owner_guid;
+ }
+ }
+
+ if ($limit) {
+ $options['limit'] = $limit;
+ }
+
+ if ($offset) {
+ $options['offset'] = $offset;
+ }
+
+ if ($order_by) {
+ $options['order_by'];
+ }
+
+ if ($site_guid) {
+ $options['site_guid'];
+ }
+
+ if ($count) {
+ $options['count'] = $count;
+ }
+
+ $options['metadata_name_value_pairs_operator'] = $meta_array_operator;
+
+ return elgg_get_entities_from_metadata($options);
+}
+
+/**
+ * Returns a menu item for use in the children section of add_menu()
+ * This is not currently used in the Elgg core.
+ *
+ * @param string $menu_name The name of the menu item
+ * @param string $menu_url Its URL
+ *
+ * @return stdClass|false Depending on success
+ * @deprecated 1.7
+ */
+function menu_item($menu_name, $menu_url) {
+ elgg_deprecated_notice('menu_item() is deprecated by add_submenu_item', 1.7);
+ return make_register_object($menu_name, $menu_url);
+}
+
+/**
+ * Searches for an object based on a complete or partial title
+ * or description using full text searching.
+ *
+ * IMPORTANT NOTE: With MySQL's default setup:
+ * 1) $criteria must be 4 or more characters long
+ * 2) If $criteria matches greater than 50% of results NO RESULTS ARE RETURNED!
+ *
+ * @param string $criteria The partial or full name or username.
+ * @param int $limit Limit of the search.
+ * @param int $offset Offset.
+ * @param string $order_by The order.
+ * @param boolean $count Whether to return the count of results or just the results.
+ *
+ * @return int|false
+ * @deprecated 1.7
+ */
+function search_for_object($criteria, $limit = 10, $offset = 0, $order_by = "", $count = false) {
+ elgg_deprecated_notice('search_for_object() was deprecated by new search plugin.', 1.7);
+ global $CONFIG;
+
+ $criteria = sanitise_string($criteria);
+ $limit = (int)$limit;
+ $offset = (int)$offset;
+ $order_by = sanitise_string($order_by);
+ $container_guid = (int)$container_guid;
+
+ $access = get_access_sql_suffix("e");
+
+ if ($order_by == "") {
+ $order_by = "e.time_created desc";
+ }
+
+ if ($count) {
+ $query = "SELECT count(e.guid) as total ";
+ } else {
+ $query = "SELECT e.* ";
+ }
+ $query .= "from {$CONFIG->dbprefix}entities e
+ join {$CONFIG->dbprefix}objects_entity o on e.guid=o.guid
+ where match(o.title,o.description) against ('$criteria') and $access";
+
+ if (!$count) {
+ $query .= " order by $order_by limit $offset, $limit"; // Add order and limit
+ return get_data($query, "entity_row_to_elggstar");
+ } else {
+ if ($count = get_data_row($query)) {
+ return $count->total;
+ }
+ }
+ return false;
+}
+
+/**
+ * Returns a formatted list of objects suitable for injecting into search.
+ *
+ * @deprecated 1.7
+ *
+ * @param sting $hook Hook
+ * @param string $user user
+ * @param mixed $returnvalue Previous return value
+ * @param mixed $tag Search term
+ *
+ * @return array
+ */
+function search_list_objects_by_name($hook, $user, $returnvalue, $tag) {
+ elgg_deprecated_notice('search_list_objects_by_name was deprecated by new search plugin.', 1.7);
+
+ // Change this to set the number of users that display on the search page
+ $threshold = 4;
+
+ $object = get_input('object');
+
+ if (!get_input('offset') && (empty($object) || $object == 'user')) {
+ if ($users = search_for_user($tag, $threshold)) {
+ $countusers = search_for_user($tag, 0, 0, "", true);
+
+ $return = elgg_view('user/search/startblurb', array('count' => $countusers, 'tag' => $tag));
+ foreach ($users as $user) {
+ $return .= elgg_view_entity($user);
+ }
+ $return .= elgg_view('user/search/finishblurb',
+ array('count' => $countusers, 'threshold' => $threshold, 'tag' => $tag));
+
+ return $return;
+
+ }
+ }
+}
+
+/**
+ * Return entities from relationships
+ *
+ * @deprecated 1.7 Use elgg_get_entities_from_relationship()
+ *
+ * @param string $relationship The relationship type
+ * @param int $relationship_guid The GUID of the relationship owner
+ * @param bool $inverse_relationship Invert relationship?
+ * @param string $type Entity type
+ * @param string $subtype Entity subtype
+ * @param int $owner_guid Entity owner GUID
+ * @param string $order_by Order by clause
+ * @param int $limit Limit
+ * @param int $offset Offset
+ * @param bool $count Return a count instead of entities?
+ * @param int $site_guid Site GUID
+ *
+ * @return mixed
+ */
+function get_entities_from_relationship($relationship, $relationship_guid,
+$inverse_relationship = false, $type = "", $subtype = "", $owner_guid = 0,
+$order_by = "", $limit = 10, $offset = 0, $count = false, $site_guid = 0) {
+
+ elgg_deprecated_notice('get_entities_from_relationship() was deprecated by elgg_get_entities_from_relationship()!', 1.7);
+
+ $options = array();
+
+ $options['relationship'] = $relationship;
+ $options['relationship_guid'] = $relationship_guid;
+ $options['inverse_relationship'] = $inverse_relationship;
+
+ if ($type) {
+ $options['types'] = $type;
+ }
+
+ if ($subtype) {
+ $options['subtypes'] = $subtype;
+ }
+
+ if ($owner_guid) {
+ $options['owner_guid'] = $owner_guid;
+ }
+
+ $options['limit'] = $limit;
+
+ if ($offset) {
+ $options['offset'] = $offset;
+ }
+
+ if ($order_by) {
+ $options['order_by'];
+ }
+
+ if ($site_guid) {
+ $options['site_guid'];
+ }
+
+ if ($count) {
+ $options['count'] = $count;
+ }
+
+ return elgg_get_entities_from_relationship($options);
+}
+
+/**
+ * Searches for a site based on a complete or partial name
+ * or description or url using full text searching.
+ *
+ * IMPORTANT NOTE: With MySQL's default setup:
+ * 1) $criteria must be 4 or more characters long
+ * 2) If $criteria matches greater than 50% of results NO RESULTS ARE RETURNED!
+ *
+ * @param string $criteria The partial or full name or username.
+ * @param int $limit Limit of the search.
+ * @param int $offset Offset.
+ * @param string $order_by The order.
+ * @param boolean $count Whether to return the count of results or just the results.
+ *
+ * @return mixed
+ * @deprecated 1.7
+ */
+function search_for_site($criteria, $limit = 10, $offset = 0, $order_by = "", $count = false) {
+ elgg_deprecated_notice('search_for_site() was deprecated by new search plugin.', 1.7);
+ global $CONFIG;
+
+ $criteria = sanitise_string($criteria);
+ $limit = (int)$limit;
+ $offset = (int)$offset;
+ $order_by = sanitise_string($order_by);
+
+ $access = get_access_sql_suffix("e");
+
+ if ($order_by == "") {
+ $order_by = "e.time_created desc";
+ }
+
+ if ($count) {
+ $query = "SELECT count(e.guid) as total ";
+ } else {
+ $query = "SELECT e.* ";
+ }
+ $query .= "from {$CONFIG->dbprefix}entities e
+ join {$CONFIG->dbprefix}sites_entity s on e.guid=s.guid
+ where match(s.name, s.description, s.url) against ('$criteria') and $access";
+
+ if (!$count) {
+ $query .= " order by $order_by limit $offset, $limit"; // Add order and limit
+ return get_data($query, "entity_row_to_elggstar");
+ } else {
+ if ($count = get_data_row($query)) {
+ return $count->total;
+ }
+ }
+ return false;
+}
+
+/**
+ * Searches for a user based on a complete or partial name or username.
+ *
+ * @param string $criteria The partial or full name or username.
+ * @param int $limit Limit of the search.
+ * @param int $offset Offset.
+ * @param string $order_by The order.
+ * @param boolean $count Whether to return the count of results or just the results.
+ *
+ * @return mixed
+ * @deprecated 1.7
+ */
+function search_for_user($criteria, $limit = 10, $offset = 0, $order_by = "", $count = false) {
+ elgg_deprecated_notice('search_for_user() was deprecated by new search.', 1.7);
+ global $CONFIG;
+
+ $criteria = sanitise_string($criteria);
+ $limit = (int)$limit;
+ $offset = (int)$offset;
+ $order_by = sanitise_string($order_by);
+
+ $access = get_access_sql_suffix("e");
+
+ if ($order_by == "") {
+ $order_by = "e.time_created desc";
+ }
+
+ if ($count) {
+ $query = "SELECT count(e.guid) as total ";
+ } else {
+ $query = "SELECT e.* ";
+ }
+ $query .= "from {$CONFIG->dbprefix}entities e
+ join {$CONFIG->dbprefix}users_entity u on e.guid=u.guid where ";
+
+ $query .= "(u.name like \"%{$criteria}%\" or u.username like \"%{$criteria}%\")";
+ $query .= " and $access";
+
+ if (!$count) {
+ $query .= " order by $order_by limit $offset, $limit";
+ return get_data($query, "entity_row_to_elggstar");
+ } else {
+ if ($count = get_data_row($query)) {
+ return $count->total;
+ }
+ }
+ return false;
+}
+
+/**
+ * Displays a list of user objects that have been searched for.
+ *
+ * @see elgg_view_entity_list
+ *
+ * @param string $tag Search criteria
+ * @param int $limit The number of entities to display on a page
+ *
+ * @return string The list in a form suitable to display
+ *
+ * @deprecated 1.7
+ */
+function list_user_search($tag, $limit = 10) {
+ elgg_deprecated_notice('list_user_search() deprecated by new search', 1.7);
+ $offset = (int) get_input('offset');
+ $limit = (int) $limit;
+ $count = (int) search_for_user($tag, 10, 0, '', true);
+ $entities = search_for_user($tag, $limit, $offset);
+
+ return elgg_view_entity_list($entities, $count, $offset, $limit, $fullview, false);
+}
+
+/**
+ * Returns a formatted list of users suitable for injecting into search.
+ *
+ * @deprecated 1.7
+ *
+ * @param string $hook Hook name
+ * @param string $user User?
+ * @param mixed $returnvalue Previous hook's return value
+ * @param mixed $tag Tag to search against
+ *
+ * @return void
+ */
+function search_list_users_by_name($hook, $user, $returnvalue, $tag) {
+ elgg_deprecated_notice('search_list_users_by_name() was deprecated by new search', 1.7);
+ // Change this to set the number of users that display on the search page
+ $threshold = 4;
+
+ $object = get_input('object');
+
+ if (!get_input('offset') && (empty($object) || $object == 'user')) {
+ if ($users = search_for_user($tag, $threshold)) {
+ $countusers = search_for_user($tag, 0, 0, "", true);
+
+ $return = elgg_view('user/search/startblurb', array('count' => $countusers, 'tag' => $tag));
+ foreach ($users as $user) {
+ $return .= elgg_view_entity($user);
+ }
+
+ $vars = array('count' => $countusers, 'threshold' => $threshold, 'tag' => $tag);
+ $return .= elgg_view('user/search/finishblurb', $vars);
+ return $return;
+
+ }
+ }
+}
+
+/**
+ * Extend a view
+ *
+ * @deprecated 1.7. Use elgg_extend_view().
+ *
+ * @param string $view The view to extend.
+ * @param string $view_name This view is added to $view
+ * @param int $priority The priority, from 0 to 1000,
+ * to add at (lowest numbers displayed first)
+ * @param string $viewtype Not used
+ *
+ * @return void
+ */
+function extend_view($view, $view_name, $priority = 501, $viewtype = '') {
+ elgg_deprecated_notice('extend_view() was deprecated by elgg_extend_view()!', 1.7);
+ elgg_extend_view($view, $view_name, $priority, $viewtype);
+}
+
+/**
+ * Get views in a dir
+ *
+ * @deprecated 1.7. Use elgg_get_views().
+ *
+ * @param string $dir Dir
+ * @param string $base Base view
+ *
+ * @return array
+ */
+function get_views($dir, $base) {
+ elgg_deprecated_notice('get_views() was deprecated by elgg_get_views()!', 1.7);
+ elgg_get_views($dir, $base);
+}
+
+/**
+ * Constructs and returns a register object.
+ *
+ * @param string $register_name The name of the register
+ * @param mixed $register_value The value of the register
+ * @param array $children_array Optionally, an array of children
+ *
+ * @return false|stdClass Depending on success
+ * @deprecated 1.7 Use {@link add_submenu_item()}
+ */
+function make_register_object($register_name, $register_value, $children_array = array()) {
+ elgg_deprecated_notice('make_register_object() is deprecated by add_submenu_item()', 1.7);
+ if (empty($register_name) || empty($register_value)) {
+ return false;
+ }
+
+ $register = new stdClass;
+ $register->name = $register_name;
+ $register->value = $register_value;
+ $register->children = $children_array;
+
+ return $register;
+}
+
+/**
+ * THIS FUNCTION IS DEPRECATED.
+ *
+ * Delete a object's extra data.
+ *
+ * @todo - this should be removed - was deprecated in 1.5 or earlier
+ *
+ * @param int $guid GUID
+ *
+ * @return 1
+ * @deprecated 1.7
+ */
+function delete_object_entity($guid) {
+ system_message(elgg_echo('deprecatedfunction', array('delete_user_entity')));
+
+ return 1; // Always return that we have deleted one row in order to not break existing code.
+}
+
+/**
+ * THIS FUNCTION IS DEPRECATED.
+ *
+ * Delete a user's extra data.
+ *
+ * @todo remove
+ *
+ * @param int $guid User GUID
+ *
+ * @return 1
+ * @deprecated 1.7
+ */
+function delete_user_entity($guid) {
+ system_message(elgg_echo('deprecatedfunction', array('delete_user_entity')));
+
+ return 1; // Always return that we have deleted one row in order to not break existing code.
+} \ No newline at end of file
diff --git a/engine/lib/deprecated-1.8.php b/engine/lib/deprecated-1.8.php
new file mode 100644
index 000000000..91068d047
--- /dev/null
+++ b/engine/lib/deprecated-1.8.php
@@ -0,0 +1,4820 @@
+<?php
+/**
+ * ***************************************************************************
+ * NOTE: If this is ever removed from Elgg, sites lose the ability to upgrade
+ * from 1.7.x and earlier to the latest version of Elgg without upgrading to
+ * 1.8 first.
+ * ***************************************************************************
+ *
+ * Upgrade the database schema in an ordered sequence.
+ *
+ * Executes all upgrade files in elgg/engine/schema/upgrades/ in sequential order.
+ * Upgrade files must be in the standard Elgg release format of YYYYMMDDII.sql
+ * where II is an incrementor starting from 01.
+ *
+ * Files that are < $version will be ignored.
+ *
+ * @warning Plugin authors should not call this function directly.
+ *
+ * @param int $version The version you are upgrading from in the format YYYYMMDDII.
+ * @param string $fromdir Optional directory to load upgrades from. default: engine/schema/upgrades/
+ * @param bool $quiet If true, suppress all error messages. Only use for the upgrade from <=1.6.
+ *
+ * @return int The number of upgrades run.
+ * @see upgrade.php
+ * @see version.php
+ * @deprecated 1.8 Use PHP upgrades for sql changes.
+ */
+function db_upgrade($version, $fromdir = "", $quiet = FALSE) {
+ global $CONFIG;
+
+ elgg_deprecated_notice('db_upgrade() is deprecated by using PHP upgrades.', 1.8);
+
+ $version = (int) $version;
+
+ if (!$fromdir) {
+ $fromdir = $CONFIG->path . 'engine/schema/upgrades/';
+ }
+
+ $i = 0;
+
+ if ($handle = opendir($fromdir)) {
+ $sqlupgrades = array();
+
+ while ($sqlfile = readdir($handle)) {
+ if (!is_dir($fromdir . $sqlfile)) {
+ if (preg_match('/^([0-9]{10})\.(sql)$/', $sqlfile, $matches)) {
+ $sql_version = (int) $matches[1];
+ if ($sql_version > $version) {
+ $sqlupgrades[] = $sqlfile;
+ }
+ }
+ }
+ }
+
+ asort($sqlupgrades);
+
+ if (sizeof($sqlupgrades) > 0) {
+ foreach ($sqlupgrades as $sqlfile) {
+
+ // hide all errors.
+ if ($quiet) {
+ try {
+ run_sql_script($fromdir . $sqlfile);
+ } catch (DatabaseException $e) {
+ error_log($e->getmessage());
+ }
+ } else {
+ run_sql_script($fromdir . $sqlfile);
+ }
+ $i++;
+ }
+ }
+ }
+
+ return $i;
+}
+
+/**
+ * Lists entities from an access collection
+ *
+ * @deprecated 1.8 Use elgg_list_entities_from_access_id()
+ *
+ * @return str
+ */
+function list_entities_from_access_id($access_id, $entity_type = "", $entity_subtype = "", $owner_guid = 0, $limit = 10, $fullview = true, $listtypetoggle = true, $pagination = true) {
+
+ elgg_deprecated_notice("All list_entities* functions were deprecated in 1.8. Use elgg_list_entities* instead.", 1.8);
+
+ echo elgg_list_entities_from_access_id(array('access_id' => $access_id,
+ 'type' => $entity_type, 'subtype' => $entity_subtype, 'owner_guids' => $owner_guid,
+ 'limit' => $limit, 'full_view' => $fullview, 'list_type_toggle' => $listtypetoggle,
+ 'pagination' => $pagination,));
+}
+
+/**
+ * Registers a particular action in memory
+ *
+ * @deprecated 1.8 Use {@link elgg_register_action()} instead
+ *
+ * @param string $action The name of the action (eg "register", "account/settings/save")
+ * @param boolean $public Can this action be accessed by people not logged into the system?
+ * @param string $filename Optionally, the filename where this action is located
+ * @param boolean $admin_only Whether this action is only available to admin users.
+ */
+function register_action($action, $public = false, $filename = "", $admin_only = false) {
+ elgg_deprecated_notice("register_action() was deprecated by elgg_register_action()", 1.8);
+
+ if ($admin_only) {
+ $access = 'admin';
+ } elseif ($public) {
+ $access = 'public';
+ } else {
+ $access = 'logged_in';
+ }
+
+ return elgg_register_action($action, $filename, $access);
+}
+
+/**
+ * Register an admin page with the admin panel.
+ * This function extends the view "admin/main" with the provided view.
+ * This view should provide a description and either a control or a link to.
+ *
+ * @deprecated 1.8 Extend admin views manually
+ *
+ * Usage:
+ * - To add a control to the main admin panel then extend admin/main
+ * - To add a control to a new page create a page which renders a view admin/subpage
+ * (where subpage is your new page -
+ * nb. some pages already exist that you can extend), extend the main view to point to it,
+ * and add controls to your new view.
+ *
+ * At the moment this is essentially a wrapper around elgg_extend_view().
+ *
+ * @param string $new_admin_view The view associated with the control you're adding
+ * @param string $view The view to extend, by default this is 'admin/main'.
+ * @param int $priority Optional priority to govern the appearance in the list.
+ *
+ * @return void
+ */
+function extend_elgg_admin_page($new_admin_view, $view = 'admin/main', $priority = 500) {
+ elgg_deprecated_notice('extend_elgg_admin_page() does nothing. Extend admin views manually.', 1.8);
+}
+
+/**
+ * Get entities ordered by a mathematical calculation
+ *
+ * @deprecated 1.8 Use elgg_get_entities_from_annotation_calculation()
+ *
+ * @param string $sum What sort of calculation to perform
+ * @param string $entity_type Type of Entity
+ * @param string $entity_subtype Subtype of Entity
+ * @param string $name Name of annotation
+ * @param string $mdname Metadata name
+ * @param string $mdvalue Metadata value
+ * @param int $owner_guid GUID of owner of annotation
+ * @param int $limit Limit of results
+ * @param int $offset Offset of results
+ * @param string $orderdir Order of results
+ * @param bool $count Return count or entities
+ *
+ * @return mixed
+ */
+function get_entities_from_annotations_calculate_x($sum = "sum", $entity_type = "", $entity_subtype = "", $name = "", $mdname = '', $mdvalue = '', $owner_guid = 0, $limit = 10, $offset = 0, $orderdir = 'desc', $count = false) {
+
+ $msg = 'get_entities_from_annotations_calculate_x() is deprecated by elgg_get_entities_from_annotation_calculation().';
+
+ elgg_deprecated_notice($msg, 1.8);
+
+ $options = array();
+
+ $options['calculation'] = $sum;
+
+ if ($entity_type) {
+ $options['types'] = $entity_type;
+ }
+
+ if ($entity_subtype) {
+ $options['subtypes'] = $entity_subtype;
+ }
+
+ $options['annotation_names'] = $name;
+
+ if ($mdname) {
+ $options['metadata_names'] = $mdname;
+ }
+
+ if ($mdvalue) {
+ $options['metadata_values'] = $mdvalue;
+ }
+
+ // original function rewrote this to container guid.
+ if ($owner_guid) {
+ if (is_array($owner_guid)) {
+ $options['container_guids'] = $owner_guid;
+ } else {
+ $options['container_guid'] = $owner_guid;
+ }
+ }
+
+ $options['limit'] = $limit;
+ $options['offset'] = $offset;
+
+ $options['order_by'] = "annotation_calculation $orderdir";
+
+ $options['count'] = $count;
+
+ return elgg_get_entities_from_annotation_calculation($options);
+}
+
+/**
+ * Returns entities ordered by the sum of an annotation
+ *
+ * @warning This is function uses sum instead of count. THIS IS SLOW. See #3366.
+ * This should be used when you have annotations with different values and you
+ * want a list of entities ordered by the sum of all of those values.
+ * If you want a list of entities ordered by the number of annotations on each entity,
+ * use __get_entities_from_annotations_calculate_x() and pass 'count' as the first param.
+ *
+ * @deprecated 1.8 Use elgg_get_entities_from_annotation_calculation()
+ *
+ * @param string $entity_type Type of Entity
+ * @param string $entity_subtype Subtype of Entity
+ * @param string $name Name of annotation
+ * @param string $mdname Metadata name
+ * @param string $mdvalue Metadata value
+ * @param int $owner_guid GUID of owner of annotation
+ * @param int $limit Limit of results
+ * @param int $offset Offset of results
+ * @param string $orderdir Order of results
+ * @param bool $count Return count or entities
+ *
+ * @return unknown
+ */
+function get_entities_from_annotation_count($entity_type = "", $entity_subtype = "", $name = "", $mdname = '', $mdvalue = '', $owner_guid = 0, $limit = 10, $offset = 0, $orderdir = 'desc', $count = false) {
+
+ $msg = 'get_entities_from_annotation_count() is deprecated by elgg_get_entities_from_annotation_calculation().';
+
+ elgg_deprecated_notice($msg, 1.8);
+
+ $options = array();
+
+ $options['calculation'] = 'sum';
+
+ if ($entity_type) {
+ $options['types'] = $entity_type;
+ }
+
+ if ($entity_subtype) {
+ $options['subtypes'] = $entity_subtype;
+ }
+
+ $options['annotation_names'] = $name;
+
+ if ($mdname) {
+ $options['metadata_names'] = $mdname;
+ }
+
+ if ($mdvalue) {
+ $options['metadata_values'] = $mdvalue;
+ }
+
+ if ($owner_guid) {
+ if (is_array($owner_guid)) {
+ $options['owner_guids'] = $owner_guid;
+ } else {
+ $options['owner_guid'] = $owner_guid;
+ }
+ }
+
+ $options['limit'] = $limit;
+ $options['offset'] = $offset;
+
+ $options['order_by'] = "annotation_calculation $orderdir";
+
+ $options['count'] = $count;
+
+ return elgg_get_entities_from_annotation_calculation($options);
+}
+
+/**
+ * Lists entities by the totals of a particular kind of annotation
+ *
+ * @deprecated 1.8 Use elgg_list_entities_from_annotation_calculation()
+ *
+ * @param string $entity_type Type of entity.
+ * @param string $entity_subtype Subtype of entity.
+ * @param string $name Name of annotation.
+ * @param int $limit Maximum number of results to return.
+ * @param int $owner_guid Owner.
+ * @param int $group_guid Group container. Currently only supported if entity_type is object
+ * @param boolean $asc Whether to list in ascending or descending order (default: desc)
+ * @param boolean $fullview Whether to display the entities in full
+ * @param boolean $listtypetoggle Can the 'gallery' view can be displayed (default: no)
+ * @param boolean $pagination Add pagination
+ * @param string $orderdir Order desc or asc
+ *
+ * @return string Formatted entity list
+ */
+function list_entities_from_annotation_count($entity_type = "", $entity_subtype = "", $name = "", $limit = 10, $owner_guid = 0, $group_guid = 0, $asc = false, $fullview = true, $listtypetoggle = false, $pagination = true, $orderdir = 'desc') {
+
+ $msg = 'list_entities_from_annotation_count() is deprecated by elgg_list_entities_from_annotation_calculation().';
+
+ elgg_deprecated_notice($msg, 1.8);
+
+ $options = array();
+
+ $options['calculation'] = 'sum';
+
+ if ($entity_type) {
+ $options['types'] = $entity_type;
+ }
+
+ if ($entity_subtype) {
+ $options['subtypes'] = $entity_subtype;
+ }
+
+ $options['annotation_names'] = $name;
+
+ if ($owner_guid) {
+ if (is_array($owner_guid)) {
+ $options['owner_guids'] = $owner_guid;
+ } else {
+ $options['owner_guid'] = $owner_guid;
+ }
+ }
+
+ $options['full_view'] = $fullview;
+
+ $options['list_type_toggle'] = $listtypetoggle;
+
+ $options['pagination'] = $pagination;
+
+ $options['limit'] = $limit;
+
+ $options['order_by'] = "annotation_calculation $orderdir";
+
+ return elgg_get_entities_from_annotation_calculation($options);
+}
+
+/**
+ * Adds an entry in $CONFIG[$register_name][$subregister_name].
+ *
+ * @deprecated 1.8 Use the new menu system.
+ *
+ * This is only used for the site-wide menu. See {@link add_menu()}.
+ *
+ * @param string $register_name The name of the top-level register
+ * @param string $subregister_name The name of the subregister
+ * @param mixed $subregister_value The value of the subregister
+ * @param array $children_array Optionally, an array of children
+ *
+ * @return true|false Depending on success
+ */
+function add_to_register($register_name, $subregister_name, $subregister_value, $children_array = array()) {
+ elgg_deprecated_notice("add_to_register() has been deprecated", 1.8);
+ global $CONFIG;
+
+ if (empty($register_name) || empty($subregister_name)) {
+ return false;
+ }
+
+ if (!isset($CONFIG->registers)) {
+ $CONFIG->registers = array();
+ }
+
+ if (!isset($CONFIG->registers[$register_name])) {
+ $CONFIG->registers[$register_name] = array();
+ }
+
+ $subregister = new stdClass;
+ $subregister->name = $subregister_name;
+ $subregister->value = $subregister_value;
+
+ if (is_array($children_array)) {
+ $subregister->children = $children_array;
+ }
+
+ $CONFIG->registers[$register_name][$subregister_name] = $subregister;
+ return true;
+}
+
+/**
+ * Removes a register entry from $CONFIG[register_name][subregister_name]
+ *
+ * @deprecated 1.8 Use the new menu system.
+ *
+ * This is used to by {@link remove_menu()} to remove site-wide menu items.
+ *
+ * @param string $register_name The name of the top-level register
+ * @param string $subregister_name The name of the subregister
+ *
+ * @return true|false Depending on success
+ * @since 1.7.0
+ */
+function remove_from_register($register_name, $subregister_name) {
+ elgg_deprecated_notice("remove_from_register() has been deprecated", 1.8);
+ global $CONFIG;
+
+ if (empty($register_name) || empty($subregister_name)) {
+ return false;
+ }
+
+ if (!isset($CONFIG->registers)) {
+ return false;
+ }
+
+ if (!isset($CONFIG->registers[$register_name])) {
+ return false;
+ }
+
+ if (isset($CONFIG->registers[$register_name][$subregister_name])) {
+ unset($CONFIG->registers[$register_name][$subregister_name]);
+ return true;
+ }
+
+ return false;
+}
+
+/**
+ * If it exists, returns a particular register as an array
+ *
+ * @deprecated 1.8 Use the new menu system
+ *
+ * @param string $register_name The name of the register
+ *
+ * @return array|false Depending on success
+ */
+function get_register($register_name) {
+ elgg_deprecated_notice("get_register() has been deprecated", 1.8);
+ global $CONFIG;
+
+ if ($register_name == 'menu') {
+ // backward compatible code for site menu
+ $menu = $CONFIG->menus['site'];
+ $builder = new ElggMenuBuilder($menu);
+ $menu_items = $builder->getMenu('text');
+ $menu_items = $menu_items['default'];
+
+ $menu = array();
+ foreach ($menu_items as $item) {
+ $subregister = new stdClass;
+ $subregister->name = $item->getText();
+ $subregister->value = $item->getHref();
+ $menu[$subregister->name] = $subregister;
+ }
+ return $menu;
+ }
+
+ if (isset($CONFIG->registers[$register_name])) {
+ return $CONFIG->registers[$register_name];
+ }
+
+ return false;
+}
+
+/**
+ * Deprecated events core function. Code divided between elgg_register_event_handler()
+ * and trigger_elgg_event().
+ *
+ * @deprecated 1.8 Use explicit register/trigger event functions
+ *
+ * @param string $event The type of event (eg 'init', 'update', 'delete')
+ * @param string $object_type The type of object (eg 'system', 'blog', 'user')
+ * @param string $function The name of the function that will handle the event
+ * @param int $priority Priority to call handler. Lower numbers called first (default 500)
+ * @param boolean $call Set to true to call the event rather than add to it (default false)
+ * @param mixed $object Optionally, the object the event is being performed on (eg a user)
+ *
+ * @return true|false Depending on success
+ */
+function events($event = "", $object_type = "", $function = "", $priority = 500, $call = false, $object = null) {
+
+ elgg_deprecated_notice('events() has been deprecated.', 1.8);
+
+ // leaving this here just in case someone was directly calling this internal function
+ if (!$call) {
+ return elgg_register_event_handler($event, $object_type, $function, $priority);
+ } else {
+ return trigger_elgg_event($event, $object_type, $object);
+ }
+}
+
+/**
+ * Alias function for events, that registers a function to a particular kind of event
+ *
+ * @deprecated 1.8 Use elgg_register_event_handler() instead
+ *
+ * @param string $event The event type
+ * @param string $object_type The object type
+ * @param string $function The function name
+ * @return true|false Depending on success
+ */
+function register_elgg_event_handler($event, $object_type, $callback, $priority = 500) {
+ elgg_deprecated_notice("register_elgg_event_handler() was deprecated by elgg_register_event_handler()", 1.8);
+ return elgg_register_event_handler($event, $object_type, $callback, $priority);
+}
+
+/**
+ * Unregisters a function to a particular kind of event
+ *
+ * @deprecated 1.8 Use elgg_unregister_event_handler instead
+ *
+ * @param string $event The event type
+ * @param string $object_type The object type
+ * @param string $function The function name
+ * @since 1.7.0
+ */
+function unregister_elgg_event_handler($event, $object_type, $callback) {
+ elgg_deprecated_notice('unregister_elgg_event_handler => elgg_unregister_event_handler', 1.8);
+ elgg_unregister_event_handler($event, $object_type, $callback);
+}
+
+/**
+ * Alias function for events, that triggers a particular kind of event
+ *
+ * @deprecated 1.8 Use elgg_trigger_event() instead
+ *
+ * @param string $event The event type
+ * @param string $object_type The object type
+ * @param string $function The function name
+ * @return true|false Depending on success
+ */
+function trigger_elgg_event($event, $object_type, $object = null) {
+ elgg_deprecated_notice('trigger_elgg_event() was deprecated by elgg_trigger_event()', 1.8);
+ return elgg_trigger_event($event, $object_type, $object);
+}
+
+/**
+ * Register a function to a plugin hook for a particular entity type, with a given priority.
+ *
+ * @deprecated 1.8 Use elgg_register_plugin_hook_handler() instead
+ *
+ * eg if you want the function "export_user" to be called when the hook "export" for "user" entities
+ * is run, use:
+ *
+ * register_plugin_hook("export", "user", "export_user");
+ *
+ * "all" is a valid value for both $hook and $entity_type. "none" is a valid value for $entity_type.
+ *
+ * The export_user function would then be defined as:
+ *
+ * function export_user($hook, $entity_type, $returnvalue, $params);
+ *
+ * Where $returnvalue is the return value returned by the last function returned by the hook, and
+ * $params is an array containing a set of parameters (or nothing).
+ *
+ * @param string $hook The name of the hook
+ * @param string $entity_type The name of the type of entity (eg "user", "object" etc)
+ * @param string $function The name of a valid function to be run
+ * @param string $priority The priority - 0 is first, 1000 last, default is 500
+ * @return true|false Depending on success
+ */
+function register_plugin_hook($hook, $type, $callback, $priority = 500) {
+ elgg_deprecated_notice("register_plugin_hook() was deprecated by elgg_register_plugin_hook_handler()", 1.8);
+ return elgg_register_plugin_hook_handler($hook, $type, $callback, $priority);
+}
+
+/**
+ * Unregister a function to a plugin hook for a particular entity type
+ *
+ * @deprecated 1.8 Use elgg_unregister_plugin_hook_handler() instead
+ *
+ * @param string $hook The name of the hook
+ * @param string $entity_type The name of the type of entity (eg "user", "object" etc)
+ * @param string $function The name of a valid function to be run
+ * @since 1.7.0
+ */
+function unregister_plugin_hook($hook, $entity_type, $callback) {
+ elgg_deprecated_notice("unregister_plugin_hook() was deprecated by elgg_unregister_plugin_hook_handler()", 1.8);
+ elgg_unregister_plugin_hook_handler($hook, $entity_type, $callback);
+}
+
+/**
+ * Triggers a plugin hook, with various parameters as an array. For example, to provide
+ * a 'foo' hook that concerns an entity of type 'bar', with a parameter called 'param1'
+ * with value 'value1', that by default returns true, you'd call:
+ *
+ * @deprecated 1.8 Use elgg_trigger_plugin_hook() instead
+ *
+ * trigger_plugin_hook('foo', 'bar', array('param1' => 'value1'), true);
+ *
+ * @see register_plugin_hook
+ * @param string $hook The name of the hook to trigger
+ * @param string $entity_type The name of the entity type to trigger it for (or "all", or "none")
+ * @param array $params Any parameters. It's good practice to name the keys, i.e. by using array('name' => 'value', 'name2' => 'value2')
+ * @param mixed $returnvalue An initial return value
+ * @return mixed|null The cumulative return value for the plugin hook functions
+ */
+function trigger_plugin_hook($hook, $type, $params = null, $returnvalue = null) {
+ elgg_deprecated_notice("trigger_plugin_hook() was deprecated by elgg_trigger_plugin_hook()", 1.8);
+ return elgg_trigger_plugin_hook($hook, $type, $params, $returnvalue);
+}
+
+/**
+ * Checks if code is being called from a certain function.
+ *
+ * To use, call this function with the function name (and optional
+ * file location) that it has to be called from, it will either
+ * return true or false.
+ *
+ * e.g.
+ *
+ * function my_secure_function()
+ * {
+ * if (!call_gatekeeper("my_call_function"))
+ * return false;
+ *
+ * ... do secure stuff ...
+ * }
+ *
+ * function my_call_function()
+ * {
+ * // will work
+ * my_secure_function();
+ * }
+ *
+ * function bad_function()
+ * {
+ * // Will not work
+ * my_secure_function();
+ * }
+ *
+ * @param mixed $function The function that this function must have in its call stack,
+ * to test against a method pass an array containing a class and
+ * method name.
+ * @param string $file Optional file that the function must reside in.
+ *
+ * @return bool
+ *
+ * @deprecated 1.8 A neat but pointless function
+ */
+function call_gatekeeper($function, $file = "") {
+ elgg_deprecated_notice("call_gatekeeper() is neat but pointless", 1.8);
+ // Sanity check
+ if (!$function) {
+ return false;
+ }
+
+ // Check against call stack to see if this is being called from the correct location
+ $callstack = debug_backtrace();
+ $stack_element = false;
+
+ foreach ($callstack as $call) {
+ if (is_array($function)) {
+ if ((strcmp($call['class'], $function[0]) == 0) && (strcmp($call['function'], $function[1]) == 0)) {
+ $stack_element = $call;
+ }
+ } else {
+ if (strcmp($call['function'], $function) == 0) {
+ $stack_element = $call;
+ }
+ }
+ }
+
+ if (!$stack_element) {
+ return false;
+ }
+
+ // If file then check that this it is being called from this function
+ if ($file) {
+ $mirror = null;
+
+ if (is_array($function)) {
+ $mirror = new ReflectionMethod($function[0], $function[1]);
+ } else {
+ $mirror = new ReflectionFunction($function);
+ }
+
+ if ((!$mirror) || (strcmp($file, $mirror->getFileName()) != 0)) {
+ return false;
+ }
+ }
+
+ return true;
+}
+
+/**
+ * This function checks to see if it is being called at somepoint by a function defined somewhere
+ * on a given path (optionally including subdirectories).
+ *
+ * This function is similar to call_gatekeeper() but returns true if it is being called
+ * by a method or function which has been defined on a given path or by a specified file.
+ *
+ * @param string $path The full path and filename that this function must have
+ * in its call stack If a partial path is given and
+ * $include_subdirs is true, then the function will return
+ * true if called by any function in or below the specified path.
+ * @param bool $include_subdirs Are subdirectories of the path ok, or must you specify an
+ * absolute path and filename.
+ * @param bool $strict_mode If true then the calling method or function must be directly
+ * called by something on $path, if false the whole call stack is
+ * searched.
+ *
+ * @return void
+ *
+ * @deprecated 1.8 A neat but pointless function
+ */
+function callpath_gatekeeper($path, $include_subdirs = true, $strict_mode = false) {
+ elgg_deprecated_notice("callpath_gatekeeper() is neat but pointless", 1.8);
+
+ global $CONFIG;
+
+ $path = sanitise_string($path);
+
+ if ($path) {
+ $callstack = debug_backtrace();
+
+ foreach ($callstack as $call) {
+ $call['file'] = str_replace("\\", "/", $call['file']);
+
+ if ($include_subdirs) {
+ if (strpos($call['file'], $path) === 0) {
+
+ if ($strict_mode) {
+ $callstack[1]['file'] = str_replace("\\", "/", $callstack[1]['file']);
+ if ($callstack[1] === $call) {
+ return true;
+ }
+ } else {
+ return true;
+ }
+ }
+ } else {
+ if (strcmp($path, $call['file']) == 0) {
+ if ($strict_mode) {
+ if ($callstack[1] === $call) {
+ return true;
+ }
+ } else {
+ return true;
+ }
+ }
+ }
+
+ }
+ return false;
+ }
+
+ if (isset($CONFIG->debug)) {
+ system_message("Gatekeeper'd function called from {$callstack[1]['file']}:" . "{$callstack[1]['line']}\n\nStack trace:\n\n" . print_r($callstack, true));
+ }
+
+ return false;
+}
+
+/**
+ * Returns SQL where clause for owner and containers.
+ *
+ * @deprecated 1.8 Use elgg_get_guid_based_where_sql();
+ *
+ * @param string $table Entity table prefix as defined in SELECT...FROM entities $table
+ * @param NULL|array $owner_guids Owner GUIDs
+ *
+ * @return FALSE|str
+ * @since 1.7.0
+ * @access private
+ */
+function elgg_get_entity_owner_where_sql($table, $owner_guids) {
+ elgg_deprecated_notice('elgg_get_entity_owner_where_sql() is deprecated by elgg_get_guid_based_where_sql().', 1.8);
+
+ return elgg_get_guid_based_where_sql("{$table}.owner_guid", $owner_guids);
+}
+
+/**
+ * Returns SQL where clause for containers.
+ *
+ * @deprecated 1.8 Use elgg_get_guid_based_where_sql();
+ *
+ * @param string $table Entity table prefix as defined in
+ * SELECT...FROM entities $table
+ * @param NULL|array $container_guids Array of container guids
+ *
+ * @return FALSE|string
+ * @since 1.7.0
+ * @access private
+ */
+function elgg_get_entity_container_where_sql($table, $container_guids) {
+ elgg_deprecated_notice('elgg_get_entity_container_where_sql() is deprecated by elgg_get_guid_based_where_sql().', 1.8);
+
+ return elgg_get_guid_based_where_sql("{$table}.container_guid", $container_guids);
+}
+
+/**
+ * Returns SQL where clause for site entities
+ *
+ * @deprecated 1.8 Use elgg_get_guid_based_where_sql()
+ *
+ * @param string $table Entity table prefix as defined in SELECT...FROM entities $table
+ * @param NULL|array $site_guids Array of site guids
+ *
+ * @return FALSE|string
+ * @since 1.7.0
+ * @access private
+ */
+function elgg_get_entity_site_where_sql($table, $site_guids) {
+ elgg_deprecated_notice('elgg_get_entity_site_where_sql() is deprecated by elgg_get_guid_based_where_sql().', 1.8);
+
+ return elgg_get_guid_based_where_sql("{$table}.site_guid", $site_guids);
+}
+
+/**
+ * Return an array of objects in a given container.
+ *
+ * @see get_entities()
+ *
+ * @param int $group_guid The container (defaults to current page owner)
+ * @param string $subtype The subtype
+ * @param int $owner_guid Owner
+ * @param int $site_guid The site
+ * @param string $order_by Order
+ * @param int $limit Limit on number of elements to return, by default 10.
+ * @param int $offset Where to start, by default 0.
+ * @param bool $count Whether to return the entities or a count of them.
+ *
+ * @return array|false
+ * @deprecated 1.8 Use elgg_get_entities() instead
+ */
+function get_objects_in_group($group_guid, $subtype = "", $owner_guid = 0, $site_guid = 0, $order_by = "", $limit = 10, $offset = 0, $count = FALSE) {
+ elgg_deprecated_notice("get_objects_in_group was deprected in 1.8. Use elgg_get_entities() instead", 1.8);
+
+ global $CONFIG;
+
+ if ($subtype === FALSE || $subtype === null || $subtype === 0) {
+ return FALSE;
+ }
+
+ if ($order_by == "") {
+ $order_by = "e.time_created desc";
+ }
+ $order_by = sanitise_string($order_by);
+ $limit = (int)$limit;
+ $offset = (int)$offset;
+ $site_guid = (int)$site_guid;
+ if ($site_guid == 0) {
+ $site_guid = $CONFIG->site_guid;
+ }
+
+ $container_guid = (int)$group_guid;
+ if ($container_guid == 0) {
+ $container_guid = elgg_get_page_owner_guid();
+ }
+
+ $where = array();
+
+ $where[] = "e.type='object'";
+
+ if (!empty($subtype)) {
+ if (!$subtype = get_subtype_id('object', $subtype)) {
+ return FALSE;
+ }
+ $where[] = "e.subtype=$subtype";
+ }
+ if ($owner_guid != "") {
+ if (!is_array($owner_guid)) {
+ $owner_guid = (int)$owner_guid;
+ $where[] = "e.container_guid = '$owner_guid'";
+ } else if (sizeof($owner_guid) > 0) {
+ // Cast every element to the owner_guid array to int
+ $owner_guid = array_map("sanitise_int", $owner_guid);
+ $owner_guid = implode(",", $owner_guid);
+ $where[] = "e.container_guid in ({$owner_guid})";
+ }
+ }
+ if ($site_guid > 0) {
+ $where[] = "e.site_guid = {$site_guid}";
+ }
+
+ if ($container_guid > 0) {
+ $where[] = "e.container_guid = {$container_guid}";
+ }
+
+ if (!$count) {
+ $query = "SELECT * from {$CONFIG->dbprefix}entities e" . " join {$CONFIG->dbprefix}objects_entity o on e.guid=o.guid where ";
+ } else {
+ $query = "SELECT count(e.guid) as total from {$CONFIG->dbprefix}entities e" . " join {$CONFIG->dbprefix}objects_entity o on e.guid=o.guid where ";
+ }
+ foreach ($where as $w) {
+ $query .= " $w and ";
+ }
+
+ // Add access controls
+ $query .= get_access_sql_suffix('e');
+ if (!$count) {
+ $query .= " order by $order_by";
+
+ // Add order and limit
+ if ($limit) {
+ $query .= " limit $offset, $limit";
+ }
+
+ $dt = get_data($query, "entity_row_to_elggstar");
+ return $dt;
+ } else {
+ $total = get_data_row($query);
+ return $total->total;
+ }
+}
+
+/**
+ * Lists entities that belong to a group.
+ *
+ * @param string $subtype The arbitrary subtype of the entity
+ * @param int $owner_guid The GUID of the owning user
+ * @param int $container_guid The GUID of the containing group
+ * @param int $limit The number of entities to display per page (default: 10)
+ * @param bool $fullview Whether or not to display the full view (default: true)
+ * @param bool $listtypetoggle Whether or not to allow gallery view (default: true)
+ * @param bool $pagination Whether to display pagination (default: true)
+ *
+ * @return string List of parsed entities
+ *
+ * @see elgg_list_entities()
+ * @deprecated 1.8 Use elgg_list_entities() instead
+ */
+function list_entities_groups($subtype = "", $owner_guid = 0, $container_guid = 0, $limit = 10, $fullview = true, $listtypetoggle = true, $pagination = true) {
+ elgg_deprecated_notice("list_entities_groups was deprecated in 1.8. Use elgg_list_entities() instead.", 1.8);
+ $offset = (int)get_input('offset');
+ $count = get_objects_in_group($container_guid, $subtype, $owner_guid, 0, "", $limit, $offset, true);
+ $entities = get_objects_in_group($container_guid, $subtype, $owner_guid, 0, "", $limit, $offset);
+
+ return elgg_view_entity_list($entities, $count, $offset, $limit, $fullview, $listtypetoggle, $pagination);
+}
+
+/**
+ * Get all the entities from metadata from a group.
+ *
+ * @param int $group_guid The ID of the group.
+ * @param mixed $meta_name Metadata name
+ * @param mixed $meta_value Metadata value
+ * @param string $entity_type The type of entity to look for, eg 'site' or 'object'
+ * @param string $entity_subtype The subtype of the entity.
+ * @param int $owner_guid Owner guid
+ * @param int $limit Limit
+ * @param int $offset Offset
+ * @param string $order_by Optional ordering.
+ * @param int $site_guid Site GUID. 0 for current, -1 for any
+ * @param bool $count Return count instead of entities
+ *
+ * @return array|false
+ * @deprecated 1.8 Use elgg_get_entities_from_metadata()
+ */
+function get_entities_from_metadata_groups($group_guid, $meta_name, $meta_value = "", $entity_type = "", $entity_subtype = "", $owner_guid = 0, $limit = 10, $offset = 0, $order_by = "", $site_guid = 0, $count = false) {
+ elgg_deprecated_notice("get_entities_from_metadata_groups was deprecated in 1.8.", 1.8);
+ global $CONFIG;
+
+ $meta_n = get_metastring_id($meta_name);
+ $meta_v = get_metastring_id($meta_value);
+
+ $entity_type = sanitise_string($entity_type);
+ $entity_subtype = get_subtype_id($entity_type, $entity_subtype);
+ $limit = (int)$limit;
+ $offset = (int)$offset;
+ if ($order_by == "") {
+ $order_by = "e.time_created desc";
+ }
+ $order_by = sanitise_string($order_by);
+ $site_guid = (int)$site_guid;
+ if (is_array($owner_guid)) {
+ foreach ($owner_guid as $key => $guid) {
+ $owner_guid[$key] = (int)$guid;
+ }
+ } else {
+ $owner_guid = (int)$owner_guid;
+ }
+ if ($site_guid == 0) {
+ $site_guid = $CONFIG->site_guid;
+ }
+
+ $container_guid = (int)$group_guid;
+ if ($container_guid == 0) {
+ $container_guid = elgg_get_page_owner_guid();
+ }
+
+ $where = array();
+
+ if ($entity_type != "") {
+ $where[] = "e.type='$entity_type'";
+ }
+ if ($entity_subtype) {
+ $where[] = "e.subtype=$entity_subtype";
+ }
+ if ($meta_name != "") {
+ $where[] = "m.name_id='$meta_n'";
+ }
+ if ($meta_value != "") {
+ $where[] = "m.value_id='$meta_v'";
+ }
+ if ($site_guid > 0) {
+ $where[] = "e.site_guid = {$site_guid}";
+ }
+ if ($container_guid > 0) {
+ $where[] = "e.container_guid = {$container_guid}";
+ }
+
+ if (is_array($owner_guid)) {
+ $where[] = "e.container_guid in (" . implode(",", $owner_guid) . ")";
+ } else if ($owner_guid > 0) {
+ $where[] = "e.container_guid = {$owner_guid}";
+ }
+
+ if (!$count) {
+ $query = "SELECT distinct e.* ";
+ } else {
+ $query = "SELECT count(e.guid) as total ";
+ }
+
+ $query .= "from {$CONFIG->dbprefix}entities e" . " JOIN {$CONFIG->dbprefix}metadata m on e.guid = m.entity_guid " . " JOIN {$CONFIG->dbprefix}objects_entity o on e.guid = o.guid where";
+
+ foreach ($where as $w) {
+ $query .= " $w and ";
+ }
+
+ // Add access controls
+ $query .= get_access_sql_suffix("e");
+
+ if (!$count) {
+ $query .= " order by $order_by limit $offset, $limit"; // Add order and limit
+ return get_data($query, "entity_row_to_elggstar");
+ } else {
+ if ($row = get_data_row($query)) {
+ return $row->total;
+ }
+ }
+ return false;
+}
+
+/**
+ * As get_entities_from_metadata_groups() but with multiple entities.
+ *
+ * @param int $group_guid The ID of the group.
+ * @param array $meta_array Array of 'name' => 'value' pairs
+ * @param string $entity_type The type of entity to look for, eg 'site' or 'object'
+ * @param string $entity_subtype The subtype of the entity.
+ * @param int $owner_guid Owner GUID
+ * @param int $limit Limit
+ * @param int $offset Offset
+ * @param string $order_by Optional ordering.
+ * @param int $site_guid Site GUID. 0 for current, -1 for any
+ * @param bool $count Return count of entities instead of entities
+ *
+ * @return int|array List of ElggEntities, or the total number if count is set to false
+ * @deprecated 1.8 Use elgg_get_entities_from_metadata()
+ */
+function get_entities_from_metadata_groups_multi($group_guid, $meta_array, $entity_type = "", $entity_subtype = "", $owner_guid = 0, $limit = 10, $offset = 0, $order_by = "", $site_guid = 0, $count = false) {
+ elgg_deprecated_notice("get_entities_from_metadata_groups_multi was deprecated in 1.8.", 1.8);
+
+ global $CONFIG;
+
+ if (!is_array($meta_array) || sizeof($meta_array) == 0) {
+ return false;
+ }
+
+ $where = array();
+
+ $mindex = 1;
+ $join = "";
+ foreach ($meta_array as $meta_name => $meta_value) {
+ $meta_n = get_metastring_id($meta_name);
+ $meta_v = get_metastring_id($meta_value);
+ $join .= " JOIN {$CONFIG->dbprefix}metadata m{$mindex} on e.guid = m{$mindex}.entity_guid" . " JOIN {$CONFIG->dbprefix}objects_entity o on e.guid = o.guid ";
+
+ if ($meta_name != "") {
+ $where[] = "m{$mindex}.name_id='$meta_n'";
+ }
+
+ if ($meta_value != "") {
+ $where[] = "m{$mindex}.value_id='$meta_v'";
+ }
+
+ $mindex++;
+ }
+
+ $entity_type = sanitise_string($entity_type);
+ $entity_subtype = get_subtype_id($entity_type, $entity_subtype);
+ $limit = (int)$limit;
+ $offset = (int)$offset;
+ if ($order_by == "") {
+ $order_by = "e.time_created desc";
+ }
+ $order_by = sanitise_string($order_by);
+ $owner_guid = (int)$owner_guid;
+
+ $site_guid = (int)$site_guid;
+ if ($site_guid == 0) {
+ $site_guid = $CONFIG->site_guid;
+ }
+
+ //$access = get_access_list();
+
+ if ($entity_type != "") {
+ $where[] = "e.type = '{$entity_type}'";
+ }
+
+ if ($entity_subtype) {
+ $where[] = "e.subtype = {$entity_subtype}";
+ }
+
+ if ($site_guid > 0) {
+ $where[] = "e.site_guid = {$site_guid}";
+ }
+
+ if ($owner_guid > 0) {
+ $where[] = "e.owner_guid = {$owner_guid}";
+ }
+
+ if ($container_guid > 0) {
+ $where[] = "e.container_guid = {$container_guid}";
+ }
+
+ if ($count) {
+ $query = "SELECT count(e.guid) as total ";
+ } else {
+ $query = "SELECT distinct e.* ";
+ }
+
+ $query .= " from {$CONFIG->dbprefix}entities e {$join} where";
+ foreach ($where as $w) {
+ $query .= " $w and ";
+ }
+ $query .= get_access_sql_suffix("e"); // Add access controls
+
+ if (!$count) {
+ $query .= " order by $order_by limit $offset, $limit"; // Add order and limit
+ return get_data($query, "entity_row_to_elggstar");
+ } else {
+ if ($count = get_data_row($query)) {
+ return $count->total;
+ }
+ }
+ return false;
+}
+
+/**
+ * List items within a given geographic area.
+ *
+ * @param real $lat Latitude
+ * @param real $long Longitude
+ * @param real $radius The radius
+ * @param string $type The type of entity (eg "user", "object" etc)
+ * @param string $subtype The arbitrary subtype of the entity
+ * @param int $owner_guid The GUID of the owning user
+ * @param int $limit The number of entities to display per page (default: 10)
+ * @param bool $fullview Whether or not to display the full view (default: true)
+ * @param bool $listtypetoggle Whether or not to allow gallery view
+ * @param bool $navigation Display pagination? Default: true
+ *
+ * @return string A viewable list of entities
+ * @deprecated 1.8 Use elgg_get_entities_from_location()
+ */
+function list_entities_in_area($lat, $long, $radius, $type = "", $subtype = "", $owner_guid = 0, $limit = 10, $fullview = true, $listtypetoggle = false, $navigation = true) {
+ elgg_deprecated_notice('list_entities_in_area() was deprecated. Use elgg_list_entities_from_location()', 1.8);
+
+ $options = array();
+
+ $options['latitude'] = $lat;
+ $options['longitude'] = $long;
+ $options['distance'] = $radius;
+
+ if ($type) {
+ $options['types'] = $type;
+ }
+
+ if ($subtype) {
+ $options['subtypes'] = $subtype;
+ }
+
+ if ($owner_guid) {
+ if (is_array($owner_guid)) {
+ $options['owner_guids'] = $owner_guid;
+ } else {
+ $options['owner_guid'] = $owner_guid;
+ }
+ }
+
+ $options['limit'] = $limit;
+
+ $options['full_view'] = $fullview;
+ $options['list_type_toggle'] = $listtypetoggle;
+ $options['pagination'] = $pagination;
+
+ return elgg_list_entities_from_location($options);
+}
+
+/**
+ * List entities in a given location
+ *
+ * @param string $location Location
+ * @param string $type The type of entity (eg "user", "object" etc)
+ * @param string $subtype The arbitrary subtype of the entity
+ * @param int $owner_guid The GUID of the owning user
+ * @param int $limit The number of entities to display per page (default: 10)
+ * @param bool $fullview Whether or not to display the full view (default: true)
+ * @param bool $listtypetoggle Whether or not to allow gallery view
+ * @param bool $navigation Display pagination? Default: true
+ *
+ * @return string A viewable list of entities
+ * @deprecated 1.8 Use elgg_list_entities_from_location()
+ */
+function list_entities_location($location, $type = "", $subtype = "", $owner_guid = 0, $limit = 10, $fullview = true, $listtypetoggle = false, $navigation = true) {
+ elgg_deprecated_notice('list_entities_location() was deprecated. Use elgg_list_entities_from_metadata()', 1.8);
+
+ return list_entities_from_metadata('location', $location, $type, $subtype, $owner_guid, $limit, $fullview, $listtypetoggle, $navigation);
+}
+
+/**
+ * Return entities within a given geographic area.
+ *
+ * @param float $lat Latitude
+ * @param float $long Longitude
+ * @param float $radius The radius
+ * @param string $type The type of entity (eg "user", "object" etc)
+ * @param string $subtype The arbitrary subtype of the entity
+ * @param int $owner_guid The GUID of the owning user
+ * @param string $order_by The field to order by; by default, time_created desc
+ * @param int $limit The number of entities to return; 10 by default
+ * @param int $offset The indexing offset, 0 by default
+ * @param boolean $count Count entities
+ * @param int $site_guid Site GUID. 0 for current, -1 for any
+ * @param int|array $container_guid Container GUID
+ *
+ * @return array A list of entities.
+ * @deprecated 1.8 Use elgg_get_entities_from_location()
+ */
+function get_entities_in_area($lat, $long, $radius, $type = "", $subtype = "", $owner_guid = 0, $order_by = "", $limit = 10, $offset = 0, $count = false, $site_guid = 0, $container_guid = NULL) {
+ elgg_deprecated_notice('get_entities_in_area() was deprecated by elgg_get_entities_from_location()!', 1.8);
+
+ $options = array();
+
+ $options['latitude'] = $lat;
+ $options['longitude'] = $long;
+ $options['distance'] = $radius;
+
+ // set container_guid to owner_guid to emulate old functionality
+ if ($owner_guid != "") {
+ if (is_null($container_guid)) {
+ $container_guid = $owner_guid;
+ }
+ }
+
+ if ($type) {
+ $options['types'] = $type;
+ }
+
+ if ($subtype) {
+ $options['subtypes'] = $subtype;
+ }
+
+ if ($owner_guid) {
+ if (is_array($owner_guid)) {
+ $options['owner_guids'] = $owner_guid;
+ } else {
+ $options['owner_guid'] = $owner_guid;
+ }
+ }
+
+ if ($container_guid) {
+ if (is_array($container_guid)) {
+ $options['container_guids'] = $container_guid;
+ } else {
+ $options['container_guid'] = $container_guid;
+ }
+ }
+
+ $options['limit'] = $limit;
+
+ if ($offset) {
+ $options['offset'] = $offset;
+ }
+
+ if ($order_by) {
+ $options['order_by'];
+ }
+
+ if ($site_guid) {
+ $options['site_guid'];
+ }
+
+ if ($count) {
+ $options['count'] = $count;
+ }
+
+ return elgg_get_entities_from_location($options);
+}
+
+/**
+ * Return a list of entities suitable for display based on the given search criteria.
+ *
+ * @see elgg_view_entity_list
+ *
+ * @deprecated 1.8 Use elgg_list_entities_from_metadata
+ *
+ * @param mixed $meta_name Metadata name to search on
+ * @param mixed $meta_value The value to match, optionally
+ * @param string $entity_type The type of entity to look for, eg 'site' or 'object'
+ * @param string $entity_subtype The subtype of the entity
+ * @param int $owner_guid Owner GUID
+ * @param int $limit Number of entities to display per page
+ * @param bool $fullview WDisplay the full view (default: true)
+ * @param bool $listtypetoggle Allow users to toggle to the gallery view. Default: true
+ * @param bool $pagination Display pagination? Default: true
+ * @param bool $case_sensitive Case sensitive metadata names?
+ *
+ * @return string
+ *
+ * @return string A list of entities suitable for display
+ */
+function list_entities_from_metadata($meta_name, $meta_value = "", $entity_type = ELGG_ENTITIES_ANY_VALUE, $entity_subtype = ELGG_ENTITIES_ANY_VALUE, $owner_guid = 0, $limit = 10, $fullview = true, $listtypetoggle = true, $pagination = true, $case_sensitive = true) {
+
+ elgg_deprecated_notice('list_entities_from_metadata() was deprecated by elgg_list_entities_from_metadata()!', 1.8);
+
+ $offset = (int)get_input('offset');
+ $limit = (int)$limit;
+ $options = array(
+ 'metadata_name' => $meta_name,
+ 'metadata_value' => $meta_value,
+ 'type' => $entity_type,
+ 'subtype' => $entity_subtype,
+ 'limit' => $limit,
+ 'offset' => $offset,
+ 'count' => TRUE,
+ 'metadata_case_sensitive' => $case_sensitive
+ );
+
+ // previous function allowed falsy $owner_guid for anything
+ if ($owner_guid) {
+ $options['owner_guid'] = $owner_guid;
+ }
+
+ $count = elgg_get_entities_from_metadata($options);
+
+ $options['count'] = FALSE;
+ $entities = elgg_get_entities_from_metadata($options);
+
+ return elgg_view_entity_list($entities, $count, $offset, $limit, $fullview, $listtypetoggle, $pagination);
+}
+
+/**
+ * Returns a viewable list of entities based on the given search criteria.
+ *
+ * @see elgg_view_entity_list
+ *
+ * @param array $meta_array Array of 'name' => 'value' pairs
+ * @param string $entity_type The type of entity to look for, eg 'site' or 'object'
+ * @param string $entity_subtype The subtype of the entity.
+ * @param int $owner_guid Owner GUID
+ * @param int $limit Limit
+ * @param bool $fullview WDisplay the full view (default: true)
+ * @param bool $listtypetoggle Allow users to toggle to the gallery view. Default: true
+ * @param bool $pagination Display pagination? Default: true
+ *
+ * @return string List of ElggEntities suitable for display
+ *
+ * @deprecated 1.8 Use elgg_list_entities_from_metadata() instead
+ */
+function list_entities_from_metadata_multi($meta_array, $entity_type = "", $entity_subtype = "", $owner_guid = 0, $limit = 10, $fullview = true, $listtypetoggle = true, $pagination = true) {
+ elgg_deprecated_notice(elgg_echo('deprecated:function', array(
+ 'list_entities_from_metadata_multi', 'elgg_get_entities_from_metadata')), 1.8);
+
+ $offset = (int)get_input('offset');
+ $limit = (int)$limit;
+ $count = get_entities_from_metadata_multi($meta_array, $entity_type, $entity_subtype, $owner_guid, $limit, $offset, "", $site_guid, true);
+ $entities = get_entities_from_metadata_multi($meta_array, $entity_type, $entity_subtype, $owner_guid, $limit, $offset, "", $site_guid, false);
+
+ return elgg_view_entity_list($entities, $count, $offset, $limit, $fullview, $listtypetoggle, $pagination);
+}
+
+/**
+ * Deprecated by elgg_register_menu_item(). Set $menu_name to 'page'.
+ *
+ * @see elgg_register_menu_item()
+ * @deprecated 1.8 Use the new menu system
+ *
+ * @param string $label The label
+ * @param string $link The link
+ * @param string $group The group to store item in
+ * @param boolean $onclick Add a confirmation when clicked?
+ * @param boolean $selected Is menu item selected
+ *
+ * @return bool
+ */
+function add_submenu_item($label, $link, $group = 'default', $onclick = false, $selected = NULL) {
+ elgg_deprecated_notice('add_submenu_item was deprecated by elgg_register_menu_item', 1.8);
+
+ // submenu items were added in the page setup hook usually by checking
+ // the context. We'll pass in the current context here, which will
+ // emulate that effect.
+ // if context == 'main' (default) it probably means they always wanted
+ // the menu item to show up everywhere.
+ $context = elgg_get_context();
+
+ if ($context == 'main') {
+ $context = 'all';
+ }
+
+ $item = array('name' => $label, 'text' => $label, 'href' => $link, 'context' => $context,
+ 'section' => $group,);
+
+ if ($selected) {
+ $item['selected'] = true;
+ }
+
+ if ($onclick) {
+ $js = "onclick=\"javascript:return confirm('" . elgg_echo('deleteconfirm') . "')\"";
+ $item['vars'] = array('js' => $js);
+ }
+
+ return elgg_register_menu_item('page', $item);
+}
+
+/**
+ * Remove an item from submenu by label
+ *
+ * @deprecated 1.8 Use the new menu system
+ * @see elgg_unregister_menu_item()
+ *
+ * @param string $label The item label
+ * @param string $group The submenu group (default "a")
+ * @return bool whether the item was removed or not
+ * @since 1.7.8
+ */
+function remove_submenu_item($label, $group = 'a') {
+ elgg_deprecated_notice('remove_submenu_item was deprecated by elgg_unregister_menu_item', 1.8);
+
+ return elgg_unregister_menu_item('page', $label);
+}
+
+/**
+ * Use elgg_view_menu(). Set $menu_name to 'owner_block'.
+ *
+ * @see elgg_view_menu()
+ * @deprecated 1.8 Use the new menu system. elgg_view_menu()
+ *
+ * @return string
+ */
+function get_submenu() {
+ elgg_deprecated_notice("get_submenu() has been deprecated by elgg_view_menu()", 1.8);
+ return elgg_view_menu('owner_block', array('entity' => $owner,
+ 'class' => 'elgg-menu-owner-block',));
+}
+
+/**
+ * Adds an item to the site-wide menu.
+ *
+ * You can obtain the menu array by calling {@link get_register('menu')}
+ *
+ * @param string $menu_name The name of the menu item
+ * @param string $menu_url The URL of the page
+ * @param array $menu_children Optionally, an array of submenu items (not used)
+ * @param string $context (not used)
+ *
+ * @return true|false Depending on success
+ * @deprecated 1.8 use elgg_register_menu_item() for the menu 'site'
+ */
+function add_menu($menu_name, $menu_url, $menu_children = array(), $context = "") {
+ elgg_deprecated_notice('add_menu() deprecated by elgg_register_menu_item()', 1.8);
+
+ return elgg_register_menu_item('site', array('name' => $menu_name, 'text' => $menu_name,
+ 'href' => $menu_url,));
+}
+
+/**
+ * Removes an item from the menu register
+ *
+ * @param string $menu_name The name of the menu item
+ *
+ * @return true|false Depending on success
+ * @deprecated 1.8 Use the new menu system
+ */
+function remove_menu($menu_name) {
+ elgg_deprecated_notice("remove_menu() deprecated by elgg_unregister_menu_item()", 1.8);
+ return elgg_unregister_menu_item('site', $menu_name);
+}
+
+/**
+ * When given a title, returns a version suitable for inclusion in a URL
+ *
+ * @param string $title The title
+ *
+ * @return string The optimised title
+ * @deprecated 1.8 Use elgg_get_friendly_title()
+ */
+function friendly_title($title) {
+ elgg_deprecated_notice('friendly_title was deprecated by elgg_get_friendly_title', 1.8);
+ return elgg_get_friendly_title($title);
+}
+
+/**
+ * Displays a UNIX timestamp in a friendly way (eg "less than a minute ago")
+ *
+ * @param int $time A UNIX epoch timestamp
+ *
+ * @return string The friendly time
+ * @deprecated 1.8 Use elgg_view_friendly_time()
+ */
+function friendly_time($time) {
+ elgg_deprecated_notice('friendly_time was deprecated by elgg_view_friendly_time', 1.8);
+ return elgg_view_friendly_time($time);
+}
+
+/**
+ * Filters a string into an array of significant words
+ *
+ * @deprecated 1.8 Don't use this.
+ *
+ * @param string $string A string
+ *
+ * @return array
+ */
+function filter_string($string) {
+ elgg_deprecated_notice('filter_string() was deprecated!', 1.8);
+
+ // Convert it to lower and trim
+ $string = strtolower($string);
+ $string = trim($string);
+
+ // Remove links and email addresses
+ // match protocol://address/path/file.extension?some=variable&another=asf%
+ $string = preg_replace("/\s([a-zA-Z]+:\/\/[a-z][a-z0-9\_\.\-]*[a-z]{2,6}" . "[a-zA-Z0-9\/\*\-\?\&\%\=]*)([\s|\.|\,])/iu", " ", $string);
+
+ // match www.something.domain/path/file.extension?some=variable&another=asf%
+ $string = preg_replace("/\s(www\.[a-z][a-z0-9\_\.\-]*[a-z]{2,6}" . "[a-zA-Z0-9\/\*\-\?\&\%\=]*)([\s|\.|\,])/iu", " ", $string);
+
+ // match name@address
+ $string = preg_replace("/\s([a-zA-Z][a-zA-Z0-9\_\.\-]*[a-zA-Z]" . "*\@[a-zA-Z][a-zA-Z0-9\_\.\-]*[a-zA-Z]{2,6})([\s|\.|\,])/iu", " ", $string);
+
+ // Sanitise the string; remove unwanted characters
+ $string = preg_replace('/\W/ui', ' ', $string);
+
+ // Explode it into an array
+ $terms = explode(' ', $string);
+
+ // Remove any blacklist terms
+ //$terms = array_filter($terms, 'remove_blacklist');
+
+ return $terms;
+}
+
+/**
+ * Returns true if the word in $input is considered significant
+ *
+ * @deprecated 1.8 Don't use this.
+ *
+ * @param string $input A word
+ *
+ * @return true|false
+ */
+function remove_blacklist($input) {
+ elgg_deprecated_notice('remove_blacklist() was deprecated!', 1.8);
+
+ global $CONFIG;
+
+ if (!is_array($CONFIG->wordblacklist)) {
+ return $input;
+ }
+
+ if (strlen($input) < 3 || in_array($input, $CONFIG->wordblacklist)) {
+ return false;
+ }
+
+ return true;
+}
+
+/**
+ * Gets the guid of the entity that owns the current page.
+ *
+ * @deprecated 1.8 Use elgg_get_page_owner_guid()
+ *
+ * @return int The current page owner guid (0 if none).
+ */
+function page_owner() {
+ elgg_deprecated_notice('page_owner() was deprecated by elgg_get_page_owner_guid().', 1.8);
+ return elgg_get_page_owner_guid();
+}
+
+/**
+ * Gets the owner entity for the current page.
+ *
+ * @deprecated 1.8 Use elgg_get_page_owner_entity()
+ * @return ElggEntity|false The current page owner or false if none.
+ */
+function page_owner_entity() {
+ elgg_deprecated_notice('page_owner_entity() was deprecated by elgg_get_page_owner_entity().', 1.8);
+ return elgg_get_page_owner_entity();
+}
+
+/**
+ * Registers a page owner handler function
+ *
+ * @param string $functionname The callback function
+ *
+ * @deprecated 1.8 Use the 'page_owner', 'system' plugin hook
+ * @return void
+ */
+function add_page_owner_handler($functionname) {
+ elgg_deprecated_notice("add_page_owner_handler() was deprecated by the plugin hook 'page_owner', 'system'.", 1.8);
+}
+
+/**
+ * Set a page owner entity
+ *
+ * @param int $entitytoset The GUID of the entity
+ *
+ * @deprecated 1.8 Use elgg_set_page_owner_guid()
+ * @return void
+ */
+function set_page_owner($entitytoset = -1) {
+ elgg_deprecated_notice('set_page_owner() was deprecated by elgg_set_page_owner_guid().', 1.8);
+ elgg_set_page_owner_guid($entitytoset);
+}
+
+/**
+ * Sets the functional context of a page
+ *
+ * @deprecated 1.8 Use elgg_set_context()
+ *
+ * @param string $context The context of the page
+ *
+ * @return mixed Either the context string, or false on failure
+ */
+function set_context($context) {
+ elgg_deprecated_notice('set_context() was deprecated by elgg_set_context().', 1.8);
+ elgg_set_context($context);
+ if (empty($context)) {
+ return false;
+ }
+ return $context;
+}
+
+/**
+ * Returns the functional context of a page
+ *
+ * @deprecated 1.8 Use elgg_get_context()
+ *
+ * @return string The context, or 'main' if no context has been provided
+ */
+function get_context() {
+ elgg_deprecated_notice('get_context() was deprecated by elgg_get_context().', 1.8);
+ return elgg_get_context();
+
+ // @todo - used to set context based on calling script
+ // $context = get_plugin_name(true)
+}
+
+/**
+ * Returns a list of plugins to load, in the order that they should be loaded.
+ *
+ * @deprecated 1.8 Use elgg_get_plugin_ids_in_dir() or elgg_get_plugins()
+ *
+ * @return array List of plugins
+ */
+function get_plugin_list() {
+ elgg_deprecated_notice('get_plugin_list() is deprecated by elgg_get_plugin_ids_in_dir() or elgg_get_plugins()', 1.8);
+
+ $plugins = elgg_get_plugins('any');
+
+ $list = array();
+ if ($plugins) {
+ foreach ($plugins as $i => $plugin) {
+ // in <=1.7 this returned indexed by multiples of 10.
+ // uh...sure...why not.
+ $index = ($i + 1) * 10;
+ $list[$index] = $plugin->getID();
+ }
+ }
+
+ return $list;
+}
+
+/**
+ * Regenerates the list of known plugins and saves it to the current site
+ *
+ * Important: You should regenerate simplecache and the viewpath cache after executing this function
+ * otherwise you may experience view display artifacts. Do this with the following code:
+ *
+ * elgg_regenerate_simplecache();
+ * elgg_reset_system_cache();
+ *
+ * @deprecated 1.8 Use elgg_generate_plugin_entities() and elgg_set_plugin_priorities()
+ *
+ * @param array $pluginorder Optionally, a list of existing plugins and their orders
+ *
+ * @return array The new list of plugins and their orders
+ */
+function regenerate_plugin_list($pluginorder = FALSE) {
+ $msg = 'regenerate_plugin_list() is (sorta) deprecated by elgg_generate_plugin_entities() and'
+ . ' elgg_set_plugin_priorities().';
+ elgg_deprecated_notice($msg, 1.8);
+
+ // they're probably trying to set it?
+ if ($pluginorder) {
+ if (elgg_generate_plugin_entities()) {
+ // sort the plugins by the index numerically since we used
+ // weird indexes in the old system.
+ ksort($pluginorder, SORT_NUMERIC);
+ return elgg_set_plugin_priorities($pluginorder);
+ }
+ return false;
+ } else {
+ // they're probably trying to regenerate from disk?
+ return elgg_generate_plugin_entities();
+ }
+}
+
+/**
+ * Get the name of the most recent plugin to be called in the
+ * call stack (or the plugin that owns the current page, if any).
+ *
+ * i.e., if the last plugin was in /mod/foobar/, get_plugin_name would return foo_bar.
+ *
+ * @deprecated 1.8 Use elgg_get_calling_plugin_id()
+ *
+ * @param boolean $mainfilename If set to true, this will instead determine the
+ * context from the main script filename called by
+ * the browser. Default = false.
+ *
+ * @return string|false Plugin name, or false if no plugin name was called
+ */
+function get_plugin_name($mainfilename = false) {
+ elgg_deprecated_notice('get_plugin_name() is deprecated by elgg_get_calling_plugin_id()', 1.8);
+
+ return elgg_get_calling_plugin_id($mainfilename);
+}
+
+/**
+ * Load and parse a plugin manifest from a plugin XML file.
+ *
+ * @example plugins/manifest.xml Example 1.8-style manifest file.
+ *
+ * @deprecated 1.8 Use ElggPlugin->getManifest()
+ *
+ * @param string $plugin Plugin name.
+ * @return array of values
+ */
+function load_plugin_manifest($plugin) {
+ elgg_deprecated_notice('load_plugin_manifest() is deprecated by ElggPlugin->getManifest()', 1.8);
+
+ $xml_file = elgg_get_plugins_path() . "$plugin/manifest.xml";
+
+ try {
+ $manifest = new ElggPluginManifest($xml_file, $plugin);
+ } catch(Exception $e) {
+ return false;
+ }
+
+ return $manifest->getManifest();
+}
+
+/**
+ * This function checks a plugin manifest 'elgg_version' value against the current install
+ * returning TRUE if the elgg_version is >= the current install's version.
+ *
+ * @deprecated 1.8 Use ElggPlugin->canActivate()
+ *
+ * @param string $manifest_elgg_version_string The build version (eg 2009010201).
+ * @return bool
+ */
+function check_plugin_compatibility($manifest_elgg_version_string) {
+ elgg_deprecated_notice('check_plugin_compatibility() is deprecated by ElggPlugin->canActivate()', 1.8);
+
+ $version = get_version();
+
+ if (strpos($manifest_elgg_version_string, '.') === false) {
+ // Using version
+ $req_version = (int)$manifest_elgg_version_string;
+
+ return ($version >= $req_version);
+ }
+
+ return false;
+}
+
+/**
+ * Shorthand function for finding the plugin settings.
+ *
+ * @deprecated 1.8 Use elgg_get_calling_plugin_entity() or elgg_get_plugin_from_id()
+ *
+ * @param string $plugin_id Optional plugin id, if not specified
+ * then it is detected from where you are calling.
+ *
+ * @return mixed
+ */
+function find_plugin_settings($plugin_id = null) {
+ elgg_deprecated_notice('find_plugin_setting() is deprecated by elgg_get_calling_plugin_entity() or elgg_get_plugin_from_id()', 1.8);
+ if ($plugin_id) {
+ return elgg_get_plugin_from_id($plugin_id);
+ } else {
+ return elgg_get_calling_plugin_entity();
+ }
+}
+
+/**
+ * Return an array of installed plugins.
+ *
+ * @deprecated 1.8 use elgg_get_plugins()
+ *
+ * @param string $status any|enabled|disabled
+ * @return array
+ */
+function get_installed_plugins($status = 'all') {
+ global $CONFIG;
+
+ elgg_deprecated_notice('get_installed_plugins() was deprecated by elgg_get_plugins()', 1.8);
+
+ $plugins = elgg_get_plugins($status);
+
+ if (!$plugins) {
+ return array();
+ }
+
+ $installed_plugins = array();
+
+ foreach ($plugins as $plugin) {
+ if (!$plugin->isValid()) {
+ continue;
+ }
+
+ $include = true;
+
+ if ($status == 'enabled' && !$plugin->isActive()) {
+ $include = false;
+ } elseif ($status == 'disabled' && $plugin->isActive()) {
+ $include = true;
+ }
+
+ if ($include) {
+ $installed_plugins[$plugin->getID()] = array(
+ 'active' => $plugin->isActive(),
+ 'manifest' => $plugin->getManifest()->getManifest()
+ );
+ }
+ }
+
+ return $installed_plugins;
+}
+
+/**
+ * Enable a plugin for a site (default current site)
+ *
+ * Important: You should regenerate simplecache and the viewpath cache after executing this function
+ * otherwise you may experience view display artifacts. Do this with the following code:
+ *
+ * elgg_regenerate_simplecache();
+ * elgg_reset_system_cache();
+ *
+ * @deprecated 1.8 Use ElggPlugin->activate()
+ *
+ * @param string $plugin The plugin name.
+ * @param int $site_guid The site id, if not specified then this is detected.
+ *
+ * @return array
+ * @throws InvalidClassException
+ */
+function enable_plugin($plugin, $site_guid = null) {
+ elgg_deprecated_notice('enable_plugin() was deprecated by ElggPlugin->activate()', 1.8);
+
+ $plugin = sanitise_string($plugin);
+
+ $site_guid = (int) $site_guid;
+ if (!$site_guid) {
+ $site = get_config('site');
+ $site_guid = $site->guid;
+ }
+
+ try {
+ $plugin = new ElggPlugin($plugin);
+ } catch(Exception $e) {
+ return false;
+ }
+
+ if (!$plugin->canActivate($site_guid)) {
+ return false;
+ }
+
+ return $plugin->activate($site_guid);
+}
+
+/**
+ * Disable a plugin for a site (default current site)
+ *
+ * Important: You should regenerate simplecache and the viewpath cache after executing this function
+ * otherwise you may experience view display artifacts. Do this with the following code:
+ *
+ * elgg_regenerate_simplecache();
+ * elgg_reset_system_cache();
+ *
+ * @deprecated 1.8 Use ElggPlugin->deactivate()
+ *
+ * @param string $plugin The plugin name.
+ * @param int $site_guid The site id, if not specified then this is detected.
+ *
+ * @return bool
+ * @throws InvalidClassException
+ */
+function disable_plugin($plugin, $site_guid = 0) {
+ elgg_deprecated_notice('disable_plugin() was deprecated by ElggPlugin->deactivate()', 1.8);
+
+ $plugin = sanitise_string($plugin);
+
+ $site_guid = (int) $site_guid;
+ if (!$site_guid) {
+ $site = get_config('site');
+ $site_guid = $site->guid;
+ }
+
+ try {
+ $plugin = new ElggPlugin($plugin);
+ } catch(Exception $e) {
+ return false;
+ }
+
+ return $plugin->deactivate($site_guid);
+}
+
+/**
+ * Return whether a plugin is enabled or not.
+ *
+ * @deprecated 1.8 Use elgg_is_active_plugin()
+ *
+ * @param string $plugin The plugin name.
+ * @param int $site_guid The site id, if not specified then this is detected.
+ *
+ * @return bool
+ */
+function is_plugin_enabled($plugin, $site_guid = 0) {
+ elgg_deprecated_notice('is_plugin_enabled() was deprecated by elgg_is_active_plugin()', 1.8);
+ return elgg_is_active_plugin($plugin, $site_guid);
+}
+
+/**
+ * Get entities based on their private data.
+ *
+ * @param string $name The name of the setting
+ * @param string $value The value of the setting
+ * @param string $type The type of entity (eg "user", "object" etc)
+ * @param string $subtype The arbitrary subtype of the entity
+ * @param int $owner_guid The GUID of the owning user
+ * @param string $order_by The field to order by; by default, time_created desc
+ * @param int $limit The number of entities to return; 10 by default
+ * @param int $offset The indexing offset, 0 by default
+ * @param boolean $count Return a count of entities
+ * @param int $site_guid The site to get entities for. 0 for current, -1 for any
+ * @param mixed $container_guid The container(s) GUIDs
+ *
+ * @return array A list of entities.
+ * @deprecated 1.8 Use elgg_get_entities_from_private_settings()
+ */
+function get_entities_from_private_setting($name = "", $value = "", $type = "", $subtype = "",
+$owner_guid = 0, $order_by = "", $limit = 10, $offset = 0, $count = false, $site_guid = 0,
+$container_guid = null) {
+ elgg_deprecated_notice('get_entities_from_private_setting() was deprecated by elgg_get_entities_from_private_setting()!', 1.8);
+
+ $options = array();
+
+ $options['private_setting_name'] = $name;
+ $options['private_setting_value'] = $value;
+
+ // set container_guid to owner_guid to emulate old functionality
+ if ($owner_guid != "") {
+ if (is_null($container_guid)) {
+ $container_guid = $owner_guid;
+ }
+ }
+
+ if ($type) {
+ $options['types'] = $type;
+ }
+
+ if ($subtype) {
+ $options['subtypes'] = $subtype;
+ }
+
+ if ($owner_guid) {
+ if (is_array($owner_guid)) {
+ $options['owner_guids'] = $owner_guid;
+ } else {
+ $options['owner_guid'] = $owner_guid;
+ }
+ }
+
+ if ($container_guid) {
+ if (is_array($container_guid)) {
+ $options['container_guids'] = $container_guid;
+ } else {
+ $options['container_guid'] = $container_guid;
+ }
+ }
+
+ $options['limit'] = $limit;
+
+ if ($offset) {
+ $options['offset'] = $offset;
+ }
+
+ if ($order_by) {
+ $options['order_by'];
+ }
+
+ if ($site_guid) {
+ $options['site_guid'];
+ }
+
+ if ($count) {
+ $options['count'] = $count;
+ }
+
+ return elgg_get_entities_from_private_settings($options);
+}
+
+/**
+ * Get entities based on their private data by multiple keys.
+ *
+ * @param string $name The name of the setting
+ * @param mixed $type Entity type
+ * @param string $subtype Entity subtype
+ * @param int $owner_guid The GUID of the owning user
+ * @param string $order_by The field to order by; by default, time_created desc
+ * @param int $limit The number of entities to return; 10 by default
+ * @param int $offset The indexing offset, 0 by default
+ * @param bool $count Count entities
+ * @param int $site_guid Site GUID. 0 for current, -1 for any.
+ * @param mixed $container_guid Container GUID
+ *
+ * @return array A list of entities.
+ * @deprecated 1.8 Use elgg_get_entities_from_private_settings()
+ */
+function get_entities_from_private_setting_multi(array $name, $type = "", $subtype = "",
+$owner_guid = 0, $order_by = "", $limit = 10, $offset = 0, $count = false,
+$site_guid = 0, $container_guid = null) {
+
+ elgg_deprecated_notice('get_entities_from_private_setting_multi() was deprecated by elgg_get_entities_from_private_settings()!', 1.8);
+
+ $options = array();
+
+ $pairs = array();
+ foreach ($name as $setting_name => $setting_value) {
+ $pairs[] = array('name' => $setting_name, 'value' => $setting_value);
+ }
+ $options['private_setting_name_value_pairs'] = $pairs;
+
+ // set container_guid to owner_guid to emulate old functionality
+ if ($owner_guid != "") {
+ if (is_null($container_guid)) {
+ $container_guid = $owner_guid;
+ }
+ }
+
+ if ($type) {
+ $options['types'] = $type;
+ }
+
+ if ($subtype) {
+ $options['subtypes'] = $subtype;
+ }
+
+ if ($owner_guid) {
+ if (is_array($owner_guid)) {
+ $options['owner_guids'] = $owner_guid;
+ } else {
+ $options['owner_guid'] = $owner_guid;
+ }
+ }
+
+ if ($container_guid) {
+ if (is_array($container_guid)) {
+ $options['container_guids'] = $container_guid;
+ } else {
+ $options['container_guid'] = $container_guid;
+ }
+ }
+
+ $options['limit'] = $limit;
+
+ if ($offset) {
+ $options['offset'] = $offset;
+ }
+
+ if ($order_by) {
+ $options['order_by'];
+ }
+
+ if ($site_guid) {
+ $options['site_guid'];
+ }
+
+ if ($count) {
+ $options['count'] = $count;
+ }
+
+ return elgg_get_entities_from_private_settings($options);
+}
+
+/**
+ * Returns a viewable list of entities by relationship
+ *
+ * @see elgg_view_entity_list
+ *
+ * @deprecated 1.8 Use elgg_list_entities_from_relationship()
+ *
+ * @param string $relationship The relationship eg "friends_of"
+ * @param int $relationship_guid The guid of the entity to use query
+ * @param bool $inverse_relationship Reverse the normal function of the query to instead say "give me all entities for whome $relationship_guid is a $relationship of"
+ * @param string $type The type of entity (eg 'object')
+ * @param string $subtype The entity subtype
+ * @param int $owner_guid The owner (default: all)
+ * @param int $limit The number of entities to display on a page
+ * @param true|false $fullview Whether or not to display the full view (default: true)
+ * @param true|false $viewtypetoggle Whether or not to allow gallery view
+ * @param true|false $pagination Whether to display pagination (default: true)
+ * @param bool $order_by SQL order by clause
+ * @return string The viewable list of entities
+ */
+function list_entities_from_relationship($relationship, $relationship_guid,
+$inverse_relationship = false, $type = ELGG_ENTITIES_ANY_VALUE,
+$subtype = ELGG_ENTITIES_ANY_VALUE, $owner_guid = 0, $limit = 10,
+$fullview = true, $listtypetoggle = false, $pagination = true, $order_by = '') {
+
+ elgg_deprecated_notice("list_entities_from_relationship was deprecated by elgg_list_entities_from_relationship()!", 1.8);
+ return elgg_list_entities_from_relationship(array(
+ 'relationship' => $relationship,
+ 'relationship_guid' => $relationship_guid,
+ 'inverse_relationship' => $inverse_relationship,
+ 'type' => $type,
+ 'subtype' => $subtype,
+ 'owner_guid' => $owner_guid,
+ 'order_by' => $order_by,
+ 'limit' => $limit,
+ 'full_view' => $fullview,
+ 'list_type_toggle' => $listtypetoggle,
+ 'pagination' => $pagination,
+ ));
+}
+
+/**
+ * Gets the number of entities by a the number of entities related to them in a particular way.
+ * This is a good way to get out the users with the most friends, or the groups with the
+ * most members.
+ *
+ * @deprecated 1.8 Use elgg_get_entities_from_relationship_count()
+ *
+ * @param string $relationship The relationship eg "friends_of"
+ * @param bool $inverse_relationship Inverse relationship owners
+ * @param string $type The type of entity (default: all)
+ * @param string $subtype The entity subtype (default: all)
+ * @param int $owner_guid The owner of the entities (default: none)
+ * @param int $limit Limit
+ * @param int $offset Offset
+ * @param bool $count Return a count instead of entities
+ * @param int $site_guid Site GUID
+ *
+ * @return array|int|false An array of entities, or the number of entities, or false on failure
+ */
+function get_entities_by_relationship_count($relationship, $inverse_relationship = true, $type = "",
+$subtype = "", $owner_guid = 0, $limit = 10, $offset = 0, $count = false, $site_guid = 0) {
+ elgg_deprecated_notice('get_entities_by_relationship_count() is deprecated by elgg_get_entities_from_relationship_count()', 1.8);
+
+ $options = array();
+
+ $options['relationship'] = $relationship;
+
+ // this used to default to true, which is wrong.
+ // flip it for the new function
+ $options['inverse_relationship'] = !$inverse_relationship;
+
+ if ($type) {
+ $options['types'] = $type;
+ }
+
+ if ($subtype) {
+ $options['subtypes'] = $subtype;
+ }
+
+ if ($owner_guid) {
+ $options['owner_guid'] = $owner_guid;
+ }
+
+ $options['limit'] = $limit;
+
+ if ($offset) {
+ $options['offset'] = $offset;
+ }
+
+ if ($site_guid) {
+ $options['site_guid'];
+ }
+
+ if ($count) {
+ $options['count'] = $count;
+ }
+
+ return elgg_get_entities_from_relationship_count($options);
+}
+
+/**
+ * Displays a human-readable list of entities
+ *
+ * @deprecated 1.8 Use elgg_list_entities_from_relationship_count()
+ *
+ * @param string $relationship The relationship eg "friends_of"
+ * @param bool $inverse_relationship Inverse relationship owners
+ * @param string $type The type of entity (eg 'object')
+ * @param string $subtype The entity subtype
+ * @param int $owner_guid The owner (default: all)
+ * @param int $limit The number of entities to display on a page
+ * @param bool $fullview Whether or not to display the full view (default: true)
+ * @param bool $listtypetoggle Whether or not to allow gallery view
+ * @param bool $pagination Whether to display pagination (default: true)
+ *
+ * @return string The viewable list of entities
+ */
+function list_entities_by_relationship_count($relationship, $inverse_relationship = true,
+$type = "", $subtype = "", $owner_guid = 0, $limit = 10, $fullview = true,
+$listtypetoggle = false, $pagination = true) {
+
+ elgg_deprecated_notice('list_entities_by_relationship_count() was deprecated by elgg_list_entities_from_relationship_count()', 1.8);
+
+ $options = array();
+
+ $options['relationship'] = $relationship;
+
+ // this used to default to true, which is wrong.
+ // flip it for the new function
+ $options['inverse_relationship'] = !$inverse_relationship;
+
+ if ($type) {
+ $options['types'] = $type;
+ }
+
+ if ($subtype) {
+ $options['subtypes'] = $subtype;
+ }
+
+ if ($owner_guid) {
+ $options['owner_guid'] = $owner_guid;
+ }
+
+ $options['limit'] = $limit;
+
+ $options['full_view'] = $fullview;
+
+ return elgg_list_entities_from_relationship_count($options);
+}
+
+/**
+ * Gets the number of entities by a the number of entities related to
+ * them in a particular way also constrained by metadata.
+ *
+ * @deprecated 1.8 Use elgg_get_entities_from_relationship()
+ *
+ * @param string $relationship The relationship eg "friends_of"
+ * @param int $relationship_guid The guid of the entity to use query
+ * @param bool $inverse_relationship Inverse relationship owner
+ * @param String $meta_name The metadata name
+ * @param String $meta_value The metadata value
+ * @param string $type The type of entity (default: all)
+ * @param string $subtype The entity subtype (default: all)
+ * @param int $owner_guid The owner of the entities (default: none)
+ * @param int $limit Limit
+ * @param int $offset Offset
+ * @param bool $count Return a count instead of entities
+ * @param int $site_guid Site GUID
+ *
+ * @return array|int|false An array of entities, or the number of entities, or false on failure
+ */
+function get_entities_from_relationships_and_meta($relationship, $relationship_guid,
+$inverse_relationship = false, $meta_name = "", $meta_value = "", $type = "",
+$subtype = "", $owner_guid = 0, $limit = 10, $offset = 0, $count = false, $site_guid = 0) {
+
+ elgg_deprecated_notice('get_entities_from_relationship_and_meta() was deprecated by elgg_get_entities_from_relationship()!', 1.7);
+
+ $options = array();
+
+ $options['relationship'] = $relationship;
+ $options['relationship_guid'] = $relationship_guid;
+ $options['inverse_relationship'] = $inverse_relationship;
+
+ if ($meta_value) {
+ $options['values'] = $meta_value;
+ }
+
+ if ($entity_type) {
+ $options['types'] = $entity_type;
+ }
+
+ if ($type) {
+ $options['types'] = $type;
+ }
+
+ if ($subtype) {
+ $options['subtypes'] = $subtype;
+ }
+
+ if ($owner_guid) {
+ $options['owner_guid'] = $owner_guid;
+ }
+
+ if ($limit) {
+ $options['limit'] = $limit;
+ }
+
+ if ($offset) {
+ $options['offset'] = $offset;
+ }
+
+ if ($order_by) {
+ $options['order_by'];
+ }
+
+ if ($site_guid) {
+ $options['site_guid'];
+ }
+
+ if ($count) {
+ $options['count'] = $count;
+ }
+
+ return elgg_get_entities_from_relationship($options);
+}
+
+
+/**
+ * Retrieves items from the river. All parameters are optional.
+ *
+ * @param int|array $subject_guid Acting entity to restrict to. Default: all
+ * @param int|array $object_guid Entity being acted on to restrict to. Default: all
+ * @param string $subject_relationship If set to a relationship type, this will use
+ * $subject_guid as the starting point and set the
+ * subjects to be all users this
+ * entity has this relationship with (eg 'friend').
+ * Default: blank
+ * @param string $type The type of entity to restrict to. Default: all
+ * @param string $subtype The subtype of entity to restrict to. Default: all
+ * @param string $action_type The type of river action to restrict to. Default: all
+ * @param int $limit The number of items to retrieve. Default: 20
+ * @param int $offset The page offset. Default: 0
+ * @param int $posted_min The minimum time period to look at. Default: none
+ * @param int $posted_max The maximum time period to look at. Default: none
+ *
+ * @return array|false Depending on success
+ * @deprecated 1.8 Use elgg_get_river()
+ */
+function get_river_items($subject_guid = 0, $object_guid = 0, $subject_relationship = '',
+$type = '', $subtype = '', $action_type = '', $limit = 20, $offset = 0, $posted_min = 0,
+$posted_max = 0) {
+ elgg_deprecated_notice("get_river_items deprecated by elgg_get_river", 1.8);
+
+ $options = array();
+
+ if ($subject_guid) {
+ $options['subject_guid'] = $subject_guid;
+ }
+
+ if ($object_guid) {
+ $options['object_guid'] = $object_guid;
+ }
+
+ if ($subject_relationship) {
+ $options['relationship'] = $subject_relationship;
+ unset($options['subject_guid']);
+ $options['relationship_guid'] = $subject_guid;
+ }
+
+ if ($type) {
+ $options['type'] = $type;
+ }
+
+ if ($subtype) {
+ $options['subtype'] = $subtype;
+ }
+
+ if ($action_type) {
+ $options['action_type'] = $action_type;
+ }
+
+ $options['limit'] = $limit;
+ $options['offset'] = $offset;
+
+ if ($posted_min) {
+ $options['posted_time_lower'] = $posted_min;
+ }
+
+ if ($posted_max) {
+ $options['posted_time_upper'] = $posted_max;
+ }
+
+ return elgg_get_river($options);
+}
+
+/**
+ * Returns a human-readable version of the river.
+ *
+ * @param int|array $subject_guid Acting entity to restrict to. Default: all
+ * @param int|array $object_guid Entity being acted on to restrict to. Default: all
+ * @param string $subject_relationship If set to a relationship type, this will use
+ * $subject_guid as the starting point and set
+ * the subjects to be all users this entity has this
+ * relationship with (eg 'friend'). Default: blank
+ * @param string $type The type of entity to restrict to. Default: all
+ * @param string $subtype The subtype of entity to restrict to. Default: all
+ * @param string $action_type The type of river action to restrict to. Default: all
+ * @param int $limit The number of items to retrieve. Default: 20
+ * @param int $posted_min The minimum time period to look at. Default: none
+ * @param int $posted_max The maximum time period to look at. Default: none
+ * @param bool $pagination Show pagination?
+ *
+ * @return string Human-readable river.
+ * @deprecated 1.8 Use elgg_list_river()
+ */
+function elgg_view_river_items($subject_guid = 0, $object_guid = 0, $subject_relationship = '',
+$type = '', $subtype = '', $action_type = '', $limit = 20, $posted_min = 0,
+$posted_max = 0, $pagination = true) {
+ elgg_deprecated_notice("elgg_view_river_items deprecated for elgg_list_river", 1.8);
+
+ $river_items = get_river_items($subject_guid, $object_guid, $subject_relationship,
+ $type, $subtype, $action_type, $limit + 1, $posted_min, $posted_max);
+
+ // Get input from outside world and sanitise it
+ $offset = (int) get_input('offset', 0);
+
+ // view them
+ $params = array(
+ 'items' => $river_items,
+ 'count' => count($river_items),
+ 'offset' => $offset,
+ 'limit' => $limit,
+ 'pagination' => $pagination,
+ 'list-class' => 'elgg-list-river',
+ );
+
+ return elgg_view('page/components/list', $params);
+}
+
+/**
+ * Construct and execute the query required for the activity stream.
+ *
+ * @deprecated 1.8 This is outdated and uses the systemlog table instead of the river table.
+ * Don't use it.
+ */
+function get_activity_stream_data($limit = 10, $offset = 0, $type = "", $subtype = "",
+$owner_guid = "", $owner_relationship = "") {
+ elgg_deprecated_notice("get_activity_stream_data was deprecated", 1.8);
+
+ global $CONFIG;
+
+ $limit = (int)$limit;
+ $offset = (int)$offset;
+
+ if ($type) {
+ if (!is_array($type)) {
+ $type = array(sanitise_string($type));
+ } else {
+ foreach ($type as $k => $v) {
+ $type[$k] = sanitise_string($v);
+ }
+ }
+ }
+
+ if ($subtype) {
+ if (!is_array($subtype)) {
+ $subtype = array(sanitise_string($subtype));
+ } else {
+ foreach ($subtype as $k => $v) {
+ $subtype[$k] = sanitise_string($v);
+ }
+ }
+ }
+
+ if ($owner_guid) {
+ if (is_array($owner_guid)) {
+ foreach ($owner_guid as $k => $v) {
+ $owner_guid[$k] = (int)$v;
+ }
+ } else {
+ $owner_guid = array((int)$owner_guid);
+ }
+ }
+
+ $owner_relationship = sanitise_string($owner_relationship);
+
+ // Get a list of possible views
+ $activity_events = array();
+ $activity_views = array_merge(elgg_view_tree('activity', 'default'),
+ elgg_view_tree('river', 'default'));
+
+ $done = array();
+
+ foreach ($activity_views as $view) {
+ $fragments = explode('/', $view);
+ $tmp = explode('/', $view, 2);
+ $tmp = $tmp[1];
+
+ if ((isset($fragments[0])) && (($fragments[0] == 'river') || ($fragments[0] == 'activity'))
+ && (!in_array($tmp, $done))) {
+
+ if (isset($fragments[1])) {
+ $f = array();
+ for ($n = 1; $n < count($fragments); $n++) {
+ $val = sanitise_string($fragments[$n]);
+ switch($n) {
+ case 1: $key = 'type'; break;
+ case 2: $key = 'subtype'; break;
+ case 3: $key = 'event'; break;
+ }
+ $f[$key] = $val;
+ }
+
+ // Filter result based on parameters
+ $add = true;
+ if ($type) {
+ if (!in_array($f['type'], $type)) {
+ $add = false;
+ }
+ }
+ if (($add) && ($subtype)) {
+ if (!in_array($f['subtype'], $subtype)) {
+ $add = false;
+ }
+ }
+ if (($add) && ($event)) {
+ if (!in_array($f['event'], $event)) {
+ $add = false;
+ }
+ }
+
+ if ($add) {
+ $activity_events[] = $f;
+ }
+ }
+
+ $done[] = $tmp;
+ }
+ }
+
+ $n = 0;
+ foreach ($activity_events as $details) {
+ // Get what we're talking about
+ if ($details['subtype'] == 'default') {
+ $details['subtype'] = '';
+ }
+
+ if (($details['type']) && ($details['event'])) {
+ if ($n > 0) {
+ $obj_query .= " or ";
+ }
+
+ $access = "";
+ if ($details['type'] != 'relationship') {
+ $access = " and " . get_access_sql_suffix('sl');
+ }
+
+ $obj_query .= "( sl.object_type='{$details['type']}'
+ AND sl.object_subtype='{$details['subtype']}'
+ AND sl.event='{$details['event']}' $access )";
+
+ $n++;
+ }
+ }
+
+ // User
+ if ((count($owner_guid)) && ($owner_guid[0] != 0)) {
+ $user = " and sl.performed_by_guid in (" . implode(',', $owner_guid) . ")";
+
+ if ($owner_relationship) {
+ $friendsarray = "";
+ if ($friends = elgg_get_entities_from_relationship(array(
+ 'relationship' => $owner_relationship,
+ 'relationship_guid' => $owner_guid[0],
+ 'inverse_relationship' => FALSE,
+ 'type' => 'user',
+ 'subtype' => $subtype,
+ 'limit' => false))
+ ) {
+
+ $friendsarray = array();
+ foreach ($friends as $friend) {
+ $friendsarray[] = $friend->getGUID();
+ }
+
+ $user = " and sl.performed_by_guid in (" . implode(',', $friendsarray) . ")";
+ }
+ }
+ }
+
+ $query = "SELECT sl.* FROM {$CONFIG->dbprefix}system_log sl
+ WHERE 1 $user AND ($obj_query)
+ ORDER BY sl.time_created desc limit $offset, $limit";
+ return get_data($query);
+}
+
+/**
+ * Perform standard authentication with a given username and password.
+ * Returns an ElggUser object for use with login.
+ *
+ * @see login
+ *
+ * @param string $username The username, optionally (for standard logins)
+ * @param string $password The password, optionally (for standard logins)
+ *
+ * @return ElggUser|false The authenticated user object, or false on failure.
+ *
+ * @deprecated 1.8 Use elgg_authenticate
+ */
+function authenticate($username, $password) {
+ elgg_deprecated_notice('authenticate() has been deprecated for elgg_authenticate()', 1.8);
+ $pam = new ElggPAM('user');
+ $credentials = array('username' => $username, 'password' => $password);
+ $result = $pam->authenticate($credentials);
+ if ($result) {
+ return get_user_by_username($username);
+ }
+ return false;
+}
+
+
+/**
+ * Get the members of a site.
+ *
+ * @param int $site_guid Site GUID
+ * @param int $limit User GUID
+ * @param int $offset Offset
+ *
+ * @return mixed
+ * @deprecated 1.8 Use ElggSite::getMembers()
+ */
+function get_site_members($site_guid, $limit = 10, $offset = 0) {
+ elgg_deprecated_notice("get_site_members() deprecated.
+ Use ElggSite::getMembers()", 1.8);
+
+ $site = get_entity($site_guid);
+ if ($site) {
+ return $site->getMembers($limit, $offset);
+ }
+
+ return false;
+}
+
+/**
+ * Display a list of site members
+ *
+ * @param int $site_guid The GUID of the site
+ * @param int $limit The number of members to display on a page
+ * @param bool $fullview Whether or not to display the full view (default: true)
+ *
+ * @return string A displayable list of members
+ * @deprecated 1.8 Use ElggSite::listMembers()
+ */
+function list_site_members($site_guid, $limit = 10, $fullview = true) {
+ elgg_deprecated_notice("list_site_members() deprecated.
+ Use ElggSite::listMembers()", 1.8);
+
+ $options = array(
+ 'limit' => $limit,
+ 'full_view' => $full_view,
+ );
+
+ $site = get_entity($site_guid);
+ if ($site) {
+ return $site->listMembers($options);
+ }
+
+ return '';
+}
+
+
+/**
+ * Add a collection to a site.
+ *
+ * @param int $site_guid Site GUID
+ * @param int $collection_guid Collection GUID
+ *
+ * @return mixed
+ * @deprecated 1.8 Don't use this.
+ */
+function add_site_collection($site_guid, $collection_guid) {
+ elgg_deprecated_notice("add_site_collection has been deprecated", 1.8);
+ global $CONFIG;
+
+ $site_guid = (int)$site_guid;
+ $collection_guid = (int)$collection_guid;
+
+ return add_entity_relationship($collection_guid, "member_of_site", $site_guid);
+}
+
+/**
+ * Remove a collection from a site.
+ *
+ * @param int $site_guid Site GUID
+ * @param int $collection_guid Collection GUID
+ *
+ * @return mixed
+ * @deprecated 1.8 Don't use this.
+ */
+function remove_site_collection($site_guid, $collection_guid) {
+ elgg_deprecated_notice("remove_site_collection has been deprecated", 1.8);
+ $site_guid = (int)$site_guid;
+ $collection_guid = (int)$collection_guid;
+
+ return remove_entity_relationship($collection_guid, "member_of_site", $site_guid);
+}
+
+/**
+ * Get the collections belonging to a site.
+ *
+ * @param int $site_guid Site GUID
+ * @param string $subtype Subtype
+ * @param int $limit Limit
+ * @param int $offset Offset
+ *
+ * @return mixed
+ * @deprecated 1.8 Don't use this.
+ */
+function get_site_collections($site_guid, $subtype = "", $limit = 10, $offset = 0) {
+ elgg_deprecated_notice("get_site_collections has been deprecated", 1.8);
+ $site_guid = (int)$site_guid;
+ $subtype = sanitise_string($subtype);
+ $limit = (int)$limit;
+ $offset = (int)$offset;
+
+ // collection isn't a valid type. This won't work.
+ return elgg_get_entities_from_relationship(array(
+ 'relationship' => 'member_of_site',
+ 'relationship_guid' => $site_guid,
+ 'inverse_relationship' => TRUE,
+ 'type' => 'collection',
+ 'subtype' => $subtype,
+ 'limit' => $limit,
+ 'offset' => $offset
+ ));
+}
+
+/**
+ * Get an array of tags with weights for use with the output/tagcloud view.
+ *
+ * @deprecated 1.8 Use elgg_get_tags().
+ *
+ * @param int $threshold Get the threshold of minimum number of each tags to
+ * bother with (ie only show tags where there are more
+ * than $threshold occurances)
+ * @param int $limit Number of tags to return
+ * @param string $metadata_name Optionally, the name of the field you want to grab for
+ * @param string $entity_type Optionally, the entity type ('object' etc)
+ * @param string $entity_subtype The entity subtype, optionally
+ * @param int $owner_guid The GUID of the tags owner, optionally
+ * @param int $site_guid Optionally, the site to restrict to (default is the current site)
+ * @param int $start_ts Optionally specify a start timestamp for tags used to
+ * generate cloud.
+ * @param int $end_ts Optionally specify an end timestamp for tags used to generate cloud
+ *
+ * @return array|false Array of objects with ->tag and ->total values, or false on failure
+ */
+function get_tags($threshold = 1, $limit = 10, $metadata_name = "", $entity_type = "object",
+$entity_subtype = "", $owner_guid = "", $site_guid = -1, $start_ts = "", $end_ts = "") {
+
+ elgg_deprecated_notice('get_tags() has been replaced by elgg_get_tags()', 1.8);
+
+ if (is_array($metadata_name)) {
+ return false;
+ }
+
+ $options = array();
+ if ($metadata_name === '') {
+ $options['tag_names'] = array();
+ } else {
+ $options['tag_names'] = array($metadata_name);
+ }
+
+ $options['threshold'] = $threshold;
+ $options['limit'] = $limit;
+
+ // rewrite owner_guid to container_guid to emulate old functionality
+ $container_guid = $owner_guid;
+ if ($container_guid) {
+ $options['container_guids'] = $container_guid;
+ }
+
+ if ($entity_type) {
+ $options['type'] = $entity_type;
+ }
+
+ if ($entity_subtype) {
+ $options['subtype'] = $entity_subtype;
+ }
+
+ if ($site_guid != -1) {
+ $options['site_guids'] = $site_guid;
+ }
+
+ if ($end_ts) {
+ $options['created_time_upper'] = $end_ts;
+ }
+
+ if ($start_ts) {
+ $options['created_time_lower'] = $start_ts;
+ }
+
+ $r = elgg_get_tags($options);
+ return $r;
+}
+
+/**
+ * Loads and displays a tagcloud given particular criteria.
+ *
+ * @deprecated 1.8 use elgg_view_tagcloud()
+ *
+ * @param int $threshold Get the threshold of minimum number of each tags
+ * to bother with (ie only show tags where there are
+ * more than $threshold occurances)
+ * @param int $limit Number of tags to return
+ * @param string $metadata_name Optionally, the name of the field you want to grab for
+ * @param string $entity_type Optionally, the entity type ('object' etc)
+ * @param string $entity_subtype The entity subtype, optionally
+ * @param int $owner_guid The GUID of the tags owner, optionally
+ * @param int $site_guid Optionally, the site to restrict to (default is the current site)
+ * @param int $start_ts Optionally specify a start timestamp for tags used to
+ * generate cloud.
+ * @param int $end_ts Optionally specify an end timestamp for tags used to generate
+ * cloud.
+ *
+ * @return string The HTML (or other, depending on view type) of the tagcloud.
+ */
+function display_tagcloud($threshold = 1, $limit = 10, $metadata_name = "", $entity_type = "object",
+$entity_subtype = "", $owner_guid = "", $site_guid = -1, $start_ts = "", $end_ts = "") {
+
+ elgg_deprecated_notice('display_tagcloud() was deprecated by elgg_view_tagcloud()!', 1.8);
+
+ $tags = get_tags($threshold, $limit, $metadata_name, $entity_type,
+ $entity_subtype, $owner_guid, $site_guid, $start_ts, $end_ts);
+
+ return elgg_view('output/tagcloud', array(
+ 'value' => $tags,
+ 'type' => $entity_type,
+ 'subtype' => $entity_subtype,
+ ));
+}
+
+
+/**
+ * Obtains a list of objects owned by a user
+ *
+ * @param int $user_guid The GUID of the owning user
+ * @param string $subtype Optionally, the subtype of objects
+ * @param int $limit The number of results to return (default 10)
+ * @param int $offset Indexing offset, if any
+ * @param int $timelower The earliest time the entity can have been created. Default: all
+ * @param int $timeupper The latest time the entity can have been created. Default: all
+ *
+ * @return false|array An array of ElggObjects or false, depending on success
+ * @deprecated 1.8 Use elgg_get_entities() instead
+ */
+function get_user_objects($user_guid, $subtype = ELGG_ENTITIES_ANY_VALUE, $limit = 10,
+$offset = 0, $timelower = 0, $timeupper = 0) {
+ elgg_deprecated_notice("get_user_objects() was deprecated in favor of elgg_get_entities()", 1.8);
+ $ntt = elgg_get_entities(array(
+ 'type' => 'object',
+ 'subtype' => $subtype,
+ 'owner_guid' => $user_guid,
+ 'limit' => $limit,
+ 'offset' => $offset,
+ 'container_guid' => $user_guid,
+ 'created_time_lower' => $timelower,
+ 'created_time_upper' => $timeupper
+ ));
+ return $ntt;
+}
+
+/**
+ * Counts the objects (optionally of a particular subtype) owned by a user
+ *
+ * @param int $user_guid The GUID of the owning user
+ * @param string $subtype Optionally, the subtype of objects
+ * @param int $timelower The earliest time the entity can have been created. Default: all
+ * @param int $timeupper The latest time the entity can have been created. Default: all
+ *
+ * @return int The number of objects the user owns (of this subtype)
+ * @deprecated 1.8 Use elgg_get_entities() instead
+ */
+function count_user_objects($user_guid, $subtype = ELGG_ENTITIES_ANY_VALUE, $timelower = 0,
+$timeupper = 0) {
+ elgg_deprecated_notice("count_user_objects() was deprecated in favor of elgg_get_entities()", 1.8);
+ $total = elgg_get_entities(array(
+ 'type' => 'object',
+ 'subtype' => $subtype,
+ 'owner_guid' => $user_guid,
+ 'count' => TRUE,
+ 'container_guid' => $user_guid,
+ 'created_time_lower' => $timelower,
+ 'created_time_upper' => $timeupper
+ ));
+ return $total;
+}
+
+/**
+ * Displays a list of user objects of a particular subtype, with navigation.
+ *
+ * @see elgg_view_entity_list
+ *
+ * @param int $user_guid The GUID of the user
+ * @param string $subtype The object subtype
+ * @param int $limit The number of entities to display on a page
+ * @param bool $fullview Whether or not to display the full view (default: true)
+ * @param bool $listtypetoggle Whether or not to allow gallery view (default: true)
+ * @param bool $pagination Whether to display pagination (default: true)
+ * @param int $timelower The earliest time the entity can have been created. Default: all
+ * @param int $timeupper The latest time the entity can have been created. Default: all
+ *
+ * @return string The list in a form suitable to display
+ * @deprecated 1.8 Use elgg_list_entities() instead
+ */
+function list_user_objects($user_guid, $subtype = ELGG_ENTITIES_ANY_VALUE, $limit = 10,
+$fullview = true, $listtypetoggle = true, $pagination = true, $timelower = 0, $timeupper = 0) {
+ elgg_deprecated_notice("list_user_objects() was deprecated in favor of elgg_list_entities()", 1.8);
+
+ $offset = (int) get_input('offset');
+ $limit = (int) $limit;
+ $count = (int) count_user_objects($user_guid, $subtype, $timelower, $timeupper);
+ $entities = get_user_objects($user_guid, $subtype, $limit, $offset, $timelower, $timeupper);
+
+ return elgg_view_entity_list($entities, $count, $offset, $limit, $fullview, $listtypetoggle,
+ $pagination);
+}
+
+
+/**
+ * Get user objects by an array of metadata
+ *
+ * @param int $user_guid The GUID of the owning user
+ * @param string $subtype Optionally, the subtype of objects
+ * @param array $metadata An array of metadata
+ * @param int $limit The number of results to return (default 10)
+ * @param int $offset Indexing offset, if any
+ *
+ * @return false|array An array of ElggObjects or false, depending on success
+ * @deprecated 1.8 Use elgg_get_entities_from_metadata() instead
+ */
+function get_user_objects_by_metadata($user_guid, $subtype = "", $metadata = array(),
+$limit = 0, $offset = 0) {
+ elgg_deprecated_notice("get_user_objects_by_metadata() was deprecated in favor of elgg_get_entities_from_metadata()", 1.8);
+ return get_entities_from_metadata_multi($metadata, "object", $subtype, $user_guid,
+ $limit, $offset);
+}
+
+/**
+ * Set the validation status for a user.
+ *
+ * @param bool $status Validated (true) or false
+ * @param string $method Optional method to say how a user was validated
+ * @return bool
+ * @deprecated 1.8 Use elgg_set_user_validation_status()
+ */
+function set_user_validation_status($user_guid, $status, $method = '') {
+ elgg_deprecated_notice("set_user_validation_status() is deprecated", 1.8);
+ return elgg_set_user_validation_status($user_guid, $status, $method);
+}
+
+/**
+ * Trigger an event requesting that a user guid be validated somehow - either by email address or some other way.
+ *
+ * This function invalidates any existing validation value.
+ *
+ * @param int $user_guid User's GUID
+ * @deprecated 1.8 Hook into the register, user plugin hook and request validation.
+ */
+function request_user_validation($user_guid) {
+ elgg_deprecated_notice("request_user_validation() is deprecated.
+ Plugins should register for the 'register, user' plugin hook", 1.8);
+ $user = get_entity($user_guid);
+
+ if (($user) && ($user instanceof ElggUser)) {
+ // invalidate any existing validations
+ set_user_validation_status($user_guid, false);
+
+ // request validation
+ trigger_elgg_event('validate', 'user', $user);
+ }
+}
+
+/**
+ * Register a user settings page with the admin panel.
+ * This function extends the view "usersettings/main" with the provided view.
+ * This view should provide a description and either a control or a link to.
+ *
+ * Usage:
+ * - To add a control to the main admin panel then extend usersettings/main
+ * - To add a control to a new page create a page which renders a view
+ * usersettings/subpage (where subpage is your new page -
+ * nb. some pages already exist that you can extend), extend the main view
+ * to point to it, and add controls to your new view.
+ *
+ * At the moment this is essentially a wrapper around elgg_extend_view().
+ *
+ * @param string $new_settings_view The view associated with the control you're adding
+ * @param string $view The view to extend, by default this is 'usersettings/main'.
+ * @param int $priority Optional priority to govern the appearance in the list.
+ *
+ * @return bool
+ * @deprecated 1.8 Extend one of the views in core/settings
+ */
+function extend_elgg_settings_page($new_settings_view, $view = 'usersettings/main',
+$priority = 500) {
+ // see views: /core/settings
+ elgg_deprecated_notice("extend_elgg_settings_page has been deprecated. Extend one of the settings views instead", 1.8);
+
+ return elgg_extend_view($view, $new_settings_view, $priority);
+}
+
+/**
+ * Returns a representation of a full 'page' (which might be an HTML page,
+ * RSS file, etc, depending on the current viewtype)
+ *
+ * @param string $title
+ * @param string $body
+ * @return string
+ *
+ * @deprecated 1.8 Use elgg_view_page()
+ */
+function page_draw($title, $body, $sidebar = "") {
+ elgg_deprecated_notice("page_draw() was deprecated in favor of elgg_view_page() in 1.8.", 1.8);
+
+ $vars = array(
+ 'sidebar' => $sidebar
+ );
+ echo elgg_view_page($title, $body, 'default', $vars);
+}
+
+/**
+ * Wrapper function to display search listings.
+ *
+ * @param string $icon The icon for the listing
+ * @param string $info Any information that needs to be displayed.
+ *
+ * @return string The HTML (etc) representing the listing
+ * @deprecated 1.8 use elgg_view_image_block()
+ */
+function elgg_view_listing($icon, $info) {
+ elgg_deprecated_notice('elgg_view_listing deprecated by elgg_view_image_block', 1.8);
+ return elgg_view('page/components/image_block', array('image' => $icon, 'body' => $info));
+}
+
+/**
+ * Return the icon URL for an entity.
+ *
+ * @tip Can be overridden by registering a plugin hook for entity:icon:url, $entity_type.
+ *
+ * @internal This is passed an entity rather than a guid to handle non-created entities.
+ *
+ * @param ElggEntity $entity The entity
+ * @param string $size Icon size
+ *
+ * @return string URL to the entity icon.
+ * @deprecated 1.8 Use $entity->getIconURL()
+ */
+function get_entity_icon_url(ElggEntity $entity, $size = 'medium') {
+ elgg_deprecated_notice("get_entity_icon_url() deprecated for getIconURL()", 1.8);
+ global $CONFIG;
+
+ $size = sanitise_string($size);
+ switch (strtolower($size)) {
+ case 'master':
+ $size = 'master';
+ break;
+
+ case 'large' :
+ $size = 'large';
+ break;
+
+ case 'topbar' :
+ $size = 'topbar';
+ break;
+
+ case 'tiny' :
+ $size = 'tiny';
+ break;
+
+ case 'small' :
+ $size = 'small';
+ break;
+
+ case 'medium' :
+ default:
+ $size = 'medium';
+ }
+
+ $url = false;
+
+ $viewtype = elgg_get_viewtype();
+
+ // Step one, see if anyone knows how to render this in the current view
+ $params = array('entity' => $entity, 'viewtype' => $viewtype, 'size' => $size);
+ $url = elgg_trigger_plugin_hook('entity:icon:url', $entity->getType(), $params, $url);
+
+ // Fail, so use default
+ if (!$url) {
+ $type = $entity->getType();
+ $subtype = $entity->getSubtype();
+
+ if (!empty($subtype)) {
+ $overrideurl = elgg_view("icon/{$type}/{$subtype}/{$size}", array('entity' => $entity));
+ if (!empty($overrideurl)) {
+ return $overrideurl;
+ }
+ }
+
+ $overrideurl = elgg_view("icon/{$type}/default/{$size}", array('entity' => $entity));
+ if (!empty($overrideurl)) {
+ return $overrideurl;
+ }
+
+ $url = "_graphics/icons/default/$size.png";
+ }
+
+ return elgg_normalize_url($url);
+}
+
+/**
+ * Return the current logged in user, or NULL if no user is logged in.
+ *
+ * If no user can be found in the current session, a plugin
+ * hook - 'session:get' 'user' to give plugin authors another
+ * way to provide user details to the ACL system without touching the session.
+ *
+ * @deprecated 1.8 Use elgg_get_logged_in_user_entity()
+ * @return ElggUser|NULL
+ */
+function get_loggedin_user() {
+ elgg_deprecated_notice('get_loggedin_user() is deprecated by elgg_get_logged_in_user_entity()', 1.8);
+ return elgg_get_logged_in_user_entity();
+}
+
+/**
+ * Return the current logged in user by id.
+ *
+ * @deprecated 1.8 Use elgg_get_logged_in_user_guid()
+ * @see elgg_get_logged_in_user_entity()
+ * @return int
+ */
+function get_loggedin_userid() {
+ elgg_deprecated_notice('get_loggedin_userid() is deprecated by elgg_get_logged_in_user_guid()', 1.8);
+ return elgg_get_logged_in_user_guid();
+}
+
+
+/**
+ * Returns whether or not the user is currently logged in
+ *
+ * @deprecated 1.8 Use elgg_is_logged_in();
+ * @return bool
+ */
+function isloggedin() {
+ elgg_deprecated_notice('isloggedin() is deprecated by elgg_is_logged_in()', 1.8);
+ return elgg_is_logged_in();
+}
+
+/**
+ * Returns whether or not the user is currently logged in and that they are an admin user.
+ *
+ * @deprecated 1.8 Use elgg_is_admin_logged_in()
+ * @return bool
+ */
+function isadminloggedin() {
+ elgg_deprecated_notice('isadminloggedin() is deprecated by elgg_is_admin_logged_in()', 1.8);
+ return elgg_is_admin_logged_in();
+}
+
+
+/**
+ * Loads plugins
+ *
+ * @deprecated 1.8 Use elgg_load_plugins()
+ *
+ * @return bool
+ */
+function load_plugins() {
+ elgg_deprecated_notice('load_plugins() is deprecated by elgg_load_plugins()', 1.8);
+ return elgg_load_plugins();
+}
+
+/**
+ * Find the plugin settings for a user.
+ *
+ * @param string $plugin_id Plugin name.
+ * @param int $user_guid The guid who's settings to retrieve.
+ *
+ * @deprecated 1.8 Use elgg_get_all_plugin_user_settings() or ElggPlugin->getAllUserSettings()
+ * @return StdClass Object with all user settings.
+ */
+function find_plugin_usersettings($plugin_id = null, $user_guid = 0) {
+ elgg_deprecated_notice('find_plugin_usersettings() is deprecated by elgg_get_all_plugin_user_settings()', 1.8);
+ return elgg_get_all_plugin_user_settings($user_guid, $plugin_id, true);
+}
+
+/**
+ * Set a user specific setting for a plugin.
+ *
+ * @param string $name The name - note, can't be "title".
+ * @param mixed $value The value.
+ * @param int $user_guid Optional user.
+ * @param string $plugin_id Optional plugin name, if not specified then it
+ * is detected from where you are calling from.
+ *
+ * @return bool
+ * @deprecated 1.8 Use elgg_set_plugin_user_setting() or ElggPlugin->setUserSetting()
+ */
+function set_plugin_usersetting($name, $value, $user_guid = 0, $plugin_id = "") {
+ elgg_deprecated_notice('find_plugin_usersettings() is deprecated by elgg_get_all_plugin_user_settings()', 1.8);
+ return elgg_set_plugin_user_setting($name, $value, $user_guid, $plugin_id);
+}
+
+/**
+ * Clears a user-specific plugin setting
+ *
+ * @param str $name Name of the plugin setting
+ * @param int $user_guid Defaults to logged in user
+ * @param str $plugin_id Defaults to contextual plugin name
+ *
+ * @deprecated 1.8 Use elgg_unset_plugin_user_setting or ElggPlugin->unsetUserSetting().
+ * @return bool Success
+ */
+function clear_plugin_usersetting($name, $user_guid = 0, $plugin_id = '') {
+ elgg_deprecated_notice('clear_plugin_usersetting() is deprecated by elgg_unset_plugin_usersetting()', 1.8);
+ return elgg_unset_plugin_user_setting($name, $user_guid, $plugin_id);
+}
+
+/**
+ * Get a user specific setting for a plugin.
+ *
+ * @param string $name The name.
+ * @param int $user_guid Guid of owning user
+ * @param string $plugin_id Optional plugin name, if not specified
+ * it is detected from where you are calling.
+ *
+ * @deprecated 1.8 Use elgg_get_plugin_user_setting() or ElggPlugin->getUserSetting()
+ * @return mixed
+ */
+function get_plugin_usersetting($name, $user_guid = 0, $plugin_id = "") {
+ elgg_deprecated_notice('get_plugin_usersetting() is deprecated by elgg_get_plugin_user_setting()', 1.8);
+ return elgg_get_plugin_user_setting($name, $user_guid, $plugin_id);
+}
+
+/**
+ * Set a setting for a plugin.
+ *
+ * @param string $name The name - note, can't be "title".
+ * @param mixed $value The value.
+ * @param string $plugin_id Optional plugin name, if not specified
+ * then it is detected from where you are calling from.
+ *
+ * @deprecated 1.8 Use elgg_set_plugin_setting() or ElggPlugin->setSetting()
+ * @return int|false
+ */
+function set_plugin_setting($name, $value, $plugin_id = null) {
+ elgg_deprecated_notice('set_plugin_setting() is deprecated by elgg_set_plugin_setting()', 1.8);
+ return elgg_set_plugin_setting($name, $value, $plugin_id);
+}
+
+/**
+ * Get setting for a plugin.
+ *
+ * @param string $name The name.
+ * @param string $plugin_id Optional plugin name, if not specified
+ * then it is detected from where you are calling from.
+ *
+ * @deprecated 1.8 Use elgg_get_plugin_setting() or ElggPlugin->getSetting()
+ * @return mixed
+ */
+function get_plugin_setting($name, $plugin_id = "") {
+ elgg_deprecated_notice('get_plugin_setting() is deprecated by elgg_get_plugin_setting()', 1.8);
+ return elgg_get_plugin_setting($name, $plugin_id);
+}
+
+/**
+ * Clear a plugin setting.
+ *
+ * @param string $name The name.
+ * @param string $plugin_id Optional plugin name, if not specified
+ * then it is detected from where you are calling from.
+ *
+ * @deprecated 1.8 Use elgg_unset_plugin_setting() or ElggPlugin->unsetSetting()
+ * @return bool
+ */
+function clear_plugin_setting($name, $plugin_id = "") {
+ elgg_deprecated_notice('clear_plugin_setting() is deprecated by elgg_unset_plugin_setting()', 1.8);
+ return elgg_unset_plugin_setting($name, $plugin_id);
+}
+
+/**
+ * Unsets all plugin settings for a plugin.
+ *
+ * @param string $plugin_id Optional plugin name, if not specified
+ * then it is detected from where you are calling from.
+ *
+ * @return bool
+ * @deprecated 1.8 Use elgg_unset_all_plugin_settings() or ElggPlugin->unsetAllSettings()
+ * @since 1.7.0
+ */
+function clear_all_plugin_settings($plugin_id = "") {
+ elgg_deprecated_notice('clear_all_plugin_settings() is deprecated by elgg_unset_all_plugin_setting()', 1.8);
+ return elgg_unset_all_plugin_settings($plugin_id);
+}
+
+
+/**
+ * Get a list of annotations for a given object/user/annotation type.
+ *
+ * @param int|array $entity_guid GUID to return annotations of (falsey for any)
+ * @param string $entity_type Type of entity
+ * @param string $entity_subtype Subtype of entity
+ * @param string $name Name of annotation
+ * @param mixed $value Value of annotation
+ * @param int|array $owner_guid Owner(s) of annotation
+ * @param int $limit Limit
+ * @param int $offset Offset
+ * @param string $order_by Order annotations by SQL
+ * @param int $timelower Lower time limit
+ * @param int $timeupper Upper time limit
+ * @param int $entity_owner_guid Owner guid for the entity
+ *
+ * @return array
+ * @deprecated 1.8 Use elgg_get_annotations()
+ */
+function get_annotations($entity_guid = 0, $entity_type = "", $entity_subtype = "", $name = "",
+$value = "", $owner_guid = 0, $limit = 10, $offset = 0, $order_by = "asc", $timelower = 0,
+$timeupper = 0, $entity_owner_guid = 0) {
+
+ elgg_deprecated_notice('get_annotations() is deprecated by elgg_get_annotations()', 1.8);
+ $options = array();
+
+ if ($entity_guid) {
+ $options['guid'] = $entity_guid;
+ }
+
+ if ($entity_type) {
+ $options['type'] = $entity_type;
+ }
+
+ if ($entity_subtype) {
+ $options['subtype'] = $entity_subtype;
+ }
+
+ if ($name) {
+ $options['annotation_name'] = $name;
+ }
+
+ if ($value) {
+ $options['annotation_value'] = $value;
+ }
+
+ if ($owner_guid) {
+ $options['annotation_owner_guid'] = $owner_guid;
+ }
+
+ $options['limit'] = $limit;
+ $options['offset'] = $offset;
+
+ if ($order_by == 'desc') {
+ $options['order_by'] = 'n_table.time_created desc';
+ }
+
+ if ($timelower) {
+ $options['annotation_time_lower'] = $timelower;
+ }
+
+ if ($timeupper) {
+ $options['annotation_time_upper'] = $timeupper;
+ }
+
+ if ($entity_owner_guid) {
+ $options['owner_guid'] = $entity_owner_guid;
+ }
+
+ return elgg_get_annotations($options);
+}
+
+
+/**
+ * Returns a human-readable list of annotations on a particular entity.
+ *
+ * @param int $entity_guid The entity GUID
+ * @param string $name The name of the kind of annotation
+ * @param int $limit The number of annotations to display at once
+ * @param true|false $asc Display annotations in ascending order. (Default: true)
+ *
+ * @return string HTML (etc) version of the annotation list
+ * @deprecated 1.8 Use elgg_list_annotations()
+ */
+function list_annotations($entity_guid, $name = "", $limit = 25, $asc = true) {
+ elgg_deprecated_notice('list_annotations() is deprecated by elgg_list_annotations()', 1.8);
+
+ if ($asc) {
+ $asc = "asc";
+ } else {
+ $asc = "desc";
+ }
+
+ $options = array(
+ 'guid' => $entity_guid,
+ 'limit' => $limit,
+ 'order_by' => "n_table.time_created $asc"
+ );
+
+ return elgg_list_annotations($options);
+}
+
+/**
+ * Helper function to deprecate annotation calculation functions. Don't use.
+ *
+ * @param unknown_type $entity_guid
+ * @param unknown_type $entity_type
+ * @param unknown_type $entity_subtype
+ * @param unknown_type $name
+ * @param unknown_type $value
+ * @param unknown_type $value_type
+ * @param unknown_type $owner_guid
+ * @param unknown_type $timelower
+ * @param unknown_type $timeupper
+ * @param unknown_type $calculation
+ * @internal Don't use this at all.
+ * @deprecated 1.8 Use elgg_get_annotations()
+ */
+function elgg_deprecated_annotation_calculation($entity_guid = 0, $entity_type = "", $entity_subtype = "",
+$name = "", $value = "", $value_type = "", $owner_guid = 0, $timelower = 0,
+$timeupper = 0, $calculation = '') {
+
+ $options = array('annotation_calculation' => $calculation);
+
+ if ($entity_guid) {
+ $options['guid'] = $entity_guid;
+ }
+
+ if ($entity_type) {
+ $options['type'] = $entity_type;
+ }
+
+ if ($entity_subtype) {
+ $options['subtype'] = $entity_subtype;
+ }
+
+ if ($name) {
+ $options['annotation_name'] = $name;
+ }
+
+ if ($value) {
+ $options['annotation_value'] = $value;
+ }
+
+ if ($owner_guid) {
+ $options['annotation_owner_guid'] = $owner_guid;
+ }
+
+ if ($order_by == 'desc') {
+ $options['order_by'] = 'n_table.time_created desc';
+ }
+
+ if ($timelower) {
+ $options['annotation_time_lower'] = $timelower;
+ }
+
+ if ($timeupper) {
+ $options['annotation_time_upper'] = $timeupper;
+ }
+
+ return elgg_get_annotations($options);
+}
+
+/**
+ * Count the number of annotations based on search parameters
+ *
+ * @param int $entity_guid Guid of Entity
+ * @param string $entity_type Type of Entity
+ * @param string $entity_subtype Subtype of Entity
+ * @param string $name Name of annotation
+ * @param string $value Value of annotation
+ * @param string $value_type Type of value
+ * @param int $owner_guid GUID of owner of annotation
+ * @param int $timelower Lower time limit
+ * @param int $timeupper Upper time limit
+ *
+ * @deprecated 1.8 Use elgg_get_annotations() and pass 'count' => true
+ * @return int
+ */
+function count_annotations($entity_guid = 0, $entity_type = "", $entity_subtype = "",
+$name = "", $value = "", $value_type = "", $owner_guid = 0, $timelower = 0,
+$timeupper = 0) {
+ elgg_deprecated_notice('count_annotations() is deprecated by elgg_get_annotations() and passing "count" => true', 1.8);
+ return elgg_deprecated_annotation_calculation($entity_guid, $entity_type, $entity_subtype,
+ $name, $value, $value_type, $owner_guid, $timelower, $timeupper, 'count');
+}
+
+/**
+ * Return the sum of a given integer annotation.
+ *
+ * @param int $entity_guid Guid of Entity
+ * @param string $entity_type Type of Entity
+ * @param string $entity_subtype Subtype of Entity
+ * @param string $name Name of annotation
+ * @param string $value Value of annotation
+ * @param string $value_type Type of value
+ * @param int $owner_guid GUID of owner of annotation
+ *
+ * @deprecated 1.8 Use elgg_get_annotations() and pass 'annotation_calculation' => 'sum'
+ * @return int
+ */
+function get_annotations_sum($entity_guid, $entity_type = "", $entity_subtype = "", $name = "",
+$value = "", $value_type = "", $owner_guid = 0) {
+ elgg_deprecated_notice('get_annotations_sum() is deprecated by elgg_get_annotations() and passing "annotation_calculation" => "sum"', 1.8);
+
+ return elgg_deprecated_annotation_calculation($entity_guid, $entity_type, $entity_subtype,
+ $name, $value, $value_type, $owner_guid, $timelower, $timeupper, 'sum');
+}
+
+/**
+ * Return the max of a given integer annotation.
+ *
+ * @param int $entity_guid Guid of Entity
+ * @param string $entity_type Type of Entity
+ * @param string $entity_subtype Subtype of Entity
+ * @param string $name Name of annotation
+ * @param string $value Value of annotation
+ * @param string $value_type Type of value
+ * @param int $owner_guid GUID of owner of annotation
+ *
+ * @deprecated 1.8 Use elgg_get_annotations() and pass 'annotation_calculation' => 'max'
+ * @return int
+ */
+function get_annotations_max($entity_guid, $entity_type = "", $entity_subtype = "", $name = "",
+$value = "", $value_type = "", $owner_guid = 0) {
+ elgg_deprecated_notice('get_annotations_max() is deprecated by elgg_get_annotations() and passing "annotation_calculation" => "max"', 1.8);
+
+ return elgg_deprecated_annotation_calculation($entity_guid, $entity_type, $entity_subtype,
+ $name, $value, $value_type, $owner_guid, $timelower, $timeupper, 'max');
+}
+
+
+/**
+ * Return the minumum of a given integer annotation.
+ *
+ * @param int $entity_guid Guid of Entity
+ * @param string $entity_type Type of Entity
+ * @param string $entity_subtype Subtype of Entity
+ * @param string $name Name of annotation
+ * @param string $value Value of annotation
+ * @param string $value_type Type of value
+ * @param int $owner_guid GUID of owner of annotation
+ *
+ * @deprecated 1.8 Use elgg_get_annotations() and pass 'annotation_calculation' => 'min'
+ * @return int
+ */
+function get_annotations_min($entity_guid, $entity_type = "", $entity_subtype = "", $name = "",
+$value = "", $value_type = "", $owner_guid = 0) {
+ elgg_deprecated_notice('get_annotations_min() is deprecated by elgg_get_annotations() and passing "annotation_calculation" => "min"', 1.8);
+
+ return elgg_deprecated_annotation_calculation($entity_guid, $entity_type, $entity_subtype,
+ $name, $value, $value_type, $owner_guid, $timelower, $timeupper, 'min');
+}
+
+
+/**
+ * Return the average of a given integer annotation.
+ *
+ * @param int $entity_guid Guid of Entity
+ * @param string $entity_type Type of Entity
+ * @param string $entity_subtype Subtype of Entity
+ * @param string $name Name of annotation
+ * @param string $value Value of annotation
+ * @param string $value_type Type of value
+ * @param int $owner_guid GUID of owner of annotation
+ *
+ * @deprecated 1.8 Use elgg_get_annotations() and pass 'annotation_calculation' => 'min'
+ *
+ * @return int
+ */
+function get_annotations_avg($entity_guid, $entity_type = "", $entity_subtype = "", $name = "",
+$value = "", $value_type = "", $owner_guid = 0) {
+ elgg_deprecated_notice('get_annotations_avg() is deprecated by elgg_get_annotations() and passing "annotation_calculation" => "avg"', 1.8);
+
+ return elgg_deprecated_annotation_calculation($entity_guid, $entity_type, $entity_subtype,
+ $name, $value, $value_type, $owner_guid, $timelower, $timeupper, 'avg');
+}
+
+
+/**
+ * Perform a mathmatical calculation on integer annotations.
+ *
+ * @param string $sum What sort of calculation to perform
+ * @param int $entity_guid Guid of Entity
+ * @param string $entity_type Type of Entity
+ * @param string $entity_subtype Subtype of Entity
+ * @param string $name Name of annotation
+ * @param string $value Value of annotation
+ * @param string $value_type Type of value
+ * @param int $owner_guid GUID of owner of annotation
+ * @param int $timelower Lower time limit
+ * @param int $timeupper Upper time limit
+ *
+ * @return int
+ * @deprecated 1.8 Use elgg_get_annotations() and pass anntoation_calculation => <calculation>
+ */
+function get_annotations_calculate_x($sum = "avg", $entity_guid, $entity_type = "",
+$entity_subtype = "", $name = "", $value = "", $value_type = "", $owner_guid = 0,
+$timelower = 0, $timeupper = 0) {
+ elgg_deprecated_notice('get_annotations_calculate_x() is deprecated by elgg_get_annotations() and passing "annotation_calculation" => "calculation"', 1.8);
+
+ return elgg_deprecated_annotation_calculation($entity_guid, $entity_type, $entity_subtype,
+ $name, $value, $value_type, $owner_guid, $timelower, $timeupper, $sum);
+}
+
+
+/**
+ * Lists entities by the totals of a particular kind of annotation AND
+ * the value of a piece of metadata
+ *
+ * @param string $entity_type Type of entity.
+ * @param string $entity_subtype Subtype of entity.
+ * @param string $name Name of annotation.
+ * @param string $mdname Metadata name
+ * @param string $mdvalue Metadata value
+ * @param int $limit Maximum number of results to return.
+ * @param int $owner_guid Owner.
+ * @param int $group_guid Group container. Currently only supported if entity_type is object
+ * @param boolean $asc Whether to list in ascending or descending order (default: desc)
+ * @param boolean $fullview Whether to display the entities in full
+ * @param boolean $listtypetoggle Can the 'gallery' view can be displayed (default: no)
+ * @param boolean $pagination Display pagination
+ * @param string $orderdir 'desc' or 'asc'
+ *
+ * @deprecated 1.8 Use elgg_list_entities_from_annotation_calculation().
+ *
+ * @return string Formatted entity list
+ */
+function list_entities_from_annotation_count_by_metadata($entity_type = "", $entity_subtype = "",
+$name = "", $mdname = '', $mdvalue = '', $limit = 10, $owner_guid = 0, $group_guid = 0,
+$asc = false, $fullview = true, $listtypetoggle = false, $pagination = true, $orderdir = 'desc') {
+
+ $msg = 'list_entities_from_annotation_count_by_metadata() is deprecated by elgg_list_entities_from_annotation_calculation().';
+
+ elgg_deprecated_notice($msg, 1.8);
+
+ $options = array();
+
+ $options['calculation'] = 'sum';
+
+ if ($entity_type) {
+ $options['types'] = $entity_type;
+ }
+
+ if ($entity_subtype) {
+ $options['subtypes'] = $entity_subtype;
+ }
+
+ $options['annotation_names'] = $name;
+
+ if ($mdname) {
+ $options['metadata_name'] = $mdname;
+ }
+
+ if ($mdvalue) {
+ $options['metadata_value'] = $mdvalue;
+ }
+
+ if ($owner_guid) {
+ if (is_array($owner_guid)) {
+ $options['owner_guids'] = $owner_guid;
+ } else {
+ $options['owner_guid'] = $owner_guid;
+ }
+ }
+
+ $options['full_view'] = $fullview;
+
+ $options['list_type_toggle'] = $listtypetoggle;
+
+ $options['pagination'] = $pagination;
+
+ $options['limit'] = $limit;
+
+ $options['order_by'] = "annotation_calculation $orderdir";
+
+ return elgg_get_entities_from_annotation_calculation($options);
+}
+
+/**
+ * Set an alternative base location for a view (as opposed to the default of $CONFIG->viewpath)
+ *
+ * @param string $view The name of the view
+ * @param string $location The base location path
+ *
+ * @deprecated 1.8 Use elgg_set_view_location()
+ */
+function set_view_location($view, $location, $viewtype = '') {
+ elgg_deprecated_notice("set_view_location() was deprecated by elgg_set_view_location()", 1.8);
+ return elgg_set_view_location($view, $location, $viewtype);
+}
+
+/**
+ * Sets the URL handler for a particular entity type and subtype
+ *
+ * @param string $function_name The function to register
+ * @param string $entity_type The entity type
+ * @param string $entity_subtype The entity subtype
+ * @return true|false Depending on success
+ *
+ * @deprecated 1.8 Use elgg_register_entity_url_handler()
+ */
+function register_entity_url_handler($function_name, $entity_type = "all", $entity_subtype = "all") {
+ elgg_deprecated_notice("register_entity_url_handler() was deprecated by elgg_register_entity_url_handler()", 1.8);
+ return elgg_register_entity_url_handler($entity_type, $entity_subtype, $function_name);
+}
+
+
+/**
+ * Get the metadata where the entities they are referring to match a given criteria.
+ *
+ * @param mixed $meta_name Metadata name
+ * @param mixed $meta_value Metadata value
+ * @param string $entity_type The type of entity to look for, eg 'site' or 'object'
+ * @param string $entity_subtype The subtype of the entity.
+ * @param int $limit Limit
+ * @param int $offset Offset
+ * @param string $order_by Optional ordering.
+ * @param int $site_guid Site GUID. 0 for current, -1 for any
+ *
+ * @return mixed
+ * @deprecated 1.8 Use elgg_get_metadata()
+ */
+function find_metadata($meta_name = "", $meta_value = "", $entity_type = "", $entity_subtype = "",
+ $limit = 10, $offset = 0, $order_by = "", $site_guid = 0) {
+
+ elgg_deprecated_notice('get_metadata() is deprecated by elgg_get_metadata()', 1.8);
+
+ $options = array();
+
+ if ($meta_name) {
+ $options['annotation_name'] = $meta_name;
+ }
+
+ if ($meta_value) {
+ $options['annotation_value'] = $meta_value;
+ }
+
+ if ($entity_type) {
+ $options['type'] = $entity_type;
+ }
+
+ if ($entity_subtype) {
+ $options['subtype'] = $entity_subtype;
+ }
+
+ $options['limit'] = $limit;
+ $options['offset'] = $offset;
+
+ if ($order_by == 'desc') {
+ $options['order_by'] = 'n_table.time_created desc';
+ }
+
+ if ($site_guid) {
+ $options['site_guid'] = $site_guid;
+ }
+
+ return elgg_get_metadata($options);
+}
+
+/**
+ * Get metadata objects by name.
+ *
+ * @param int $entity_guid Entity GUID
+ * @param string $meta_name Metadata name
+ *
+ * @return mixed ElggMetadata object, an array of ElggMetadata or false.
+ * @deprecated 1.8 Use elgg_get_metadata()
+ */
+function get_metadata_byname($entity_guid, $meta_name) {
+ elgg_deprecated_notice('get_metadata_byname() is deprecated by elgg_get_metadata()', 1.8);
+
+ if (!$entity_guid || !$meta_name) {
+ return false;
+ }
+
+ $options = array(
+ 'guid' => $entity_guid,
+ 'metadata_name' => $meta_name,
+ 'limit' => 0
+ );
+
+ $md = elgg_get_metadata($options);
+
+ if ($md && count($md) == 1) {
+ return $md[0];
+ }
+
+ return $md;
+}
+
+/**
+ * Return all the metadata for a given GUID.
+ *
+ * @param int $entity_guid Entity GUID
+ *
+ * @return mixed
+ * @deprecated 1.8 Use elgg_get_metadata()
+ */
+function get_metadata_for_entity($entity_guid) {
+ elgg_deprecated_notice('get_metadata_for_entity() is deprecated by elgg_get_metadata()', 1.8);
+
+ if (!$entity_guid) {
+ return false;
+ }
+
+ $options = array(
+ 'guid' => $entity_guid,
+ 'limit' => 0
+ );
+
+ return elgg_get_metadata($options);
+}
+
+/**
+ * Get a specific metadata object.
+ *
+ * @param int $id The id of the metadata being retrieved.
+ *
+ * @return mixed False on failure or ElggMetadata
+ * @deprecated 1.8 Use elgg_get_metadata_from_id()
+ */
+function get_metadata($id) {
+ elgg_deprecated_notice('get_metadata() is deprecated by elgg_get_metadata_from_id()', 1.8);
+ return elgg_get_metadata_from_id($id);
+}
+
+/**
+ * Clear all the metadata for a given entity, assuming you have access to that entity.
+ *
+ * @param int $guid Entity GUID
+ *
+ * @return bool
+ * @deprecated 1.8 Use elgg_delete_metadata()
+ */
+function clear_metadata($guid) {
+ elgg_deprecated_notice('clear_metadata() is deprecated by elgg_delete_metadata()', 1.8);
+ if (!$guid) {
+ return false;
+ }
+ return elgg_delete_metadata(array('guid' => $guid, 'limit' => 0));
+}
+
+/**
+ * Clear all metadata belonging to a given owner_guid
+ *
+ * @param int $owner_guid The owner
+ *
+ * @return bool
+ * @deprecated 1.8 Use elgg_delete_metadata()
+ */
+function clear_metadata_by_owner($owner_guid) {
+ elgg_deprecated_notice('clear_metadata() is deprecated by elgg_delete_metadata()', 1.8);
+ if (!$owner_guid) {
+ return false;
+ }
+ return elgg_delete_metadata(array('metadata_owner_guid' => $owner_guid, 'limit' => 0));
+}
+
+/**
+ * Delete a piece of metadata, where the current user has access.
+ *
+ * @param int $id The id of metadata to delete.
+ *
+ * @return bool
+ * @deprecated 1.8 Use elgg_delete_metadata()
+ */
+function delete_metadata($id) {
+ elgg_deprecated_notice('delete_metadata() is deprecated by elgg_delete_metadata()', 1.8);
+ if (!$id) {
+ return false;
+ }
+ return elgg_delete_metadata(array('metadata_id' => $id));
+}
+
+/**
+ * Removes metadata on an entity with a particular name, optionally with a given value.
+ *
+ * @param int $guid The entity GUID
+ * @param string $name The name of the metadata
+ * @param string $value The value of the metadata (useful to remove a single item of a set)
+ *
+ * @return bool Depending on success
+ * @deprecated 1.8 Use elgg_delete_metadata()
+ */
+function remove_metadata($guid, $name, $value = "") {
+ elgg_deprecated_notice('delete_metadata() is deprecated by elgg_delete_metadata()', 1.8);
+
+ // prevent them from deleting everything
+ if (!$guid) {
+ return false;
+ }
+
+ $options = array(
+ 'guid' => $guid,
+ 'metadata_name' => $name,
+ 'limit' => 0
+ );
+
+ if ($value) {
+ $options['metadata_value'] = $value;
+ }
+
+ return elgg_delete_metadata($options);
+}
+
+/**
+ * Get a specific annotation.
+ *
+ * @param int $annotation_id Annotation ID
+ *
+ * @return ElggAnnotation
+ * @deprecated 1.8 Use elgg_get_annotation_from_id()
+ */
+function get_annotation($annotation_id) {
+ elgg_deprecated_notice('get_annotation() is deprecated by elgg_get_annotation_from_id()', 1.8);
+ return elgg_get_annotation_from_id($annotation_id);
+}
+
+/**
+ * Delete a given annotation.
+ *
+ * @param int $id The annotation id
+ *
+ * @return bool
+ * @deprecated 1.8 Use elgg_delete_annotations()
+ */
+function delete_annotation($id) {
+ elgg_deprecated_notice('delete_annotation() is deprecated by elgg_delete_annotations()', 1.8);
+ if (!$id) {
+ return false;
+ }
+ return elgg_delete_annotations(array('annotation_id' => $annotation_id));
+}
+
+/**
+ * Clear all the annotations for a given entity, assuming you have access to that metadata.
+ *
+ * @param int $guid The entity guid
+ * @param string $name The name of the annotation to delete.
+ *
+ * @return int Number of annotations deleted or false if an error
+ * @deprecated 1.8 Use elgg_delete_annotations()
+ */
+function clear_annotations($guid, $name = "") {
+ elgg_deprecated_notice('clear_annotations() is deprecated by elgg_delete_annotations()', 1.8);
+
+ if (!$guid) {
+ return false;
+ }
+
+ $options = array(
+ 'guid' => $guid,
+ 'limit' => 0
+ );
+
+ if ($name) {
+ $options['annotation_name'] = $name;
+ }
+
+ return elgg_delete_annotations($options);
+}
+
+/**
+ * Clear all annotations belonging to a given owner_guid
+ *
+ * @param int $owner_guid The owner
+ *
+ * @return int Number of annotations deleted
+ * @deprecated 1.8 Use elgg_delete_annotations()
+ */
+function clear_annotations_by_owner($owner_guid) {
+ elgg_deprecated_notice('clear_annotations_by_owner() is deprecated by elgg_delete_annotations()', 1.8);
+
+ if (!$owner_guid) {
+ return false;
+ }
+
+ $options = array(
+ 'annotation_owner_guid' => $guid,
+ 'limit' => 0
+ );
+
+ return elgg_delete_annotations($options);
+}
+
+/**
+ * Registers a page handler for a particular identifier
+ *
+ * For example, you can register a function called 'blog_page_handler' for handler type 'blog'
+ * Now for all URLs of type http://yoururl/pg/blog/*, the blog_page_handler() function will be called.
+ * The part of the URL marked with * above will be exploded on '/' characters and passed as an
+ * array to that function.
+ * For example, the URL http://yoururl/blog/username/friends/ would result in the call:
+ * blog_page_handler(array('username','friends'), blog);
+ *
+ * Page handler functions should return true or the default page handler will be called.
+ *
+ * A request to register a page handler with the same identifier as previously registered
+ * handler will replace the previous one.
+ *
+ * The context is set to the page handler identifier before the registered
+ * page handler function is called. For the above example, the context is set to 'blog'.
+ *
+ * @param string $handler The page type to handle
+ * @param string $function Your function name
+ * @return true|false Depending on success
+ *
+ * @deprecated 1.8 Use {@link elgg_register_page_handler()}
+ */
+function register_page_handler($handler, $function){
+ elgg_deprecated_notice("register_page_handler() was deprecated by elgg_register_page_handler()", 1.8);
+ return elgg_register_page_handler($handler, $function);
+}
+
+/**
+ * Unregister a page handler for an identifier
+ *
+ * Note: to replace a page handler, call register_page_handler()
+ *
+ * @param string $handler The page type identifier
+ * @since 1.7.2
+ *
+ * @deprecated 1.8 Use {@link elgg_unregister_page_handler()}
+ */
+function unregister_page_handler($handler) {
+ elgg_deprecated_notice("unregister_page_handler() was deprecated by elgg_unregister_page_handler()", 1.8);
+ return elgg_unregister_page_handler($handler);
+}
+
+/**
+ * Register an annotation url handler.
+ *
+ * @param string $function_name The function.
+ * @param string $extender_name The name, default 'all'.
+ *
+ * @deprecated 1.8 Use {@link elgg_register_annotation_url_handler()}
+ */
+function register_annotation_url_handler($function, $extender_name) {
+ elgg_deprecated_notice("register_annotation_url_handler() was deprecated by elgg_register_annotation_url_handler()", 1.8);
+ return elgg_register_annotation_url_handler($extender_name, $function);
+}
+
+/**
+ * Sets the URL handler for a particular extender type and name.
+ * It is recommended that you do not call this directly, instead use one of the wrapper functions in the
+ * subtype files.
+ *
+ * @param string $function_name The function to register
+ * @param string $extender_type Extender type
+ * @param string $extender_name The name of the extender
+ * @return true|false Depending on success
+ *
+ * @deprecated 1.8 Use {@link elgg_register_extender_url_handler()}
+ */
+function register_extender_url_handler($function, $type = "all", $name = "all") {
+ elgg_deprecated_notice("register_extender_url_handler() was deprecated by elgg_register_extender_url_handler()", 1.8);
+ return elgg_register_extender_url_handler($type, $name, $function);
+}
+
+/**
+ * Registers and entity type and subtype to return in search and other places.
+ * A description in the elgg_echo languages file of the form item:type:subtype
+ * is also expected.
+ *
+ * @param string $type The type of entity (object, site, user, group)
+ * @param string $subtype The subtype to register (may be blank)
+ * @return true|false Depending on success
+ *
+ * @deprecated 1.8 Use {@link elgg_register_entity_type()}
+ */
+function register_entity_type($type, $subtype = null) {
+ elgg_deprecated_notice("register_entity_type() was deprecated by elgg_register_entity_type()", 1.8);
+ return elgg_register_entity_type($type, $subtype);
+}
+
+/**
+ * Register a metadata url handler.
+ *
+ * @param string $function_name The function.
+ * @param string $extender_name The name, default 'all'.
+ *
+ * @deprecated 1.8 Use {@link elgg_register_metadata_url_handler()}
+ */
+function register_metadata_url_handler($function, $extender_name = "all") {
+ return elgg_register_metadata_url_handler($extender_name, $function);
+}
+
+/**
+ * Sets the URL handler for a particular relationship type
+ *
+ * @param string $function_name The function to register
+ * @param string $relationship_type The relationship type.
+ * @return true|false Depending on success
+ *
+ * @deprecated 1.8 Use {@link elgg_register_relationship_url_handler()}
+ */
+function register_relationship_url_handler($function_name, $relationship_type = "all") {
+ elgg_deprecated_notice("register_relationship_url_handler() was deprecated by elgg_register_relationship_url_handler()", 1.8);
+ return elgg_register_relationship_url_handler($relationship_type, $function_name);
+}
+
+/**
+ * Registers a view to be simply cached
+ *
+ * Views cached in this manner must take no parameters and be login agnostic -
+ * that is to say, they look the same no matter who is logged in (or logged out).
+ *
+ * CSS and the basic jS views are automatically cached like this.
+ *
+ * @param string $viewname View name
+ *
+ * @deprecated 1.8 Use {@link elgg_register_simplecache_view()}
+ */
+function elgg_view_register_simplecache($viewname) {
+ elgg_deprecated_notice("elgg_view_register_simplecache() was deprecated by elgg_register_simplecache_view()", 1.8);
+ return elgg_register_simplecache_view($viewname);
+}
+
+/**
+ * Regenerates the simple cache.
+ *
+ * @param string $viewtype Optional viewtype to regenerate
+ * @see elgg_view_register_simplecache()
+ *
+ * @deprecated 1.8 Use {@link elgg_regenerate_simplecache()}
+ */
+function elgg_view_regenerate_simplecache($viewtype = NULL) {
+ elgg_deprecated_notice("elgg_view_regenerate_simplecache() was deprecated by elgg_regenerate_simplecache()", 1.8);
+ return elgg_regenerate_simplecache($viewtype);
+}
+
+/**
+ * Enables the simple cache.
+ *
+ * @see elgg_view_register_simplecache()
+ *
+ * @deprecated 1.8 Use {@link elgg_enable_simplecache()}
+ */
+function elgg_view_enable_simplecache() {
+ elgg_deprecated_notice("elgg_view_enable_simplecache() was deprecated by elgg_enable_simplecache()", 1.8);
+ return elgg_enable_simplecache();
+}
+
+/**
+ * Disables the simple cache.
+ *
+ * @see elgg_view_register_simplecache()
+ *
+ * @deprecated 1.8 Use {@link elgg_disable_simplecache()}
+ */
+function elgg_view_disable_simplecache() {
+ elgg_deprecated_notice("elgg_view_disable_simplecache() was deprecated by elgg_disable_simplecache()", 1.8);
+ return elgg_disable_simplecache();
+}
+
+// these were internal functions that perhaps can be removed rather than deprecated
+/**
+ * @deprecated 1.8
+ */
+function is_db_installed() {
+ elgg_deprecated_notice('is_db_installed() has been deprecated', 1.8);
+ return true;
+}
+
+/**
+ * @deprecated 1.8
+ */
+function is_installed() {
+ elgg_deprecated_notice('is_installed() has been deprecated', 1.8);
+ return true;
+}
+
+/**
+ * Attempt to authenticate.
+ * This function will process all registered PAM handlers or stop when the first
+ * handler fails. A handler fails by either returning false or throwing an
+ * exception. The advantage of throwing an exception is that it returns a message
+ * through the global $_PAM_HANDLERS_MSG which can be used in communication with
+ * a user. The order that handlers are processed is determined by the order that
+ * they were registered.
+ *
+ * If $credentials are provided the PAM handler should authenticate using the
+ * provided credentials, if not then credentials should be prompted for or
+ * otherwise retrieved (eg from the HTTP header or $_SESSION).
+ *
+ * @param mixed $credentials Mixed PAM handler specific credentials (e.g. username, password)
+ * @param string $policy - the policy type, default is "user"
+ * @return bool true if authenticated, false if not.
+ *
+ * @deprecated 1.8 See {@link ElggPAM}
+ */
+function pam_authenticate($credentials = NULL, $policy = "user") {
+ elgg_deprecated_notice('pam_authenticate has been deprecated for ElggPAM', 1.8);
+ global $_PAM_HANDLERS, $_PAM_HANDLERS_MSG;
+
+ $_PAM_HANDLERS_MSG = array();
+
+ $authenticated = false;
+
+ foreach ($_PAM_HANDLERS[$policy] as $k => $v) {
+ $handler = $v->handler;
+ $importance = $v->importance;
+
+ try {
+ // Execute the handler
+ if ($handler($credentials)) {
+ // Explicitly returned true
+ $_PAM_HANDLERS_MSG[$k] = "Authenticated!";
+
+ $authenticated = true;
+ } else {
+ $_PAM_HANDLERS_MSG[$k] = "Not Authenticated.";
+
+ // If this is required then abort.
+ if ($importance == 'required') {
+ return false;
+ }
+ }
+ } catch (Exception $e) {
+ $_PAM_HANDLERS_MSG[$k] = "$e";
+
+ // If this is required then abort.
+ if ($importance == 'required') {
+ return false;
+ }
+ }
+ }
+
+ return $authenticated;
+}
+
+
+/**
+ * When given a widget entity and a new requested location, saves the new location
+ * and also provides a sensible ordering for all widgets in that column
+ *
+ * @param ElggObject $widget The widget entity
+ * @param int $order The order within the column
+ * @param int $column The column (1, 2 or 3)
+ *
+ * @return bool Depending on success
+ * @deprecated 1.8 use ElggWidget::move()
+ */
+function save_widget_location(ElggObject $widget, $order, $column) {
+ elgg_deprecated_notice('save_widget_location() is deprecated', 1.8);
+ if ($widget instanceof ElggObject) {
+ if ($widget->subtype == "widget") {
+ // If you can't move the widget, don't save a new location
+ if (!$widget->draggable) {
+ return false;
+ }
+
+ // Sanitise the column value
+ if ($column != 1 || $column != 2 || $column != 3) {
+ $column = 1;
+ }
+
+ $widget->column = (int) $column;
+
+ $ordertmp = array();
+ $params = array(
+ 'context' => $widget->context,
+ 'column' => $column,
+ );
+
+ if ($entities = get_entities_from_metadata_multi($params, 'object', 'widget')) {
+ foreach ($entities as $entity) {
+ $entityorder = $entity->order;
+ if ($entityorder < $order) {
+ $ordertmp[$entityorder] = $entity;
+ }
+ if ($entityorder >= $order) {
+ $ordertmp[$entityorder + 10000] = $entity;
+ }
+ }
+ }
+
+ $ordertmp[$order] = $widget;
+ ksort($ordertmp);
+
+ $orderticker = 10;
+ foreach ($ordertmp as $orderval => $entity) {
+ $entity->order = $orderticker;
+ $orderticker += 10;
+ }
+
+ return true;
+ } else {
+ register_error($widget->subtype);
+ }
+
+ }
+
+ return false;
+}
+
+/**
+ * Get widgets for a particular context and column, in order of display
+ *
+ * @param int $user_guid The owner user GUID
+ * @param string $context The context (profile, dashboard etc)
+ * @param int $column The column (1 or 2)
+ *
+ * @return array|false An array of widget ElggObjects, or false
+ * @deprecated 1.8 Use elgg_get_widgets()
+ */
+function get_widgets($user_guid, $context, $column) {
+ elgg_deprecated_notice('get_widgets is depecated for elgg_get_widgets', 1.8);
+ $params = array(
+ 'column' => $column,
+ 'context' => $context
+ );
+ $widgets = get_entities_from_private_setting_multi($params, "object",
+ "widget", $user_guid, "", 10000);
+
+ if ($widgets) {
+ $widgetorder = array();
+ foreach ($widgets as $widget) {
+ $order = $widget->order;
+ while (isset($widgetorder[$order])) {
+ $order++;
+ }
+ $widgetorder[$order] = $widget;
+ }
+
+ ksort($widgetorder);
+
+ return $widgetorder;
+ }
+
+ return false;
+}
+
+/**
+ * Add a new widget instance
+ *
+ * @param int $entity_guid GUID of entity that owns this widget
+ * @param string $handler The handler for this widget
+ * @param string $context The page context for this widget
+ * @param int $order The order to display this widget in
+ * @param int $column The column to display this widget in (1, 2 or 3)
+ * @param int $access_id If not specified, it is set to the default access level
+ *
+ * @return int|false Widget GUID or false on failure
+ * @deprecated 1.8 use elgg_create_widget()
+ */
+function add_widget($entity_guid, $handler, $context, $order = 0, $column = 1, $access_id = null) {
+ elgg_deprecated_notice('add_widget has been deprecated for elgg_create_widget', 1.8);
+ if (empty($entity_guid) || empty($context) || empty($handler) || !widget_type_exists($handler)) {
+ return false;
+ }
+
+ if ($entity = get_entity($entity_guid)) {
+ $widget = new ElggWidget;
+ $widget->owner_guid = $entity_guid;
+ $widget->container_guid = $entity_guid;
+ if (isset($access_id)) {
+ $widget->access_id = $access_id;
+ } else {
+ $widget->access_id = get_default_access();
+ }
+
+ $guid = $widget->save();
+
+ // private settings cannot be set until ElggWidget saved
+ $widget->handler = $handler;
+ $widget->context = $context;
+ $widget->column = $column;
+ $widget->order = $order;
+
+ return $guid;
+ }
+
+ return false;
+}
+
+/**
+ * Define a new widget type
+ *
+ * @param string $handler The identifier for the widget handler
+ * @param string $name The name of the widget type
+ * @param string $description A description for the widget type
+ * @param string $context A comma-separated list of contexts where this
+ * widget is allowed (default: 'all')
+ * @param bool $multiple Whether or not multiple instances of this widget
+ * are allowed on a single dashboard (default: false)
+ * @param string $positions A comma-separated list of positions on the page
+ * (side or main) where this widget is allowed (default: "side,main")
+ *
+ * @return bool Depending on success
+ * @deprecated 1.8 Use elgg_register_widget_type
+ */
+function add_widget_type($handler, $name, $description, $context = "all",
+$multiple = false, $positions = "side,main") {
+ elgg_deprecated_notice("add_widget_type deprecated for elgg_register_widget_type", 1.8);
+
+ return elgg_register_widget_type($handler, $name, $description, $context, $multiple);
+}
+
+/**
+ * Remove a widget type
+ *
+ * @param string $handler The identifier for the widget handler
+ *
+ * @return void
+ * @since 1.7.1
+ * @deprecated 1.8 Use elgg_unregister_widget_type
+ */
+function remove_widget_type($handler) {
+ elgg_deprecated_notice("remove_widget_type deprecated for elgg_unregister_widget_type", 1.8);
+ return elgg_unregister_widget_type($handler);
+}
+
+/**
+ * Determines whether or not widgets with the specified handler have been defined
+ *
+ * @param string $handler The widget handler identifying string
+ *
+ * @return bool Whether or not those widgets exist
+ * @deprecated 1.8 Use elgg_is_widget_type
+ */
+function widget_type_exists($handler) {
+ elgg_deprecated_notice("widget_type_exists deprecated for elgg_is_widget_type", 1.8);
+ return elgg_is_widget_type($handler);
+}
+
+/**
+ * Returns an array of stdClass objects representing the defined widget types
+ *
+ * @return array A list of types defined (if any)
+ * @deprecated 1.8 Use elgg_get_widget_types
+ */
+function get_widget_types() {
+ elgg_deprecated_notice("get_widget_types deprecrated for elgg_get_widget_types", 1.8);
+ return elgg_get_widget_types();
+}
+
+/**
+ * Saves a widget's settings (by passing an array of
+ * (name => value) pairs to save_{$handler}_widget)
+ *
+ * @param int $widget_guid The GUID of the widget we're saving to
+ * @param array $params An array of name => value parameters
+ *
+ * @return bool
+ * @deprecated 1.8 Use elgg_save_widget_settings
+ */
+function save_widget_info($widget_guid, $params) {
+ elgg_deprecated_notice("save_widget_info() is deprecated for elgg_save_widget_settings", 1.8);
+ if ($widget = get_entity($widget_guid)) {
+
+ $subtype = $widget->getSubtype();
+
+ if ($subtype != "widget") {
+ return false;
+ }
+ $handler = $widget->handler;
+ if (empty($handler) || !widget_type_exists($handler)) {
+ return false;
+ }
+
+ if (!$widget->canEdit()) {
+ return false;
+ }
+
+ // Save the params to the widget
+ if (is_array($params) && sizeof($params) > 0) {
+ foreach ($params as $name => $value) {
+
+ if (!empty($name) && !in_array($name, array(
+ 'guid', 'owner_guid', 'site_guid'
+ ))) {
+ if (is_array($value)) {
+ // @todo Handle arrays securely
+ $widget->setMetaData($name, $value, "", true);
+ } else {
+ $widget->$name = $value;
+ }
+ }
+ }
+ $widget->save();
+ }
+
+ $function = "save_{$handler}_widget";
+ if (is_callable($function)) {
+ return $function($params);
+ }
+
+ return true;
+ }
+
+ return false;
+}
+
+/**
+ * Reorders the widgets from a widget panel
+ *
+ * @param string $panelstring1 String of guids of ElggWidget objects separated by ::
+ * @param string $panelstring2 String of guids of ElggWidget objects separated by ::
+ * @param string $panelstring3 String of guids of ElggWidget objects separated by ::
+ * @param string $context Profile or dashboard
+ * @param int $owner Owner guid
+ *
+ * @return void
+ * @deprecated 1.8 Don't use.
+ */
+function reorder_widgets_from_panel($panelstring1, $panelstring2, $panelstring3, $context, $owner) {
+ elgg_deprecated_notice("reorder_widgets_from_panel() is deprecated", 1.8);
+ $return = true;
+
+ $mainwidgets = explode('::', $panelstring1);
+ $sidewidgets = explode('::', $panelstring2);
+ $rightwidgets = explode('::', $panelstring3);
+
+ $handlers = array();
+ $guids = array();
+
+ if (is_array($mainwidgets) && sizeof($mainwidgets) > 0) {
+ foreach ($mainwidgets as $widget) {
+
+ $guid = (int) $widget;
+
+ if ("{$guid}" == "{$widget}") {
+ $guids[1][] = $widget;
+ } else {
+ $handlers[1][] = $widget;
+ }
+ }
+ }
+ if (is_array($sidewidgets) && sizeof($sidewidgets) > 0) {
+ foreach ($sidewidgets as $widget) {
+
+ $guid = (int) $widget;
+
+ if ("{$guid}" == "{$widget}") {
+ $guids[2][] = $widget;
+ } else {
+ $handlers[2][] = $widget;
+ }
+
+ }
+ }
+ if (is_array($rightwidgets) && sizeof($rightwidgets) > 0) {
+ foreach ($rightwidgets as $widget) {
+
+ $guid = (int) $widget;
+
+ if ("{$guid}" == "{$widget}") {
+ $guids[3][] = $widget;
+ } else {
+ $handlers[3][] = $widget;
+ }
+
+ }
+ }
+
+ // Reorder existing widgets or delete ones that have vanished
+ foreach (array(1, 2, 3) as $column) {
+ if ($dbwidgets = get_widgets($owner, $context, $column)) {
+
+ foreach ($dbwidgets as $dbwidget) {
+ if (in_array($dbwidget->getGUID(), $guids[1])
+ || in_array($dbwidget->getGUID(), $guids[2]) || in_array($dbwidget->getGUID(), $guids[3])) {
+
+ if (in_array($dbwidget->getGUID(), $guids[1])) {
+ $pos = array_search($dbwidget->getGUID(), $guids[1]);
+ $col = 1;
+ } else if (in_array($dbwidget->getGUID(), $guids[2])) {
+ $pos = array_search($dbwidget->getGUID(), $guids[2]);
+ $col = 2;
+ } else {
+ $pos = array_search($dbwidget->getGUID(), $guids[3]);
+ $col = 3;
+ }
+ $pos = ($pos + 1) * 10;
+ $dbwidget->column = $col;
+ $dbwidget->order = $pos;
+ } else {
+ $dbguid = $dbwidget->getGUID();
+ if (!$dbwidget->delete()) {
+ $return = false;
+ } else {
+ // Remove state cookie
+ setcookie('widget' + $dbguid, null);
+ }
+ }
+ }
+
+ }
+ // Add new ones
+ if (sizeof($guids[$column]) > 0) {
+ foreach ($guids[$column] as $key => $guid) {
+ if ($guid == 0) {
+ $pos = ($key + 1) * 10;
+ $handler = $handlers[$column][$key];
+ if (!add_widget($owner, $handler, $context, $pos, $column)) {
+ $return = false;
+ }
+ }
+ }
+ }
+ }
+
+ return $return;
+}
+
+/**
+ * Register a particular context for use with widgets.
+ *
+ * @param string $context The context we wish to enable context for
+ *
+ * @return void
+ * @deprecated 1.8 Don't use.
+ */
+function use_widgets($context) {
+ elgg_deprecated_notice("use_widgets is deprecated", 1.8);
+ global $CONFIG;
+
+ if (!isset($CONFIG->widgets)) {
+ $CONFIG->widgets = new stdClass;
+ }
+
+ if (!isset($CONFIG->widgets->contexts)) {
+ $CONFIG->widgets->contexts = array();
+ }
+
+ if (!empty($context)) {
+ $CONFIG->widgets->contexts[] = $context;
+ }
+}
+
+/**
+ * Determines whether or not the current context is using widgets
+ *
+ * @return bool Depending on widget status
+ * @deprecated 1.8 Don't use.
+ */
+function using_widgets() {
+ elgg_deprecated_notice("using_widgets is deprecated", 1.8);
+ global $CONFIG;
+
+ $context = elgg_get_context();
+ if (isset($CONFIG->widgets->contexts) && is_array($CONFIG->widgets->contexts)) {
+ if (in_array($context, $CONFIG->widgets->contexts)) {
+ return true;
+ }
+ }
+
+ return false;
+}
+
+/**
+ * Displays a particular widget
+ *
+ * @param ElggObject $widget The widget to display
+ * @return string The HTML for the widget, including JavaScript wrapper
+ *
+ * @deprecated 1.8 Use elgg_view_entity()
+ */
+function display_widget(ElggObject $widget) {
+ elgg_deprecated_notice("display_widget() was been deprecated. Use elgg_view_entity().", 1.8);
+ return elgg_view_entity($widget);
+}
+
+/**
+ * Count the number of comments attached to an entity
+ *
+ * @param ElggEntity $entity
+ * @return int Number of comments
+ * @deprecated 1.8 Use ElggEntity->countComments()
+ */
+function elgg_count_comments($entity) {
+ elgg_deprecated_notice('elgg_count_comments() is deprecated by ElggEntity->countComments()', 1.8);
+
+ if ($entity instanceof ElggEntity) {
+ return $entity->countComments();
+ }
+
+ return 0;
+}
+
+/**
+ * Removes all items relating to a particular acting entity from the river
+ *
+ * @param int $subject_guid The GUID of the entity
+ *
+ * @return bool Depending on success
+ * @deprecated 1.8 Use elgg_delete_river()
+ */
+function remove_from_river_by_subject($subject_guid) {
+ elgg_deprecated_notice("remove_from_river_by_subject() deprecated by elgg_delete_river()", 1.8);
+
+ return elgg_delete_river(array('subject_guid' => $subject_guid));
+}
+
+/**
+ * Removes all items relating to a particular entity being acted upon from the river
+ *
+ * @param int $object_guid The GUID of the entity
+ *
+ * @return bool Depending on success
+ * @deprecated 1.8 Use elgg_delete_river()
+ */
+function remove_from_river_by_object($object_guid) {
+ elgg_deprecated_notice("remove_from_river_by_object() deprecated by elgg_delete_river()", 1.8);
+
+ return elgg_delete_river(array('object_guid' => $object_guid));
+}
+
+/**
+ * Removes all items relating to a particular annotation being acted upon from the river
+ *
+ * @param int $annotation_id The ID of the annotation
+ *
+ * @return bool Depending on success
+ * @since 1.7.0
+ * @deprecated 1.8 Use elgg_delete_river()
+ */
+function remove_from_river_by_annotation($annotation_id) {
+ elgg_deprecated_notice("remove_from_river_by_annotation() deprecated by elgg_delete_river()", 1.8);
+
+ return elgg_delete_river(array('annotation_id' => $annotation_id));
+}
+
+/**
+ * Removes a single river entry
+ *
+ * @param int $id The ID of the river entry
+ *
+ * @return bool Depending on success
+ * @since 1.7.2
+ * @deprecated 1.8 Use elgg_delete_river()
+ */
+function remove_from_river_by_id($id) {
+ elgg_deprecated_notice("remove_from_river_by_id() deprecated by elgg_delete_river()", 1.8);
+
+ return elgg_delete_river(array('id' => $id));
+}
+
+/**
+ * A default page handler
+ * Tries to locate a suitable file to include. Only works for core pages, not plugins.
+ *
+ * @param array $page The page URL elements
+ * @param string $handler The base handler
+ *
+ * @return true|false Depending on success
+ * @deprecated 1.8
+ */
+function default_page_handler($page, $handler) {
+ global $CONFIG;
+
+ elgg_deprecated_notice("default_page_handler is deprecated", "1.8");
+
+ $page = implode('/', $page);
+
+ // protect against including arbitary files
+ $page = str_replace("..", "", $page);
+
+ $callpath = $CONFIG->path . $handler . "/" . $page;
+ if (is_dir($callpath)) {
+ $callpath = sanitise_filepath($callpath);
+ $callpath .= "index.php";
+ if (file_exists($callpath)) {
+ if (include($callpath)) {
+ return TRUE;
+ }
+ }
+ } else if (file_exists($callpath)) {
+ include($callpath);
+ return TRUE;
+ }
+
+ return FALSE;
+}
+
+/**
+ * Invalidate this class's entry in the cache.
+ *
+ * @param int $guid The entity guid
+ *
+ * @return void
+ * @access private
+ * @deprecated 1.8
+ */
+function invalidate_cache_for_entity($guid) {
+ elgg_deprecated_notice('invalidate_cache_for_entity() is a private function and should not be used.', 1.8);
+ _elgg_invalidate_cache_for_entity($guid);
+}
+
+/**
+ * Cache an entity.
+ *
+ * Stores an entity in $ENTITY_CACHE;
+ *
+ * @param ElggEntity $entity Entity to cache
+ *
+ * @return void
+ * @access private
+ * @deprecated 1.8
+ */
+function cache_entity(ElggEntity $entity) {
+ elgg_deprecated_notice('cache_entity() is a private function and should not be used.', 1.8);
+ _elgg_cache_entity($entity);
+}
+
+/**
+ * Retrieve a entity from the cache.
+ *
+ * @param int $guid The guid
+ *
+ * @return ElggEntity|bool false if entity not cached, or not fully loaded
+ * @access private
+ * @deprecated 1.8
+ */
+function retrieve_cached_entity($guid) {
+ elgg_deprecated_notice('retrieve_cached_entity() is a private function and should not be used.', 1.8);
+ return _elgg_retrieve_cached_entity($guid);
+}
diff --git a/engine/lib/deprecated-1.9.php b/engine/lib/deprecated-1.9.php
new file mode 100644
index 000000000..31d03428f
--- /dev/null
+++ b/engine/lib/deprecated-1.9.php
@@ -0,0 +1,582 @@
+<?php
+/**
+ * Return a timestamp for the start of a given day (defaults today).
+ *
+ * @param int $day Day
+ * @param int $month Month
+ * @param int $year Year
+ *
+ * @return int
+ * @access private
+ * @deprecated 1.9
+ */
+function get_day_start($day = null, $month = null, $year = null) {
+ elgg_deprecated_notice('get_day_start() has been deprecated', 1.9);
+ return mktime(0, 0, 0, $month, $day, $year);
+}
+
+/**
+ * Return a timestamp for the end of a given day (defaults today).
+ *
+ * @param int $day Day
+ * @param int $month Month
+ * @param int $year Year
+ *
+ * @return int
+ * @access private
+ * @deprecated 1.9
+ */
+function get_day_end($day = null, $month = null, $year = null) {
+ elgg_deprecated_notice('get_day_end() has been deprecated', 1.9);
+ return mktime(23, 59, 59, $month, $day, $year);
+}
+
+/**
+ * Return the notable entities for a given time period.
+ *
+ * @param int $start_time The start time as a unix timestamp.
+ * @param int $end_time The end time as a unix timestamp.
+ * @param string $type The type of entity (eg "user", "object" etc)
+ * @param string $subtype The arbitrary subtype of the entity
+ * @param int $owner_guid The GUID of the owning user
+ * @param string $order_by The field to order by; by default, time_created desc
+ * @param int $limit The number of entities to return; 10 by default
+ * @param int $offset The indexing offset, 0 by default
+ * @param boolean $count Set to true to get a count instead of entities. Defaults to false.
+ * @param int $site_guid Site to get entities for. Default 0 = current site. -1 = any.
+ * @param mixed $container_guid Container or containers to get entities from (default: any).
+ *
+ * @return array|false
+ * @access private
+ * @deprecated 1.9
+ */
+function get_notable_entities($start_time, $end_time, $type = "", $subtype = "", $owner_guid = 0,
+$order_by = "asc", $limit = 10, $offset = 0, $count = false, $site_guid = 0,
+$container_guid = null) {
+ elgg_deprecated_notice('get_notable_entities() has been deprecated', 1.9);
+ global $CONFIG;
+
+ if ($subtype === false || $subtype === null || $subtype === 0) {
+ return false;
+ }
+
+ $start_time = (int)$start_time;
+ $end_time = (int)$end_time;
+ $order_by = sanitise_string($order_by);
+ $limit = (int)$limit;
+ $offset = (int)$offset;
+ $site_guid = (int) $site_guid;
+ if ($site_guid == 0) {
+ $site_guid = $CONFIG->site_guid;
+ }
+
+ $where = array();
+
+ if (is_array($type)) {
+ $tempwhere = "";
+ if (sizeof($type)) {
+ foreach ($type as $typekey => $subtypearray) {
+ foreach ($subtypearray as $subtypeval) {
+ $typekey = sanitise_string($typekey);
+ if (!empty($subtypeval)) {
+ $subtypeval = (int) get_subtype_id($typekey, $subtypeval);
+ } else {
+ $subtypeval = 0;
+ }
+ if (!empty($tempwhere)) {
+ $tempwhere .= " or ";
+ }
+ $tempwhere .= "(e.type = '{$typekey}' and e.subtype = {$subtypeval})";
+ }
+ }
+ }
+ if (!empty($tempwhere)) {
+ $where[] = "({$tempwhere})";
+ }
+ } else {
+ $type = sanitise_string($type);
+ $subtype = get_subtype_id($type, $subtype);
+
+ if ($type != "") {
+ $where[] = "e.type='$type'";
+ }
+
+ if ($subtype !== "") {
+ $where[] = "e.subtype=$subtype";
+ }
+ }
+
+ if ($owner_guid != "") {
+ if (!is_array($owner_guid)) {
+ $owner_array = array($owner_guid);
+ $owner_guid = (int) $owner_guid;
+ $where[] = "e.owner_guid = '$owner_guid'";
+ } else if (sizeof($owner_guid) > 0) {
+ $owner_array = array_map('sanitise_int', $owner_guid);
+ // Cast every element to the owner_guid array to int
+ $owner_guid = implode(",", $owner_guid);
+ $where[] = "e.owner_guid in ({$owner_guid})";
+ }
+ if (is_null($container_guid)) {
+ $container_guid = $owner_array;
+ }
+ }
+
+ if ($site_guid > 0) {
+ $where[] = "e.site_guid = {$site_guid}";
+ }
+
+ if (!is_null($container_guid)) {
+ if (is_array($container_guid)) {
+ foreach ($container_guid as $key => $val) {
+ $container_guid[$key] = (int) $val;
+ }
+ $where[] = "e.container_guid in (" . implode(",", $container_guid) . ")";
+ } else {
+ $container_guid = (int) $container_guid;
+ $where[] = "e.container_guid = {$container_guid}";
+ }
+ }
+
+ // Add the calendar stuff
+ $cal_join = "
+ JOIN {$CONFIG->dbprefix}metadata cal_start on e.guid=cal_start.entity_guid
+ JOIN {$CONFIG->dbprefix}metastrings cal_start_name on cal_start.name_id=cal_start_name.id
+ JOIN {$CONFIG->dbprefix}metastrings cal_start_value on cal_start.value_id=cal_start_value.id
+
+ JOIN {$CONFIG->dbprefix}metadata cal_end on e.guid=cal_end.entity_guid
+ JOIN {$CONFIG->dbprefix}metastrings cal_end_name on cal_end.name_id=cal_end_name.id
+ JOIN {$CONFIG->dbprefix}metastrings cal_end_value on cal_end.value_id=cal_end_value.id
+ ";
+ $where[] = "cal_start_name.string='calendar_start'";
+ $where[] = "cal_start_value.string>=$start_time";
+ $where[] = "cal_end_name.string='calendar_end'";
+ $where[] = "cal_end_value.string <= $end_time";
+
+
+ if (!$count) {
+ $query = "SELECT e.* from {$CONFIG->dbprefix}entities e $cal_join where ";
+ } else {
+ $query = "SELECT count(e.guid) as total from {$CONFIG->dbprefix}entities e $cal_join where ";
+ }
+ foreach ($where as $w) {
+ $query .= " $w and ";
+ }
+
+ $query .= get_access_sql_suffix('e'); // Add access controls
+
+ if (!$count) {
+ $query .= " order by n.calendar_start $order_by";
+ // Add order and limit
+ if ($limit) {
+ $query .= " limit $offset, $limit";
+ }
+ $dt = get_data($query, "entity_row_to_elggstar");
+
+ return $dt;
+ } else {
+ $total = get_data_row($query);
+ return $total->total;
+ }
+}
+
+/**
+ * Return the notable entities for a given time period based on an item of metadata.
+ *
+ * @param int $start_time The start time as a unix timestamp.
+ * @param int $end_time The end time as a unix timestamp.
+ * @param mixed $meta_name Metadata name
+ * @param mixed $meta_value Metadata value
+ * @param string $entity_type The type of entity to look for, eg 'site' or 'object'
+ * @param string $entity_subtype The subtype of the entity.
+ * @param int $owner_guid Owner GUID
+ * @param int $limit Limit
+ * @param int $offset Offset
+ * @param string $order_by Optional ordering.
+ * @param int $site_guid Site to get entities for. Default 0 = current site. -1 = any.
+ * @param bool $count If true, returns count instead of entities. (Default: false)
+ *
+ * @return int|array A list of entities, or a count if $count is set to true
+ * @access private
+ * @deprecated 1.9
+ */
+function get_notable_entities_from_metadata($start_time, $end_time, $meta_name, $meta_value = "",
+$entity_type = "", $entity_subtype = "", $owner_guid = 0, $limit = 10, $offset = 0, $order_by = "",
+$site_guid = 0, $count = false) {
+ elgg_deprecated_notice('get_notable_entities_from_metadata() has been deprecated', 1.9);
+
+ global $CONFIG;
+
+ $meta_n = get_metastring_id($meta_name);
+ $meta_v = get_metastring_id($meta_value);
+
+ $start_time = (int)$start_time;
+ $end_time = (int)$end_time;
+ $entity_type = sanitise_string($entity_type);
+ $entity_subtype = get_subtype_id($entity_type, $entity_subtype);
+ $limit = (int)$limit;
+ $offset = (int)$offset;
+ if ($order_by == "") {
+ $order_by = "e.time_created desc";
+ }
+ $order_by = sanitise_string($order_by);
+ $site_guid = (int) $site_guid;
+ if ((is_array($owner_guid) && (count($owner_guid)))) {
+ foreach ($owner_guid as $key => $guid) {
+ $owner_guid[$key] = (int) $guid;
+ }
+ } else {
+ $owner_guid = (int) $owner_guid;
+ }
+
+ if ($site_guid == 0) {
+ $site_guid = $CONFIG->site_guid;
+ }
+
+ //$access = get_access_list();
+
+ $where = array();
+
+ if ($entity_type != "") {
+ $where[] = "e.type='$entity_type'";
+ }
+
+ if ($entity_subtype) {
+ $where[] = "e.subtype=$entity_subtype";
+ }
+
+ if ($meta_name != "") {
+ $where[] = "m.name_id='$meta_n'";
+ }
+
+ if ($meta_value != "") {
+ $where[] = "m.value_id='$meta_v'";
+ }
+
+ if ($site_guid > 0) {
+ $where[] = "e.site_guid = {$site_guid}";
+ }
+
+ if (is_array($owner_guid)) {
+ $where[] = "e.container_guid in (" . implode(",", $owner_guid) . ")";
+ } else if ($owner_guid > 0) {
+ $where[] = "e.container_guid = {$owner_guid}";
+ }
+
+ // Add the calendar stuff
+ $cal_join = "
+ JOIN {$CONFIG->dbprefix}metadata cal_start on e.guid=cal_start.entity_guid
+ JOIN {$CONFIG->dbprefix}metastrings cal_start_name on cal_start.name_id=cal_start_name.id
+ JOIN {$CONFIG->dbprefix}metastrings cal_start_value on cal_start.value_id=cal_start_value.id
+
+ JOIN {$CONFIG->dbprefix}metadata cal_end on e.guid=cal_end.entity_guid
+ JOIN {$CONFIG->dbprefix}metastrings cal_end_name on cal_end.name_id=cal_end_name.id
+ JOIN {$CONFIG->dbprefix}metastrings cal_end_value on cal_end.value_id=cal_end_value.id
+ ";
+
+ $where[] = "cal_start_name.string='calendar_start'";
+ $where[] = "cal_start_value.string>=$start_time";
+ $where[] = "cal_end_name.string='calendar_end'";
+ $where[] = "cal_end_value.string <= $end_time";
+
+ if (!$count) {
+ $query = "SELECT distinct e.* ";
+ } else {
+ $query = "SELECT count(distinct e.guid) as total ";
+ }
+
+ $query .= "from {$CONFIG->dbprefix}entities e"
+ . " JOIN {$CONFIG->dbprefix}metadata m on e.guid = m.entity_guid $cal_join where";
+
+ foreach ($where as $w) {
+ $query .= " $w and ";
+ }
+
+ // Add access controls
+ $query .= get_access_sql_suffix("e");
+ $query .= ' and ' . get_access_sql_suffix("m");
+
+ if (!$count) {
+ // Add order and limit
+ $query .= " order by $order_by limit $offset, $limit";
+ return get_data($query, "entity_row_to_elggstar");
+ } else {
+ if ($row = get_data_row($query)) {
+ return $row->total;
+ }
+ }
+
+ return false;
+}
+
+/**
+ * Return the notable entities for a given time period based on their relationship.
+ *
+ * @param int $start_time The start time as a unix timestamp.
+ * @param int $end_time The end time as a unix timestamp.
+ * @param string $relationship The relationship eg "friends_of"
+ * @param int $relationship_guid The guid of the entity to use query
+ * @param bool $inverse_relationship Reverse the normal function of the query to say
+ * "give me all entities for whom $relationship_guid is a
+ * $relationship of"
+ * @param string $type Entity type
+ * @param string $subtype Entity subtype
+ * @param int $owner_guid Owner GUID
+ * @param string $order_by Optional Order by
+ * @param int $limit Limit
+ * @param int $offset Offset
+ * @param boolean $count If true returns a count of entities (default false)
+ * @param int $site_guid Site to get entities for. Default 0 = current site. -1 = any
+ *
+ * @return array|int|false An array of entities, or the number of entities, or false on failure
+ * @access private
+ * @deprecated 1.9
+ */
+function get_noteable_entities_from_relationship($start_time, $end_time, $relationship,
+$relationship_guid, $inverse_relationship = false, $type = "", $subtype = "", $owner_guid = 0,
+$order_by = "", $limit = 10, $offset = 0, $count = false, $site_guid = 0) {
+ elgg_deprecated_notice('get_noteable_entities_from_relationship() has been deprecated', 1.9);
+
+ global $CONFIG;
+
+ $start_time = (int)$start_time;
+ $end_time = (int)$end_time;
+ $relationship = sanitise_string($relationship);
+ $relationship_guid = (int)$relationship_guid;
+ $inverse_relationship = (bool)$inverse_relationship;
+ $type = sanitise_string($type);
+ $subtype = get_subtype_id($type, $subtype);
+ $owner_guid = (int)$owner_guid;
+ if ($order_by == "") {
+ $order_by = "time_created desc";
+ }
+ $order_by = sanitise_string($order_by);
+ $limit = (int)$limit;
+ $offset = (int)$offset;
+ $site_guid = (int) $site_guid;
+ if ($site_guid == 0) {
+ $site_guid = $CONFIG->site_guid;
+ }
+
+ //$access = get_access_list();
+
+ $where = array();
+
+ if ($relationship != "") {
+ $where[] = "r.relationship='$relationship'";
+ }
+ if ($relationship_guid) {
+ $where[] = $inverse_relationship ?
+ "r.guid_two='$relationship_guid'" : "r.guid_one='$relationship_guid'";
+ }
+ if ($type != "") {
+ $where[] = "e.type='$type'";
+ }
+ if ($subtype) {
+ $where[] = "e.subtype=$subtype";
+ }
+ if ($owner_guid != "") {
+ $where[] = "e.container_guid='$owner_guid'";
+ }
+ if ($site_guid > 0) {
+ $where[] = "e.site_guid = {$site_guid}";
+ }
+
+ // Add the calendar stuff
+ $cal_join = "
+ JOIN {$CONFIG->dbprefix}metadata cal_start on e.guid=cal_start.entity_guid
+ JOIN {$CONFIG->dbprefix}metastrings cal_start_name on cal_start.name_id=cal_start_name.id
+ JOIN {$CONFIG->dbprefix}metastrings cal_start_value on cal_start.value_id=cal_start_value.id
+
+ JOIN {$CONFIG->dbprefix}metadata cal_end on e.guid=cal_end.entity_guid
+ JOIN {$CONFIG->dbprefix}metastrings cal_end_name on cal_end.name_id=cal_end_name.id
+ JOIN {$CONFIG->dbprefix}metastrings cal_end_value on cal_end.value_id=cal_end_value.id
+ ";
+ $where[] = "cal_start_name.string='calendar_start'";
+ $where[] = "cal_start_value.string>=$start_time";
+ $where[] = "cal_end_name.string='calendar_end'";
+ $where[] = "cal_end_value.string <= $end_time";
+
+ // Select what we're joining based on the options
+ $joinon = "e.guid = r.guid_one";
+ if (!$inverse_relationship) {
+ $joinon = "e.guid = r.guid_two";
+ }
+
+ if ($count) {
+ $query = "SELECT count(distinct e.guid) as total ";
+ } else {
+ $query = "SELECT distinct e.* ";
+ }
+ $query .= " from {$CONFIG->dbprefix}entity_relationships r"
+ . " JOIN {$CONFIG->dbprefix}entities e on $joinon $cal_join where ";
+
+ foreach ($where as $w) {
+ $query .= " $w and ";
+ }
+ // Add access controls
+ $query .= get_access_sql_suffix("e");
+ if (!$count) {
+ $query .= " order by $order_by limit $offset, $limit"; // Add order and limit
+ return get_data($query, "entity_row_to_elggstar");
+ } else {
+ if ($count = get_data_row($query)) {
+ return $count->total;
+ }
+ }
+ return false;
+}
+
+/**
+ * Get all entities for today.
+ *
+ * @param string $type The type of entity (eg "user", "object" etc)
+ * @param string $subtype The arbitrary subtype of the entity
+ * @param int $owner_guid The GUID of the owning user
+ * @param string $order_by The field to order by; by default, time_created desc
+ * @param int $limit The number of entities to return; 10 by default
+ * @param int $offset The indexing offset, 0 by default
+ * @param boolean $count If true returns a count of entities (default false)
+ * @param int $site_guid Site to get entities for. Default 0 = current site. -1 = any
+ * @param mixed $container_guid Container(s) to get entities from (default: any).
+ *
+ * @return array|false
+ * @access private
+ * @deprecated 1.9
+ */
+function get_todays_entities($type = "", $subtype = "", $owner_guid = 0, $order_by = "",
+$limit = 10, $offset = 0, $count = false, $site_guid = 0, $container_guid = null) {
+ elgg_deprecated_notice('get_todays_entities() has been deprecated', 1.9);
+
+ $day_start = get_day_start();
+ $day_end = get_day_end();
+
+ return get_notable_entities($day_start, $day_end, $type, $subtype, $owner_guid, $order_by,
+ $limit, $offset, $count, $site_guid, $container_guid);
+}
+
+/**
+ * Get entities for today from metadata.
+ *
+ * @param mixed $meta_name Metadata name
+ * @param mixed $meta_value Metadata value
+ * @param string $entity_type The type of entity to look for, eg 'site' or 'object'
+ * @param string $entity_subtype The subtype of the entity.
+ * @param int $owner_guid Owner GUID
+ * @param int $limit Limit
+ * @param int $offset Offset
+ * @param string $order_by Optional ordering.
+ * @param int $site_guid Site to get entities for. Default 0 = current site. -1 = any.
+ * @param bool $count If true, returns count instead of entities. (Default: false)
+ *
+ * @return int|array A list of entities, or a count if $count is set to true
+ * @access private
+ * @deprecated 1.9
+ */
+function get_todays_entities_from_metadata($meta_name, $meta_value = "", $entity_type = "",
+$entity_subtype = "", $owner_guid = 0, $limit = 10, $offset = 0, $order_by = "", $site_guid = 0,
+$count = false) {
+ elgg_deprecated_notice('get_todays_entities_from_metadata() has been deprecated', 1.9);
+
+ $day_start = get_day_start();
+ $day_end = get_day_end();
+
+ return get_notable_entities_from_metadata($day_start, $day_end, $meta_name, $meta_value,
+ $entity_type, $entity_subtype, $owner_guid, $limit, $offset, $order_by, $site_guid, $count);
+}
+
+/**
+ * Get entities for today from a relationship
+ *
+ * @param string $relationship The relationship eg "friends_of"
+ * @param int $relationship_guid The guid of the entity to use query
+ * @param bool $inverse_relationship Reverse the normal function of the query to say
+ * "give me all entities for whom $relationship_guid is a
+ * $relationship of"
+ * @param string $type Entity type
+ * @param string $subtype Entity subtype
+ * @param int $owner_guid Owner GUID
+ * @param string $order_by Optional Order by
+ * @param int $limit Limit
+ * @param int $offset Offset
+ * @param boolean $count If true returns a count of entities (default false)
+ * @param int $site_guid Site to get entities for. Default 0 = current site. -1 = any
+ *
+ * @return array|int|false An array of entities, or the number of entities, or false on failure
+ * @access private
+ * @deprecated 1.9
+ */
+function get_todays_entities_from_relationship($relationship, $relationship_guid,
+$inverse_relationship = false, $type = "", $subtype = "", $owner_guid = 0,
+$order_by = "", $limit = 10, $offset = 0, $count = false, $site_guid = 0) {
+ elgg_deprecated_notice('get_todays_entities_from_relationship() has been deprecated', 1.9);
+
+ $day_start = get_day_start();
+ $day_end = get_day_end();
+
+ return get_notable_entities_from_relationship($day_start, $day_end, $relationship,
+ $relationship_guid, $inverse_relationship, $type, $subtype, $owner_guid, $order_by,
+ $limit, $offset, $count, $site_guid);
+}
+
+/**
+ * Returns a viewable list of entities for a given time period.
+ *
+ * @see elgg_view_entity_list
+ *
+ * @param int $start_time The start time as a unix timestamp.
+ * @param int $end_time The end time as a unix timestamp.
+ * @param string $type The type of entity (eg "user", "object" etc)
+ * @param string $subtype The arbitrary subtype of the entity
+ * @param int $owner_guid The GUID of the owning user
+ * @param int $limit The number of entities to return; 10 by default
+ * @param boolean $fullview Whether or not to display the full view (default: true)
+ * @param boolean $listtypetoggle Whether or not to allow gallery view
+ * @param boolean $navigation Display pagination? Default: true
+ *
+ * @return string A viewable list of entities
+ * @access private
+ * @deprecated 1.9
+ */
+function list_notable_entities($start_time, $end_time, $type= "", $subtype = "", $owner_guid = 0,
+$limit = 10, $fullview = true, $listtypetoggle = false, $navigation = true) {
+ elgg_deprecated_notice('list_notable_entities() has been deprecated', 1.9);
+
+ $offset = (int) get_input('offset');
+ $count = get_notable_entities($start_time, $end_time, $type, $subtype,
+ $owner_guid, "", $limit, $offset, true);
+
+ $entities = get_notable_entities($start_time, $end_time, $type, $subtype,
+ $owner_guid, "", $limit, $offset);
+
+ return elgg_view_entity_list($entities, $count, $offset, $limit,
+ $fullview, $listtypetoggle, $navigation);
+}
+
+/**
+ * Return a list of today's entities.
+ *
+ * @see list_notable_entities
+ *
+ * @param string $type The type of entity (eg "user", "object" etc)
+ * @param string $subtype The arbitrary subtype of the entity
+ * @param int $owner_guid The GUID of the owning user
+ * @param int $limit The number of entities to return; 10 by default
+ * @param boolean $fullview Whether or not to display the full view (default: true)
+ * @param boolean $listtypetoggle Whether or not to allow gallery view
+ * @param boolean $navigation Display pagination? Default: true
+ *
+ * @return string A viewable list of entities
+ * @access private
+ * @deprecated 1.9
+ */
+function list_todays_entities($type= "", $subtype = "", $owner_guid = 0, $limit = 10,
+$fullview = true, $listtypetoggle = false, $navigation = true) {
+ elgg_deprecated_notice('list_todays_entities() has been deprecated', 1.9);
+
+ $day_start = get_day_start();
+ $day_end = get_day_end();
+
+ return list_notable_entities($day_start, $day_end, $type, $subtype, $owner_guid, $limit,
+ $fullview, $listtypetoggle, $navigation);
+}
diff --git a/engine/lib/elgglib.php b/engine/lib/elgglib.php
index 3e09c118a..34111c69d 100644
--- a/engine/lib/elgglib.php
+++ b/engine/lib/elgglib.php
@@ -18,12 +18,13 @@ elgg_register_classes(dirname(dirname(__FILE__)) . '/classes');
*
* @return void
* @throws Exception
+ * @access private
*/
function _elgg_autoload($class) {
global $CONFIG;
- if (!include($CONFIG->classes[$class])) {
- throw new Exception("Failed to autoload $class");
+ if (!isset($CONFIG->classes[$class]) || !include($CONFIG->classes[$class])) {
+ return false;
}
}
@@ -34,6 +35,7 @@ function _elgg_autoload($class) {
* @param string $dir The dir to look in
*
* @return void
+ * @since 1.8.0
*/
function elgg_register_classes($dir) {
$classes = elgg_get_file_list($dir, array(), array(), array('.php'));
@@ -49,7 +51,8 @@ function elgg_register_classes($dir) {
* @param string $class The name of the class
* @param string $location The location of the file
*
- * @return void
+ * @return true
+ * @since 1.8.0
*/
function elgg_register_class($class, $location) {
global $CONFIG;
@@ -59,6 +62,66 @@ function elgg_register_class($class, $location) {
}
$CONFIG->classes[$class] = $location;
+
+ return true;
+}
+
+/**
+ * Register a php library.
+ *
+ * @param string $name The name of the library
+ * @param string $location The location of the file
+ *
+ * @return void
+ * @since 1.8.0
+ */
+function elgg_register_library($name, $location) {
+ global $CONFIG;
+
+ if (!isset($CONFIG->libraries)) {
+ $CONFIG->libraries = array();
+ }
+
+ $CONFIG->libraries[$name] = $location;
+}
+
+/**
+ * Load a php library.
+ *
+ * @param string $name The name of the library
+ *
+ * @return void
+ * @throws InvalidParameterException
+ * @since 1.8.0
+ * @todo return boolean in 1.9 to indicate whether the library has been loaded
+ */
+function elgg_load_library($name) {
+ global $CONFIG;
+
+ static $loaded_libraries = array();
+
+ if (in_array($name, $loaded_libraries)) {
+ return;
+ }
+
+ if (!isset($CONFIG->libraries)) {
+ $CONFIG->libraries = array();
+ }
+
+ if (!isset($CONFIG->libraries[$name])) {
+ $error = elgg_echo('InvalidParameterException:LibraryNotRegistered', array($name));
+ throw new InvalidParameterException($error);
+ }
+
+ if (!include_once($CONFIG->libraries[$name])) {
+ $error = elgg_echo('InvalidParameterException:LibraryNotFound', array(
+ $name,
+ $CONFIG->libraries[$name])
+ );
+ throw new InvalidParameterException($error);
+ }
+
+ $loaded_libraries[] = $name;
}
/**
@@ -70,12 +133,11 @@ function elgg_register_class($class, $location) {
* @param string $location URL to forward to browser to. Can be path relative to the network's URL.
* @param string $reason Short explanation for why we're forwarding
*
- * @return False False if headers have been sent. Terminates execution if forwarding.
+ * @return false False if headers have been sent. Terminates execution if forwarding.
+ * @throws SecurityException
*/
function forward($location = "", $reason = 'system') {
- global $CONFIG;
-
- if (!headers_sent()) {
+ if (!headers_sent($file, $line)) {
if ($location === REFERER) {
$location = $_SERVER['HTTP_REFERER'];
}
@@ -93,9 +155,9 @@ function forward($location = "", $reason = 'system') {
} else if ($location === '') {
exit;
}
+ } else {
+ throw new SecurityException(elgg_echo('SecurityException:ForwardFailedToRedirect', array($file, $line)));
}
-
- return false;
}
/**
@@ -107,248 +169,283 @@ function forward($location = "", $reason = 'system') {
* JavaScript from a view that may be called more than once. It also handles
* more than one plugin adding the same JavaScript.
*
- * Plugin authors are encouraged to use the $id variable. jQuery plugins
- * often have filenames such as jquery.rating.js. In that case, the id
- * would be "jquery.rating". It is recommended to not use version numbers
- * in the id.
+ * jQuery plugins often have filenames such as jquery.rating.js. A best practice
+ * is to base $name on the filename: "jquery.rating". It is recommended to not
+ * use version numbers in the name.
*
* The JavaScript files can be local to the server or remote (such as
* Google's CDN).
*
+ * @param string $name An identifier for the JavaScript library
* @param string $url URL of the JavaScript file
- * @param string $id An identifier of the JavaScript library
* @param string $location Page location: head or footer. (default: head)
+ * @param int $priority Priority of the JS file (lower numbers load earlier)
+ *
* @return bool
+ * @since 1.8.0
*/
-function elgg_register_js($url, $id = '', $location = 'head') {
- return elgg_register_external_file('javascript', $url, $id, $location);
+function elgg_register_js($name, $url, $location = 'head', $priority = null) {
+ return elgg_register_external_file('js', $name, $url, $location, $priority);
}
/**
- * Register a CSS file for inclusion in the HTML head
+ * Unregister a JavaScript file
+ *
+ * @param string $name The identifier for the JavaScript library
*
- * @param string $url URL of the CSS file
- * @param string $id An identifier for the CSS file
* @return bool
+ * @since 1.8.0
*/
-function elgg_register_css($url, $id = '') {
- return elgg_register_external_file('css', $url, $id, 'head');
+function elgg_unregister_js($name) {
+ return elgg_unregister_external_file('js', $name);
}
/**
- * Core registration function for external files
+ * Load a JavaScript resource on this page
*
- * @param string $type Type of external resource
- * @param string $url URL
- * @param string $id Identifier used as key
- * @param string $location Location in the page to include the file
- * @return bool
+ * This must be called before elgg_view_page(). It can be called before the
+ * script is registered. If you do not want a script loaded, unregister it.
+ *
+ * @param string $name Identifier of the JavaScript resource
+ *
+ * @return void
+ * @since 1.8.0
*/
-function elgg_register_external_file($type, $url, $id, $location) {
- global $CONFIG;
-
- if (empty($url)) {
- return false;
- }
-
- $url = elgg_format_url($url);
-
- if (!isset($CONFIG->externals)) {
- $CONFIG->externals = array();
- }
-
- if (!isset($CONFIG->externals[$type])) {
- $CONFIG->externals[$type] = array();
- }
-
- if (!isset($CONFIG->externals[$type][$location])) {
- $CONFIG->externals[$type][$location] = array();
- }
-
- if (!$id) {
- $id = count($CONFIG->externals[$type][$location]);
- } else {
- $id = trim(strtolower($id));
- }
-
- $CONFIG->externals[$type][$location][$id] = elgg_normalize_url($url);
-
- return true;
+function elgg_load_js($name) {
+ elgg_load_external_file('js', $name);
}
/**
- * Unregister a JavaScript file
+ * Get the JavaScript URLs that are loaded
*
- * @param string $id The identifier for the JavaScript library
- * @param string $url Optional URL to search for if id is not specified
- * @param string $location Location in the page
- * @return bool
+ * @param string $location 'head' or 'footer'
+ *
+ * @return array
+ * @since 1.8.0
*/
-function elgg_unregister_js($id = '', $url = '', $location = 'head') {
- return elgg_unregister_external_file('javascript', $id, $url, $location);
+function elgg_get_loaded_js($location = 'head') {
+ return elgg_get_loaded_external_files('js', $location);
}
/**
- * Unregister an external file
+ * Register a CSS file for inclusion in the HTML head
+ *
+ * @param string $name An identifier for the CSS file
+ * @param string $url URL of the CSS file
+ * @param int $priority Priority of the CSS file (lower numbers load earlier)
*
- * @param string $id The identifier of the CSS file
- * @param string $url Optional URL to search for if id is not specified
* @return bool
+ * @since 1.8.0
*/
-function elgg_unregister_css($id = '', $url = '') {
- return elgg_unregister_external_file('css', $id, $url, 'head');
+function elgg_register_css($name, $url, $priority = null) {
+ return elgg_register_external_file('css', $name, $url, 'head', $priority);
}
/**
- * Unregister an external file
+ * Unregister a CSS file
+ *
+ * @param string $name The identifier for the CSS file
*
- * @param string $type Type of file: javascript or css
- * @param string $id The identifier of the file
- * @param string $url Optional URL to search for if the id is not specified
- * @param string $location Location in the page
* @return bool
+ * @since 1.8.0
*/
-function elgg_unregister_external_file($type, $id = '', $url = '', $location = 'head') {
- global $CONFIG;
-
- if (!isset($CONFIG->externals)) {
- return false;
- }
-
- if (!isset($CONFIG->externals[$type])) {
- return false;
- }
-
- if (!isset($CONFIG->externals[$type][$location])) {
- return false;
- }
-
- if (array_key_exists($id, $CONFIG->externals[$type][$location])) {
- unset($CONFIG->externals[$type][$location][$id]);
- return true;
- }
-
- // was not registered with an id so do a search for the url
- $key = array_search($url, $CONFIG->externals[$type][$location]);
- if ($key) {
- unset($CONFIG->externals[$type][$location][$key]);
- return true;
- }
-
- return false;
+function elgg_unregister_css($name) {
+ return elgg_unregister_external_file('css', $name);
}
/**
- * Get the JavaScript URLs
+ * Load a CSS file for this page
*
- * @param string $location 'head' or 'footer'
+ * This must be called before elgg_view_page(). It can be called before the
+ * CSS file is registered. If you do not want a CSS file loaded, unregister it.
*
- * @return array
+ * @param string $name Identifier of the CSS file
+ *
+ * @return void
+ * @since 1.8.0
*/
-function elgg_get_js($location = 'head') {
- return elgg_get_external_file('javascript', $location);
+function elgg_load_css($name) {
+ elgg_load_external_file('css', $name);
}
/**
- * Get the CSS URLs
+ * Get the loaded CSS URLs
*
* @return array
+ * @since 1.8.0
*/
-function elgg_get_css() {
- return elgg_get_external_file('css', 'head');
+function elgg_get_loaded_css() {
+ return elgg_get_loaded_external_files('css', 'head');
}
/**
- * Get external resource descriptors
+ * Core registration function for external files
*
- * @param string $type Type of resource
- * @param string $location Page location
- * @return array
+ * @param string $type Type of external resource (js or css)
+ * @param string $name Identifier used as key
+ * @param string $url URL
+ * @param string $location Location in the page to include the file
+ * @param int $priority Loading priority of the file
+ *
+ * @return bool
+ * @since 1.8.0
*/
-function elgg_get_external_file($type, $location) {
+function elgg_register_external_file($type, $name, $url, $location, $priority = 500) {
global $CONFIG;
- if (isset($CONFIG->externals) &&
- isset($CONFIG->externals[$type]) &&
- isset($CONFIG->externals[$type][$location])) {
+ if (empty($name) || empty($url)) {
+ return false;
+ }
+
+ $url = elgg_format_url($url);
+ $url = elgg_normalize_url($url);
+
+ elgg_bootstrap_externals_data_structure($type);
+
+ $name = trim(strtolower($name));
- return array_values($CONFIG->externals[$type][$location]);
+ // normalize bogus priorities, but allow empty, null, and false to be defaults.
+ if (!is_numeric($priority)) {
+ $priority = 500;
}
- return array();
+
+ // no negative priorities right now.
+ $priority = max((int)$priority, 0);
+
+ $item = elgg_extract($name, $CONFIG->externals_map[$type]);
+
+ if ($item) {
+ // updating a registered item
+ // don't update loaded because it could already be set
+ $item->url = $url;
+ $item->location = $location;
+
+ // if loaded before registered, that means it hasn't been added to the list yet
+ if ($CONFIG->externals[$type]->contains($item)) {
+ $priority = $CONFIG->externals[$type]->move($item, $priority);
+ } else {
+ $priority = $CONFIG->externals[$type]->add($item, $priority);
+ }
+ } else {
+ $item = new stdClass();
+ $item->loaded = false;
+ $item->url = $url;
+ $item->location = $location;
+
+ $priority = $CONFIG->externals[$type]->add($item, $priority);
+ }
+
+ $CONFIG->externals_map[$type][$name] = $item;
+
+ return $priority !== false;
}
/**
- * Returns the HTML for "likes" and "like this" on entities.
- *
- * @param ElggEntity $entity The entity to like
+ * Unregister an external file
*
- * @return string|false The HTML for the likes, or false on failure
+ * @param string $type Type of file: js or css
+ * @param string $name The identifier of the file
*
- * @since 1.8
- * @see @elgg_view likes/forms/edit
+ * @return bool
+ * @since 1.8.0
*/
-function elgg_view_likes($entity) {
- if (!($entity instanceof ElggEntity)) {
- return false;
- }
+function elgg_unregister_external_file($type, $name) {
+ global $CONFIG;
- $params = array('entity' => $entity);
+ elgg_bootstrap_externals_data_structure($type);
- if ($likes = elgg_trigger_plugin_hook('likes', $entity->getType(), $params, false)) {
- return $likes;
- } else {
- $likes = elgg_view('likes/forms/edit', $params);
- return $likes;
+ $name = trim(strtolower($name));
+ $item = elgg_extract($name, $CONFIG->externals_map[$type]);
+
+ if ($item) {
+ unset($CONFIG->externals_map[$type][$name]);
+ return $CONFIG->externals[$type]->remove($item);
}
+
+ return false;
}
/**
- * Count the number of likes attached to an entity
+ * Load an external resource for use on this page
*
- * @param ElggEntity $entity The entity to count likes for
+ * @param string $type Type of file: js or css
+ * @param string $name The identifier for the file
*
- * @return int Number of likes
- * @since 1.8
+ * @return void
+ * @since 1.8.0
*/
-function elgg_count_likes($entity) {
- if ($likeno = elgg_trigger_plugin_hook('likes:count', $entity->getType(),
- array('entity' => $entity), false)) {
- return $likeno;
+function elgg_load_external_file($type, $name) {
+ global $CONFIG;
+
+ elgg_bootstrap_externals_data_structure($type);
+
+ $name = trim(strtolower($name));
+
+ $item = elgg_extract($name, $CONFIG->externals_map[$type]);
+
+ if ($item) {
+ // update a registered item
+ $item->loaded = true;
} else {
- return count_annotations($entity->getGUID(), "", "", "likes");
+ $item = new stdClass();
+ $item->loaded = true;
+ $item->url = '';
+ $item->location = '';
+
+ $CONFIG->externals[$type]->add($item);
+ $CONFIG->externals_map[$type][$name] = $item;
}
}
/**
- * Count the number of comments attached to an entity
+ * Get external resource descriptors
*
- * @param ElggEntity $entity The entity to count comments for
+ * @param string $type Type of file: js or css
+ * @param string $location Page location
*
- * @return int Number of comments
+ * @return array
+ * @since 1.8.0
*/
-function elgg_count_comments($entity) {
- if ($commentno = elgg_trigger_plugin_hook('comments:count', $entity->getType(),
- array('entity' => $entity), false)) {
- return $commentno;
- } else {
- return count_annotations($entity->getGUID(), "", "", "generic_comment");
+function elgg_get_loaded_external_files($type, $location) {
+ global $CONFIG;
+
+ if (isset($CONFIG->externals) && $CONFIG->externals[$type] instanceof ElggPriorityList) {
+ $items = $CONFIG->externals[$type]->getElements();
+
+ $callback = "return \$v->loaded == true && \$v->location == '$location';";
+ $items = array_filter($items, create_function('$v', $callback));
+ if ($items) {
+ array_walk($items, create_function('&$v,$k', '$v = $v->url;'));
+ }
+ return $items;
}
+ return array();
}
/**
- * Returns all php files in a directory.
- *
- * @deprecated 1.7 Use elgg_get_file_list() instead
- *
- * @param string $directory Directory to look in
- * @param array $exceptions Array of extensions (with .!) to ignore
- * @param array $list A list files to include in the return
+ * Bootstraps the externals data structure in $CONFIG.
*
- * @return array
+ * @param string $type The type of external, js or css.
+ * @access private
*/
-function get_library_files($directory, $exceptions = array(), $list = array()) {
- elgg_deprecated_notice('get_library_files() deprecated by elgg_get_file_list()', 1.7);
- return elgg_get_file_list($directory, $exceptions, $list, array('.php'));
+function elgg_bootstrap_externals_data_structure($type) {
+ global $CONFIG;
+
+ if (!isset($CONFIG->externals)) {
+ $CONFIG->externals = array();
+ }
+
+ if (!isset($CONFIG->externals[$type]) || !$CONFIG->externals[$type] instanceof ElggPriorityList) {
+ $CONFIG->externals[$type] = new ElggPriorityList();
+ }
+
+ if (!isset($CONFIG->externals_map)) {
+ $CONFIG->externals_map = array();
+ }
+
+ if (!isset($CONFIG->externals_map[$type])) {
+ $CONFIG->externals_map[$type] = array();
+ }
}
/**
@@ -399,6 +496,8 @@ function sanitise_filepath($path, $append_slash = TRUE) {
// Convert to correct UNIX paths
$path = str_replace('\\', '/', $path);
$path = str_replace('../', '/', $path);
+ // replace // with / except when preceeded by :
+ $path = preg_replace("/([^:])\/\//", "$1/", $path);
// Sort trailing slash
$path = trim($path);
@@ -413,125 +512,6 @@ function sanitise_filepath($path, $append_slash = TRUE) {
}
/**
- * Adds an entry in $CONFIG[$register_name][$subregister_name].
- *
- * This is only used for the site-wide menu. See {@link add_menu()}.
- *
- * @param string $register_name The name of the top-level register
- * @param string $subregister_name The name of the subregister
- * @param mixed $subregister_value The value of the subregister
- * @param array $children_array Optionally, an array of children
- *
- * @return true|false Depending on success
- * @todo Can be deprecated when the new menu system is introduced.
- */
-function add_to_register($register_name, $subregister_name, $subregister_value,
-$children_array = array()) {
-
- global $CONFIG;
-
- if (empty($register_name) || empty($subregister_name)) {
- return false;
- }
-
- if (!isset($CONFIG->registers)) {
- $CONFIG->registers = array();
- }
-
- if (!isset($CONFIG->registers[$register_name])) {
- $CONFIG->registers[$register_name] = array();
- }
-
- $subregister = new stdClass;
- $subregister->name = $subregister_name;
- $subregister->value = $subregister_value;
-
- if (is_array($children_array)) {
- $subregister->children = $children_array;
- }
-
- $CONFIG->registers[$register_name][$subregister_name] = $subregister;
- return true;
-}
-
-/**
- * Removes a register entry from $CONFIG[register_name][subregister_name]
- *
- * This is used to by {@link remove_menu()} to remove site-wide menu items.
- *
- * @param string $register_name The name of the top-level register
- * @param string $subregister_name The name of the subregister
- *
- * @return true|false Depending on success
- * @since 1.7.0
- * @todo Can be deprecated when the new menu system is introduced.
- */
-function remove_from_register($register_name, $subregister_name) {
- global $CONFIG;
-
- if (empty($register_name) || empty($subregister_name)) {
- return false;
- }
-
- if (!isset($CONFIG->registers)) {
- return false;
- }
-
- if (!isset($CONFIG->registers[$register_name])) {
- return false;
- }
-
- if (isset($CONFIG->registers[$register_name][$subregister_name])) {
- unset($CONFIG->registers[$register_name][$subregister_name]);
- return true;
- }
-
- return false;
-}
-
-/**
- * Constructs and returns a register object.
- *
- * @param string $register_name The name of the register
- * @param mixed $register_value The value of the register
- * @param array $children_array Optionally, an array of children
- *
- * @return false|stdClass Depending on success
- * @todo Can be deprecated when the new menu system is introduced.
- */
-function make_register_object($register_name, $register_value, $children_array = array()) {
- elgg_deprecated_notice('make_register_object() is deprecated by add_submenu_item()', 1.7);
- if (empty($register_name) || empty($register_value)) {
- return false;
- }
-
- $register = new stdClass;
- $register->name = $register_name;
- $register->value = $register_value;
- $register->children = $children_array;
-
- return $register;
-}
-
-/**
- * If it exists, returns a particular register as an array
- *
- * @param string $register_name The name of the register
- *
- * @return array|false Depending on success
- * @todo Can be deprecated when the new menu system is introduced.
- */
-function get_register($register_name) {
- global $CONFIG;
-
- if (isset($CONFIG->registers[$register_name])) {
- return $CONFIG->registers[$register_name];
- }
-
- return false;
-}
-
-/**
* Queues a message to be displayed.
*
* Messages will not be displayed immediately, but are stored in
@@ -539,7 +519,7 @@ function get_register($register_name) {
*
* The method of displaying these messages differs depending upon plugins and
* viewtypes. The core default viewtype retrieves messages in
- * {@link views/default/page_shells/default.php} and displays messages as
+ * {@link views/default/page/shells/default.php} and displays messages as
* javascript popups.
*
* @internal Messages are stored as strings in the $_SESSION['msg'][$register] array.
@@ -553,14 +533,14 @@ function get_register($register_name) {
* 'messages') as well as {@link register_error()} messages ($register = 'errors').
*
* @param mixed $message Optionally, a single message or array of messages to add, (default: null)
- * @param string $register Types of message: "errors", "messages" (default: messages)
+ * @param string $register Types of message: "error", "success" (default: success)
* @param bool $count Count the number of messages (default: false)
*
- * @return true|false|array Either the array of messages, or a response regarding
+ * @return bool|array Either the array of messages, or a response regarding
* whether the message addition was successful.
* @todo Clean up. Separate registering messages and retrieving them.
*/
-function system_messages($message = null, $register = "messages", $count = false) {
+function system_messages($message = null, $register = "success", $count = false) {
if (!isset($_SESSION['msg'])) {
$_SESSION['msg'] = array();
}
@@ -590,7 +570,7 @@ function system_messages($message = null, $register = "messages", $count = false
return sizeof($_SESSION['msg'][$register]);
} else {
$count = 0;
- foreach ($_SESSION['msg'] as $register => $submessages) {
+ foreach ($_SESSION['msg'] as $submessages) {
$count += sizeof($submessages);
}
return $count;
@@ -617,10 +597,10 @@ function count_messages($register = "") {
*
* @param string|array $message Message or messages to add
*
- * @return Bool
+ * @return bool
*/
function system_message($message) {
- return system_messages($message, "messages");
+ return system_messages($message, "success");
}
/**
@@ -630,37 +610,10 @@ function system_message($message) {
*
* @param string|array $error Error or errors to add
*
- * @return true|false Success response
+ * @return bool
*/
function register_error($error) {
- return system_messages($error, "errors");
-}
-
-/**
- * Deprecated events core function. Code divided between elgg_register_event_handler()
- * and trigger_elgg_event().
- *
- * @param string $event The type of event (eg 'init', 'update', 'delete')
- * @param string $object_type The type of object (eg 'system', 'blog', 'user')
- * @param string $function The name of the function that will handle the event
- * @param int $priority Priority to call handler. Lower numbers called first (default 500)
- * @param boolean $call Set to true to call the event rather than add to it (default false)
- * @param mixed $object Optionally, the object the event is being performed on (eg a user)
- *
- * @return true|false Depending on success
- * @deprecated 1.8 Use explicit register/trigger event functions
- */
-function events($event = "", $object_type = "", $function = "", $priority = 500,
-$call = false, $object = null) {
-
- elgg_deprecated_notice('events() has been deprecated.', 1.8);
-
- // leaving this here just in case someone was directly calling this internal function
- if (!$call) {
- return elgg_register_event_handler($event, $object_type, $function, $priority);
- } else {
- return trigger_elgg_event($event, $object_type, $object);
- }
+ return system_messages($error, "error");
}
/**
@@ -726,7 +679,7 @@ function elgg_register_event_handler($event, $object_type, $callback, $priority
global $CONFIG;
if (empty($event) || empty($object_type)) {
- return FALSE;
+ return false;
}
if (!isset($CONFIG->events)) {
@@ -739,8 +692,8 @@ function elgg_register_event_handler($event, $object_type, $callback, $priority
$CONFIG->events[$event][$object_type] = array();
}
- if (!is_callable($callback)) {
- return FALSE;
+ if (!is_callable($callback, true)) {
+ return false;
}
$priority = max((int) $priority, 0);
@@ -750,15 +703,7 @@ function elgg_register_event_handler($event, $object_type, $callback, $priority
}
$CONFIG->events[$event][$object_type][$priority] = $callback;
ksort($CONFIG->events[$event][$object_type]);
- return TRUE;
-}
-
-/**
- * @deprecated 1.8 Use elgg_register_event_handler() instead
- */
-function register_elgg_event_handler($event, $object_type, $callback, $priority = 500) {
- elgg_deprecated_notice("register_elgg_event_handler() was deprecated by elgg_register_event_handler()", 1.8);
- return elgg_register_event_handler($event, $object_type, $callback, $priority);
+ return true;
}
/**
@@ -773,22 +718,17 @@ function register_elgg_event_handler($event, $object_type, $callback, $priority
*/
function elgg_unregister_event_handler($event, $object_type, $callback) {
global $CONFIG;
- foreach ($CONFIG->events[$event][$object_type] as $key => $event_callback) {
- if ($event_callback == $callback) {
- unset($CONFIG->events[$event][$object_type][$key]);
+
+ if (isset($CONFIG->events[$event]) && isset($CONFIG->events[$event][$object_type])) {
+ foreach ($CONFIG->events[$event][$object_type] as $key => $event_callback) {
+ if ($event_callback == $callback) {
+ unset($CONFIG->events[$event][$object_type][$key]);
+ }
}
}
}
/**
- * @deprecated 1.8 Use elgg_unregister_event_handler instead
- */
-function unregister_elgg_event_handler($event, $object_type, $callback) {
- elgg_deprecated_notice('unregister_elgg_event_handler => elgg_unregister_event_handler', 1.8);
- elgg_unregister_event_handler($event, $object_type, $callback);
-}
-
-/**
* Trigger an Elgg Event and run all handler callbacks registered to that event, type.
*
* This function runs all handlers registered to $event, $object_type or
@@ -806,7 +746,7 @@ function unregister_elgg_event_handler($event, $object_type, $callback) {
* @tip When referring to events, the preferred syntax is "event, type".
*
* @internal Only rarely should events be changed, added, or removed in core.
- * When making changes to events, be sure to first create a ticket in trac.
+ * When making changes to events, be sure to first create a ticket on Github.
*
* @internal @tip Think of $object_type as the primary namespace element, and
* $event as the secondary namespace.
@@ -822,34 +762,33 @@ function unregister_elgg_event_handler($event, $object_type, $callback) {
function elgg_trigger_event($event, $object_type, $object = null) {
global $CONFIG;
- $events = array(
- $CONFIG->events[$event][$object_type],
- $CONFIG->events['all'][$object_type],
- $CONFIG->events[$event]['all'],
- $CONFIG->events['all']['all'],
- );
+ $events = array();
+ if (isset($CONFIG->events[$event][$object_type])) {
+ $events[] = $CONFIG->events[$event][$object_type];
+ }
+ if (isset($CONFIG->events['all'][$object_type])) {
+ $events[] = $CONFIG->events['all'][$object_type];
+ }
+ if (isset($CONFIG->events[$event]['all'])) {
+ $events[] = $CONFIG->events[$event]['all'];
+ }
+ if (isset($CONFIG->events['all']['all'])) {
+ $events[] = $CONFIG->events['all']['all'];
+ }
$args = array($event, $object_type, $object);
foreach ($events as $callback_list) {
if (is_array($callback_list)) {
foreach ($callback_list as $callback) {
- if (call_user_func_array($callback, $args) === FALSE) {
- return FALSE;
+ if (is_callable($callback) && (call_user_func_array($callback, $args) === false)) {
+ return false;
}
}
}
}
- return TRUE;
-}
-
-/**
- * @deprecated 1.8 Use elgg_trigger_event() instead
- */
-function trigger_elgg_event($event, $object_type, $object = null) {
- elgg_deprecated_notice('trigger_elgg_event() was deprecated by elgg_trigger_event()', 1.8);
- return elgg_trigger_event($event, $object_type, $object);
+ return true;
}
/**
@@ -908,7 +847,7 @@ function trigger_elgg_event($event, $object_type, $object = null) {
*
* @param string $hook The name of the hook
* @param string $type The type of the hook
- * @param callback $callback The name of a valid function or an array with object and method
+ * @param callable $callback The name of a valid function or an array with object and method
* @param int $priority The priority - 500 is default, lower numbers called first
*
* @return bool
@@ -916,13 +855,13 @@ function trigger_elgg_event($event, $object_type, $object = null) {
* @example hooks/register/basic.php Registering for a plugin hook and examining the variables.
* @example hooks/register/advanced.php Registering for a plugin hook and changing the params.
* @link http://docs.elgg.org/Tutorials/Plugins/Hooks
- * @since 1.8
+ * @since 1.8.0
*/
function elgg_register_plugin_hook_handler($hook, $type, $callback, $priority = 500) {
global $CONFIG;
if (empty($hook) || empty($type)) {
- return FALSE;
+ return false;
}
if (!isset($CONFIG->hooks)) {
@@ -935,8 +874,8 @@ function elgg_register_plugin_hook_handler($hook, $type, $callback, $priority =
$CONFIG->hooks[$hook][$type] = array();
}
- if (!is_callable($callback)) {
- return FALSE;
+ if (!is_callable($callback, true)) {
+ return false;
}
$priority = max((int) $priority, 0);
@@ -946,15 +885,7 @@ function elgg_register_plugin_hook_handler($hook, $type, $callback, $priority =
}
$CONFIG->hooks[$hook][$type][$priority] = $callback;
ksort($CONFIG->hooks[$hook][$type]);
- return TRUE;
-}
-
-/**
- * @deprecated 1.8 Use elgg_register_plugin_hook_handler() instead
- */
-function register_plugin_hook($hook, $type, $callback, $priority = 500) {
- elgg_deprecated_notice("register_plugin_hook() was deprecated by elgg_register_plugin_hook_handler()", 1.8);
- return elgg_register_plugin_hook_handler($hook, $type, $callback, $priority);
+ return true;
}
/**
@@ -962,29 +893,24 @@ function register_plugin_hook($hook, $type, $callback, $priority = 500) {
*
* @param string $hook The name of the hook
* @param string $entity_type The name of the type of entity (eg "user", "object" etc)
- * @param callback $callback The PHP callback to be removed
+ * @param callable $callback The PHP callback to be removed
*
* @return void
- * @since 1.8
+ * @since 1.8.0
*/
function elgg_unregister_plugin_hook_handler($hook, $entity_type, $callback) {
global $CONFIG;
- foreach ($CONFIG->hooks[$hook][$entity_type] as $key => $hook_callback) {
- if ($hook_callback == $callback) {
- unset($CONFIG->hooks[$hook][$entity_type][$key]);
+
+ if (isset($CONFIG->hooks[$hook]) && isset($CONFIG->hooks[$hook][$entity_type])) {
+ foreach ($CONFIG->hooks[$hook][$entity_type] as $key => $hook_callback) {
+ if ($hook_callback == $callback) {
+ unset($CONFIG->hooks[$hook][$entity_type][$key]);
+ }
}
}
}
/**
- * @deprecated 1.8 Use elgg_unregister_plugin_hook_handler() instead
- */
-function unregister_plugin_hook($hook, $entity_type, $callback) {
- elgg_deprecated_notice("unregister_plugin_hook() was deprecated by elgg_unregister_plugin_hook_handler()", 1.8);
- elgg_unregister_plugin_hook_handler($hook, $entity_type, $callback);
-}
-
-/**
* Trigger a Plugin Hook and run all handler callbacks registered to that hook:type.
*
* This function runs all handlers regsitered to $hook, $type or
@@ -1006,6 +932,12 @@ function unregister_plugin_hook($hook, $entity_type, $callback) {
* called for all hooks of type $event, regardless of $object_type. If $hook
* and $type both are 'all', the handler will be called for all hooks.
*
+ * @internal The checks for $hook and/or $type not being equal to 'all' is to
+ * prevent a plugin hook being registered with an 'all' being called more than
+ * once if the trigger occurs with an 'all'. An example in core of this is in
+ * actions.php:
+ * elgg_trigger_plugin_hook('action_gatekeeper:permissions:check', 'all', ...)
+ *
* @see elgg_register_plugin_hook_handler()
*
* @param string $hook The name of the hook to trigger ("all" will
@@ -1024,25 +956,40 @@ function unregister_plugin_hook($hook, $entity_type, $callback) {
* @example hooks/basic.php Trigger and respond to a basic plugin hook.
* @link http://docs.elgg.org/Tutorials/Plugins/Hooks
*
- * @since 1.8
+ * @since 1.8.0
*/
function elgg_trigger_plugin_hook($hook, $type, $params = null, $returnvalue = null) {
global $CONFIG;
- $hooks = array(
- $CONFIG->hooks[$hook][$type],
- $CONFIG->hooks['all'][$type],
- $CONFIG->hooks[$hook]['all'],
- $CONFIG->hooks['all']['all'],
- );
+ $hooks = array();
+ if (isset($CONFIG->hooks[$hook][$type])) {
+ if ($hook != 'all' && $type != 'all') {
+ $hooks[] = $CONFIG->hooks[$hook][$type];
+ }
+ }
+ if (isset($CONFIG->hooks['all'][$type])) {
+ if ($type != 'all') {
+ $hooks[] = $CONFIG->hooks['all'][$type];
+ }
+ }
+ if (isset($CONFIG->hooks[$hook]['all'])) {
+ if ($hook != 'all') {
+ $hooks[] = $CONFIG->hooks[$hook]['all'];
+ }
+ }
+ if (isset($CONFIG->hooks['all']['all'])) {
+ $hooks[] = $CONFIG->hooks['all']['all'];
+ }
foreach ($hooks as $callback_list) {
if (is_array($callback_list)) {
foreach ($callback_list as $hookcallback) {
- $args = array($hook, $type, $returnvalue, $params);
- $temp_return_value = call_user_func_array($hookcallback, $args);
- if (!is_null($temp_return_value)) {
- $returnvalue = $temp_return_value;
+ if (is_callable($hookcallback)) {
+ $args = array($hook, $type, $returnvalue, $params);
+ $temp_return_value = call_user_func_array($hookcallback, $args);
+ if (!is_null($temp_return_value)) {
+ $returnvalue = $temp_return_value;
+ }
}
}
}
@@ -1052,15 +999,7 @@ function elgg_trigger_plugin_hook($hook, $type, $params = null, $returnvalue = n
}
/**
- * @deprecated 1.8 Use elgg_trigger_plugin_hook() instead
- */
-function trigger_plugin_hook($hook, $type, $params = null, $returnvalue = null) {
- elgg_deprecated_notice("trigger_plugin_hook() was deprecated by elgg_trigger_plugin_hook()", 1.8);
- return elgg_trigger_plugin_hook($hook, $type, $params, $returnvalue);
-}
-
-/**
- * Intercepts, logs, and display uncaught exceptions.
+ * Intercepts, logs, and displays uncaught exceptions.
*
* @warning This function should never be called directly.
*
@@ -1069,9 +1008,11 @@ function trigger_plugin_hook($hook, $type, $params = null, $returnvalue = null)
* @param Exception $exception The exception being handled
*
* @return void
+ * @access private
*/
function _elgg_php_exception_handler($exception) {
- error_log("*** FATAL EXCEPTION *** : " . $exception);
+ $timestamp = time();
+ error_log("Exception #$timestamp: $exception");
// Wipe any existing output buffer
ob_end_clean();
@@ -1080,11 +1021,31 @@ function _elgg_php_exception_handler($exception) {
header("Cache-Control: no-cache, must-revalidate", true);
header('Expires: Fri, 05 Feb 1982 00:00:00 -0500', true);
// @note Do not send a 500 header because it is not a server error
- //header("Internal Server Error", true, 500);
- elgg_set_viewtype('failsafe');
- $body = elgg_view("messages/exceptions/exception", array('object' => $exception));
- echo elgg_view_page(elgg_echo('exception:title'), $body);
+ try {
+ // we don't want the 'pagesetup', 'system' event to fire
+ global $CONFIG;
+ $CONFIG->pagesetupdone = true;
+
+ elgg_set_viewtype('failsafe');
+ if (elgg_is_admin_logged_in()) {
+ $body = elgg_view("messages/exceptions/admin_exception", array(
+ 'object' => $exception,
+ 'ts' => $timestamp
+ ));
+ } else {
+ $body = elgg_view("messages/exceptions/exception", array(
+ 'object' => $exception,
+ 'ts' => $timestamp
+ ));
+ }
+ echo elgg_view_page(elgg_echo('exception:title'), $body);
+ } catch (Exception $e) {
+ $timestamp = time();
+ $message = $e->getMessage();
+ echo "Fatal error in exception handler. Check log for Exception #$timestamp";
+ error_log("Exception #$timestamp : fatal error in exception handler : $message");
+ }
}
/**
@@ -1107,6 +1068,9 @@ function _elgg_php_exception_handler($exception) {
* @param array $vars An array that points to the active symbol table where error occurred
*
* @return true
+ * @throws Exception
+ * @access private
+ * @todo Replace error_log calls with elgg_log calls.
*/
function _elgg_php_error_handler($errno, $errmsg, $filename, $linenum, $vars) {
$error = date("Y-m-d H:i:s (T)") . ": \"$errmsg\" in file $filename (line $linenum)";
@@ -1122,7 +1086,12 @@ function _elgg_php_error_handler($errno, $errmsg, $filename, $linenum, $vars) {
case E_WARNING :
case E_USER_WARNING :
- error_log("PHP WARNING: $error");
+ case E_RECOVERABLE_ERROR: // (e.g. type hint violation)
+
+ // check if the error wasn't suppressed by the error control operator (@)
+ if (error_reporting()) {
+ error_log("PHP WARNING: $error");
+ }
break;
default:
@@ -1146,8 +1115,8 @@ function _elgg_php_error_handler($errno, $errmsg, $filename, $linenum, $vars) {
*
* @note No messages will be displayed unless debugging has been enabled.
*
- * @param str $message User message
- * @param str $level NOTICE | WARNING | ERROR | DEBUG
+ * @param string $message User message
+ * @param string $level NOTICE | WARNING | ERROR | DEBUG
*
* @return bool
* @since 1.7.0
@@ -1209,9 +1178,11 @@ function elgg_dump($value, $to_screen = TRUE, $level = 'NOTICE') {
global $CONFIG;
// plugin can return false to stop the default logging method
- $params = array('level' => $level,
- 'msg' => $value,
- 'to_screen' => $to_screen);
+ $params = array(
+ 'level' => $level,
+ 'msg' => $value,
+ 'to_screen' => $to_screen,
+ );
if (!elgg_trigger_plugin_hook('debug', 'log', $params, true)) {
return;
}
@@ -1223,6 +1194,11 @@ function elgg_dump($value, $to_screen = TRUE, $level = 'NOTICE') {
$to_screen = FALSE;
}
+ // Do not want to write to JS or CSS pages
+ if (elgg_in_context('js') || elgg_in_context('css')) {
+ $to_screen = FALSE;
+ }
+
if ($to_screen == TRUE) {
echo '<pre>';
print_r($value);
@@ -1237,7 +1213,9 @@ function elgg_dump($value, $to_screen = TRUE, $level = 'NOTICE') {
*
* This function either displays or logs the deprecation message,
* depending upon the deprecation policies in {@link CODING.txt}.
- * Logged messages are sent with the level of 'WARNING'.
+ * Logged messages are sent with the level of 'WARNING'. Only admins
+ * get visual deprecation notices. When non-admins are logged in, the
+ * notices are sent to PHP's log through elgg_dump().
*
* A user-visual message will be displayed if $dep_version is greater
* than 1 minor releases lower than the current Elgg version, or at all
@@ -1248,237 +1226,77 @@ function elgg_dump($value, $to_screen = TRUE, $level = 'NOTICE') {
*
* @see CODING.txt
*
- * @param str $msg Message to log / display.
- * @param str $dep_version Human-readable *release* version: 1.7, 1.7.3
+ * @param string $msg Message to log / display.
+ * @param string $dep_version Human-readable *release* version: 1.7, 1.8, ...
+ * @param int $backtrace_level How many levels back to display the backtrace.
+ * Useful if calling from functions that are called
+ * from other places (like elgg_view()). Set to -1
+ * for a full backtrace.
*
* @return bool
* @since 1.7.0
*/
-function elgg_deprecated_notice($msg, $dep_version) {
+function elgg_deprecated_notice($msg, $dep_version, $backtrace_level = 1) {
// if it's a major release behind, visual and logged
- // if it's a 2 minor releases behind, visual and logged
- // if it's 1 minor release behind, logged.
- // bugfixes don't matter because you're not deprecating between them, RIGHT?
+ // if it's a 1 minor release behind, visual and logged
+ // if it's for current minor release, logged.
+ // bugfixes don't matter because we are not deprecating between them
+
if (!$dep_version) {
- return FALSE;
+ return false;
}
- $elgg_version = get_version(TRUE);
+ $elgg_version = get_version(true);
$elgg_version_arr = explode('.', $elgg_version);
- $elgg_major_version = $elgg_version_arr[0];
- $elgg_minor_version = $elgg_version_arr[1];
+ $elgg_major_version = (int)$elgg_version_arr[0];
+ $elgg_minor_version = (int)$elgg_version_arr[1];
- $dep_version_arr = explode('.', $dep_version);
- $dep_major_version = $dep_version_arr[0];
- $dep_minor_version = $dep_version_arr[1];
+ $dep_major_version = (int)$dep_version;
+ $dep_minor_version = 10 * ($dep_version - $dep_major_version);
- $last_working_version = $dep_minor_version - 1;
+ $visual = false;
- $visual = FALSE;
-
- // use version_compare to account for 1.7a < 1.7
- if (($dep_major_version < $elgg_major_version)
- || (($elgg_minor_version - $last_working_version) > 1)) {
- $visual = TRUE;
+ if (($dep_major_version < $elgg_major_version) ||
+ ($dep_minor_version < $elgg_minor_version)) {
+ $visual = true;
}
- $msg = "Deprecated in $dep_version: $msg";
+ $msg = "Deprecated in $dep_major_version.$dep_minor_version: $msg";
- if ($visual) {
+ if ($visual && elgg_is_admin_logged_in()) {
register_error($msg);
}
// Get a file and line number for the log. Never show this in the UI.
// Skip over the function that sent this notice and see who called the deprecated
// function itself.
+ $msg .= " Called from ";
+ $stack = array();
$backtrace = debug_backtrace();
- $caller = $backtrace[1];
- $msg .= " (Called from {$caller['file']}:{$caller['line']})";
-
- elgg_log($msg, 'WARNING');
-
- return TRUE;
-}
-
-
-/**
- * Checks if code is being called from a certain function.
- *
- * To use, call this function with the function name (and optional
- * file location) that it has to be called from, it will either
- * return true or false.
- *
- * e.g.
- *
- * function my_secure_function()
- * {
- * if (!call_gatekeeper("my_call_function"))
- * return false;
- *
- * ... do secure stuff ...
- * }
- *
- * function my_call_function()
- * {
- * // will work
- * my_secure_function();
- * }
- *
- * function bad_function()
- * {
- * // Will not work
- * my_secure_function();
- * }
- *
- * @param mixed $function The function that this function must have in its call stack,
- * to test against a method pass an array containing a class and
- * method name.
- * @param string $file Optional file that the function must reside in.
- *
- * @return bool
- *
- * @deprecated 1.8 A neat but pointless function
- */
-function call_gatekeeper($function, $file = "") {
- elgg_deprecated_notice("call_gatekeeper() is neat but pointless", 1.8);
- // Sanity check
- if (!$function) {
- return false;
- }
+ // never show this call.
+ array_shift($backtrace);
+ $i = count($backtrace);
- // Check against call stack to see if this is being called from the correct location
- $callstack = debug_backtrace();
- $stack_element = false;
+ foreach ($backtrace as $trace) {
+ $stack[] = "[#$i] {$trace['file']}:{$trace['line']}";
+ $i--;
- foreach ($callstack as $call) {
- if (is_array($function)) {
- if (
- (strcmp($call['class'], $function[0]) == 0) &&
- (strcmp($call['function'], $function[1]) == 0)
- ) {
- $stack_element = $call;
- }
- } else {
- if (strcmp($call['function'], $function) == 0) {
- $stack_element = $call;
+ if ($backtrace_level > 0) {
+ if ($backtrace_level <= 1) {
+ break;
}
+ $backtrace_level--;
}
}
- if (!$stack_element) {
- return false;
- }
-
- // If file then check that this it is being called from this function
- if ($file) {
- $mirror = null;
+ $msg .= implode("<br /> -> ", $stack);
- if (is_array($function)) {
- $mirror = new ReflectionMethod($function[0], $function[1]);
- } else {
- $mirror = new ReflectionFunction($function);
- }
-
- if ((!$mirror) || (strcmp($file, $mirror->getFileName()) != 0)) {
- return false;
- }
- }
+ elgg_log($msg, 'WARNING');
return true;
}
/**
- * This function checks to see if it is being called at somepoint by a function defined somewhere
- * on a given path (optionally including subdirectories).
- *
- * This function is similar to call_gatekeeper() but returns true if it is being called
- * by a method or function which has been defined on a given path or by a specified file.
- *
- * @param string $path The full path and filename that this function must have
- * in its call stack If a partial path is given and
- * $include_subdirs is true, then the function will return
- * true if called by any function in or below the specified path.
- * @param bool $include_subdirs Are subdirectories of the path ok, or must you specify an
- * absolute path and filename.
- * @param bool $strict_mode If true then the calling method or function must be directly
- * called by something on $path, if false the whole call stack is
- * searched.
- *
- * @return void
- *
- * @deprecated 1.8 A neat but pointless function
- */
-function callpath_gatekeeper($path, $include_subdirs = true, $strict_mode = false) {
- elgg_deprecated_notice("callpath_gatekeeper() is neat but pointless", 1.8);
-
- global $CONFIG;
-
- $path = sanitise_string($path);
-
- if ($path) {
- $callstack = debug_backtrace();
-
- foreach ($callstack as $call) {
- $call['file'] = str_replace("\\", "/", $call['file']);
-
- if ($include_subdirs) {
- if (strpos($call['file'], $path) === 0) {
-
- if ($strict_mode) {
- $callstack[1]['file'] = str_replace("\\", "/", $callstack[1]['file']);
- if ($callstack[1] === $call) {
- return true;
- }
- } else {
- return true;
- }
- }
- } else {
- if (strcmp($path, $call['file']) == 0) {
- if ($strict_mode) {
- if ($callstack[1] === $call) {
- return true;
- }
- } else {
- return true;
- }
- }
- }
-
- }
- return false;
- }
-
- if (isset($CONFIG->debug)) {
- system_message("Gatekeeper'd function called from {$callstack[1]['file']}:"
- . "{$callstack[1]['line']}\n\nStack trace:\n\n" . print_r($callstack, true));
- }
-
- return false;
-}
-
-/**
- * Get the URL for the current (or specified) site
- *
- * @param int $site_guid The GUID of the site whose URL we want to grab
- * @return string
- */
-function elgg_get_site_url($site_guid = 0) {
- if ($site_guid == 0) {
- global $CONFIG;
- return $CONFIG->wwwroot;
- }
-
- $site = get_entity($site_guid);
-
- if (!$site instanceof ElggSite) {
- return false;
- }
-
- return $site->url;
-}
-
-/**
* Returns the current page's complete URL.
*
* The current URL is assembled using the network's wwwroot and the request URI
@@ -1488,8 +1306,6 @@ function elgg_get_site_url($site_guid = 0) {
* @return string The current page URL.
*/
function current_page_url() {
- global $CONFIG;
-
$url = parse_url(elgg_get_site_url());
$page = $url['scheme'] . "://";
@@ -1534,7 +1350,7 @@ function full_url() {
"" : (":" . $_SERVER["SERVER_PORT"]);
// This is here to prevent XSS in poorly written browsers used by 80% of the population.
- // {@trac [5813]}
+ // https://github.com/Elgg/Elgg/commit/0c947e80f512cb0a482b1864fd0a6965c8a0cd4a
$quotes = array('\'', '"');
$encoded = array('%27', '%22');
@@ -1550,7 +1366,7 @@ function full_url() {
* @param array $parts Associative array of URL components like parse_url() returns
* @param bool $html_encode HTML Encode the url?
*
- * @return str Full URL
+ * @return string Full URL
* @since 1.7.0
*/
function elgg_http_build_url(array $parts, $html_encode = TRUE) {
@@ -1581,14 +1397,14 @@ function elgg_http_build_url(array $parts, $html_encode = TRUE) {
* add tokens to the action. The form view automatically handles
* tokens.
*
- * @param str $url Full action URL
- * @param bool $html_encode HTML encode the url?
+ * @param string $url Full action URL
+ * @param bool $html_encode HTML encode the url? (default: false)
*
- * @return str URL with action tokens
+ * @return string URL with action tokens
* @since 1.7.0
* @link http://docs.elgg.org/Tutorials/Actions
*/
-function elgg_add_action_tokens_to_url($url, $html_encode = TRUE) {
+function elgg_add_action_tokens_to_url($url, $html_encode = FALSE) {
$components = parse_url(elgg_normalize_url($url));
if (isset($components['query'])) {
@@ -1610,23 +1426,6 @@ function elgg_add_action_tokens_to_url($url, $html_encode = TRUE) {
return elgg_http_build_url($components, $html_encode);
}
-
-/**
- * Add action tokens to URL.
- *
- * @param string $url URL
- *
- * @return string
- *
- * @deprecated 1.7 final
- */
-function elgg_validate_action_url($url) {
- elgg_deprecated_notice('elgg_validate_action_url() deprecated by elgg_add_action_tokens_to_url().',
- '1.7b');
-
- return elgg_add_action_tokens_to_url($url);
-}
-
/**
* Removes an element from a URL's query string.
*
@@ -1653,17 +1452,17 @@ function elgg_http_remove_url_query_element($url, $element) {
}
$url_array['query'] = http_build_query($query);
- $string = elgg_http_build_url($url_array);
+ $string = elgg_http_build_url($url_array, false);
return $string;
}
/**
* Adds an element or elements to a URL's query string.
*
- * @param str $url The URL
- * @param array $elements Key/value pairs to add to the URL
+ * @param string $url The URL
+ * @param array $elements Key/value pairs to add to the URL
*
- * @return str The new URL with the query strings added
+ * @return string The new URL with the query strings added
* @since 1.7.0
*/
function elgg_http_add_url_query_elements($url, array $elements) {
@@ -1680,7 +1479,7 @@ function elgg_http_add_url_query_elements($url, array $elements) {
}
$url_array['query'] = http_build_query($query);
- $string = elgg_http_build_url($url_array);
+ $string = elgg_http_build_url($url_array, false);
return $string;
}
@@ -1696,12 +1495,10 @@ function elgg_http_add_url_query_elements($url, array $elements) {
* @param string $url2 Second URL
* @param array $ignore_params GET params to ignore in the comparison
*
- * @return BOOL
- * @since 1.8
+ * @return bool
+ * @since 1.8.0
*/
function elgg_http_url_is_identical($url1, $url2, $ignore_params = array('offset', 'limit')) {
- global $CONFIG;
-
// if the server portion is missing but it starts with / then add the url in.
// @todo use elgg_normalize_url()
if (elgg_substr($url1, 0, 1) == '/') {
@@ -1721,8 +1518,12 @@ function elgg_http_url_is_identical($url1, $url2, $ignore_params = array('offset
$url1_info = parse_url($url1);
$url2_info = parse_url($url2);
- $url1_info['path'] = trim($url1_info['path'], '/');
- $url2_info['path'] = trim($url2_info['path'], '/');
+ if (isset($url1_info['path'])) {
+ $url1_info['path'] = trim($url1_info['path'], '/');
+ }
+ if (isset($url2_info['path'])) {
+ $url2_info['path'] = trim($url2_info['path'], '/');
+ }
// compare basic bits
$parts = array('scheme', 'host', 'path');
@@ -1785,132 +1586,6 @@ function elgg_http_url_is_identical($url1, $url2, $ignore_params = array('offset
}
/**
- * Load all the REQUEST variables into the sticky form cache
- *
- * Call this from an action when you want all your submitted variables
- * available if the submission fails validation and is sent back to the form
- *
- * @param string $form_name Name of the sticky form
- *
- * @return void
- * @link http://docs.elgg.org/Tutorials/UI/StickyForms
- */
-function elgg_make_sticky_form($form_name) {
- global $CONFIG;
-
- $CONFIG->active_sticky_form = $form_name;
- elgg_clear_sticky_form($form_name);
-
- if (!isset($_SESSION['sticky_forms'])) {
- $_SESSION['sticky_forms'] = array();
- }
- $_SESSION['sticky_forms'][$form_name] = array();
-
- foreach ($_REQUEST as $key => $var) {
- // will go through XSS filtering on the get function
- $_SESSION['sticky_forms'][$form_name][$key] = $var;
- }
-}
-
-/**
- * Clear the sticky form cache
- *
- * Call this if validation is successful in the action handler or
- * when they sticky values have been used to repopulate the form
- * after a validation error.
- *
- * @param string $form_name Form namespace
- *
- * @return void
- * @link http://docs.elgg.org/Tutorials/UI/StickyForms
- */
-function elgg_clear_sticky_form($form_name) {
- unset($_SESSION['sticky_forms'][$form_name]);
-}
-
-/**
- * Has this form been made sticky?
- *
- * @param string $form_name Form namespace
- *
- * @return boolean
- * @link http://docs.elgg.org/Tutorials/UI/StickyForms
- */
-function elgg_is_sticky_form($form_name) {
- return isset($_SESSION['sticky_forms'][$form_name]);
-}
-
-/**
- * Get a specific sticky variable
- *
- * @param string $form_name The name of the form
- * @param string $variable The name of the variable
- * @param mixed $default Default value if the variable does not exist in sticky cache
- * @param boolean $filter_result Filter for bad input if true
- *
- * @return mixed
- *
- * @todo should this filter the default value?
- * @link http://docs.elgg.org/Tutorials/UI/StickyForms
- */
-function elgg_get_sticky_value($form_name, $variable = '', $default = NULL, $filter_result = true) {
- if (isset($_SESSION['sticky_forms'][$form_name][$variable])) {
- $value = $_SESSION['sticky_forms'][$form_name][$variable];
- if ($filter_result) {
- // XSS filter result
- $value = filter_tags($value);
- }
- return $value;
- }
- return $default;
-}
-
-/**
- * Clear a specific sticky variable
- *
- * @param string $form_name The name of the form
- * @param string $variable The name of the variable to clear
- *
- * @return void
- * @link http://docs.elgg.org/Tutorials/UI/StickyForms
- */
-function elgg_clear_sticky_value($form_name, $variable) {
- unset($_SESSION['sticky_forms'][$form_name][$variable]);
-}
-
-/**
- * Returns the current active sticky form.
- *
- * @return mixed Str | FALSE
- * @link http://docs.elgg.org/Tutorials/UI/StickyForms
- */
-function elgg_get_active_sticky_form() {
- global $CONFIG;
-
- if (isset($CONFIG->active_sticky_form)) {
- $form_name = $CONFIG->active_sticky_form;
- } else {
- return FALSE;
- }
-
- return (elgg_is_sticky_form($form_name)) ? $form_name : FALSE;
-}
-
-/**
- * Sets the active sticky form.
- *
- * @param string $form_name The name of the form
- *
- * @return void
- * @link http://docs.elgg.org/Tutorials/UI/StickyForms
- */
-function elgg_set_active_sticky_form($form_name) {
- global $CONFIG;
-
- $CONFIG->active_sticky_form = $form_name;
-}
-
-/**
* Checks for $array[$key] and returns its value if it exists, else
* returns $default.
*
@@ -1919,12 +1594,22 @@ function elgg_set_active_sticky_form($form_name) {
* @param string $key The key to check.
* @param array $array The array to check against.
* @param mixed $default Default value to return if nothing is found.
+ * @param bool $strict Return array key if it's set, even if empty. If false,
+ * return $default if the array key is unset or empty.
*
- * @return void
- * @since 1.8
+ * @return mixed
+ * @since 1.8.0
*/
-function elgg_get_array_value($key, array $array, $default = NULL) {
- return (isset($array[$key])) ? $array[$key] : $default;
+function elgg_extract($key, array $array, $default = null, $strict = true) {
+ if (!is_array($array)) {
+ return $default;
+ }
+
+ if ($strict) {
+ return (isset($array[$key])) ? $array[$key] : $default;
+ } else {
+ return (isset($array[$key]) && !empty($array[$key])) ? $array[$key] : $default;
+ }
}
/**
@@ -1952,7 +1637,7 @@ $sort_type = SORT_LOCALE_STRING) {
$sort = array();
- foreach ($array as $k => $v) {
+ foreach ($array as $v) {
if (isset($v[$element])) {
$sort[] = strtolower($v[$element]);
} else {
@@ -1964,18 +1649,19 @@ $sort_type = SORT_LOCALE_STRING) {
}
/**
- * Return the state of a php.ini setting.
+ * Return the state of a php.ini setting as a bool
*
- * Normalizes the setting to bool.
+ * @warning Using this on ini settings that are not boolean
+ * will be inaccurate!
*
* @param string $ini_get_arg The INI setting
*
- * @return true|false Depending on whether it's on or off
+ * @return bool Depending on whether it's on or off
*/
function ini_get_bool($ini_get_arg) {
- $temp = ini_get($ini_get_arg);
+ $temp = strtolower(ini_get($ini_get_arg));
- if ($temp == '1' or strtolower($temp) == 'on') {
+ if ($temp == '1' || $temp == 'on' || $temp == 'true') {
return true;
}
return false;
@@ -1986,7 +1672,7 @@ function ini_get_bool($ini_get_arg) {
*
* @tip Use this for arithmetic when determining if a file can be uploaded.
*
- * @param str $setting The php.ini setting
+ * @param string $setting The php.ini setting
*
* @return int
* @since 1.7.0
@@ -2001,8 +1687,10 @@ function elgg_get_ini_setting_in_bytes($setting) {
switch($last) {
case 'g':
$val *= 1024;
+ // fallthrough intentional
case 'm':
$val *= 1024;
+ // fallthrough intentional
case 'k':
$val *= 1024;
}
@@ -2036,10 +1724,11 @@ function is_not_null($string) {
* names by singular names.
*
* @param array $options The options array. $options['keys'] = 'values';
- * @param array $singulars A list of sinular words to pluralize by adding 's'.
+ * @param array $singulars A list of singular words to pluralize by adding 's'.
*
* @return array
* @since 1.7.0
+ * @access private
*/
function elgg_normalise_plural_options_array($options, $singulars) {
foreach ($singulars as $singular) {
@@ -2065,30 +1754,6 @@ function elgg_normalise_plural_options_array($options, $singulars) {
}
/**
- * Does nothing.
- *
- * @deprecated 1.7
- * @return 0
- */
-function test_ip() {
- elgg_deprecated_notice('test_ip() was removed because of licensing issues.', 1.7);
-
- return 0;
-}
-
-/**
- * Does nothing.
- *
- * @return bool
- * @deprecated 1.7
- */
-function is_ip_in_array() {
- elgg_deprecated_notice('is_ip_in_array() was removed because of licensing issues.', 1.7);
-
- return false;
-}
-
-/**
* Emits a shutdown:system event upon PHP shutdown, but before database connections are dropped.
*
* @tip Register for the shutdown:system event to perform functions at the end of page loads.
@@ -2097,17 +1762,27 @@ function is_ip_in_array() {
* useful. Servers will hold pages until processing is done before sending
* them out to the browser.
*
+ * @see http://www.php.net/register-shutdown-function
+ *
* @return void
* @see register_shutdown_hook()
+ * @access private
*/
function _elgg_shutdown_hook() {
global $START_MICROTIME;
- elgg_trigger_event('shutdown', 'system');
+ try {
+ elgg_trigger_event('shutdown', 'system');
- $time = (float)(microtime(TRUE) - $START_MICROTIME);
- // demoted to NOTICE from DEBUG so javascript is not corrupted
- elgg_log("Page {$_SERVER['REQUEST_URI']} generated in $time seconds", 'NOTICE');
+ $time = (float)(microtime(TRUE) - $START_MICROTIME);
+ // demoted to NOTICE from DEBUG so javascript is not corrupted
+ elgg_log("Page {$_SERVER['REQUEST_URI']} generated in $time seconds", 'NOTICE');
+ } catch (Exception $e) {
+ $message = 'Error: ' . get_class($e) . ' thrown within the shutdown handler. ';
+ $message .= "Message: '{$e->getMessage()}' in file {$e->getFile()} (line {$e->getLine()})";
+ error_log($message);
+ error_log("Exception trace stack: {$e->getTraceAsString()}");
+ }
}
/**
@@ -2118,23 +1793,251 @@ function _elgg_shutdown_hook() {
*
* @param array $page The page array
*
- * @return void
+ * @return bool
* @elgg_pagehandler js
+ * @access private
*/
-function js_page_handler($page) {
+function elgg_js_page_handler($page) {
+ return elgg_cacheable_view_page_handler($page, 'js');
+}
+
+/**
+ * Serve individual views for Ajax.
+ *
+ * /ajax/view/<name of view>?<key/value params>
+ *
+ * @param array $page The page array
+ *
+ * @return bool
+ * @elgg_pagehandler ajax
+ * @access private
+ */
+function elgg_ajax_page_handler($page) {
if (is_array($page) && sizeof($page)) {
- $js = str_replace('.js', '', $page[0]);
- $return = elgg_view('js/' . $js);
+ // throw away 'view' and form the view name
+ unset($page[0]);
+ $view = implode('/', $page);
+
+ $allowed_views = elgg_get_config('allowed_ajax_views');
+ if (!array_key_exists($view, $allowed_views)) {
+ header('HTTP/1.1 403 Forbidden');
+ exit;
+ }
+
+ // pull out GET parameters through filter
+ $vars = array();
+ foreach ($_GET as $name => $value) {
+ $vars[$name] = get_input($name);
+ }
+
+ if (isset($vars['guid'])) {
+ $vars['entity'] = get_entity($vars['guid']);
+ }
+
+ echo elgg_view($view, $vars);
+ return true;
+ }
+ return false;
+}
+
+/**
+ * Serve CSS
+ *
+ * Serves CSS from the css views directory with headers for caching control
+ *
+ * @param array $page The page array
+ *
+ * @return bool
+ * @elgg_pagehandler css
+ * @access private
+ */
+function elgg_css_page_handler($page) {
+ if (!isset($page[0])) {
+ // default css
+ $page[0] = 'elgg';
+ }
+
+ return elgg_cacheable_view_page_handler($page, 'css');
+}
+
+/**
+ * Serves a JS or CSS view with headers for caching.
+ *
+ * /<css||js>/name/of/view.<last_cache>.<css||js>
+ *
+ * @param array $page The page array
+ * @param string $type The type: js or css
+ *
+ * @return bool
+ * @access private
+ */
+function elgg_cacheable_view_page_handler($page, $type) {
- header('Content-type: text/javascript');
- header('Expires: ' . date('r', time() + 864000));
- header("Pragma: public");
- header("Cache-Control: public");
- header("Content-Length: " . strlen($return));
+ switch ($type) {
+ case 'js':
+ $content_type = 'text/javascript';
+ break;
+
+ case 'css':
+ $content_type = 'text/css';
+ break;
+
+ default:
+ return false;
+ break;
+ }
+
+ if ($page) {
+ // the view file names can have multiple dots
+ // eg: views/default/js/calendars/jquery.fullcalendar.min.php
+ // translates to the url /js/calendars/jquery.fullcalendar.min.<ts>.js
+ // and the view js/calendars/jquery.fullcalendar.min
+ // we ignore the last two dots for the ts and the ext.
+ // Additionally, the timestamp is optional.
+ $page = implode('/', $page);
+ $regex = '|(.+?)\.([\d]+\.)?\w+$|';
+ preg_match($regex, $page, $matches);
+ $view = $matches[1];
+ $return = elgg_view("$type/$view");
+
+ header("Content-type: $content_type");
+
+ // @todo should js be cached when simple cache turned off
+ //header('Expires: ' . gmdate('D, d M Y H:i:s \G\M\T', strtotime("+10 days")), true);
+ //header("Pragma: public");
+ //header("Cache-Control: public");
+ //header("Content-Length: " . strlen($return));
echo $return;
- exit;
+ return true;
+ }
+ return false;
+}
+
+/**
+ * Reverses the ordering in an ORDER BY clause. This is achived by replacing
+ * asc with desc, or appending desc to the end of the clause.
+ *
+ * This is used mostly for elgg_get_entities() and other similar functions.
+ *
+ * @param string $order_by An order by clause
+ * @access private
+ * @return string
+ * @access private
+ */
+function elgg_sql_reverse_order_by_clause($order_by) {
+ $order_by = strtolower($order_by);
+
+ if (strpos($order_by, ' asc') !== false) {
+ $return = str_replace(' asc', ' desc', $order_by);
+ } elseif (strpos($order_by, ' desc') !== false) {
+ $return = str_replace(' desc', ' asc', $order_by);
+ } else {
+ // no order specified, so default to desc since mysql defaults to asc
+ $return = $order_by . ' desc';
+ }
+
+ return $return;
+}
+
+/**
+ * Enable objects with an enable() method.
+ *
+ * Used as a callback for ElggBatch.
+ *
+ * @todo why aren't these static methods on ElggBatch?
+ *
+ * @param object $object The object to enable
+ * @return bool
+ * @access private
+ */
+function elgg_batch_enable_callback($object) {
+ // our db functions return the number of rows affected...
+ return $object->enable() ? true : false;
+}
+
+/**
+ * Disable objects with a disable() method.
+ *
+ * Used as a callback for ElggBatch.
+ *
+ * @param object $object The object to disable
+ * @return bool
+ * @access private
+ */
+function elgg_batch_disable_callback($object) {
+ // our db functions return the number of rows affected...
+ return $object->disable() ? true : false;
+}
+
+/**
+ * Delete objects with a delete() method.
+ *
+ * Used as a callback for ElggBatch.
+ *
+ * @param object $object The object to disable
+ * @return bool
+ * @access private
+ */
+function elgg_batch_delete_callback($object) {
+ // our db functions return the number of rows affected...
+ return $object->delete() ? true : false;
+}
+
+/**
+ * Checks if there are some constraints on the options array for
+ * potentially dangerous operations.
+ *
+ * @param array $options Options array
+ * @param string $type Options type: metadata or annotations
+ * @return bool
+ * @access private
+ */
+function elgg_is_valid_options_for_batch_operation($options, $type) {
+ if (!$options || !is_array($options)) {
+ return false;
}
+
+ // at least one of these is required.
+ $required = array(
+ // generic restraints
+ 'guid', 'guids'
+ );
+
+ switch ($type) {
+ case 'metadata':
+ $metadata_required = array(
+ 'metadata_owner_guid', 'metadata_owner_guids',
+ 'metadata_name', 'metadata_names',
+ 'metadata_value', 'metadata_values'
+ );
+
+ $required = array_merge($required, $metadata_required);
+ break;
+
+ case 'annotations':
+ case 'annotation':
+ $annotations_required = array(
+ 'annotation_owner_guid', 'annotation_owner_guids',
+ 'annotation_name', 'annotation_names',
+ 'annotation_value', 'annotation_values'
+ );
+
+ $required = array_merge($required, $annotations_required);
+ break;
+
+ default:
+ return false;
+ }
+
+ foreach ($required as $key) {
+ // check that it exists and is something.
+ if (isset($options[$key]) && $options[$key]) {
+ return true;
+ }
+ }
+
+ return false;
}
/**
@@ -2142,15 +2045,53 @@ function js_page_handler($page) {
*
* @link http://docs.elgg.org/Tutorials/WalledGarden
* @elgg_plugin_hook index system
- * @return void
+ *
+ * @param string $hook The name of the hook
+ * @param string $type The type of hook
+ * @param bool $value Has a plugin already rendered an index page?
+ * @param array $params Array of parameters (should be empty)
+ * @return bool
+ * @access private
*/
-function elgg_walled_garden_index() {
- $login = elgg_view('account/login_walled_garden');
+function elgg_walled_garden_index($hook, $type, $value, $params) {
+ if ($value) {
+ // do not create a second index page so return
+ return;
+ }
+
+ elgg_load_css('elgg.walled_garden');
+ elgg_load_js('elgg.walled_garden');
+
+ $content = elgg_view('core/walled_garden/login');
- echo elgg_view_page('', $login, 'walled_garden');
+ $params = array(
+ 'content' => $content,
+ 'class' => 'elgg-walledgarden-double',
+ 'id' => 'elgg-walledgarden-login',
+ );
+ $body = elgg_view_layout('walled_garden', $params);
+ echo elgg_view_page('', $body, 'walled_garden');
- // @hack Index must exit to keep plugins from continuing to extend
- exit;
+ // return true to prevent other plugins from adding a front page
+ return true;
+}
+
+/**
+ * Serve walled garden sections
+ *
+ * @param array $page Array of URL segments
+ * @return string
+ * @access private
+ */
+function _elgg_walled_garden_ajax_handler($page) {
+ $view = $page[0];
+ $params = array(
+ 'content' => elgg_view("core/walled_garden/$view"),
+ 'class' => 'elgg-walledgarden-single hidden',
+ 'id' => str_replace('_', '-', "elgg-walledgarden-$view"),
+ );
+ echo elgg_view_layout('walled_garden', $params);
+ return true;
}
/**
@@ -2161,14 +2102,20 @@ function elgg_walled_garden_index() {
* plugin pages by {@elgg_hook public_pages walled_garden} will redirect to
* a login page.
*
- * @since 1.8
+ * @since 1.8.0
* @elgg_event_handler init system
* @link http://docs.elgg.org/Tutorials/WalledGarden
* @return void
+ * @access private
*/
function elgg_walled_garden() {
global $CONFIG;
+ elgg_register_css('elgg.walled_garden', '/css/walled_garden.css');
+ elgg_register_js('elgg.walled_garden', '/js/walled_garden.js');
+
+ elgg_register_page_handler('walled_garden', '_elgg_walled_garden_ajax_handler');
+
// check for external page view
if (isset($CONFIG->site) && $CONFIG->site instanceof ElggSite) {
$CONFIG->site->checkWalledGarden();
@@ -2176,26 +2123,95 @@ function elgg_walled_garden() {
}
/**
+ * Remove public access for walled gardens
+ *
+ * @param string $hook
+ * @param string $type
+ * @param array $accesses
+ * @return array
+ * @access private
+ */
+function _elgg_walled_garden_remove_public_access($hook, $type, $accesses) {
+ if (isset($accesses[ACCESS_PUBLIC])) {
+ unset($accesses[ACCESS_PUBLIC]);
+ }
+ return $accesses;
+}
+
+/**
+ * Boots the engine
+ *
+ * 1. sets error handlers
+ * 2. connects to database
+ * 3. verifies the installation suceeded
+ * 4. loads application configuration
+ * 5. loads i18n data
+ * 6. loads site configuration
+ *
+ * @access private
+ */
+function _elgg_engine_boot() {
+ // Register the error handlers
+ set_error_handler('_elgg_php_error_handler');
+ set_exception_handler('_elgg_php_exception_handler');
+
+ setup_db_connections();
+
+ verify_installation();
+
+ _elgg_load_application_config();
+
+ _elgg_load_site_config();
+
+ _elgg_session_boot();
+
+ _elgg_load_cache();
+
+ _elgg_load_translations();
+}
+
+/**
* Elgg's main init.
*
- * Handles core actions for comments and likes, the JS pagehandler, and the shutdown function.
+ * Handles core actions for comments, the JS pagehandler, and the shutdown function.
*
* @elgg_event_handler init system
* @return void
+ * @access private
*/
function elgg_init() {
global $CONFIG;
- register_action('comments/add');
- register_action('comments/delete');
- register_action('likes/add');
- register_action('likes/delete');
+ elgg_register_action('comments/add');
+ elgg_register_action('comments/delete');
- register_page_handler('js', 'js_page_handler');
+ elgg_register_page_handler('js', 'elgg_js_page_handler');
+ elgg_register_page_handler('css', 'elgg_css_page_handler');
+ elgg_register_page_handler('ajax', 'elgg_ajax_page_handler');
+ elgg_register_js('elgg.autocomplete', 'js/lib/ui.autocomplete.js');
+ elgg_register_js('jquery.ui.autocomplete.html', 'vendors/jquery/jquery.ui.autocomplete.html.js');
+ elgg_register_js('elgg.userpicker', 'js/lib/ui.userpicker.js');
+ elgg_register_js('elgg.friendspicker', 'js/lib/ui.friends_picker.js');
+ elgg_register_js('jquery.easing', 'vendors/jquery/jquery.easing.1.3.packed.js');
+ elgg_register_js('elgg.avatar_cropper', 'js/lib/ui.avatar_cropper.js');
+ elgg_register_js('jquery.imgareaselect', 'vendors/jquery/jquery.imgareaselect-0.9.8/scripts/jquery.imgareaselect.min.js');
+ elgg_register_js('elgg.ui.river', 'js/lib/ui.river.js');
+
+ elgg_register_css('jquery.imgareaselect', 'vendors/jquery/jquery.imgareaselect-0.9.8/css/imgareaselect-deprecated.css');
+
// Trigger the shutdown:system event upon PHP shutdown.
register_shutdown_function('_elgg_shutdown_hook');
+ $logo_url = elgg_get_site_url() . "_graphics/elgg_toolbar_logo.gif";
+ elgg_register_menu_item('topbar', array(
+ 'name' => 'elgg_logo',
+ 'href' => 'http://www.elgg.org/',
+ 'text' => "<img src=\"$logo_url\" alt=\"Elgg logo\" width=\"38\" height=\"20\" />",
+ 'priority' => 1,
+ 'link_class' => 'elgg-topbar-logo',
+ ));
+
// Sets a blacklist of words in the current language.
// This is a comma separated list in word:blacklist.
// @todo possibly deprecate
@@ -2217,7 +2233,8 @@ function elgg_init() {
* @param array $params empty
*
* @elgg_plugin_hook unit_tests system
- * @return void
+ * @return array
+ * @access private
*/
function elgg_api_test($hook, $type, $value, $params) {
global $CONFIG;
@@ -2228,7 +2245,10 @@ function elgg_api_test($hook, $type, $value, $params) {
}
/**#@+
- * Controlls access levels on ElggEntity entities, metadata, and annotations.
+ * Controls access levels on ElggEntity entities, metadata, and annotations.
+ *
+ * @warning ACCESS_DEFAULT is a place holder for the input/access view. Do not
+ * use it when saving an entity.
*
* @var int
*/
@@ -2262,7 +2282,7 @@ define('ELGG_ENTITIES_NO_VALUE', 0);
* referring page.
*
* @see forward
- * @var unknown_type
+ * @var int -1
*/
define('REFERRER', -1);
@@ -2277,6 +2297,7 @@ define('REFERRER', -1);
define('REFERER', -1);
elgg_register_event_handler('init', 'system', 'elgg_init');
+elgg_register_event_handler('boot', 'system', '_elgg_engine_boot', 1);
elgg_register_plugin_hook_handler('unit_test', 'system', 'elgg_api_test');
elgg_register_event_handler('init', 'system', 'add_custom_menu_items', 1000);
diff --git a/engine/lib/entities.php b/engine/lib/entities.php
index 57a98ae40..4fcf1c657 100644
--- a/engine/lib/entities.php
+++ b/engine/lib/entities.php
@@ -13,15 +13,54 @@
* @global array $ENTITY_CACHE
* @access private
*/
+global $ENTITY_CACHE;
$ENTITY_CACHE = array();
/**
- * Cache subtypes and related class names once loaded.
+ * GUIDs of entities banned from the entity cache (during this request)
*
- * @global array $SUBTYPE_CACHE
+ * @global array $ENTITY_CACHE_DISABLED_GUIDS
* @access private
*/
-$SUBTYPE_CACHE = NULL;
+global $ENTITY_CACHE_DISABLED_GUIDS;
+$ENTITY_CACHE_DISABLED_GUIDS = array();
+
+/**
+ * Cache subtypes and related class names.
+ *
+ * @global array|null $SUBTYPE_CACHE array once populated from DB, initially null
+ * @access private
+ */
+global $SUBTYPE_CACHE;
+$SUBTYPE_CACHE = null;
+
+/**
+ * Remove this entity from the entity cache and make sure it is not re-added
+ *
+ * @param int $guid The entity guid
+ *
+ * @access private
+ * @todo this is a workaround until #5604 can be implemented
+ */
+function _elgg_disable_caching_for_entity($guid) {
+ global $ENTITY_CACHE_DISABLED_GUIDS;
+
+ _elgg_invalidate_cache_for_entity($guid);
+ $ENTITY_CACHE_DISABLED_GUIDS[$guid] = true;
+}
+
+/**
+ * Allow this entity to be stored in the entity cache
+ *
+ * @param int $guid The entity guid
+ *
+ * @access private
+ */
+function _elgg_enable_caching_for_entity($guid) {
+ global $ENTITY_CACHE_DISABLED_GUIDS;
+
+ unset($ENTITY_CACHE_DISABLED_GUIDS[$guid]);
+}
/**
* Invalidate this class's entry in the cache.
@@ -31,12 +70,14 @@ $SUBTYPE_CACHE = NULL;
* @return void
* @access private
*/
-function invalidate_cache_for_entity($guid) {
+function _elgg_invalidate_cache_for_entity($guid) {
global $ENTITY_CACHE;
$guid = (int)$guid;
unset($ENTITY_CACHE[$guid]);
+
+ elgg_get_metadata_cache()->clear($guid);
}
/**
@@ -47,60 +88,59 @@ function invalidate_cache_for_entity($guid) {
* @param ElggEntity $entity Entity to cache
*
* @return void
- * @see retrieve_cached_entity()
- * @see invalidate_cache_for_entity()
+ * @see _elgg_retrieve_cached_entity()
+ * @see _elgg_invalidate_cache_for_entity()
* @access private
+ * @todo Use an ElggCache object
*/
-function cache_entity(ElggEntity $entity) {
- global $ENTITY_CACHE;
+function _elgg_cache_entity(ElggEntity $entity) {
+ global $ENTITY_CACHE, $ENTITY_CACHE_DISABLED_GUIDS;
- $ENTITY_CACHE[$entity->guid] = $entity;
-}
+ // Don't cache non-plugin entities while access control is off, otherwise they could be
+ // exposed to users who shouldn't see them when control is re-enabled.
+ if (!($entity instanceof ElggPlugin) && elgg_get_ignore_access()) {
+ return;
+ }
-/**
- * Retrieve a entity from the cache.
- *
- * @param int $guid The guid
- *
- * @return void
- * @see cache_entity()
- * @see invalidate_cache_for_entity()
- * @access private
- */
-function retrieve_cached_entity($guid) {
- global $ENTITY_CACHE;
+ $guid = $entity->getGUID();
+ if (isset($ENTITY_CACHE_DISABLED_GUIDS[$guid])) {
+ return;
+ }
- $guid = (int)$guid;
+ // Don't store too many or we'll have memory problems
+ // @todo Pick a less arbitrary limit
+ if (count($ENTITY_CACHE) > 256) {
+ $random_guid = array_rand($ENTITY_CACHE);
- if (isset($ENTITY_CACHE[$guid])) {
- if ($ENTITY_CACHE[$guid]->isFullyLoaded()) {
- return $ENTITY_CACHE[$guid];
- }
+ unset($ENTITY_CACHE[$random_guid]);
+
+ // Purge separate metadata cache. Original idea was to do in entity destructor, but that would
+ // have caused a bunch of unnecessary purges at every shutdown. Doing it this way we have no way
+ // to know that the expunged entity will be GCed (might be another reference living), but that's
+ // OK; the metadata will reload if necessary.
+ elgg_get_metadata_cache()->clear($random_guid);
}
- return false;
+ $ENTITY_CACHE[$guid] = $entity;
}
/**
- * As retrieve_cached_entity, but returns the result as a stdClass
- * (compatible with load functions that expect a database row.)
+ * Retrieve a entity from the cache.
*
* @param int $guid The guid
*
- * @return mixed
- * @todo unused
+ * @return ElggEntity|bool false if entity not cached, or not fully loaded
+ * @see _elgg_cache_entity()
+ * @see _elgg_invalidate_cache_for_entity()
* @access private
*/
-function retrieve_cached_entity_row($guid) {
- $obj = retrieve_cached_entity($guid);
- if ($obj) {
- $tmp = new stdClass;
+function _elgg_retrieve_cached_entity($guid) {
+ global $ENTITY_CACHE;
- foreach ($obj as $k => $v) {
- $tmp->$k = $v;
+ if (isset($ENTITY_CACHE[$guid])) {
+ if ($ENTITY_CACHE[$guid]->isFullyLoaded()) {
+ return $ENTITY_CACHE[$guid];
}
-
- return $tmp;
}
return false;
@@ -122,8 +162,6 @@ function retrieve_cached_entity_row($guid) {
* @internal Subtypes are stored in the entity_subtypes table. There is a foreign
* key in the entities table.
*
- * @todo Move to a nicer place?
- *
* @param string $type Type
* @param string $subtype Subtype
*
@@ -133,75 +171,99 @@ function retrieve_cached_entity_row($guid) {
* @access private
*/
function get_subtype_id($type, $subtype) {
- global $CONFIG, $SUBTYPE_CACHE;
+ global $SUBTYPE_CACHE;
- $type = sanitise_string($type);
- $subtype = sanitise_string($subtype);
-
- if ($subtype == "") {
- return FALSE;
+ if (!$subtype) {
+ return false;
}
- // Todo: cache here? Or is looping less efficient that going to the db each time?
- $result = get_data_row("SELECT * from {$CONFIG->dbprefix}entity_subtypes
- where type='$type' and subtype='$subtype'");
-
- if ($result) {
- if (!$SUBTYPE_CACHE) {
- $SUBTYPE_CACHE = array();
- }
+ if ($SUBTYPE_CACHE === null) {
+ _elgg_populate_subtype_cache();
+ }
- $SUBTYPE_CACHE[$result->id] = $result;
+ // use the cache before hitting database
+ $result = _elgg_retrieve_cached_subtype($type, $subtype);
+ if ($result !== null) {
return $result->id;
}
- return FALSE;
+ return false;
}
/**
* Return string name for a given subtype ID.
*
- * @todo Move to a nicer place?
- *
* @param int $subtype_id Subtype ID
*
- * @return string Subtype name
+ * @return string|false Subtype name, false if subtype not found
* @link http://docs.elgg.org/DataModel/Entities/Subtypes
* @see get_subtype_from_id()
* @access private
*/
function get_subtype_from_id($subtype_id) {
- global $CONFIG, $SUBTYPE_CACHE;
-
- $subtype_id = (int)$subtype_id;
+ global $SUBTYPE_CACHE;
if (!$subtype_id) {
return false;
}
+ if ($SUBTYPE_CACHE === null) {
+ _elgg_populate_subtype_cache();
+ }
+
if (isset($SUBTYPE_CACHE[$subtype_id])) {
return $SUBTYPE_CACHE[$subtype_id]->subtype;
}
- $result = get_data_row("SELECT * from {$CONFIG->dbprefix}entity_subtypes where id=$subtype_id");
- if ($result) {
- if (!$SUBTYPE_CACHE) {
- $SUBTYPE_CACHE = array();
- }
+ return false;
+}
+
+/**
+ * Retrieve subtype from the cache.
+ *
+ * @param string $type
+ * @param string $subtype
+ * @return stdClass|null
+ *
+ * @access private
+ */
+function _elgg_retrieve_cached_subtype($type, $subtype) {
+ global $SUBTYPE_CACHE;
- $SUBTYPE_CACHE[$subtype_id] = $result;
- return $result->subtype;
+ if ($SUBTYPE_CACHE === null) {
+ _elgg_populate_subtype_cache();
}
- return false;
+ foreach ($SUBTYPE_CACHE as $obj) {
+ if ($obj->type === $type && $obj->subtype === $subtype) {
+ return $obj;
+ }
+ }
+ return null;
}
/**
- * Return a classname for a registered type and subtype.
+ * Fetch all suptypes from DB to local cache.
+ *
+ * @access private
+ */
+function _elgg_populate_subtype_cache() {
+ global $CONFIG, $SUBTYPE_CACHE;
+
+ $results = get_data("SELECT * FROM {$CONFIG->dbprefix}entity_subtypes");
+
+ $SUBTYPE_CACHE = array();
+ foreach ($results as $row) {
+ $SUBTYPE_CACHE[$row->id] = $row;
+ }
+}
+
+/**
+ * Return the class name for a registered type and subtype.
*
* Entities can be registered to always be loaded as a certain class
- * with {@link register_entity_subtype()}. This function returns
- * the class name if found, and NULL if not.
+ * with add_subtype() or update_subtype(). This function returns the class
+ * name if found and NULL if not.
*
* @param string $type The type
* @param string $subtype The subtype
@@ -212,29 +274,23 @@ function get_subtype_from_id($subtype_id) {
* @access private
*/
function get_subtype_class($type, $subtype) {
- global $CONFIG, $SUBTYPE_CACHE;
-
- $type = sanitise_string($type);
- $subtype = sanitise_string($subtype);
-
- // Todo: cache here? Or is looping less efficient that going to the db each time?
- $result = get_data_row("SELECT * from {$CONFIG->dbprefix}entity_subtypes
- where type='$type' and subtype='$subtype'");
-
- if ($result) {
- if (!$SUBTYPE_CACHE) {
- $SUBTYPE_CACHE = array();
- }
+ global $SUBTYPE_CACHE;
- $SUBTYPE_CACHE[$result->id] = $result;
- return $result->class;
+ if ($SUBTYPE_CACHE === null) {
+ _elgg_populate_subtype_cache();
+ }
+
+ // use the cache before going to the database
+ $obj = _elgg_retrieve_cached_subtype($type, $subtype);
+ if ($obj) {
+ return $obj->class;
}
- return NULL;
+ return null;
}
/**
- * Returns the classname for a subtype id.
+ * Returns the class name for a subtype id.
*
* @param int $subtype_id The subtype id
*
@@ -244,29 +300,21 @@ function get_subtype_class($type, $subtype) {
* @access private
*/
function get_subtype_class_from_id($subtype_id) {
- global $CONFIG, $SUBTYPE_CACHE;
-
- $subtype_id = (int)$subtype_id;
+ global $SUBTYPE_CACHE;
if (!$subtype_id) {
- return false;
+ return null;
}
+ if ($SUBTYPE_CACHE === null) {
+ _elgg_populate_subtype_cache();
+ }
+
if (isset($SUBTYPE_CACHE[$subtype_id])) {
return $SUBTYPE_CACHE[$subtype_id]->class;
}
- $result = get_data_row("SELECT * from {$CONFIG->dbprefix}entity_subtypes where id=$subtype_id");
-
- if ($result) {
- if (!$SUBTYPE_CACHE) {
- $SUBTYPE_CACHE = array();
- }
- $SUBTYPE_CACHE[$subtype_id] = $result;
- return $result->class;
- }
-
- return NULL;
+ return null;
}
/**
@@ -277,6 +325,9 @@ function get_subtype_class_from_id($subtype_id) {
* it will be loaded as that class automatically when retrieved from the database with
* {@link get_entity()}.
*
+ * @warning This function cannot be used to change the class for a type-subtype pair.
+ * Use update_subtype() for that.
+ *
* @param string $type The type you're subtyping (site, user, object, or group)
* @param string $subtype The subtype
* @param string $class Optional class name for the object
@@ -289,21 +340,32 @@ function get_subtype_class_from_id($subtype_id) {
* @see get_entity()
*/
function add_subtype($type, $subtype, $class = "") {
- global $CONFIG;
- $type = sanitise_string($type);
- $subtype = sanitise_string($subtype);
- $class = sanitise_string($class);
+ global $CONFIG, $SUBTYPE_CACHE;
- // Short circuit if no subtype is given
- if ($subtype == "") {
+ if (!$subtype) {
return 0;
}
$id = get_subtype_id($type, $subtype);
- if ($id == 0) {
- return insert_data("insert into {$CONFIG->dbprefix}entity_subtypes"
- . " (type, subtype, class) values ('$type','$subtype','$class')");
+ if (!$id) {
+ // In cache we store non-SQL-escaped strings because that's what's returned by query
+ $cache_obj = (object) array(
+ 'type' => $type,
+ 'subtype' => $subtype,
+ 'class' => $class,
+ );
+
+ $type = sanitise_string($type);
+ $subtype = sanitise_string($subtype);
+ $class = sanitise_string($class);
+
+ $id = insert_data("INSERT INTO {$CONFIG->dbprefix}entity_subtypes"
+ . " (type, subtype, class) VALUES ('$type', '$subtype', '$class')");
+
+ // add entry to cache
+ $cache_obj->id = $id;
+ $SUBTYPE_CACHE[$id] = $cache_obj;
}
return $id;
@@ -312,6 +374,10 @@ function add_subtype($type, $subtype, $class = "") {
/**
* Removes a registered ElggEntity type, subtype, and classname.
*
+ * @warning You do not want to use this function. If you want to unregister
+ * a class for a subtype, use update_subtype(). Using this function will
+ * permanently orphan all the objects created with the specified subtype.
+ *
* @param string $type Type
* @param string $subtype Subtype
*
@@ -330,7 +396,7 @@ function remove_subtype($type, $subtype) {
}
/**
- * Update a registered ElggEntity type, subtype, and classname
+ * Update a registered ElggEntity type, subtype, and class name
*
* @param string $type Type
* @param string $subtype Subtype
@@ -339,18 +405,33 @@ function remove_subtype($type, $subtype) {
* @return bool
*/
function update_subtype($type, $subtype, $class = '') {
- global $CONFIG;
+ global $CONFIG, $SUBTYPE_CACHE;
- if (!$id = get_subtype_id($type, $subtype)) {
- return FALSE;
+ $id = get_subtype_id($type, $subtype);
+ if (!$id) {
+ return false;
+ }
+
+ if ($SUBTYPE_CACHE === null) {
+ _elgg_populate_subtype_cache();
}
+
+ $unescaped_class = $class;
+
$type = sanitise_string($type);
$subtype = sanitise_string($subtype);
-
- return update_data("UPDATE {$CONFIG->dbprefix}entity_subtypes
+ $class = sanitise_string($class);
+
+ $success = update_data("UPDATE {$CONFIG->dbprefix}entity_subtypes
SET type = '$type', subtype = '$subtype', class = '$class'
WHERE id = $id
");
+
+ if ($success && isset($SUBTYPE_CACHE[$id])) {
+ $SUBTYPE_CACHE[$id]->class = $unescaped_class;
+ }
+
+ return $success;
}
/**
@@ -365,12 +446,13 @@ function update_subtype($type, $subtype, $class = '') {
* @param int $owner_guid The new owner guid
* @param int $access_id The new access id
* @param int $container_guid The new container guid
+ * @param int $time_created The time creation timestamp
*
* @return bool
- * @link http://docs.elgg.org/DataModel/Entities
+ * @throws InvalidParameterException
* @access private
*/
-function update_entity($guid, $owner_guid, $access_id, $container_guid = null) {
+function update_entity($guid, $owner_guid, $access_id, $container_guid = null, $time_created = null) {
global $CONFIG, $ENTITY_CACHE;
$guid = (int)$guid;
@@ -384,11 +466,22 @@ function update_entity($guid, $owner_guid, $access_id, $container_guid = null) {
$entity = get_entity($guid);
+ if ($time_created == null) {
+ $time_created = $entity->time_created;
+ } else {
+ $time_created = (int) $time_created;
+ }
+
+ if ($access_id == ACCESS_DEFAULT) {
+ throw new InvalidParameterException('ACCESS_DEFAULT is not a valid access level. See its documentation in elgglib.h');
+ }
+
if ($entity && $entity->canEdit()) {
if (elgg_trigger_event('update', $entity->type, $entity)) {
- $ret = update_data("UPDATE {$CONFIG->dbprefix}entities"
- . " set owner_guid='$owner_guid', access_id='$access_id',"
- . " container_guid='$container_guid', time_updated='$time' WHERE guid=$guid");
+ $ret = update_data("UPDATE {$CONFIG->dbprefix}entities
+ set owner_guid='$owner_guid', access_id='$access_id',
+ container_guid='$container_guid', time_created='$time_created',
+ time_updated='$time' WHERE guid=$guid");
if ($entity instanceof ElggObject) {
update_river_access_by_object($guid, $access_id);
@@ -400,7 +493,7 @@ function update_entity($guid, $owner_guid, $access_id, $container_guid = null) {
$newentity_cache = new ElggMemcache('new_entity_cache');
}
if ($newentity_cache) {
- $new_entity = $newentity_cache->delete($guid);
+ $newentity_cache->delete($guid);
}
// Handle cases where there was no error BUT no rows were updated!
@@ -414,7 +507,7 @@ function update_entity($guid, $owner_guid, $access_id, $container_guid = null) {
}
/**
- * Determine if a given user is can write to an entity container.
+ * Determine if a given user can write to an entity container.
*
* An entity can be a container for any other entity by setting the
* container_guid. container_guid can differ from owner_guid.
@@ -422,7 +515,7 @@ function update_entity($guid, $owner_guid, $access_id, $container_guid = null) {
* A plugin hook container_permissions_check:$entity_type is emitted to allow granular
* access controls in plugins.
*
- * @param int $user_guid The user guid, or 0 for get_loggedin_userid()
+ * @param int $user_guid The user guid, or 0 for logged in user
* @param int $container_guid The container, or 0 for the current page owner.
* @param string $type The type of entity we're looking to write
* @param string $subtype The subtype of the entity we're looking to write
@@ -434,7 +527,7 @@ function can_write_to_container($user_guid = 0, $container_guid = 0, $type = 'al
$user_guid = (int)$user_guid;
$user = get_entity($user_guid);
if (!$user) {
- $user = get_loggedin_user();
+ $user = elgg_get_logged_in_user_entity();
}
$container_guid = (int)$container_guid;
@@ -442,8 +535,10 @@ function can_write_to_container($user_guid = 0, $container_guid = 0, $type = 'al
$container_guid = elgg_get_page_owner_guid();
}
+ $return = false;
+
if (!$container_guid) {
- $return = TRUE;
+ $return = true;
}
$container = get_entity($container_guid);
@@ -451,23 +546,29 @@ function can_write_to_container($user_guid = 0, $container_guid = 0, $type = 'al
if ($container) {
// If the user can edit the container, they can also write to it
if ($container->canEdit($user_guid)) {
- $return = TRUE;
+ $return = true;
}
- // Basics, see if the user is a member of the group.
- // @todo this should be moved to the groups plugin
- if ($user && $container instanceof ElggGroup) {
- if (!$container->isMember($user)) {
- $return = FALSE;
- } else {
- $return = TRUE;
+ // If still not approved, see if the user is a member of the group
+ // @todo this should be moved to the groups plugin/library
+ if (!$return && $user && $container instanceof ElggGroup) {
+ /* @var ElggGroup $container */
+ if ($container->isMember($user)) {
+ $return = true;
}
}
}
// See if anyone else has anything to say
- return elgg_trigger_plugin_hook('container_permissions_check', $type,
- array('container' => $container, 'user' => $user, 'subtype' => $subtype), $return);
+ return elgg_trigger_plugin_hook(
+ 'container_permissions_check',
+ $type,
+ array(
+ 'container' => $container,
+ 'user' => $user,
+ 'subtype' => $subtype
+ ),
+ $return);
}
/**
@@ -490,8 +591,8 @@ function can_write_to_container($user_guid = 0, $container_guid = 0, $type = 'al
*
* @return int|false The new entity's GUID, or false on failure
* @throws InvalidParameterException
- * @access private
* @link http://docs.elgg.org/DataModel/Entities
+ * @access private
*/
function create_entity($type, $subtype, $owner_guid, $access_id, $site_guid = 0,
$container_guid = 0) {
@@ -501,7 +602,6 @@ $container_guid = 0) {
$type = sanitise_string($type);
$subtype_id = add_subtype($type, $subtype);
$owner_guid = (int)$owner_guid;
- $access_id = (int)$access_id;
$time = time();
if ($site_guid == 0) {
$site_guid = $CONFIG->site_guid;
@@ -510,13 +610,17 @@ $container_guid = 0) {
if ($container_guid == 0) {
$container_guid = $owner_guid;
}
+ $access_id = (int)$access_id;
+ if ($access_id == ACCESS_DEFAULT) {
+ throw new InvalidParameterException('ACCESS_DEFAULT is not a valid access level. See its documentation in elgglib.h');
+ }
- $user = get_loggedin_user();
- if (!can_write_to_container($user->guid, $owner_guid, $type, $subtype)) {
+ $user_guid = elgg_get_logged_in_user_guid();
+ if (!can_write_to_container($user_guid, $owner_guid, $type, $subtype)) {
return false;
}
if ($owner_guid != $container_guid) {
- if (!can_write_to_container($user->guid, $container_guid, $type, $subtype)) {
+ if (!can_write_to_container($user_guid, $container_guid, $type, $subtype)) {
return false;
}
}
@@ -567,12 +671,14 @@ function get_entity_as_row($guid) {
*
* @param stdClass $row The row of the entry in the entities table.
*
- * @return object|false
+ * @return ElggEntity|false
* @link http://docs.elgg.org/DataModel/Entities
* @see get_entity_as_row()
* @see add_subtype()
* @see get_entity()
* @access private
+ *
+ * @throws ClassException|InstallationException
*/
function entity_row_to_elggstar($row) {
if (!($row instanceof stdClass)) {
@@ -650,28 +756,87 @@ function entity_row_to_elggstar($row) {
* @link http://docs.elgg.org/DataModel/Entities
*/
function get_entity($guid) {
- static $newentity_cache;
- $new_entity = false;
+ // This should not be a static local var. Notice that cache writing occurs in a completely
+ // different instance outside this function.
+ // @todo We need a single Memcache instance with a shared pool of namespace wrappers. This function would pull an instance from the pool.
+ static $shared_cache;
+
+ // We could also use: if (!(int) $guid) { return FALSE },
+ // but that evaluates to a false positive for $guid = TRUE.
+ // This is a bit slower, but more thorough.
+ if (!is_numeric($guid) || $guid === 0 || $guid === '0') {
+ return false;
+ }
+
+ // Check local cache first
+ $new_entity = _elgg_retrieve_cached_entity($guid);
+ if ($new_entity) {
+ return $new_entity;
+ }
- if (!is_numeric($guid)) {
- return FALSE;
+ // Check shared memory cache, if available
+ if (null === $shared_cache) {
+ if (is_memcache_available()) {
+ $shared_cache = new ElggMemcache('new_entity_cache');
+ } else {
+ $shared_cache = false;
+ }
}
- if ((!$newentity_cache) && (is_memcache_available())) {
- $newentity_cache = new ElggMemcache('new_entity_cache');
+ // until ACLs in memcache, DB query is required to determine access
+ $entity_row = get_entity_as_row($guid);
+ if (!$entity_row) {
+ return false;
}
- if ($newentity_cache) {
- $new_entity = $newentity_cache->load($guid);
+ if ($shared_cache) {
+ $cached_entity = $shared_cache->load($guid);
+ // @todo store ACLs in memcache https://github.com/elgg/elgg/issues/3018#issuecomment-13662617
+ if ($cached_entity) {
+ // @todo use ACL and cached entity access_id to determine if user can see it
+ return $cached_entity;
+ }
}
- if ($new_entity) {
- return $new_entity;
+ // don't let incomplete entities cause fatal exceptions
+ try {
+ $new_entity = entity_row_to_elggstar($entity_row);
+ } catch (IncompleteEntityException $e) {
+ return false;
}
- return entity_row_to_elggstar(get_entity_as_row($guid));
+ if ($new_entity) {
+ _elgg_cache_entity($new_entity);
+ }
+ return $new_entity;
}
+/**
+ * Does an entity exist?
+ *
+ * This function checks for the existence of an entity independent of access
+ * permissions. It is useful for situations when a user cannot access an entity
+ * and it must be determined whether entity has been deleted or the access level
+ * has changed.
+ *
+ * @param int $guid The GUID of the entity
+ *
+ * @return bool
+ * @since 1.8.0
+ */
+function elgg_entity_exists($guid) {
+ global $CONFIG;
+
+ $guid = sanitize_int($guid);
+
+ $query = "SELECT count(*) as total FROM {$CONFIG->dbprefix}entities WHERE guid = $guid";
+ $result = get_data_row($query);
+ if ($result->total == 0) {
+ return false;
+ } else {
+ return true;
+ }
+}
/**
* Returns an array of entities with optional filtering.
@@ -693,19 +858,24 @@ function get_entity($guid) {
* Joined with subtypes by AND. See below)
*
* subtypes => NULL|STR entity subtype (SQL: subtype IN ('subtype1', 'subtype2))
+ * Use ELGG_ENTITIES_NO_VALUE for no subtype.
*
* type_subtype_pairs => NULL|ARR (array('type' => 'subtype'))
* (type = '$type' AND subtype = '$subtype') pairs
*
- * owner_guids => NULL|INT entity guid
+ * guids => NULL|ARR Array of entity guids
+ *
+ * owner_guids => NULL|ARR Array of owner guids
*
- * container_guids => NULL|INT container_guid
+ * container_guids => NULL|ARR Array of container_guids
*
- * site_guids => NULL (current_site)|INT site_guid
+ * site_guids => NULL (current_site)|ARR Array of site_guid
*
* order_by => NULL (time_created desc)|STR SQL order by clause
*
- * limit => NULL (10)|INT SQL limit clause
+ * reverse_order_by => BOOL Reverse the default order by clause
+ *
+ * limit => NULL (10)|INT SQL limit clause (0 means no limit)
*
* offset => NULL (0)|INT SQL offset clause
*
@@ -723,7 +893,9 @@ function get_entity($guid) {
*
* joins => array() Additional joins
*
- * @return mixed int if count is true, an array of entity objects, or false on failure
+ * callback => string A callback function to pass each row through
+ *
+ * @return mixed If count, int. If not count, array. false on errors.
* @since 1.7.0
* @see elgg_get_entities_from_metadata()
* @see elgg_get_entities_from_relationship()
@@ -740,6 +912,7 @@ function elgg_get_entities(array $options = array()) {
'subtypes' => ELGG_ENTITIES_ANY_VALUE,
'type_subtype_pairs' => ELGG_ENTITIES_ANY_VALUE,
+ 'guids' => ELGG_ENTITIES_ANY_VALUE,
'owner_guids' => ELGG_ENTITIES_ANY_VALUE,
'container_guids' => ELGG_ENTITIES_ANY_VALUE,
'site_guids' => $CONFIG->site_guid,
@@ -749,6 +922,7 @@ function elgg_get_entities(array $options = array()) {
'created_time_lower' => ELGG_ENTITIES_ANY_VALUE,
'created_time_upper' => ELGG_ENTITIES_ANY_VALUE,
+ 'reverse_order_by' => false,
'order_by' => 'e.time_created desc',
'group_by' => ELGG_ENTITIES_ANY_VALUE,
'limit' => 10,
@@ -756,7 +930,11 @@ function elgg_get_entities(array $options = array()) {
'count' => FALSE,
'selects' => array(),
'wheres' => array(),
- 'joins' => array()
+ 'joins' => array(),
+
+ 'callback' => 'entity_row_to_elggstar',
+
+ '__ElggBatch' => null,
);
$options = array_merge($defaults, $options);
@@ -772,7 +950,7 @@ function elgg_get_entities(array $options = array()) {
}
}
- $singulars = array('type', 'subtype', 'owner_guid', 'container_guid', 'site_guid');
+ $singulars = array('type', 'subtype', 'guid', 'owner_guid', 'container_guid', 'site_guid');
$options = elgg_normalise_plural_options_array($options, $singulars);
// evaluate where clauses
@@ -784,15 +962,15 @@ function elgg_get_entities(array $options = array()) {
$wheres[] = elgg_get_entity_type_subtype_where_sql('e', $options['types'],
$options['subtypes'], $options['type_subtype_pairs']);
- $wheres[] = elgg_get_entity_site_where_sql('e', $options['site_guids']);
- $wheres[] = elgg_get_entity_owner_where_sql('e', $options['owner_guids']);
- $wheres[] = elgg_get_entity_container_where_sql('e', $options['container_guids']);
+
+ $wheres[] = elgg_get_guid_based_where_sql('e.guid', $options['guids']);
+ $wheres[] = elgg_get_guid_based_where_sql('e.owner_guid', $options['owner_guids']);
+ $wheres[] = elgg_get_guid_based_where_sql('e.container_guid', $options['container_guids']);
+ $wheres[] = elgg_get_guid_based_where_sql('e.site_guid', $options['site_guids']);
+
$wheres[] = elgg_get_entity_time_where_sql('e', $options['created_time_upper'],
$options['created_time_lower'], $options['modified_time_upper'], $options['modified_time_lower']);
- // remove identical where clauses
- $wheres = array_unique($wheres);
-
// see if any functions failed
// remove empty strings on successful functions
foreach ($wheres as $i => $where) {
@@ -803,6 +981,9 @@ function elgg_get_entities(array $options = array()) {
}
}
+ // remove identical where clauses
+ $wheres = array_unique($wheres);
+
// evaluate join clauses
if (!is_array($options['joins'])) {
$options['joins'] = array($options['joins']);
@@ -823,7 +1004,7 @@ function elgg_get_entities(array $options = array()) {
if ($options['selects']) {
$selects = '';
foreach ($options['selects'] as $select) {
- $selects = ", $select";
+ $selects .= ", $select";
}
} else {
$selects = '';
@@ -849,24 +1030,53 @@ function elgg_get_entities(array $options = array()) {
// Add access controls
$query .= get_access_sql_suffix('e');
+
+ // reverse order by
+ if ($options['reverse_order_by']) {
+ $options['order_by'] = elgg_sql_reverse_order_by_clause($options['order_by']);
+ }
+
if (!$options['count']) {
- if ($options['group_by'] = sanitise_string($options['group_by'])) {
+ if ($options['group_by']) {
$query .= " GROUP BY {$options['group_by']}";
}
- if ($options['order_by'] = sanitise_string($options['order_by'])) {
+ if ($options['order_by']) {
$query .= " ORDER BY {$options['order_by']}";
}
if ($options['limit']) {
- $limit = sanitise_int($options['limit']);
- $offset = sanitise_int($options['offset']);
+ $limit = sanitise_int($options['limit'], false);
+ $offset = sanitise_int($options['offset'], false);
$query .= " LIMIT $offset, $limit";
}
- $dt = get_data($query, "entity_row_to_elggstar");
+ if ($options['callback'] === 'entity_row_to_elggstar') {
+ $dt = _elgg_fetch_entities_from_sql($query, $options['__ElggBatch']);
+ } else {
+ $dt = get_data($query, $options['callback']);
+ }
- //@todo normalize this to array()
+ if ($dt) {
+ // populate entity and metadata caches
+ $guids = array();
+ foreach ($dt as $item) {
+ // A custom callback could result in items that aren't ElggEntity's, so check for them
+ if ($item instanceof ElggEntity) {
+ _elgg_cache_entity($item);
+ // plugins usually have only settings
+ if (!$item instanceof ElggPlugin) {
+ $guids[] = $item->guid;
+ }
+ }
+ }
+ // @todo Without this, recursive delete fails. See #4568
+ reset($dt);
+
+ if ($guids) {
+ elgg_get_metadata_cache()->populateFromEntities($guids);
+ }
+ }
return $dt;
} else {
$total = get_data_row($query);
@@ -875,96 +1085,101 @@ function elgg_get_entities(array $options = array()) {
}
/**
- * Returns entities.
- *
- * @deprecated 1.7. Use elgg_get_entities().
- *
- * @param string $type Entity type
- * @param string $subtype Entity subtype
- * @param int $owner_guid Owner GUID
- * @param string $order_by Order by clause
- * @param int $limit Limit
- * @param int $offset Offset
- * @param bool $count Return a count or an array of entities
- * @param int $site_guid Site GUID
- * @param int $container_guid Container GUID
- * @param int $timelower Lower time limit
- * @param int $timeupper Upper time limit
+ * Return entities from an SQL query generated by elgg_get_entities.
*
- * @return array
+ * @param string $sql
+ * @param ElggBatch $batch
+ * @return ElggEntity[]
+ *
+ * @access private
+ * @throws LogicException
*/
-function get_entities($type = "", $subtype = "", $owner_guid = 0, $order_by = "", $limit = 10,
-$offset = 0, $count = false, $site_guid = 0, $container_guid = null, $timelower = 0,
-$timeupper = 0) {
+function _elgg_fetch_entities_from_sql($sql, ElggBatch $batch = null) {
+ static $plugin_subtype;
+ if (null === $plugin_subtype) {
+ $plugin_subtype = get_subtype_id('object', 'plugin');
+ }
+
+ // Keys are types, values are columns that, if present, suggest that the secondary
+ // table is already JOINed
+ $types_to_optimize = array(
+ 'object' => 'title',
+ 'user' => 'password',
+ 'group' => 'name',
+ );
- elgg_deprecated_notice('get_entities() was deprecated by elgg_get_entities().', 1.7);
+ $rows = get_data($sql);
- // rewrite owner_guid to container_guid to emulate old functionality
- if ($owner_guid != "") {
- if (is_null($container_guid)) {
- $container_guid = $owner_guid;
- $owner_guid = NULL;
- }
+ // guids to look up in each type
+ $lookup_types = array();
+ // maps GUIDs to the $rows key
+ $guid_to_key = array();
+
+ if (isset($rows[0]->type, $rows[0]->subtype)
+ && $rows[0]->type === 'object'
+ && $rows[0]->subtype == $plugin_subtype) {
+ // Likely the entire resultset is plugins, which have already been optimized
+ // to JOIN the secondary table. In this case we allow retrieving from cache,
+ // but abandon the extra queries.
+ $types_to_optimize = array();
}
- $options = array();
- if ($type) {
- if (is_array($type)) {
- $options['types'] = $type;
- } else {
- $options['type'] = $type;
+ // First pass: use cache where possible, gather GUIDs that we're optimizing
+ foreach ($rows as $i => $row) {
+ if (empty($row->guid) || empty($row->type)) {
+ throw new LogicException('Entity row missing guid or type');
+ }
+ if ($entity = _elgg_retrieve_cached_entity($row->guid)) {
+ $rows[$i] = $entity;
+ continue;
+ }
+ if (isset($types_to_optimize[$row->type])) {
+ // check if row already looks JOINed.
+ if (isset($row->{$types_to_optimize[$row->type]})) {
+ // Row probably already contains JOINed secondary table. Don't make another query just
+ // to pull data that's already there
+ continue;
+ }
+ $lookup_types[$row->type][] = $row->guid;
+ $guid_to_key[$row->guid] = $i;
}
}
-
- if ($subtype) {
- if (is_array($subtype)) {
- $options['subtypes'] = $subtype;
- } else {
- $options['subtype'] = $subtype;
+ // Do secondary queries and merge rows
+ if ($lookup_types) {
+ $dbprefix = elgg_get_config('dbprefix');
+
+ foreach ($lookup_types as $type => $guids) {
+ $set = "(" . implode(',', $guids) . ")";
+ $sql = "SELECT * FROM {$dbprefix}{$type}s_entity WHERE guid IN $set";
+ $secondary_rows = get_data($sql);
+ if ($secondary_rows) {
+ foreach ($secondary_rows as $secondary_row) {
+ $key = $guid_to_key[$secondary_row->guid];
+ // cast to arrays to merge then cast back
+ $rows[$key] = (object)array_merge((array)$rows[$key], (array)$secondary_row);
+ }
+ }
}
}
-
- if ($owner_guid) {
- if (is_array($owner_guid)) {
- $options['owner_guids'] = $owner_guid;
+ // Second pass to finish conversion
+ foreach ($rows as $i => $row) {
+ if ($row instanceof ElggEntity) {
+ continue;
} else {
- $options['owner_guid'] = $owner_guid;
+ try {
+ $rows[$i] = entity_row_to_elggstar($row);
+ } catch (IncompleteEntityException $e) {
+ // don't let incomplete entities throw fatal errors
+ unset($rows[$i]);
+
+ // report incompletes to the batch process that spawned this query
+ if ($batch) {
+ $batch->reportIncompleteEntity($row);
+ }
+ }
}
}
-
- if ($order_by) {
- $options['order_by'] = $order_by;
- }
-
- // need to pass 0 for all option
- $options['limit'] = $limit;
-
- if ($offset) {
- $options['offset'] = $offset;
- }
-
- if ($count) {
- $options['count'] = $count;
- }
-
- if ($site_guid) {
- $options['site_guids'] = $site_guid;
- }
-
- if ($container_guid) {
- $options['container_guids'] = $container_guid;
- }
-
- if ($timeupper) {
- $options['created_time_upper'] = $timeupper;
- }
-
- if ($timelower) {
- $options['created_time_lower'] = $timelower;
- }
-
- $r = elgg_get_entities($options);
- return $r;
+ return $rows;
}
/**
@@ -991,8 +1206,8 @@ function elgg_get_entity_type_subtype_where_sql($table, $types, $subtypes, $pair
return '';
}
- // these are the only valid types for entities in elgg as defined in the DB.
- $valid_types = array('object', 'user', 'group', 'site');
+ // these are the only valid types for entities in elgg
+ $valid_types = elgg_get_config('entity_types');
// pairs override
$wheres = array();
@@ -1018,7 +1233,7 @@ function elgg_get_entity_type_subtype_where_sql($table, $types, $subtypes, $pair
foreach ($types as $type) {
if (!in_array($type, $valid_types)) {
$valid_types_count--;
- unset ($types[array_search($type, $types)]);
+ unset($types[array_search($type, $types)]);
} else {
// do the checking (and decrementing) in the subtype section.
$valid_subtypes_count += count($subtypes);
@@ -1036,13 +1251,24 @@ function elgg_get_entity_type_subtype_where_sql($table, $types, $subtypes, $pair
$subtype_ids = array();
if ($subtypes) {
foreach ($subtypes as $subtype) {
- // check that the subtype is valid (with ELGG_ENTITIES_NO_VALUE being a valid subtype)
- if (ELGG_ENTITIES_NO_VALUE === $subtype || $subtype_id = get_subtype_id($type, $subtype)) {
- $subtype_ids[] = (ELGG_ENTITIES_NO_VALUE === $subtype) ? ELGG_ENTITIES_NO_VALUE : $subtype_id;
- } else {
- $valid_subtypes_count--;
- elgg_log("Type-subtype $type:$subtype' does not exist!", 'WARNING');
+ // check that the subtype is valid
+ if (!$subtype && ELGG_ENTITIES_NO_VALUE === $subtype) {
+ // subtype value is 0
+ $subtype_ids[] = ELGG_ENTITIES_NO_VALUE;
+ } elseif (!$subtype) {
+ // subtype is ignored.
+ // this handles ELGG_ENTITIES_ANY_VALUE, '', and anything falsy that isn't 0
continue;
+ } else {
+ $subtype_id = get_subtype_id($type, $subtype);
+
+ if ($subtype_id) {
+ $subtype_ids[] = $subtype_id;
+ } else {
+ $valid_subtypes_count--;
+ elgg_log("Type-subtype '$type:$subtype' does not exist!", 'NOTICE');
+ continue;
+ }
}
}
@@ -1070,7 +1296,7 @@ function elgg_get_entity_type_subtype_where_sql($table, $types, $subtypes, $pair
foreach ($pairs as $paired_type => $paired_subtypes) {
if (!in_array($paired_type, $valid_types)) {
$valid_pairs_count--;
- unset ($pairs[array_search($paired_type, $pairs)]);
+ unset($pairs[array_search($paired_type, $pairs)]);
} else {
if ($paired_subtypes && !is_array($paired_subtypes)) {
$pairs[$paired_type] = array($paired_subtypes);
@@ -1095,7 +1321,7 @@ function elgg_get_entity_type_subtype_where_sql($table, $types, $subtypes, $pair
ELGG_ENTITIES_NO_VALUE : $paired_subtype_id;
} else {
$valid_pairs_subtypes_count--;
- elgg_log("Type-subtype $paired_type:$paired_subtype' does not exist!", 'WARNING');
+ elgg_log("Type-subtype '$paired_type:$paired_subtype' does not exist!", 'NOTICE');
// return false if we're all invalid subtypes in the only valid type
continue;
}
@@ -1129,83 +1355,44 @@ function elgg_get_entity_type_subtype_where_sql($table, $types, $subtypes, $pair
/**
* Returns SQL where clause for owner and containers.
*
- * @todo Probably DRY up once things are settled.
- *
- * @param string $table Entity table prefix as defined in SELECT...FROM entities $table
- * @param NULL|array $owner_guids Owner GUIDs
+ * @param string $column Column name the guids should be checked against. Usually
+ * best to provide in table.column format.
+ * @param NULL|array $guids Array of GUIDs.
*
- * @return FALSE|str
- * @since 1.7.0
+ * @return false|string
+ * @since 1.8.0
* @access private
*/
-function elgg_get_entity_owner_where_sql($table, $owner_guids) {
+function elgg_get_guid_based_where_sql($column, $guids) {
// short circuit if nothing requested
- // 0 is a valid owner_guid.
- if (!$owner_guids && $owner_guids !== 0) {
+ // 0 is a valid guid
+ if (!$guids && $guids !== 0) {
return '';
}
// normalize and sanitise owners
- if (!is_array($owner_guids)) {
- $owner_guids = array($owner_guids);
- }
-
- $owner_guids_sanitised = array();
- foreach ($owner_guids as $owner_guid) {
- if (($owner_guid != sanitise_int($owner_guid))) {
- return FALSE;
- }
- $owner_guids_sanitised[] = $owner_guid;
- }
-
- $where = '';
-
- // implode(',', 0) returns 0.
- if (($owner_str = implode(',', $owner_guids_sanitised))
- && ($owner_str !== FALSE) && ($owner_str !== '')) {
-
- $where = "({$table}.owner_guid IN ($owner_str))";
- }
-
- return $where;
-}
-
-/**
- * Returns SQL where clause for containers.
- *
- * @param string $table Entity table prefix as defined in
- * SELECT...FROM entities $table
- * @param NULL|array $container_guids Array of container guids
- *
- * @return FALSE|string
- * @since 1.7.0
- * @access private
- */
-function elgg_get_entity_container_where_sql($table, $container_guids) {
- // short circuit if nothing is requested.
- // 0 is a valid container_guid.
- if (!$container_guids && $container_guids !== 0) {
- return '';
+ if (!is_array($guids)) {
+ $guids = array($guids);
}
- // normalize and sanitise containers
- if (!is_array($container_guids)) {
- $container_guids = array($container_guids);
- }
+ $guids_sanitized = array();
+ foreach ($guids as $guid) {
+ if ($guid !== ELGG_ENTITIES_NO_VALUE) {
+ $guid = sanitise_int($guid);
- $container_guids_sanitised = array();
- foreach ($container_guids as $container_guid) {
- if (($container_guid != sanitise_int($container_guid))) {
- return FALSE;
+ if (!$guid) {
+ return false;
+ }
}
- $container_guids_sanitised[] = $container_guid;
+ $guids_sanitized[] = $guid;
}
$where = '';
+ $guid_str = implode(',', $guids_sanitized);
// implode(',', 0) returns 0.
- if (FALSE !== $container_str = implode(',', $container_guids_sanitised)) {
- $where = "({$table}.container_guid IN ($container_str))";
+ if ($guid_str !== FALSE && $guid_str !== '') {
+ $where = "($column IN ($guid_str))";
}
return $where;
@@ -1216,12 +1403,12 @@ function elgg_get_entity_container_where_sql($table, $container_guids) {
*
* @param string $table Entity table prefix as defined in
* SELECT...FROM entities $table
- * @param NULL|int $time_created_upper Time crated upper limit
+ * @param NULL|int $time_created_upper Time created upper limit
* @param NULL|int $time_created_lower Time created lower limit
* @param NULL|int $time_updated_upper Time updated upper limit
* @param NULL|int $time_updated_lower Time updated lower limit
*
- * @return FALSE|str FALSE on fail, string on success.
+ * @return FALSE|string FALSE on fail, string on success.
* @since 1.7.0
* @access private
*/
@@ -1256,41 +1443,6 @@ $time_created_lower = NULL, $time_updated_upper = NULL, $time_updated_lower = NU
}
/**
- * Returns SQL where clause for site entities
- *
- * @param string $table Entity table prefix as defined in SELECT...FROM entities $table
- * @param NULL|array $site_guids Array of site guids
- *
- * @return FALSE|string
- * @since 1.7.0
- * @access private
- */
-function elgg_get_entity_site_where_sql($table, $site_guids) {
- // short circuit if nothing requested
- if (!$site_guids) {
- return '';
- }
-
- if (!is_array($site_guids)) {
- $site_guids = array($site_guids);
- }
-
- $site_guids_sanitised = array();
- foreach ($site_guids as $site_guid) {
- if (!$site_guid || ($site_guid != sanitise_int($site_guid))) {
- return FALSE;
- }
- $site_guids_sanitised[] = $site_guid;
- }
-
- if ($site_guids_str = implode(',', $site_guids_sanitised)) {
- return "({$table}.site_guid IN ($site_guids_str))";
- }
-
- return '';
-}
-
-/**
* Returns a string of parsed entities.
*
* Displays list of entities with formatting specified
@@ -1298,12 +1450,16 @@ function elgg_get_entity_site_where_sql($table, $site_guids) {
*
* @tip Pagination is handled automatically.
*
+ * @internal This also provides the views for elgg_view_annotation().
+ *
* @param array $options Any options from $getter options plus:
- * full_view => BOOL Display full view entities
- * list_type_toggle => BOOL Display gallery / list switch
- * pagination => BOOL Display pagination links
+ * full_view => BOOL Display full view entities
+ * list_type => STR 'list' or 'gallery'
+ * list_type_toggle => BOOL Display gallery / list switch
+ * pagination => BOOL Display pagination links
*
* @param mixed $getter The entity getter function to use to fetch the entities
+ * @param mixed $viewer The function to use to view the entity list.
*
* @return string
* @since 1.7
@@ -1311,9 +1467,16 @@ function elgg_get_entity_site_where_sql($table, $site_guids) {
* @see elgg_view_entity_list()
* @link http://docs.elgg.org/Entities/Output
*/
-function elgg_list_entities(array $options = array(), $getter = 'elgg_get_entities') {
+function elgg_list_entities(array $options = array(), $getter = 'elgg_get_entities',
+ $viewer = 'elgg_view_entity_list') {
+
+ global $autofeed;
+ $autofeed = true;
+
+ $offset_key = isset($options['offset_key']) ? $options['offset_key'] : 'offset';
+
$defaults = array(
- 'offset' => (int) max(get_input('offset', 0), 0),
+ 'offset' => (int) max(get_input($offset_key, 0), 0),
'limit' => (int) max(get_input('limit', 10), 0),
'full_view' => TRUE,
'list_type_toggle' => FALSE,
@@ -1326,65 +1489,16 @@ function elgg_list_entities(array $options = array(), $getter = 'elgg_get_entiti
if (isset($options['view_type_toggle'])) {
$options['list_type_toggle'] = $options['view_type_toggle'];
}
-
+
$options['count'] = TRUE;
$count = $getter($options);
$options['count'] = FALSE;
$entities = $getter($options);
- return elgg_view_entity_list($entities, $count, $options['offset'], $options['limit'],
- $options['full_view'], $options['list_type_toggle'], $options['pagination']);
-}
+ $options['count'] = $count;
-/**
- * Lists entities
- *
- * @deprecated 1.7. Use elgg_list_entities().
- *
- * @param string $type Entity type
- * @param string $subtype Entity subtype
- * @param int $owner_guid Owner GUID
- * @param int $limit Limit
- * @param bool $fullview Display entity full views?
- * @param bool $listtypetoggle Allow switching to gallery mode?
- * @param bool $pagination Show pagination?
- *
- * @return string
- */
-function list_entities($type= "", $subtype = "", $owner_guid = 0, $limit = 10, $fullview = true,
-$listtypetoggle = false, $pagination = true) {
-
- elgg_deprecated_notice('list_entities() was deprecated by elgg_list_entities()!', 1.7);
-
- $options = array();
-
- // rewrite owner_guid to container_guid to emulate old functionality
- if ($owner_guid) {
- $options['container_guids'] = $owner_guid;
- }
-
- if ($type) {
- $options['types'] = $type;
- }
-
- if ($subtype) {
- $options['subtypes'] = $subtype;
- }
-
- if ($limit) {
- $options['limit'] = $limit;
- }
-
- if ($offset = sanitise_int(get_input('offset', null))) {
- $options['offset'] = $offset;
- }
-
- $options['full_view'] = $fullview;
- $options['list_type_toggle'] = $listtypetoggle;
- $options['pagination'] = $pagination;
-
- return elgg_list_entities($options);
+ return $viewer($entities, $options);
}
/**
@@ -1392,13 +1506,15 @@ $listtypetoggle = false, $pagination = true) {
*
* @tip Use this to generate a list of archives by month for when entities were added or updated.
*
+ * @todo document how to pass in array for $subtype
+ *
* @warning Months are returned in the form YYYYMM.
*
* @param string $type The type of entity
* @param string $subtype The subtype of entity
- * @param int $container_guid The container GUID that the entinties belong to
+ * @param int $container_guid The container GUID that the entities belong to
* @param int $site_guid The site GUID
- * @param str $order_by Order_by SQL order by clause
+ * @param string $order_by Order_by SQL order by clause
*
* @return array|false Either an array months as YYYYMM, or false on failure
*/
@@ -1505,9 +1621,9 @@ $order_by = 'time_created') {
* @param bool $recursive Recursively disable all entities owned or contained by $guid?
*
* @return bool
- * @access private
* @see access_show_hidden_entities()
* @link http://docs.elgg.org/Entities
+ * @access private
*/
function disable_entity($guid, $reason = "", $recursive = true) {
global $CONFIG;
@@ -1523,29 +1639,34 @@ function disable_entity($guid, $reason = "", $recursive = true) {
}
if ($recursive) {
- // Temporary token overriding access controls
- // @todo Do this better.
- static $__RECURSIVE_DELETE_TOKEN;
- // Make it slightly harder to guess
- $__RECURSIVE_DELETE_TOKEN = md5(get_loggedin_userid());
-
- $sub_entities = get_data("SELECT * from {$CONFIG->dbprefix}entities
- WHERE container_guid=$guid
- or owner_guid=$guid
- or site_guid=$guid", 'entity_row_to_elggstar');
+ $hidden = access_get_show_hidden_status();
+ access_show_hidden_entities(true);
+ $ia = elgg_set_ignore_access(true);
+
+ $sub_entities = get_data("SELECT * FROM {$CONFIG->dbprefix}entities
+ WHERE (
+ container_guid = $guid
+ OR owner_guid = $guid
+ OR site_guid = $guid
+ ) AND enabled='yes'", 'entity_row_to_elggstar');
if ($sub_entities) {
foreach ($sub_entities as $e) {
+ add_entity_relationship($e->guid, 'disabled_with', $entity->guid);
$e->disable($reason);
}
}
-
- $__RECURSIVE_DELETE_TOKEN = null;
+ access_show_hidden_entities($hidden);
+ elgg_set_ignore_access($ia);
}
+ $entity->disableMetadata();
+ $entity->disableAnnotations();
+ _elgg_invalidate_cache_for_entity($guid);
+
$res = update_data("UPDATE {$CONFIG->dbprefix}entities
- set enabled='no'
- where guid={$guid}");
+ SET enabled = 'no'
+ WHERE guid = $guid");
return $res;
}
@@ -1557,40 +1678,55 @@ function disable_entity($guid, $reason = "", $recursive = true) {
/**
* Enable an entity.
*
- * @warning In order to enable an entity using ElggEntity::enable(),
- * you must first use {@link access_show_hidden_entities()}.
+ * @warning In order to enable an entity, you must first use
+ * {@link access_show_hidden_entities()}.
*
- * @param int $guid GUID of entity to enable
+ * @param int $guid GUID of entity to enable
+ * @param bool $recursive Recursively enable all entities disabled with the entity?
*
* @return bool
*/
-function enable_entity($guid) {
+function enable_entity($guid, $recursive = true) {
global $CONFIG;
$guid = (int)$guid;
// Override access only visible entities
- $access_status = access_get_show_hidden_status();
+ $old_access_status = access_get_show_hidden_status();
access_show_hidden_entities(true);
+ $result = false;
if ($entity = get_entity($guid)) {
if (elgg_trigger_event('enable', $entity->type, $entity)) {
if ($entity->canEdit()) {
- access_show_hidden_entities($access_status);
-
$result = update_data("UPDATE {$CONFIG->dbprefix}entities
- set enabled='yes'
- where guid={$guid}");
- $entity->clearMetaData('disable_reason');
+ SET enabled = 'yes'
+ WHERE guid = $guid");
+
+ $entity->deleteMetadata('disable_reason');
+ $entity->enableMetadata();
+ $entity->enableAnnotations();
- return $result;
+ if ($recursive) {
+ $disabled_with_it = elgg_get_entities_from_relationship(array(
+ 'relationship' => 'disabled_with',
+ 'relationship_guid' => $entity->guid,
+ 'inverse_relationship' => true,
+ 'limit' => 0,
+ ));
+
+ foreach ($disabled_with_it as $e) {
+ $e->enable();
+ remove_entity_relationship($e->guid, 'disabled_with', $entity->guid);
+ }
+ }
}
}
}
- access_show_hidden_entities($access_status);
- return false;
+ access_show_hidden_entities($old_access_status);
+ return $result;
}
/**
@@ -1611,8 +1747,8 @@ function enable_entity($guid) {
* @param bool $recursive If true (default) then all entities which are
* owned or contained by $guid will also be deleted.
*
- * @access private
* @return bool
+ * @access private
*/
function delete_entity($guid, $recursive = true) {
global $CONFIG, $ENTITY_CACHE;
@@ -1624,7 +1760,16 @@ function delete_entity($guid, $recursive = true) {
// delete cache
if (isset($ENTITY_CACHE[$guid])) {
- invalidate_cache_for_entity($guid);
+ _elgg_invalidate_cache_for_entity($guid);
+ }
+
+ // If memcache is available then delete this entry from the cache
+ static $newentity_cache;
+ if ((!$newentity_cache) && (is_memcache_available())) {
+ $newentity_cache = new ElggMemcache('new_entity_cache');
+ }
+ if ($newentity_cache) {
+ $newentity_cache->delete($guid);
}
// Delete contained owned and otherwise releated objects (depth first)
@@ -1633,28 +1778,53 @@ function delete_entity($guid, $recursive = true) {
// @todo Do this better.
static $__RECURSIVE_DELETE_TOKEN;
// Make it slightly harder to guess
- $__RECURSIVE_DELETE_TOKEN = md5(get_loggedin_userid());
-
- $sub_entities = get_data("SELECT * from {$CONFIG->dbprefix}entities
- WHERE container_guid=$guid
- or owner_guid=$guid
- or site_guid=$guid", 'entity_row_to_elggstar');
- if ($sub_entities) {
- foreach ($sub_entities as $e) {
- $e->delete();
- }
+ $__RECURSIVE_DELETE_TOKEN = md5(elgg_get_logged_in_user_guid());
+
+ $entity_disable_override = access_get_show_hidden_status();
+ access_show_hidden_entities(true);
+ $ia = elgg_set_ignore_access(true);
+
+ // @todo there was logic in the original code that ignored
+ // entities with owner or container guids of themselves.
+ // this should probably be prevented in ElggEntity instead of checked for here
+ $options = array(
+ 'wheres' => array(
+ "((container_guid = $guid OR owner_guid = $guid OR site_guid = $guid)"
+ . " AND guid != $guid)"
+ ),
+ 'limit' => 0
+ );
+
+ $batch = new ElggBatch('elgg_get_entities', $options);
+ $batch->setIncrementOffset(false);
+
+ foreach ($batch as $e) {
+ $e->delete(true);
}
+ access_show_hidden_entities($entity_disable_override);
$__RECURSIVE_DELETE_TOKEN = null;
+ elgg_set_ignore_access($ia);
}
+ $entity_disable_override = access_get_show_hidden_status();
+ access_show_hidden_entities(true);
+ $ia = elgg_set_ignore_access(true);
+
// Now delete the entity itself
- $entity->clearMetadata();
- $entity->clearAnnotations();
- $entity->clearRelationships();
- remove_from_river_by_subject($guid);
- remove_from_river_by_object($guid);
+ $entity->deleteMetadata();
+ $entity->deleteOwnedMetadata();
+ $entity->deleteAnnotations();
+ $entity->deleteOwnedAnnotations();
+ $entity->deleteRelationships();
+
+ access_show_hidden_entities($entity_disable_override);
+ elgg_set_ignore_access($ia);
+
+ elgg_delete_river(array('subject_guid' => $guid));
+ elgg_delete_river(array('object_guid' => $guid));
remove_all_private_settings($guid);
+
$res = delete_data("DELETE from {$CONFIG->dbprefix}entities where guid={$guid}");
if ($res) {
$sub_table = "";
@@ -1680,7 +1850,7 @@ function delete_entity($guid, $recursive = true) {
}
}
- return $res;
+ return (bool)$res;
}
}
}
@@ -1689,25 +1859,6 @@ function delete_entity($guid, $recursive = true) {
}
/**
- * Delete multiple entities that match a given query.
- * This function iterates through and calls delete_entity on
- * each one, this is somewhat inefficient but lets
- * the 'delete' event be called for each entity.
- *
- * @deprecated 1.7. This is a dangerous function as it defaults to deleting everything.
- *
- * @param string $type The type of entity (eg "user", "object" etc)
- * @param string $subtype The arbitrary subtype of the entity
- * @param int $owner_guid The GUID of the owning user
- *
- * @return false
- */
-function delete_entities($type = "", $subtype = "", $owner_guid = 0) {
- elgg_deprecated_notice('delete_entities() was deprecated because no one should use it.', 1.7);
- return false;
-}
-
-/**
* Exports attributes generated on the fly (volatile) about an entity.
*
* @param string $hook volatile
@@ -1715,7 +1866,7 @@ function delete_entities($type = "", $subtype = "", $owner_guid = 0) {
* @param string $returnvalue Return value from previous hook
* @param array $params The parameters, passed 'guid' and 'varname'
*
- * @return null
+ * @return ElggMetadata|null
* @elgg_plugin_hook_handler volatile metadata
* @todo investigate more.
* @access private
@@ -1759,6 +1910,9 @@ function volatile_data_export_plugin_hook($hook, $entity_type, $returnvalue, $pa
*
* @elgg_event_handler export all
* @return mixed
+ * @access private
+ *
+ * @throws InvalidParameterException|InvalidClassException
*/
function export_entity_plugin_hook($hook, $entity_type, $returnvalue, $params) {
// Sanity check values
@@ -1800,6 +1954,9 @@ function export_entity_plugin_hook($hook, $entity_type, $returnvalue, $params) {
*
* @return ElggEntity the unsaved entity which should be populated by items.
* @todo Remove this.
+ * @access private
+ *
+ * @throws ClassException|InstallationException|ImportException
*/
function oddentity_to_elggentity(ODDEntity $element) {
$class = $element->getAttribute('class');
@@ -1811,7 +1968,7 @@ function oddentity_to_elggentity(ODDEntity $element) {
if (!$tmp) {
// Construct new class with owner from session
$classname = get_subtype_class($class, $subclass);
- if ($classname != "") {
+ if ($classname) {
if (class_exists($classname)) {
$tmp = new $classname();
@@ -1870,12 +2027,14 @@ function oddentity_to_elggentity(ODDEntity $element) {
* @return mixed
* @elgg_plugin_hook_handler import all
* @todo document
+ * @access private
*
+ * @throws ImportException
*/
function import_entity_plugin_hook($hook, $entity_type, $returnvalue, $params) {
$element = $params['element'];
- $tmp = NULL;
+ $tmp = null;
if ($element instanceof ODDEntity) {
$tmp = oddentity_to_elggentity($element);
@@ -1883,7 +2042,7 @@ function import_entity_plugin_hook($hook, $entity_type, $returnvalue, $params) {
if ($tmp) {
// Make sure its saved
if (!$tmp->save()) {
- elgg_echo('ImportException:ProblemSaving', array($element->getAttribute('uuid')));
+ $msg = elgg_echo('ImportException:ProblemSaving', array($element->getAttribute('uuid')));
throw new ImportException($msg);
}
@@ -1917,16 +2076,14 @@ function import_entity_plugin_hook($hook, $entity_type, $returnvalue, $params) {
* @link http://docs.elgg.org/Entities/AccessControl
*/
function can_edit_entity($entity_guid, $user_guid = 0) {
- global $CONFIG;
-
$user_guid = (int)$user_guid;
$user = get_entity($user_guid);
if (!$user) {
- $user = get_loggedin_user();
+ $user = elgg_get_logged_in_user_entity();
}
+ $return = false;
if ($entity = get_entity($entity_guid)) {
- $return = false;
// Test user if possible - should default to false unless a plugin hook says otherwise
if ($user) {
@@ -1945,13 +2102,10 @@ function can_edit_entity($entity_guid, $user_guid = 0) {
}
}
}
+ }
- return elgg_trigger_plugin_hook('permissions_check', $entity->type,
+ return elgg_trigger_plugin_hook('permissions_check', $entity->type,
array('entity' => $entity, 'user' => $user), $return);
-
- } else {
- return false;
- }
}
/**
@@ -1974,16 +2128,21 @@ function can_edit_entity_metadata($entity_guid, $user_guid = 0, $metadata = null
$return = null;
- if ($metadata->owner_guid == 0) {
+ if ($metadata && ($metadata->owner_guid == 0)) {
$return = true;
}
if (is_null($return)) {
$return = can_edit_entity($entity_guid, $user_guid);
}
- $user = get_entity($user_guid);
+ if ($user_guid) {
+ $user = get_entity($user_guid);
+ } else {
+ $user = elgg_get_logged_in_user_entity();
+ }
+
$params = array('entity' => $entity, 'user' => $user, 'metadata' => $metadata);
- $return = elgg_trigger_plugin_hook('permissions_check:metadata', $entity->type, $parms, $return);
+ $return = elgg_trigger_plugin_hook('permissions_check:metadata', $entity->type, $params, $return);
return $return;
} else {
return false;
@@ -1991,79 +2150,6 @@ function can_edit_entity_metadata($entity_guid, $user_guid = 0, $metadata = null
}
/**
- * Return the icon URL for an entity.
- *
- * @tip Can be overridden by registering a plugin hook for entity:icon:url, $entity_type.
- *
- * @internal This is passed an entity rather than a guid to handle non-created entities.
- *
- * @param ElggEntity $entity The entity
- * @param string $size Icon size
- *
- * @return string URL to the entity icon.
- */
-function get_entity_icon_url(ElggEntity $entity, $size = 'medium') {
- global $CONFIG;
-
- $size = sanitise_string($size);
- switch (strtolower($size)) {
- case 'master':
- $size = 'master';
- break;
-
- case 'large' :
- $size = 'large';
- break;
-
- case 'topbar' :
- $size = 'topbar';
- break;
-
- case 'tiny' :
- $size = 'tiny';
- break;
-
- case 'small' :
- $size = 'small';
- break;
-
- case 'medium' :
- default:
- $size = 'medium';
- }
-
- $url = false;
-
- $viewtype = elgg_get_viewtype();
-
- // Step one, see if anyone knows how to render this in the current view
- $params = array('entity' => $entity, 'viewtype' => $viewtype, 'size' => $size);
- $url = elgg_trigger_plugin_hook('entity:icon:url', $entity->getType(), $params, $url);
-
- // Fail, so use default
- if (!$url) {
- $type = $entity->getType();
- $subtype = $entity->getSubtype();
-
- if (!empty($subtype)) {
- $overrideurl = elgg_view("icon/{$type}/{$subtype}/{$size}", array('entity' => $entity));
- if (!empty($overrideurl)) {
- return $overrideurl;
- }
- }
-
- $overrideurl = elgg_view("icon/{$type}/default/{$size}", array('entity' => $entity));
- if (!empty($overrideurl)) {
- return $overrideurl;
- }
-
- $url = "_graphics/icons/default/$size.png";
- }
-
- return elgg_normalize_url($url);
-}
-
-/**
* Returns the URL for an entity.
*
* @tip Can be overridden with {@link register_entity_url_handler()}.
@@ -2082,26 +2168,25 @@ function get_entity_url($entity_guid) {
if (isset($CONFIG->entity_url_handler[$entity->getType()][$entity->getSubType()])) {
$function = $CONFIG->entity_url_handler[$entity->getType()][$entity->getSubType()];
if (is_callable($function)) {
- $url = $function($entity);
+ $url = call_user_func($function, $entity);
}
} elseif (isset($CONFIG->entity_url_handler[$entity->getType()]['all'])) {
$function = $CONFIG->entity_url_handler[$entity->getType()]['all'];
if (is_callable($function)) {
- $url = $function($entity);
+ $url = call_user_func($function, $entity);
}
} elseif (isset($CONFIG->entity_url_handler['all']['all'])) {
$function = $CONFIG->entity_url_handler['all']['all'];
if (is_callable($function)) {
- $url = $function($entity);
+ $url = call_user_func($function, $entity);
}
}
if ($url == "") {
- $url = "pg/view/" . $entity_guid;
+ $url = "view/" . $entity_guid;
}
return elgg_normalize_url($url);
-
}
return false;
@@ -2110,20 +2195,19 @@ function get_entity_url($entity_guid) {
/**
* Sets the URL handler for a particular entity type and subtype
*
- * @param string $function_name The function to register
* @param string $entity_type The entity type
* @param string $entity_subtype The entity subtype
+ * @param string $function_name The function to register
*
- * @return true|false Depending on success
+ * @return bool Depending on success
* @see get_entity_url()
* @see ElggEntity::getURL()
+ * @since 1.8.0
*/
-function register_entity_url_handler($function_name, $entity_type = "all",
-$entity_subtype = "all") {
-
+function elgg_register_entity_url_handler($entity_type, $entity_subtype, $function_name) {
global $CONFIG;
- if (!is_callable($function_name)) {
+ if (!is_callable($function_name, true)) {
return false;
}
@@ -2141,46 +2225,6 @@ $entity_subtype = "all") {
}
/**
- * Default Icon handler for entities.
- *
- * @tip This will attempt to find a default entity for the current view and return a url.
- * This is registered at a high priority so that other handlers will pick it up first.
- *
- * @param string $hook entity:icon:url
- * @param string $entity_type all
- * @param mixed $returnvalue Previous hook's return value
- * @param array $params Array of params
- *
- * @return string|null String of URL for entity's icon
- * @elgg_plugin_hook_handler entity:icon:url all
- */
-function default_entity_icon_hook($hook, $entity_type, $returnvalue, $params) {
- global $CONFIG;
-
- if ((!$returnvalue) && ($hook == 'entity:icon:url')) {
- $entity = $params['entity'];
- $type = $entity->type;
- $subtype = get_subtype_from_id($entity->subtype);
- $viewtype = $params['viewtype'];
- $size = $params['size'];
-
- $url = "views/$viewtype/graphics/icons/$type/$subtype/$size.png";
-
- if (!@file_exists($CONFIG->path . $url)) {
- $url = "views/$viewtype/graphics/icons/$type/default/$size.png";
- }
-
- if (!@file_exists($CONFIG->path . $url)) {
- $url = "views/$viewtype/graphics/icons/default/$size.png";
- }
-
- if (@file_exists($CONFIG->path . $url)) {
- return elgg_get_site_url().$url;
- }
- }
-}
-
-/**
* Registers an entity type and subtype as a public-facing entity that should
* be shown in search and by {@link elgg_list_registered_entities()}.
*
@@ -2191,16 +2235,16 @@ function default_entity_icon_hook($hook, $entity_type, $returnvalue, $params) {
* @param string $type The type of entity (object, site, user, group)
* @param string $subtype The subtype to register (may be blank)
*
- * @return true|false Depending on success
+ * @return bool Depending on success
* @see get_registered_entity_types()
* @link http://docs.elgg.org/Search
* @link http://docs.elgg.org/Tutorials/Search
*/
-function register_entity_type($type, $subtype) {
+function elgg_register_entity_type($type, $subtype = null) {
global $CONFIG;
$type = strtolower($type);
- if (!in_array($type, array('object', 'site', 'group', 'user'))) {
+ if (!in_array($type, $CONFIG->entity_types)) {
return FALSE;
}
@@ -2228,14 +2272,14 @@ function register_entity_type($type, $subtype) {
* @param string $type The type of entity (object, site, user, group)
* @param string $subtype The subtype to register (may be blank)
*
- * @return true|false Depending on success
- * @see register_entity_type()
+ * @return bool Depending on success
+ * @see elgg_register_entity_type()
*/
function unregister_entity_type($type, $subtype) {
global $CONFIG;
$type = strtolower($type);
- if (!in_array($type, array('object', 'site', 'group', 'user'))) {
+ if (!in_array($type, $CONFIG->entity_types)) {
return FALSE;
}
@@ -2267,15 +2311,15 @@ function unregister_entity_type($type, $subtype) {
* @param string $type The type of entity (object, site, user, group) or blank for all
*
* @return array|false Depending on whether entities have been registered
- * @see register_entity_type()
+ * @see elgg_register_entity_type()
*/
-function get_registered_entity_types($type = '') {
+function get_registered_entity_types($type = null) {
global $CONFIG;
if (!isset($CONFIG->registered_entities)) {
return false;
}
- if (!empty($type)) {
+ if ($type) {
$type = strtolower($type);
}
if (!empty($type) && empty($CONFIG->registered_entities[$type])) {
@@ -2290,26 +2334,32 @@ function get_registered_entity_types($type = '') {
}
/**
- * Returns if the entity type and subtype have been registered with {@see register_entity_type()}.
+ * Returns if the entity type and subtype have been registered with {@see elgg_register_entity_type()}.
*
* @param string $type The type of entity (object, site, user, group)
* @param string $subtype The subtype (may be blank)
*
- * @return true|false Depending on whether or not the type has been registered
+ * @return bool Depending on whether or not the type has been registered
*/
-function is_registered_entity_type($type, $subtype) {
+function is_registered_entity_type($type, $subtype = null) {
global $CONFIG;
if (!isset($CONFIG->registered_entities)) {
return false;
}
+
$type = strtolower($type);
- if (empty($CONFIG->registered_entities[$type])) {
+
+ // @todo registering a subtype implicitly registers the type.
+ // see #2684
+ if (!isset($CONFIG->registered_entities[$type])) {
return false;
}
- if (in_array($subtype, $CONFIG->registered_entities[$type])) {
- return true;
+
+ if ($subtype && !in_array($subtype, $CONFIG->registered_entities[$type])) {
+ return false;
}
+ return true;
}
/**
@@ -2317,56 +2367,18 @@ function is_registered_entity_type($type, $subtype) {
*
* @param array $page Page elements from pain page handler
*
- * @return void
+ * @return bool
* @elgg_page_handler view
+ * @access private
*/
function entities_page_handler($page) {
if (isset($page[0])) {
global $CONFIG;
set_input('guid', $page[0]);
include($CONFIG->path . "pages/entities/index.php");
+ return true;
}
-}
-
-/**
- * Lists entities.
- *
- * @param int $owner_guid Owner GUID
- * @param int $limit Limit
- * @param bool $fullview Show entity full views
- * @param bool $listtypetoggle Show list type toggle
- * @param bool $allowedtypes A string of the allowed types
- *
- * @return string
- * @deprecated 1.7. Use elgg_list_registered_entities().
- */
-function list_registered_entities($owner_guid = 0, $limit = 10, $fullview = true,
-$listtypetoggle = false, $allowedtypes = true) {
-
- elgg_deprecated_notice('list_registered_entities() was deprecated by elgg_list_registered_entities().', 1.7);
-
- $options = array();
-
- // don't want to send anything if not being used.
- if ($owner_guid) {
- $options['owner_guid'] = $owner_guid;
- }
-
- if ($limit) {
- $options['limit'] = $limit;
- }
-
- if ($allowedtypes) {
- $options['allowed_types'] = $allowedtypes;
- }
-
- // need to send because might be BOOL
- $options['full_view'] = $fullview;
- $options['list_type_toggle'] = $listtypetoggle;
-
- $options['offset'] = get_input('offset', 0);
-
- return elgg_list_registered_entities($options);
+ return false;
}
/**
@@ -2387,67 +2399,56 @@ $listtypetoggle = false, $allowedtypes = true) {
* @return string A viewable list of entities
* @since 1.7.0
*/
-function elgg_list_registered_entities($options) {
+function elgg_list_registered_entities(array $options = array()) {
+ global $autofeed;
+ $autofeed = true;
+
$defaults = array(
'full_view' => TRUE,
'allowed_types' => TRUE,
'list_type_toggle' => FALSE,
'pagination' => TRUE,
- 'offset' => 0
+ 'offset' => 0,
+ 'types' => array(),
+ 'type_subtype_pairs' => array()
);
$options = array_merge($defaults, $options);
+
//backwards compatibility
if (isset($options['view_type_toggle'])) {
$options['list_type_toggle'] = $options['view_type_toggle'];
}
-
- $typearray = array();
- if ($object_types = get_registered_entity_types()) {
- foreach ($object_types as $object_type => $subtype_array) {
- if (in_array($object_type, $options['allowed_types']) || $options['allowed_types'] === TRUE) {
- $typearray[$object_type] = array();
+ $types = get_registered_entity_types();
+ foreach ($types as $type => $subtype_array) {
+ if (in_array($type, $options['allowed_types']) || $options['allowed_types'] === TRUE) {
+ // you must explicitly register types to show up in here and in search for objects
+ if ($type == 'object') {
if (is_array($subtype_array) && count($subtype_array)) {
- foreach ($subtype_array as $subtype) {
- $typearray[$object_type][] = $subtype;
- }
+ $options['type_subtype_pairs'][$type] = $subtype_array;
+ }
+ } else {
+ if (is_array($subtype_array) && count($subtype_array)) {
+ $options['type_subtype_pairs'][$type] = $subtype_array;
+ } else {
+ $options['type_subtype_pairs'][$type] = ELGG_ENTITIES_ANY_VALUE;
}
}
}
}
- $options['type_subtype_pairs'] = $typearray;
-
- $count = elgg_get_entities(array_merge(array('count' => TRUE), $options));
- $entities = elgg_get_entities($options);
-
- return elgg_view_entity_list($entities, $count, $options['offset'],
- $options['limit'], $options['full_view'], $options['list_type_toggle'], $options['pagination']);
-}
-
-/**
- * Check the recursive delete permissions token.
- *
- * If an entity is deleted recursively, a permissions override is required to allow
- * contained or owned entities to be removed.
- *
- * @access private
- * @return bool
- * @elgg_plugin_hook_handler permissions_check all
- * @elgg_plugin_hook_handler permissions_check:metadata all
- */
-function recursive_delete_permissions_check() {
- static $__RECURSIVE_DELETE_TOKEN;
-
- if ((isloggedin()) && ($__RECURSIVE_DELETE_TOKEN)
- && (strcmp($__RECURSIVE_DELETE_TOKEN, md5(get_loggedin_userid())))) {
- return true;
+ if (!empty($options['type_subtype_pairs'])) {
+ $count = elgg_get_entities(array_merge(array('count' => TRUE), $options));
+ $entities = elgg_get_entities($options);
+ } else {
+ $count = 0;
+ $entities = array();
}
- // consult next function
- return NULL;
+ $options['count'] = $count;
+ return elgg_view_entity_list($entities, $options);
}
/**
@@ -2461,13 +2462,14 @@ function recursive_delete_permissions_check() {
* @param string $subtype Entity subtype
* @param string $class Class name
*
- * @return Bool
- * @since 1.8
+ * @return bool
+ * @since 1.8.0
*/
function elgg_instanceof($entity, $type = NULL, $subtype = NULL, $class = NULL) {
$return = ($entity instanceof ElggEntity);
if ($type) {
+ /* @var ElggEntity $entity */
$return = $return && ($entity->getType() == $type);
}
@@ -2482,12 +2484,9 @@ function elgg_instanceof($entity, $type = NULL, $subtype = NULL, $class = NULL)
return $return;
}
-
/**
* Update the last_action column in the entities table for $guid.
*
- * This determines the sort order of 1.8's default river.
- *
* @warning This is different to time_updated. Time_updated is automatically set,
* while last_action is only set when explicitly called.
*
@@ -2495,10 +2494,12 @@ function elgg_instanceof($entity, $type = NULL, $subtype = NULL, $class = NULL)
* @param int $posted Timestamp of last action
*
* @return bool
- **/
+ * @access private
+ */
function update_entity_last_action($guid, $posted = NULL) {
global $CONFIG;
$guid = (int)$guid;
+ $posted = (int)$posted;
if (!$posted) {
$posted = time();
@@ -2523,27 +2524,36 @@ function update_entity_last_action($guid, $posted = NULL) {
*
* @return void
* @elgg_plugin_hook_handler gc system
+ * @access private
*/
function entities_gc() {
global $CONFIG;
- $tables = array ('sites_entity', 'objects_entity', 'groups_entity', 'users_entity');
+ $tables = array(
+ 'site' => 'sites_entity',
+ 'object' => 'objects_entity',
+ 'group' => 'groups_entity',
+ 'user' => 'users_entity'
+ );
- foreach ($tables as $table) {
- delete_data("DELETE from {$CONFIG->dbprefix}{$table}
- where guid NOT IN (SELECT guid from {$CONFIG->dbprefix}entities)");
+ foreach ($tables as $type => $table) {
+ delete_data("DELETE FROM {$CONFIG->dbprefix}{$table}
+ WHERE guid NOT IN (SELECT guid FROM {$CONFIG->dbprefix}entities)");
+ delete_data("DELETE FROM {$CONFIG->dbprefix}entities
+ WHERE type = '$type' AND guid NOT IN (SELECT guid FROM {$CONFIG->dbprefix}{$table})");
}
}
/**
* Runs unit tests for the entity objects.
*
- * @param sting $hook unit_test
+ * @param string $hook unit_test
* @param string $type system
* @param mixed $value Array of tests
* @param mixed $params Params
*
* @return array
+ * @access private
*/
function entities_test($hook, $type, $value, $params) {
global $CONFIG;
@@ -2556,17 +2566,13 @@ function entities_test($hook, $type, $value, $params) {
*
* @return void
* @elgg_event_handler init system
+ * @access private
*/
function entities_init() {
- register_page_handler('view', 'entities_page_handler');
+ elgg_register_page_handler('view', 'entities_page_handler');
elgg_register_plugin_hook_handler('unit_test', 'system', 'entities_test');
- // Allow a permission override for recursive entity deletion
- // @todo Can this be done better?
- elgg_register_plugin_hook_handler('permissions_check', 'all', 'recursive_delete_permissions_check');
- elgg_register_plugin_hook_handler('permissions_check:metadata', 'all', 'recursive_delete_permissions_check');
-
elgg_register_plugin_hook_handler('gc', 'system', 'entities_gc');
}
@@ -2579,8 +2585,6 @@ elgg_register_plugin_hook_handler("export", "all", "export_entity_plugin_hook",
/** Hook to get certain named bits of volatile data about an entity */
elgg_register_plugin_hook_handler('volatile', 'metadata', 'volatile_data_export_plugin_hook');
-/** Hook for rendering a default icon for entities */
-elgg_register_plugin_hook_handler('entity:icon:url', 'all', 'default_entity_icon_hook', 1000);
-
/** Register init system event **/
-elgg_register_event_handler('init', 'system', 'entities_init'); \ No newline at end of file
+elgg_register_event_handler('init', 'system', 'entities_init');
+
diff --git a/engine/lib/export.php b/engine/lib/export.php
index 4de10330f..ecc894e63 100644
--- a/engine/lib/export.php
+++ b/engine/lib/export.php
@@ -11,7 +11,7 @@
*
* @param mixed $object The object either an ElggEntity, ElggRelationship or ElggExtender
*
- * @return the UUID or false
+ * @return string|false the UUID or false
*/
function get_uuid_from_object($object) {
if ($object instanceof ElggEntity) {
@@ -40,8 +40,6 @@ function get_uuid_from_object($object) {
* @return string
*/
function guid_to_uuid($guid) {
- global $CONFIG;
-
return elgg_get_site_url() . "export/opendd/$guid/";
}
@@ -53,8 +51,6 @@ function guid_to_uuid($guid) {
* @return bool
*/
function is_uuid_this_domain($uuid) {
- global $CONFIG;
-
if (strpos($uuid, elgg_get_site_url()) === 0) {
return true;
}
@@ -67,7 +63,7 @@ function is_uuid_this_domain($uuid) {
*
* @param string $uuid A unique ID
*
- * @return mixed
+ * @return ElggEntity|false
*/
function get_entity_from_uuid($uuid) {
$uuid = sanitise_string($uuid);
@@ -111,23 +107,25 @@ $IMPORTED_OBJECT_COUNTER = 0;
* @param ODD $odd The odd element to process
*
* @return bool
+ * @access private
*/
function _process_element(ODD $odd) {
global $IMPORTED_DATA, $IMPORTED_OBJECT_COUNTER;
// See if anyone handles this element, return true if it is.
+ $to_be_serialised = null;
if ($odd) {
$handled = elgg_trigger_plugin_hook("import", "all", array("element" => $odd), $to_be_serialised);
- }
- // If not, then see if any of its sub elements are handled
- if ($handled) {
- // Increment validation counter
- $IMPORTED_OBJECT_COUNTER ++;
- // Return the constructed object
- $IMPORTED_DATA[] = $handled;
+ // If not, then see if any of its sub elements are handled
+ if ($handled) {
+ // Increment validation counter
+ $IMPORTED_OBJECT_COUNTER ++;
+ // Return the constructed object
+ $IMPORTED_DATA[] = $handled;
- return true;
+ return true;
+ }
}
return false;
@@ -140,6 +138,7 @@ function _process_element(ODD $odd) {
*
* @return array
* @throws ExportException
+ * @access private
*/
function exportAsArray($guid) {
$guid = (int)$guid;
@@ -165,8 +164,9 @@ function exportAsArray($guid) {
*
* @param int $guid The GUID.
*
- * @return xml
+ * @return string XML
* @see ElggEntity for an example of its usage.
+ * @access private
*/
function export($guid) {
$odd = new ODDDocument(exportAsArray($guid));
@@ -181,7 +181,8 @@ function export($guid) {
* @param string $xml XML string
*
* @return bool
- * @throws Exception if there was a problem importing the data.
+ * @throws ImportException if there was a problem importing the data.
+ * @access private
*/
function import($xml) {
global $IMPORTED_DATA, $IMPORTED_OBJECT_COUNTER;
@@ -210,11 +211,12 @@ function import($xml) {
* Register the OpenDD import action
*
* @return void
+ * @access private
*/
function export_init() {
global $CONFIG;
- register_action("import/opendd", false);
+ elgg_register_action("import/opendd");
}
// Register a startup event
diff --git a/engine/lib/extender.php b/engine/lib/extender.php
index 8df207767..8323bd3ce 100644
--- a/engine/lib/extender.php
+++ b/engine/lib/extender.php
@@ -19,7 +19,7 @@
* @return string
*/
function detect_extender_valuetype($value, $value_type = "") {
- if ($value_type != "") {
+ if ($value_type != "" && ($value_type == 'integer' || $value_type == 'text')) {
return $value_type;
}
@@ -44,6 +44,7 @@ function detect_extender_valuetype($value, $value_type = "") {
* @param ODDMetaData $element The OpenDD element
*
* @return bool
+ * @access private
*/
function oddmetadata_to_elggextender(ElggEntity $entity, ODDMetaData $element) {
// Get the type of extender (metadata, type, attribute etc)
@@ -85,6 +86,7 @@ function oddmetadata_to_elggextender(ElggEntity $entity, ODDMetaData $element) {
* @return null
* @elgg_plugin_hook_handler volatile metadata
* @todo investigate more.
+ * @throws ImportException
* @access private
*/
function import_extender_plugin_hook($hook, $entity_type, $returnvalue, $params) {
@@ -93,6 +95,7 @@ function import_extender_plugin_hook($hook, $entity_type, $returnvalue, $params)
$tmp = NULL;
if ($element instanceof ODDMetaData) {
+ /* @var ODDMetaData $element */
// Recall entity
$entity_uuid = $element->getAttribute('entity_uuid');
$entity = get_entity_from_uuid($entity_uuid);
@@ -104,6 +107,7 @@ function import_extender_plugin_hook($hook, $entity_type, $returnvalue, $params)
// Save
if (!$entity->save()) {
+ $attr_name = $element->getAttribute('name');
$msg = elgg_echo('ImportException:ProblemUpdatingMeta', array($attr_name, $entity_uuid));
throw new ImportException($msg);
}
@@ -119,62 +123,68 @@ function import_extender_plugin_hook($hook, $entity_type, $returnvalue, $params)
* @param string $type 'metadata' or 'annotation'
* @param int $user_guid The GUID of the user
*
- * @return true|false
+ * @return bool
*/
function can_edit_extender($extender_id, $type, $user_guid = 0) {
- if (!isloggedin()) {
- return false;
+ // @todo Since Elgg 1.0, Elgg has returned false from can_edit_extender()
+ // if no user was logged in. This breaks the access override. This is a
+ // temporary work around. This function needs to be rewritten in Elgg 1.9
+ if (!elgg_check_access_overrides($user_guid)) {
+ if (!elgg_is_logged_in()) {
+ return false;
+ }
}
$user_guid = (int)$user_guid;
- $user = get_entity($user_guid);
+ $user = get_user($user_guid);
if (!$user) {
- $user = get_loggedin_user();
+ $user = elgg_get_logged_in_user_entity();
+ $user_guid = elgg_get_logged_in_user_guid();
}
- $functionname = "get_{$type}";
+ $functionname = "elgg_get_{$type}_from_id";
if (is_callable($functionname)) {
- $extender = $functionname($extender_id);
+ $extender = call_user_func($functionname, $extender_id);
} else {
return false;
}
- if (!is_a($extender, "ElggExtender")) {
+ if (!($extender instanceof ElggExtender)) {
return false;
}
+ /* @var ElggExtender $extender */
// If the owner is the specified user, great! They can edit.
- if ($extender->getOwnerGUID() == $user->getGUID()) {
+ if ($extender->getOwnerGUID() == $user_guid) {
return true;
}
// If the user can edit the entity this is attached to, great! They can edit.
- if (can_edit_entity($extender->entity_guid, $user->getGUID())) {
+ if (can_edit_entity($extender->entity_guid, $user_guid)) {
return true;
}
- // Trigger plugin hooks
- $params = array('entity' => $entity, 'user' => $user);
+ // Trigger plugin hook - note that $user may be null
+ $params = array('entity' => $extender->getEntity(), 'user' => $user);
return elgg_trigger_plugin_hook('permissions_check', $type, $params, false);
}
/**
* Sets the URL handler for a particular extender type and name.
* It is recommended that you do not call this directly, instead use
- * one of the wrapper functions in the subtype files.
+ * one of the wrapper functions such as elgg_register_annotation_url_handler().
*
- * @param string $function_name The function to register
- * @param string $extender_type Extender type
+ * @param string $extender_type Extender type ('annotation', 'metadata')
* @param string $extender_name The name of the extender
+ * @param string $function_name The function to register
*
- * @return true|false Depending on success
+ * @return bool
*/
-function register_extender_url_handler($function_name, $extender_type = "all",
-$extender_name = "all") {
+function elgg_register_extender_url_handler($extender_type, $extender_name, $function_name) {
global $CONFIG;
- if (!is_callable($function_name)) {
+ if (!is_callable($function_name, true)) {
return false;
}
@@ -221,13 +231,13 @@ function get_extender_url(ElggExtender $extender) {
}
if (is_callable($function)) {
- $url = $function($extender);
+ $url = call_user_func($function, $extender);
}
if ($url == "") {
$nameid = $extender->id;
if ($type == 'volatile') {
- $nameid == $extender->name;
+ $nameid = $extender->name;
}
$url = "export/$view/$guid/$type/$nameid/";
}
@@ -236,4 +246,4 @@ function get_extender_url(ElggExtender $extender) {
}
/** Register the hook */
-elgg_register_plugin_hook_handler("import", "all", "import_extender_plugin_hook", 2); \ No newline at end of file
+elgg_register_plugin_hook_handler("import", "all", "import_extender_plugin_hook", 2);
diff --git a/engine/lib/filestore.php b/engine/lib/filestore.php
index 9bdc26845..a3c7ba439 100644
--- a/engine/lib/filestore.php
+++ b/engine/lib/filestore.php
@@ -18,7 +18,7 @@
*/
function get_dir_size($dir, $totalsize = 0) {
$handle = @opendir($dir);
- while ($file = @readdir ($handle)) {
+ while ($file = @readdir($handle)) {
if (eregi("^\.{1,2}$", $file)) {
continue;
}
@@ -149,6 +149,12 @@ $x1 = 0, $y1 = 0, $x2 = 0, $y2 = 0, $upscale = FALSE) {
return FALSE;
}
+ // color transparencies white (default is black)
+ imagefilledrectangle(
+ $new_image, 0, 0, $params['newwidth'], $params['newheight'],
+ imagecolorallocate($new_image, 255, 255, 255)
+ );
+
$rtn_code = imagecopyresampled( $new_image,
$original_image,
0,
@@ -302,8 +308,6 @@ function get_image_resize_parameters($width, $height, $options) {
function file_delete($guid) {
if ($file = get_entity($guid)) {
if ($file->canEdit()) {
- $container = get_entity($file->container_guid);
-
$thumbnail = $file->thumbnail;
$smallthumb = $file->smallthumb;
$largethumb = $file->largethumb;
@@ -376,8 +380,8 @@ function file_get_general_file_type($mimetype) {
/**
* Delete a directory and all its contents
- *
- * @param str $directory Directory to delete
+ *
+ * @param string $directory Directory to delete
*
* @return bool
*/
@@ -465,6 +469,7 @@ function set_default_filestore(ElggFilestore $filestore) {
* ElggFile.
*
* @return void
+ * @access private
*/
function filestore_run_once() {
// Register a class
@@ -473,16 +478,19 @@ function filestore_run_once() {
/**
* Initialise the file modules.
- * Listens to system boot and registers any appropriate file types and classes
+ * Listens to system init and configures the default filestore
*
* @return void
+ * @access private
*/
function filestore_init() {
global $CONFIG;
// Now register a default filestore
- set_default_filestore(new ElggDiskFilestore($CONFIG->dataroot));
-
+ if (isset($CONFIG->dataroot)) {
+ set_default_filestore(new ElggDiskFilestore($CONFIG->dataroot));
+ }
+
// Now run this stuff, but only once
run_function_once("filestore_run_once");
}
@@ -490,12 +498,13 @@ function filestore_init() {
/**
* Unit tests for files
*
- * @param sting $hook unit_test
+ * @param string $hook unit_test
* @param string $type system
* @param mixed $value Array of tests
* @param mixed $params Params
*
* @return array
+ * @access private
*/
function filestore_test($hook, $type, $value, $params) {
global $CONFIG;
@@ -508,4 +517,4 @@ function filestore_test($hook, $type, $value, $params) {
elgg_register_event_handler('init', 'system', 'filestore_init', 100);
// Unit testing
-elgg_register_plugin_hook_handler('unit_test', 'system', 'filestore_test'); \ No newline at end of file
+elgg_register_plugin_hook_handler('unit_test', 'system', 'filestore_test');
diff --git a/engine/lib/group.php b/engine/lib/group.php
index dd2eff7f8..6ded8a825 100644
--- a/engine/lib/group.php
+++ b/engine/lib/group.php
@@ -14,6 +14,7 @@
* @param int $guid GUID for a group
*
* @return array|false
+ * @access private
*/
function get_group_entity_as_row($guid) {
global $CONFIG;
@@ -24,7 +25,7 @@ function get_group_entity_as_row($guid) {
}
/**
- * Create or update the extras table for a given group.
+ * Create or update the entities table for a given group.
* Call create_entity first.
*
* @param int $guid GUID
@@ -32,6 +33,7 @@ function get_group_entity_as_row($guid) {
* @param string $description Description
*
* @return bool
+ * @access private
*/
function create_group_entity($guid, $name, $description) {
global $CONFIG;
@@ -79,23 +81,6 @@ function create_group_entity($guid, $name, $description) {
}
/**
- * THIS FUNCTION IS DEPRECATED.
- *
- * Delete a group's extra data.
- *
- * @param int $guid The guid of the group
- *
- * @return bool
- * @deprecated 1.6
- */
-function delete_group_entity($guid) {
- elgg_deprecated_notice("delete_group_entity has been deprecated", 1.6);
-
- // Always return that we have deleted one row in order to not break existing code.
- return 1;
-}
-
-/**
* Add an object to the given group.
*
* @param int $group_guid The group to add the object to.
@@ -164,352 +149,6 @@ function remove_object_from_group($group_guid, $object_guid) {
}
/**
- * Return an array of objects in a given container.
- *
- * @see get_entities()
- *
- * @param int $group_guid The container (defaults to current page owner)
- * @param string $subtype The subtype
- * @param int $owner_guid Owner
- * @param int $site_guid The site
- * @param string $order_by Order
- * @param int $limit Limit on number of elements to return, by default 10.
- * @param int $offset Where to start, by default 0.
- * @param bool $count Whether to return the entities or a count of them.
- *
- * @return array|false
- * @deprecated 1.8 Use elgg_get_entities() instead
- */
-function get_objects_in_group($group_guid, $subtype = "", $owner_guid = 0, $site_guid = 0,
-$order_by = "", $limit = 10, $offset = 0, $count = FALSE) {
- elgg_deprecated_notice("get_objects_in_group was deprected in 1.8. Use elgg_get_entities() instead", 1.8);
-
- global $CONFIG;
-
- if ($subtype === FALSE || $subtype === null || $subtype === 0) {
- return FALSE;
- }
-
- if ($order_by == "") {
- $order_by = "e.time_created desc";
- }
- $order_by = sanitise_string($order_by);
- $limit = (int)$limit;
- $offset = (int)$offset;
- $site_guid = (int) $site_guid;
- if ($site_guid == 0) {
- $site_guid = $CONFIG->site_guid;
- }
-
- $container_guid = (int)$group_guid;
- if ($container_guid == 0) {
- $container_guid = elgg_get_page_owner_guid();
- }
-
- $where = array();
-
- $where[] = "e.type='object'";
-
- if (!empty($subtype)) {
- if (!$subtype = get_subtype_id('object', $subtype)) {
- return FALSE;
- }
- $where[] = "e.subtype=$subtype";
- }
- if ($owner_guid != "") {
- if (!is_array($owner_guid)) {
- $owner_guid = (int) $owner_guid;
- $where[] = "e.container_guid = '$owner_guid'";
- } else if (sizeof($owner_guid) > 0) {
- // Cast every element to the owner_guid array to int
- $owner_guid = array_map("sanitise_int", $owner_guid);
- $owner_guid = implode(",", $owner_guid);
- $where[] = "e.container_guid in ({$owner_guid})";
- }
- }
- if ($site_guid > 0) {
- $where[] = "e.site_guid = {$site_guid}";
- }
-
- if ($container_guid > 0) {
- $where[] = "e.container_guid = {$container_guid}";
- }
-
- if (!$count) {
- $query = "SELECT * from {$CONFIG->dbprefix}entities e"
- . " join {$CONFIG->dbprefix}objects_entity o on e.guid=o.guid where ";
- } else {
- $query = "SELECT count(e.guid) as total from {$CONFIG->dbprefix}entities e"
- . " join {$CONFIG->dbprefix}objects_entity o on e.guid=o.guid where ";
- }
- foreach ($where as $w) {
- $query .= " $w and ";
- }
-
- // Add access controls
- $query .= get_access_sql_suffix('e');
- if (!$count) {
- $query .= " order by $order_by";
-
- // Add order and limit
- if ($limit) {
- $query .= " limit $offset, $limit";
- }
-
- $dt = get_data($query, "entity_row_to_elggstar");
- return $dt;
- } else {
- $total = get_data_row($query);
- return $total->total;
- }
-}
-
-/**
- * Lists entities that belong to a group.
- *
- * @param string $subtype The arbitrary subtype of the entity
- * @param int $owner_guid The GUID of the owning user
- * @param int $container_guid The GUID of the containing group
- * @param int $limit The number of entities to display per page (default: 10)
- * @param bool $fullview Whether or not to display the full view (default: true)
- * @param bool $listtypetoggle Whether or not to allow gallery view (default: true)
- * @param bool $pagination Whether to display pagination (default: true)
- *
- * @return string List of parsed entities
- *
- * @see elgg_list_entities()
- * @deprecated 1.8 Use elgg_list_entities() instead
- */
-function list_entities_groups($subtype = "", $owner_guid = 0, $container_guid = 0,
-$limit = 10, $fullview = true, $listtypetoggle = true, $pagination = true) {
- elgg_deprecated_notice("list_entities_groups was deprecated in 1.8. Use elgg_list_entities() instead.", 1.8);
- $offset = (int) get_input('offset');
- $count = get_objects_in_group($container_guid, $subtype, $owner_guid,
- 0, "", $limit, $offset, true);
- $entities = get_objects_in_group($container_guid, $subtype, $owner_guid,
- 0, "", $limit, $offset);
-
- return elgg_view_entity_list($entities, $count, $offset, $limit,
- $fullview, $listtypetoggle, $pagination);
-}
-
-/**
- * Get all the entities from metadata from a group.
- *
- * @param int $group_guid The ID of the group.
- * @param mixed $meta_name Metadata name
- * @param mixed $meta_value Metadata value
- * @param string $entity_type The type of entity to look for, eg 'site' or 'object'
- * @param string $entity_subtype The subtype of the entity.
- * @param int $owner_guid Owner guid
- * @param int $limit Limit
- * @param int $offset Offset
- * @param string $order_by Optional ordering.
- * @param int $site_guid Site GUID. 0 for current, -1 for any
- * @param bool $count Return count instead of entities
- *
- * @return array|false
- * @deprecated 1.8 Use elgg_get_entities_from_metadata()
- */
-function get_entities_from_metadata_groups($group_guid, $meta_name, $meta_value = "",
-$entity_type = "", $entity_subtype = "", $owner_guid = 0, $limit = 10, $offset = 0,
-$order_by = "", $site_guid = 0, $count = false) {
- elgg_deprecated_notice("get_entities_from_metadata_groups was deprecated in 1.8.", 1.8);
- global $CONFIG;
-
- $meta_n = get_metastring_id($meta_name);
- $meta_v = get_metastring_id($meta_value);
-
- $entity_type = sanitise_string($entity_type);
- $entity_subtype = get_subtype_id($entity_type, $entity_subtype);
- $limit = (int)$limit;
- $offset = (int)$offset;
- if ($order_by == "") {
- $order_by = "e.time_created desc";
- }
- $order_by = sanitise_string($order_by);
- $site_guid = (int) $site_guid;
- if (is_array($owner_guid)) {
- foreach ($owner_guid as $key => $guid) {
- $owner_guid[$key] = (int) $guid;
- }
- } else {
- $owner_guid = (int) $owner_guid;
- }
- if ($site_guid == 0) {
- $site_guid = $CONFIG->site_guid;
- }
-
- $container_guid = (int)$group_guid;
- if ($container_guid == 0) {
- $container_guid = elgg_get_page_owner_guid();
- }
-
- $where = array();
-
- if ($entity_type != "") {
- $where[] = "e.type='$entity_type'";
- }
- if ($entity_subtype) {
- $where[] = "e.subtype=$entity_subtype";
- }
- if ($meta_name != "") {
- $where[] = "m.name_id='$meta_n'";
- }
- if ($meta_value != "") {
- $where[] = "m.value_id='$meta_v'";
- }
- if ($site_guid > 0) {
- $where[] = "e.site_guid = {$site_guid}";
- }
- if ($container_guid > 0) {
- $where[] = "e.container_guid = {$container_guid}";
- }
-
- if (is_array($owner_guid)) {
- $where[] = "e.container_guid in (" . implode(",", $owner_guid ) . ")";
- } else if ($owner_guid > 0) {
- $where[] = "e.container_guid = {$owner_guid}";
- }
-
- if (!$count) {
- $query = "SELECT distinct e.* ";
- } else {
- $query = "SELECT count(e.guid) as total ";
- }
-
- $query .= "from {$CONFIG->dbprefix}entities e"
- . " JOIN {$CONFIG->dbprefix}metadata m on e.guid = m.entity_guid "
- . " JOIN {$CONFIG->dbprefix}objects_entity o on e.guid = o.guid where";
-
- foreach ($where as $w) {
- $query .= " $w and ";
- }
-
- // Add access controls
- $query .= get_access_sql_suffix("e");
-
- if (!$count) {
- $query .= " order by $order_by limit $offset, $limit"; // Add order and limit
- return get_data($query, "entity_row_to_elggstar");
- } else {
- if ($row = get_data_row($query)) {
- return $row->total;
- }
- }
- return false;
-}
-
-/**
- * As get_entities_from_metadata_groups() but with multiple entities.
- *
- * @param int $group_guid The ID of the group.
- * @param array $meta_array Array of 'name' => 'value' pairs
- * @param string $entity_type The type of entity to look for, eg 'site' or 'object'
- * @param string $entity_subtype The subtype of the entity.
- * @param int $owner_guid Owner GUID
- * @param int $limit Limit
- * @param int $offset Offset
- * @param string $order_by Optional ordering.
- * @param int $site_guid Site GUID. 0 for current, -1 for any
- * @param bool $count Return count of entities instead of entities
- *
- * @return int|array List of ElggEntities, or the total number if count is set to false
- * @deprecated 1.8 Use elgg_get_entities_from_metadata()
- */
-function get_entities_from_metadata_groups_multi($group_guid, $meta_array, $entity_type = "",
-$entity_subtype = "", $owner_guid = 0, $limit = 10, $offset = 0, $order_by = "",
-$site_guid = 0, $count = false) {
- elgg_deprecated_notice("get_entities_from_metadata_groups_multi was deprecated in 1.8.", 1.8);
-
- global $CONFIG;
-
- if (!is_array($meta_array) || sizeof($meta_array) == 0) {
- return false;
- }
-
- $where = array();
-
- $mindex = 1;
- $join = "";
- foreach ($meta_array as $meta_name => $meta_value) {
- $meta_n = get_metastring_id($meta_name);
- $meta_v = get_metastring_id($meta_value);
- $join .= " JOIN {$CONFIG->dbprefix}metadata m{$mindex} on e.guid = m{$mindex}.entity_guid"
- . " JOIN {$CONFIG->dbprefix}objects_entity o on e.guid = o.guid ";
-
- if ($meta_name != "") {
- $where[] = "m{$mindex}.name_id='$meta_n'";
- }
-
- if ($meta_value != "") {
- $where[] = "m{$mindex}.value_id='$meta_v'";
- }
-
- $mindex++;
- }
-
- $entity_type = sanitise_string($entity_type);
- $entity_subtype = get_subtype_id($entity_type, $entity_subtype);
- $limit = (int)$limit;
- $offset = (int)$offset;
- if ($order_by == "") {
- $order_by = "e.time_created desc";
- }
- $order_by = sanitise_string($order_by);
- $owner_guid = (int) $owner_guid;
-
- $site_guid = (int) $site_guid;
- if ($site_guid == 0) {
- $site_guid = $CONFIG->site_guid;
- }
-
- //$access = get_access_list();
-
- if ($entity_type != "") {
- $where[] = "e.type = '{$entity_type}'";
- }
-
- if ($entity_subtype) {
- $where[] = "e.subtype = {$entity_subtype}";
- }
-
- if ($site_guid > 0) {
- $where[] = "e.site_guid = {$site_guid}";
- }
-
- if ($owner_guid > 0) {
- $where[] = "e.owner_guid = {$owner_guid}";
- }
-
- if ($container_guid > 0) {
- $where[] = "e.container_guid = {$container_guid}";
- }
-
- if ($count) {
- $query = "SELECT count(e.guid) as total ";
- } else {
- $query = "SELECT distinct e.* ";
- }
-
- $query .= " from {$CONFIG->dbprefix}entities e {$join} where";
- foreach ($where as $w) {
- $query .= " $w and ";
- }
- $query .= get_access_sql_suffix("e"); // Add access controls
-
- if (!$count) {
- $query .= " order by $order_by limit $offset, $limit"; // Add order and limit
- return get_data($query, "entity_row_to_elggstar");
- } else {
- if ($count = get_data_row($query)) {
- return $count->total;
- }
- }
- return false;
-}
-
-/**
* Return a list of this group's members.
*
* @param int $group_guid The ID of the container/group.
@@ -531,7 +170,7 @@ function get_group_members($group_guid, $limit = 10, $offset = 0, $site_guid = 0
'relationship' => 'member',
'relationship_guid' => $group_guid,
'inverse_relationship' => TRUE,
- 'types' => 'user',
+ 'type' => 'user',
'limit' => $limit,
'offset' => $offset,
'count' => $count,
@@ -559,16 +198,18 @@ function is_group_member($group_guid, $user_guid) {
/**
* Join a user to a group.
*
- * @param int $group_guid The group.
- * @param int $user_guid The user.
+ * @param int $group_guid The group GUID.
+ * @param int $user_guid The user GUID.
*
* @return bool
*/
function join_group($group_guid, $user_guid) {
$result = add_entity_relationship($user_guid, 'member', $group_guid);
- $param = array('group' => get_entity($group_guid), 'user' => get_entity($user_guid));
- elgg_trigger_event('join', 'group', $params);
+ if ($result) {
+ $params = array('group' => get_entity($group_guid), 'user' => get_entity($user_guid));
+ elgg_trigger_event('join', 'group', $params);
+ }
return $result;
}
@@ -599,59 +240,65 @@ function leave_group($group_guid, $user_guid) {
*/
function get_users_membership($user_guid) {
$options = array(
+ 'type' => 'group',
'relationship' => 'member',
'relationship_guid' => $user_guid,
- 'inverse_relationship' => FALSE
+ 'inverse_relationship' => false,
+ 'limit' => false,
);
return elgg_get_entities_from_relationship($options);
}
/**
- * Checks access to a group.
+ * May the current user access item(s) on this page? If the page owner is a group,
+ * membership, visibility, and logged in status are taken into account.
*
* @param boolean $forward If set to true (default), will forward the page;
* if set to false, will return true or false.
*
- * @return true|false If $forward is set to false.
+ * @return bool If $forward is set to false.
*/
function group_gatekeeper($forward = true) {
- $allowed = true;
- $url = '';
-
- if ($group = elgg_get_page_owner()) {
- if ($group instanceof ElggGroup) {
- $url = $group->getURL();
- if (
- ((!isloggedin()) && (!$group->isPublicMembership())) ||
- ((!$group->isMember(get_loggedin_user()) && (!$group->isPublicMembership())))
- ) {
- $allowed = false;
- }
- // Admin override
- if (isadminloggedin()) {
- $allowed = true;
- }
- }
+ $page_owner_guid = elgg_get_page_owner_guid();
+ if (!$page_owner_guid) {
+ return true;
+ }
+ $visibility = ElggGroupItemVisibility::factory($page_owner_guid);
+
+ if (!$visibility->shouldHideItems) {
+ return true;
}
+ if ($forward) {
+ // only forward to group if user can see it
+ $group = get_entity($page_owner_guid);
+ $forward_url = $group ? $group->getURL() : '';
+
+ if (!elgg_is_logged_in()) {
+ $_SESSION['last_forward_from'] = current_page_url();
+ $forward_reason = 'login';
+ } else {
+ $forward_reason = 'member';
+ }
- if ($forward && $allowed == false) {
- register_error(elgg_echo('membershiprequired'));
- forward($url, 'member');
- exit;
+ register_error(elgg_echo($visibility->reasonHidden));
+ forward($forward_url, $forward_reason);
}
- return $allowed;
+ return false;
}
/**
- * Manages group tool options
+ * Adds a group tool option
*
- * @param string $name Name of the group tool option
- * @param string $label Used for the group edit form
- * @param boolean $default_on True if this option should be active by default
+ * @see remove_group_tool_option().
+ *
+ * @param string $name Name of the group tool option
+ * @param string $label Used for the group edit form
+ * @param bool $default_on True if this option should be active by default
*
* @return void
+ * @since 1.5.0
*/
function add_group_tool_option($name, $label, $default_on = true) {
global $CONFIG;
@@ -670,118 +317,25 @@ function add_group_tool_option($name, $label, $default_on = true) {
}
/**
- * Searches for a group based on a complete or partial name or description
+ * Removes a group tool option based on name
*
- * @param string $criteria The partial or full name or description
- * @param int $limit Limit of the search.
- * @param int $offset Offset.
- * @param string $order_by The order.
- * @param boolean $count Whether to return the count of results or just the results.
+ * @see add_group_tool_option()
*
- * @return mixed
- * @deprecated 1.7
+ * @param string $name Name of the group tool option
+ *
+ * @return void
+ * @since 1.7.5
*/
-function search_for_group($criteria, $limit = 10, $offset = 0, $order_by = "", $count = false) {
- elgg_deprecated_notice('search_for_group() was deprecated by new search plugin.', 1.7);
+function remove_group_tool_option($name) {
global $CONFIG;
- $criteria = sanitise_string($criteria);
- $limit = (int)$limit;
- $offset = (int)$offset;
- $order_by = sanitise_string($order_by);
-
- $access = get_access_sql_suffix("e");
-
- if ($order_by == "") {
- $order_by = "e.time_created desc";
- }
-
- if ($count) {
- $query = "SELECT count(e.guid) as total ";
- } else {
- $query = "SELECT e.* ";
- }
- $query .= "from {$CONFIG->dbprefix}entities e"
- . " JOIN {$CONFIG->dbprefix}groups_entity g on e.guid=g.guid where ";
-
- $query .= "(g.name like \"%{$criteria}%\" or g.description like \"%{$criteria}%\")";
- $query .= " and $access";
-
- if (!$count) {
- $query .= " order by $order_by limit $offset, $limit"; // Add order and limit
- return get_data($query, "entity_row_to_elggstar");
- } else {
- if ($count = get_data_row($query)) {
- return $count->total;
- }
+ if (!isset($CONFIG->group_tool_options)) {
+ return;
}
- return false;
-}
-
-/**
- * Returns a formatted list of groups suitable for injecting into search.
- *
- * @deprecated 1.7
- *
- * @param string $hook Hook name
- * @param string $user User
- * @param mixed $returnvalue Previous hook's return value
- * @param string $tag Tag to search on
- *
- * @return string
- */
-function search_list_groups_by_name($hook, $user, $returnvalue, $tag) {
- elgg_deprecated_notice('search_list_groups_by_name() was deprecated by new search plugin', 1.7);
- // Change this to set the number of groups that display on the search page
- $threshold = 4;
-
- $object = get_input('object');
- if (!get_input('offset') && (empty($object) || $object == 'group')) {
- if ($groups = search_for_group($tag, $threshold)) {
- $countgroups = search_for_group($tag, 0, 0, "", true);
-
- $return = elgg_view('group/search/startblurb', array('count' => $countgroups, 'tag' => $tag));
- foreach ($groups as $group) {
- $return .= elgg_view_entity($group);
- }
- $vars = array('count' => $countgroups, 'threshold' => $threshold, 'tag' => $tag);
- $return .= elgg_view('group/search/finishblurb', $vars);
- return $return;
+ foreach ($CONFIG->group_tool_options as $i => $option) {
+ if ($option->name == $name) {
+ unset($CONFIG->group_tool_options[$i]);
}
}
}
-
-/**
- * Displays a list of group objects that have been searched for.
- *
- * @see elgg_view_entity_list
- *
- * @param string $tag Search criteria
- * @param int $limit The number of entities to display on a page
- *
- * @return string The list in a form suitable to display
- * @deprecated 1.7
- */
-function list_group_search($tag, $limit = 10) {
- elgg_deprecated_notice('list_group_search() was deprecated by new search plugin.', 1.7);
- $offset = (int) get_input('offset');
- $limit = (int) $limit;
- $count = (int) search_for_group($tag, 10, 0, '', true);
- $entities = search_for_group($tag, $limit, $offset);
-
- return elgg_view_entity_list($entities, $count, $offset, $limit, $fullview, false);
-
-}
-
-/**
- * Performs initialisation functions for groups
- *
- * @return void
- */
-function group_init() {
- // Register an entity type
- register_entity_type('group', '');
-}
-
-elgg_register_event_handler('init', 'system', 'group_init');
diff --git a/engine/lib/input.php b/engine/lib/input.php
index 76daf5fa3..80b0b8766 100644
--- a/engine/lib/input.php
+++ b/engine/lib/input.php
@@ -8,46 +8,51 @@
*/
/**
- * Get some input from variables passed on the GET or POST line.
+ * Get some input from variables passed submitted through GET or POST.
+ *
+ * If using any data obtained from get_input() in a web page, please be aware that
+ * it is a possible vector for a reflected XSS attack. If you are expecting an
+ * integer, cast it to an int. If it is a string, escape quotes.
*
* Note: this function does not handle nested arrays (ex: form input of param[m][n])
* because of the filtering done in htmlawed from the filter_tags call.
+ * @todo Is this ^ still true?
*
- * @param string $variable The variable we want to return.
+ * @param string $variable The variable name we want.
* @param mixed $default A default value for the variable if it is not found.
- * @param bool $filter_result If true then the result is filtered for bad tags.
+ * @param bool $filter_result If true, then the result is filtered for bad tags.
*
- * @return string
+ * @return mixed
*/
function get_input($variable, $default = NULL, $filter_result = TRUE) {
global $CONFIG;
+ $result = $default;
+
+ elgg_push_context('input');
+
if (isset($CONFIG->input[$variable])) {
- $var = $CONFIG->input[$variable];
+ $result = $CONFIG->input[$variable];
if ($filter_result) {
- $var = filter_tags($var);
+ $result = filter_tags($result);
}
-
- return $var;
- }
-
- if (isset($_REQUEST[$variable])) {
+ } elseif (isset($_REQUEST[$variable])) {
if (is_array($_REQUEST[$variable])) {
- $var = $_REQUEST[$variable];
+ $result = $_REQUEST[$variable];
} else {
- $var = trim($_REQUEST[$variable]);
+ $result = trim($_REQUEST[$variable]);
}
if ($filter_result) {
- $var = filter_tags($var);
+ $result = filter_tags($result);
}
-
- return $var;
}
- return $default;
+ elgg_pop_context();
+
+ return $result;
}
/**
@@ -55,8 +60,8 @@ function get_input($variable, $default = NULL, $filter_result = TRUE) {
*
* Note: this function does not handle nested arrays (ex: form input of param[m][n])
*
- * @param string $variable The name of the variable
- * @param string $value The value of the variable
+ * @param string $variable The name of the variable
+ * @param string|string[] $value The value of the variable
*
* @return void
*/
@@ -98,20 +103,151 @@ function is_email_address($address) {
}
/**
+ * Load all the REQUEST variables into the sticky form cache
+ *
+ * Call this from an action when you want all your submitted variables
+ * available if the submission fails validation and is sent back to the form
+ *
+ * @param string $form_name Name of the sticky form
+ *
+ * @return void
+ * @link http://docs.elgg.org/Tutorials/UI/StickyForms
+ * @since 1.8.0
+ */
+function elgg_make_sticky_form($form_name) {
+
+ elgg_clear_sticky_form($form_name);
+
+ if (!isset($_SESSION['sticky_forms'])) {
+ $_SESSION['sticky_forms'] = array();
+ }
+ $_SESSION['sticky_forms'][$form_name] = array();
+
+ foreach ($_REQUEST as $key => $var) {
+ // will go through XSS filtering on the get function
+ $_SESSION['sticky_forms'][$form_name][$key] = $var;
+ }
+}
+
+/**
+ * Clear the sticky form cache
+ *
+ * Call this if validation is successful in the action handler or
+ * when they sticky values have been used to repopulate the form
+ * after a validation error.
+ *
+ * @param string $form_name Form namespace
+ *
+ * @return void
+ * @link http://docs.elgg.org/Tutorials/UI/StickyForms
+ * @since 1.8.0
+ */
+function elgg_clear_sticky_form($form_name) {
+ unset($_SESSION['sticky_forms'][$form_name]);
+}
+
+/**
+ * Has this form been made sticky?
+ *
+ * @param string $form_name Form namespace
+ *
+ * @return boolean
+ * @link http://docs.elgg.org/Tutorials/UI/StickyForms
+ * @since 1.8.0
+ */
+function elgg_is_sticky_form($form_name) {
+ return isset($_SESSION['sticky_forms'][$form_name]);
+}
+
+/**
+ * Get a specific sticky variable
+ *
+ * @param string $form_name The name of the form
+ * @param string $variable The name of the variable
+ * @param mixed $default Default value if the variable does not exist in sticky cache
+ * @param boolean $filter_result Filter for bad input if true
+ *
+ * @return mixed
+ *
+ * @todo should this filter the default value?
+ * @link http://docs.elgg.org/Tutorials/UI/StickyForms
+ * @since 1.8.0
+ */
+function elgg_get_sticky_value($form_name, $variable = '', $default = NULL, $filter_result = true) {
+ if (isset($_SESSION['sticky_forms'][$form_name][$variable])) {
+ $value = $_SESSION['sticky_forms'][$form_name][$variable];
+ if ($filter_result) {
+ // XSS filter result
+ $value = filter_tags($value);
+ }
+ return $value;
+ }
+ return $default;
+}
+
+/**
+ * Get all the values in a sticky form in an array
+ *
+ * @param string $form_name The name of the form
+ * @param bool $filter_result Filter for bad input if true
+ *
+ * @return array
+ * @since 1.8.0
+ */
+function elgg_get_sticky_values($form_name, $filter_result = true) {
+ if (!isset($_SESSION['sticky_forms'][$form_name])) {
+ return array();
+ }
+
+ $values = $_SESSION['sticky_forms'][$form_name];
+ if ($filter_result) {
+ foreach ($values as $key => $value) {
+ // XSS filter result
+ $values[$key] = filter_tags($value);
+ }
+ }
+ return $values;
+}
+
+/**
+ * Clear a specific sticky variable
+ *
+ * @param string $form_name The name of the form
+ * @param string $variable The name of the variable to clear
+ *
+ * @return void
+ * @link http://docs.elgg.org/Tutorials/UI/StickyForms
+ * @since 1.8.0
+ */
+function elgg_clear_sticky_value($form_name, $variable) {
+ unset($_SESSION['sticky_forms'][$form_name][$variable]);
+}
+
+/**
* Page handler for autocomplete endpoint.
*
- * @param array $page Pages array
+ * @todo split this into functions/objects, this is way too big
*
- * @return unknown_type
+ * /livesearch?q=<query>
+ *
+ * Other options include:
+ * match_on string all or array(groups|users|friends)
+ * match_owner int 0/1
+ * limit int default is 10
+ *
+ * @param array $page
+ * @return string JSON string is returned and then exit
+ * @access private
*/
function input_livesearch_page_handler($page) {
global $CONFIG;
+
// only return results to logged in users.
- if (!$user = get_loggedin_user()) {
+ if (!$user = elgg_get_logged_in_user_entity()) {
exit;
}
- if (!$q = get_input('q')) {
+ if (!$q = get_input('term', get_input('q'))) {
exit;
}
@@ -121,91 +257,123 @@ function input_livesearch_page_handler($page) {
$q = str_replace(array('_', '%'), array('\_', '\%'), $q);
$match_on = get_input('match_on', 'all');
- if ($match_on == 'all' || $match_on[0] == 'all') {
- $match_on = array('users', 'groups');
- }
if (!is_array($match_on)) {
$match_on = array($match_on);
}
+ // all = users and groups
+ if (in_array('all', $match_on)) {
+ $match_on = array('users', 'groups');
+ }
+
if (get_input('match_owner', false)) {
- $owner_guid = $user->getGUID();
$owner_where = 'AND e.owner_guid = ' . $user->getGUID();
} else {
- $owner_guid = null;
$owner_where = '';
}
- $limit = get_input('limit', 10);
+ $limit = sanitise_int(get_input('limit', 10));
// grab a list of entities and send them in json.
$results = array();
- foreach ($match_on as $type) {
- switch ($type) {
- case 'all':
- // only need to pull up title from objects.
-
- $options = array('owner_guid' => $owner_guid, 'limit' => $limit);
- if (!$entities = elgg_get_entities($options) AND is_array($entities)) {
- $results = array_merge($results, $entities);
- }
- break;
-
+ foreach ($match_on as $match_type) {
+ switch ($match_type) {
case 'users':
$query = "SELECT * FROM {$CONFIG->dbprefix}users_entity as ue, {$CONFIG->dbprefix}entities as e
WHERE e.guid = ue.guid
AND e.enabled = 'yes'
AND ue.banned = 'no'
- AND (ue.name LIKE '$q%' OR ue.username LIKE '$q%')
+ AND (ue.name LIKE '$q%' OR ue.name LIKE '% $q%' OR ue.username LIKE '$q%')
LIMIT $limit
";
if ($entities = get_data($query)) {
foreach ($entities as $entity) {
- $json = json_encode(array(
+ // @todo use elgg_get_entities (don't query in a loop!)
+ $entity = get_entity($entity->guid);
+ /* @var ElggUser $entity */
+ if (!$entity) {
+ continue;
+ }
+
+ if (in_array('groups', $match_on)) {
+ $value = $entity->guid;
+ } else {
+ $value = $entity->username;
+ }
+
+ $output = elgg_view_list_item($entity, array(
+ 'use_hover' => false,
+ 'class' => 'elgg-autocomplete-item',
+ ));
+
+ $icon = elgg_view_entity_icon($entity, 'tiny', array(
+ 'use_hover' => false,
+ ));
+
+ $result = array(
'type' => 'user',
'name' => $entity->name,
'desc' => $entity->username,
- 'icon' => '<img class="livesearch_icon" src="' .
- get_entity($entity->guid)->getIcon('tiny') . '" />',
- 'guid' => $entity->guid
- ));
- $results[$entity->name . rand(1, 100)] = $json;
+ 'guid' => $entity->guid,
+ 'label' => $output,
+ 'value' => $value,
+ 'icon' => $icon,
+ 'url' => $entity->getURL(),
+ );
+ $results[$entity->name . rand(1, 100)] = $result;
}
}
break;
case 'groups':
// don't return results if groups aren't enabled.
- if (!is_plugin_enabled('groups')) {
+ if (!elgg_is_active_plugin('groups')) {
continue;
}
$query = "SELECT * FROM {$CONFIG->dbprefix}groups_entity as ge, {$CONFIG->dbprefix}entities as e
WHERE e.guid = ge.guid
AND e.enabled = 'yes'
$owner_where
- AND (ge.name LIKE '$q%' OR ge.description LIKE '%$q%')
+ AND (ge.name LIKE '$q%' OR ge.name LIKE '% $q%' OR ge.description LIKE '% $q%')
LIMIT $limit
";
if ($entities = get_data($query)) {
foreach ($entities as $entity) {
- $json = json_encode(array(
+ // @todo use elgg_get_entities (don't query in a loop!)
+ $entity = get_entity($entity->guid);
+ /* @var ElggGroup $entity */
+ if (!$entity) {
+ continue;
+ }
+
+ $output = elgg_view_list_item($entity, array(
+ 'use_hover' => false,
+ 'class' => 'elgg-autocomplete-item',
+ ));
+
+ $icon = elgg_view_entity_icon($entity, 'tiny', array(
+ 'use_hover' => false,
+ ));
+
+ $result = array(
'type' => 'group',
'name' => $entity->name,
'desc' => strip_tags($entity->description),
- 'icon' => '<img class="livesearch_icon" src="'
- . get_entity($entity->guid)->getIcon('tiny') . '" />',
- 'guid' => $entity->guid
- ));
-
- $results[$entity->name . rand(1, 100)] = $json;
+ 'guid' => $entity->guid,
+ 'label' => $output,
+ 'value' => $entity->guid,
+ 'icon' => $icon,
+ 'url' => $entity->getURL(),
+ );
+
+ $results[$entity->name . rand(1, 100)] = $result;
}
}
break;
case 'friends':
- $access = get_access_sql_suffix();
$query = "SELECT * FROM
{$CONFIG->dbprefix}users_entity as ue,
{$CONFIG->dbprefix}entity_relationships as er,
@@ -216,36 +384,54 @@ function input_livesearch_page_handler($page) {
AND e.guid = ue.guid
AND e.enabled = 'yes'
AND ue.banned = 'no'
- AND (ue.name LIKE '$q%' OR ue.username LIKE '$q%')
+ AND (ue.name LIKE '$q%' OR ue.name LIKE '% $q%' OR ue.username LIKE '$q%')
LIMIT $limit
";
if ($entities = get_data($query)) {
foreach ($entities as $entity) {
- $json = json_encode(array(
+ // @todo use elgg_get_entities (don't query in a loop!)
+ $entity = get_entity($entity->guid);
+ /* @var ElggUser $entity */
+ if (!$entity) {
+ continue;
+ }
+
+ $output = elgg_view_list_item($entity, array(
+ 'use_hover' => false,
+ 'class' => 'elgg-autocomplete-item',
+ ));
+
+ $icon = elgg_view_entity_icon($entity, 'tiny', array(
+ 'use_hover' => false,
+ ));
+
+ $result = array(
'type' => 'user',
'name' => $entity->name,
'desc' => $entity->username,
- 'icon' => '<img class="livesearch_icon" src="'
- . get_entity($entity->guid)->getIcon('tiny') . '" />',
- 'guid' => $entity->guid
- ));
- $results[$entity->name . rand(1, 100)] = $json;
+ 'guid' => $entity->guid,
+ 'label' => $output,
+ 'value' => $entity->username,
+ 'icon' => $icon,
+ 'url' => $entity->getURL(),
+ );
+ $results[$entity->name . rand(1, 100)] = $result;
}
}
break;
default:
- // arbitrary subtype.
- //@todo you cannot specify a subtype without a type.
- // did this ever work?
- elgg_get_entities(array('subtype' => $type, 'owner_guid' => $owner_guid));
+ header("HTTP/1.0 400 Bad Request", true);
+ echo "livesearch: unknown match_on of $match_type";
+ exit;
break;
}
}
ksort($results);
- echo implode($results, "\n");
+ header("Content-Type: application/json");
+ echo json_encode(array_values($results));
exit;
}
@@ -253,10 +439,11 @@ function input_livesearch_page_handler($page) {
* Register input functions and sanitize input
*
* @return void
+ * @access private
*/
function input_init() {
// register an endpoint for live search / autocomplete.
- register_page_handler('livesearch', 'input_livesearch_page_handler');
+ elgg_register_page_handler('livesearch', 'input_livesearch_page_handler');
if (ini_get_bool('magic_quotes_gpc')) {
diff --git a/engine/lib/install.php b/engine/lib/install.php
deleted file mode 100644
index cfb8ac7ec..000000000
--- a/engine/lib/install.php
+++ /dev/null
@@ -1,21 +0,0 @@
-<?php
-
-/**
- * Elgg installation
- * Various functions to assist with installing and upgrading the system
- *
- * @package Elgg.Core
- * @subpackage Installation
- */
-
-// these were internal functions that perhaps can be removed rather than deprecated
-function is_db_installed() {
- elgg_deprecated_notice('is_db_installed() has been deprecated', 1.8);
- return true;
-}
-
-function is_installed() {
- elgg_deprecated_notice('is_installed() has been deprecated', 1.8);
- return true;
-}
-
diff --git a/engine/lib/languages.php b/engine/lib/languages.php
index d34a89707..61ba91ddb 100644
--- a/engine/lib/languages.php
+++ b/engine/lib/languages.php
@@ -8,6 +8,65 @@
*/
/**
+ * Given a message key, returns an appropriately translated full-text string
+ *
+ * @param string $message_key The short message code
+ * @param array $args An array of arguments to pass through vsprintf().
+ * @param string $language Optionally, the standard language code
+ * (defaults to site/user default, then English)
+ *
+ * @return string Either the translated string, the English string,
+ * or the original language string.
+ */
+function elgg_echo($message_key, $args = array(), $language = "") {
+ global $CONFIG;
+
+ static $CURRENT_LANGUAGE;
+
+ // old param order is deprecated
+ if (!is_array($args)) {
+ elgg_deprecated_notice(
+ 'As of Elgg 1.8, the 2nd arg to elgg_echo() is an array of string replacements and the 3rd arg is the language.',
+ 1.8
+ );
+
+ $language = $args;
+ $args = array();
+ }
+
+ if (!isset($CONFIG->translations)) {
+ // this means we probably had an exception before translations were initialized
+ register_translations(dirname(dirname(dirname(__FILE__))) . "/languages/");
+ }
+
+ if (!$CURRENT_LANGUAGE) {
+ $CURRENT_LANGUAGE = get_language();
+ }
+ if (!$language) {
+ $language = $CURRENT_LANGUAGE;
+ }
+
+ if (isset($CONFIG->translations[$language][$message_key])) {
+ $string = $CONFIG->translations[$language][$message_key];
+ } else if (isset($CONFIG->translations["en"][$message_key])) {
+ $string = $CONFIG->translations["en"][$message_key];
+ $lang = $CONFIG->translations["en"][$language];
+ elgg_log(sprintf('Missing %s translation for "%s" language key', $lang, $message_key), 'NOTICE');
+ } else {
+ $string = $message_key;
+ elgg_log(sprintf('Missing English translation for "%s" language key', $message_key), 'NOTICE');
+ }
+
+ // only pass through if we have arguments to allow backward compatibility
+ // with manual sprintf() calls.
+ if ($args) {
+ $string = vsprintf($string, $args);
+ }
+
+ return $string;
+}
+
+/**
* Add a translation.
*
* Translations are arrays in the Zend Translation array format, eg:
@@ -18,7 +77,7 @@
* @param string $country_code Standard country code (eg 'en', 'nl', 'es')
* @param array $language_array Formatted array of strings
*
- * @return true|false Depending on success
+ * @return bool Depending on success
*/
function add_translation($country_code, $language_array) {
global $CONFIG;
@@ -45,8 +104,6 @@ function add_translation($country_code, $language_array) {
* @return string The language code for the site/user or "en" if not set
*/
function get_current_language() {
- global $CONFIG;
-
$language = get_language();
if (!$language) {
@@ -64,7 +121,7 @@ function get_current_language() {
function get_language() {
global $CONFIG;
- $user = get_loggedin_user();
+ $user = elgg_get_logged_in_user_entity();
$language = false;
if (($user) && ($user->language)) {
@@ -83,55 +140,36 @@ function get_language() {
}
/**
- * Given a message shortcode, returns an appropriately translated full-text string
- *
- * @param string $message_key The short message code
- * @param array $args An array of arguments to pass through vsprintf().
- * @param string $language Optionally, the standard language code
- * (defaults to site/user default, then English)
- *
- * @return string Either the translated string, the English string,
- * or the original language string.
+ * @access private
*/
-function elgg_echo($message_key, $args = array(), $language = "") {
+function _elgg_load_translations() {
global $CONFIG;
- static $CURRENT_LANGUAGE;
-
- // old param order is deprecated
- if (!is_array($args)) {
- elgg_deprecated_notice(
- 'As of Elgg 1.8, the 2nd arg to elgg_echo() is an array of string replacements and the 3rd arg is the language.',
- 1.8
- );
-
- $language = $args;
- $args = array();
- }
+ if ($CONFIG->system_cache_enabled) {
+ $loaded = true;
+ $languages = array_unique(array('en', get_current_language()));
+ foreach ($languages as $language) {
+ $data = elgg_load_system_cache("$language.lang");
+ if ($data) {
+ add_translation($language, unserialize($data));
+ } else {
+ $loaded = false;
+ }
+ }
- if (!$CURRENT_LANGUAGE) {
- $CURRENT_LANGUAGE = get_language();
- }
- if (!$language) {
- $language = $CURRENT_LANGUAGE;
+ if ($loaded) {
+ $CONFIG->i18n_loaded_from_cache = true;
+ // this is here to force
+ $CONFIG->language_paths[dirname(dirname(dirname(__FILE__))) . "/languages/"] = true;
+ return;
+ }
}
- if (isset($CONFIG->translations[$language][$message_key])) {
- $string = $CONFIG->translations[$language][$message_key];
- } else if (isset($CONFIG->translations["en"][$message_key])) {
- $string = $CONFIG->translations["en"][$message_key];
- } else {
- $string = $message_key;
- }
+ // load core translations from languages directory
+ register_translations(dirname(dirname(dirname(__FILE__))) . "/languages/");
+}
- // only pass through if we have arguments to allow backward compatibility
- // with manual sprintf() calls.
- if ($args) {
- $string = vsprintf($string, $args);
- }
- return $string;
-}
/**
* When given a full path, finds translation files and loads them
@@ -140,11 +178,13 @@ function elgg_echo($message_key, $args = array(), $language = "") {
* @param bool $load_all If true all languages are loaded, if
* false only the current language + en are loaded
*
- * @return void
+ * @return bool success
*/
function register_translations($path, $load_all = false) {
global $CONFIG;
+ $path = sanitise_filepath($path);
+
// Make a note of this path just incase we need to register this language later
if (!isset($CONFIG->language_paths)) {
$CONFIG->language_paths = array();
@@ -155,40 +195,72 @@ function register_translations($path, $load_all = false) {
$current_language = get_current_language();
elgg_log("Translations loaded from: $path");
- if ($handle = opendir($path)) {
- while ($language = readdir($handle)) {
- if (
- ((in_array($language, array('en.php', $current_language . '.php'))) ) ||
- (($load_all) && (strpos($language, '.php') !== false))
- ) {
- include_once($path . $language);
+ // only load these files unless $load_all is true.
+ $load_language_files = array(
+ 'en.php',
+ "$current_language.php"
+ );
+
+ $load_language_files = array_unique($load_language_files);
+
+ $handle = opendir($path);
+ if (!$handle) {
+ elgg_log("Could not open language path: $path", 'ERROR');
+ return false;
+ }
+
+ $return = true;
+ while (false !== ($language = readdir($handle))) {
+ // ignore bad files
+ if (substr($language, 0, 1) == '.' || substr($language, -4) !== '.php') {
+ continue;
+ }
+
+ if (in_array($language, $load_language_files) || $load_all) {
+ if (!include_once($path . $language)) {
+ $return = false;
+ continue;
}
}
- } else {
- elgg_log("Missing translation path $path", 'ERROR');
}
+
+ return $return;
}
/**
* Reload all translations from all registered paths.
*
- * This is only called by functions which need to know all possible translations, namely the
- * statistic gathering ones.
+ * This is only called by functions which need to know all possible translations.
*
* @todo Better on demand loading based on language_paths array
*
- * @return bool
+ * @return void
*/
function reload_all_translations() {
global $CONFIG;
static $LANG_RELOAD_ALL_RUN;
if ($LANG_RELOAD_ALL_RUN) {
- return null;
+ return;
}
- foreach ($CONFIG->language_paths as $path => $dummy) {
- register_translations($path, true);
+ if ($CONFIG->i18n_loaded_from_cache) {
+ $cache = elgg_get_system_cache();
+ $cache_dir = $cache->getVariable("cache_path");
+ $filenames = elgg_get_file_list($cache_dir, array(), array(), array(".lang"));
+ foreach ($filenames as $filename) {
+ if (preg_match('/([a-z]+)\.[^.]+$/', $filename, $matches)) {
+ $language = $matches[1];
+ $data = elgg_load_system_cache("$language.lang");
+ if ($data) {
+ add_translation($language, unserialize($data));
+ }
+ }
+ }
+ } else {
+ foreach ($CONFIG->language_paths as $path => $dummy) {
+ register_translations($path, true);
+ }
}
$LANG_RELOAD_ALL_RUN = true;
@@ -209,8 +281,8 @@ function get_installed_translations() {
$installed = array();
foreach ($CONFIG->translations as $k => $v) {
- $installed[$k] = elgg_echo($k, $k);
- if (isadminloggedin()) {
+ $installed[$k] = elgg_echo($k, array(), $k);
+ if (elgg_is_admin_logged_in()) {
$completeness = get_language_completeness($k);
if (($completeness < 100) && ($k != 'en')) {
$installed[$k] .= " (" . $completeness . "% " . elgg_echo('complete') . ")";
@@ -280,5 +352,3 @@ function get_missing_language_keys($language) {
return false;
}
-
-register_translations(dirname(dirname(dirname(__FILE__))) . "/languages/"); \ No newline at end of file
diff --git a/engine/lib/location.php b/engine/lib/location.php
index 4819bc9b0..1534c7d7b 100644
--- a/engine/lib/location.php
+++ b/engine/lib/location.php
@@ -54,87 +54,6 @@ function elgg_geocode_location($location) {
/**
* Return entities within a given geographic area.
*
- * @param float $lat Latitude
- * @param float $long Longitude
- * @param float $radius The radius
- * @param string $type The type of entity (eg "user", "object" etc)
- * @param string $subtype The arbitrary subtype of the entity
- * @param int $owner_guid The GUID of the owning user
- * @param string $order_by The field to order by; by default, time_created desc
- * @param int $limit The number of entities to return; 10 by default
- * @param int $offset The indexing offset, 0 by default
- * @param boolean $count Count entities
- * @param int $site_guid Site GUID. 0 for current, -1 for any
- * @param int|array $container_guid Container GUID
- *
- * @return array A list of entities.
- * @deprecated 1.8
- */
-function get_entities_in_area($lat, $long, $radius, $type = "", $subtype = "", $owner_guid = 0,
-$order_by = "", $limit = 10, $offset = 0, $count = false, $site_guid = 0, $container_guid = NULL) {
- elgg_deprecated_notice('get_entities_in_area() was deprecated by elgg_get_entities_from_location()!', 1.8);
-
- $options = array();
-
- $options['latitude'] = $lat;
- $options['longitude'] = $long;
- $options['distance'] = $radius;
-
- // set container_guid to owner_guid to emulate old functionality
- if ($owner_guid != "") {
- if (is_null($container_guid)) {
- $container_guid = $owner_guid;
- }
- }
-
- if ($type) {
- $options['types'] = $type;
- }
-
- if ($subtype) {
- $options['subtypes'] = $subtype;
- }
-
- if ($owner_guid) {
- if (is_array($owner_guid)) {
- $options['owner_guids'] = $owner_guid;
- } else {
- $options['owner_guid'] = $owner_guid;
- }
- }
-
- if ($container_guid) {
- if (is_array($container_guid)) {
- $options['container_guids'] = $container_guid;
- } else {
- $options['container_guid'] = $container_guid;
- }
- }
-
- $options['limit'] = $limit;
-
- if ($offset) {
- $options['offset'] = $offset;
- }
-
- if ($order_by) {
- $options['order_by'];
- }
-
- if ($site_guid) {
- $options['site_guid'];
- }
-
- if ($count) {
- $options['count'] = $count;
- }
-
- return elgg_get_entities_from_location($options);
-}
-
-/**
- * Return entities within a given geographic area.
- *
* Also accepts all options available to elgg_get_entities().
*
* @see elgg_get_entities
@@ -155,7 +74,7 @@ $order_by = "", $limit = 10, $offset = 0, $count = false, $site_guid = 0, $conta
*
* @see ElggEntity::setLatLong()
*
- * @return array
+ * @return mixed If count, int. If not count, array. false on errors.
* @since 1.8.0
*/
function elgg_get_entities_from_location(array $options = array()) {
@@ -182,7 +101,7 @@ function elgg_get_entities_from_location(array $options = array()) {
$long_min = $long - $long_distance;
$long_max = $long + $long_distance;
- $where = array();
+ $wheres = array();
$wheres[] = "lat_name.string='geo:lat'";
$wheres[] = "lat_value.string >= $lat_min";
$wheres[] = "lat_value.string <= $lat_max";
@@ -218,32 +137,9 @@ function elgg_get_entities_from_location(array $options = array()) {
}
/**
- * List entities in a given location
- *
- * @param string $location Location
- * @param string $type The type of entity (eg "user", "object" etc)
- * @param string $subtype The arbitrary subtype of the entity
- * @param int $owner_guid The GUID of the owning user
- * @param int $limit The number of entities to display per page (default: 10)
- * @param bool $fullview Whether or not to display the full view (default: true)
- * @param bool $listtypetoggle Whether or not to allow gallery view
- * @param bool $navigation Display pagination? Default: true
- *
- * @return string A viewable list of entities
- * @deprecated 1.8
- */
-function list_entities_location($location, $type= "", $subtype = "", $owner_guid = 0, $limit = 10,
-$fullview = true, $listtypetoggle = false, $navigation = true) {
- elgg_deprecated_notice('list_entities_location() was deprecated. Use elgg_list_entities_from_metadata()', 1.8);
-
- return list_entities_from_metadata('location', $location, $type, $subtype, $owner_guid, $limit,
- $fullview, $listtypetoggle, $navigation);
-}
-
-/**
* Returns a viewable list of entities from location
*
- * @param array $options
+ * @param array $options Options array
*
* @see elgg_list_entities()
* @see elgg_get_entities_from_location()
@@ -255,58 +151,6 @@ function elgg_list_entities_from_location(array $options = array()) {
return elgg_list_entities($options, 'elgg_get_entities_from_location');
}
-/**
- * List items within a given geographic area.
- *
- * @param real $lat Latitude
- * @param real $long Longitude
- * @param real $radius The radius
- * @param string $type The type of entity (eg "user", "object" etc)
- * @param string $subtype The arbitrary subtype of the entity
- * @param int $owner_guid The GUID of the owning user
- * @param int $limit The number of entities to display per page (default: 10)
- * @param bool $fullview Whether or not to display the full view (default: true)
- * @param bool $listtypetoggle Whether or not to allow gallery view
- * @param bool $navigation Display pagination? Default: true
- *
- * @return string A viewable list of entities
- * @deprecated 1.8
- */
-function list_entities_in_area($lat, $long, $radius, $type= "", $subtype = "", $owner_guid = 0,
-$limit = 10, $fullview = true, $listtypetoggle = false, $navigation = true) {
- elgg_deprecated_notice('list_entities_in_area() was deprecated. Use elgg_list_entities_from_location()', 1.8);
-
- $options = array();
-
- $options['latitude'] = $lat;
- $options['longitude'] = $long;
- $options['distance'] = $radius;
-
- if ($type) {
- $options['types'] = $type;
- }
-
- if ($subtype) {
- $options['subtypes'] = $subtype;
- }
-
- if ($owner_guid) {
- if (is_array($owner_guid)) {
- $options['owner_guids'] = $owner_guid;
- } else {
- $options['owner_guid'] = $owner_guid;
- }
- }
-
- $options['limit'] = $limit;
-
- $options['full_view'] = $fullview;
- $options['list_type_toggle'] = $listtypetoggle;
- $options['pagination'] = $pagination;
-
- return elgg_list_entities_from_location($options);
-}
-
// Some distances in degrees (approximate)
// @todo huh? see warning on elgg_get_entities_from_location()
define("MILE", 0.01515);
diff --git a/engine/lib/mb_wrapper.php b/engine/lib/mb_wrapper.php
index da7a96c1f..68fa69005 100644
--- a/engine/lib/mb_wrapper.php
+++ b/engine/lib/mb_wrapper.php
@@ -11,7 +11,7 @@ if (is_callable('mb_internal_encoding')) {
* NOTE: This differs from parse_str() by returning the results
* instead of placing them in the local scope!
*
- * @param str $str The string
+ * @param string $str The string
*
* @return array
* @since 1.7.0
@@ -230,4 +230,4 @@ function elgg_substr() {
return call_user_func_array('mb_substr', $args);
}
return call_user_func_array('substr', $args);
-} \ No newline at end of file
+}
diff --git a/engine/lib/memcache.php b/engine/lib/memcache.php
index 6e905b0d4..79b87e850 100644
--- a/engine/lib/memcache.php
+++ b/engine/lib/memcache.php
@@ -34,4 +34,24 @@ function is_memcache_available() {
}
return $memcache_available;
+}
+
+/**
+ * Invalidate an entity in memcache
+ *
+ * @param int $entity_guid The GUID of the entity to invalidate
+ *
+ * @return void
+ * @access private
+ */
+function _elgg_invalidate_memcache_for_entity($entity_guid) {
+ static $newentity_cache;
+
+ if ((!$newentity_cache) && (is_memcache_available())) {
+ $newentity_cache = new ElggMemcache('new_entity_cache');
+ }
+
+ if ($newentity_cache) {
+ $newentity_cache->delete($entity_guid);
+ }
} \ No newline at end of file
diff --git a/engine/lib/metadata.php b/engine/lib/metadata.php
index 71aa6c0f7..fdb1b85f6 100644
--- a/engine/lib/metadata.php
+++ b/engine/lib/metadata.php
@@ -12,7 +12,8 @@
*
* @param stdClass $row An object from the database
*
- * @return stdClass or ElggMetadata
+ * @return stdClass|ElggMetadata
+ * @access private
*/
function row_to_elggmetadata($row) {
if (!($row instanceof stdClass)) {
@@ -23,66 +24,30 @@ function row_to_elggmetadata($row) {
}
/**
- * Get a specific metadata object.
+ * Get a specific metadata object by its id.
+ * If you want multiple metadata objects, use
+ * {@link elgg_get_metadata()}.
*
- * @param int $id The id of the metadata being retrieved.
+ * @param int $id The id of the metadata object being retrieved.
*
- * @return mixed False on failure or ElggMetadata
+ * @return ElggMetadata|false FALSE if not found
*/
-function get_metadata($id) {
- global $CONFIG;
-
- $id = (int)$id;
- $access = get_access_sql_suffix("e");
- $md_access = get_access_sql_suffix("m");
-
- $query = "SELECT m.*, n.string as name, v.string as value from {$CONFIG->dbprefix}metadata m"
- . " JOIN {$CONFIG->dbprefix}entities e on e.guid = m.entity_guid"
- . " JOIN {$CONFIG->dbprefix}metastrings v on m.value_id = v.id"
- . " JOIN {$CONFIG->dbprefix}metastrings n on m.name_id = n.id"
- . " where m.id=$id and $access and $md_access";
-
-
- return row_to_elggmetadata(get_data_row($query));
+function elgg_get_metadata_from_id($id) {
+ return elgg_get_metastring_based_object_from_id($id, 'metadata');
}
/**
- * Removes metadata on an entity with a particular name, optionally with a given value.
- *
- * @param int $entity_guid The entity GUID
- * @param string $name The name of the metadata
- * @param string $value The value of the metadata (useful to remove a single item of a set)
+ * Deletes metadata using its ID.
*
- * @return bool Depending on success
+ * @param int $id The metadata ID to delete.
+ * @return bool
*/
-function remove_metadata($entity_guid, $name, $value = "") {
- global $CONFIG;
- $entity_guid = (int) $entity_guid;
- $name = sanitise_string($name);
- $value = sanitise_string($value);
-
- $name = get_metastring_id($name);
- if ($name === FALSE) {
- // name doesn't exist
- return FALSE;
- }
-
- $query = "SELECT * from {$CONFIG->dbprefix}metadata WHERE entity_guid = '$entity_guid' and name_id = '$name'";
- if ($value != "") {
- $value = get_metastring_id($value);
- if ($value !== FALSE) {
- $query .= " AND value_id = '$value'";
- }
- }
-
- if ($existing = get_data($query)) {
- foreach ($existing as $ex) {
- delete_metadata($ex->id);
- }
- return true;
+function elgg_delete_metadata_by_id($id) {
+ $metadata = elgg_get_metadata_from_id($id);
+ if (!$metadata) {
+ return false;
}
-
- return false;
+ return $metadata->delete();
}
/**
@@ -99,9 +64,9 @@ function remove_metadata($entity_guid, $name, $value = "") {
* @param int $access_id Default is ACCESS_PRIVATE
* @param bool $allow_multiple Allow multiple values for one key. Default is FALSE
*
- * @return int/bool id of metadata or FALSE if failure
+ * @return int|false id of metadata or FALSE if failure
*/
-function create_metadata($entity_guid, $name, $value, $value_type, $owner_guid,
+function create_metadata($entity_guid, $name, $value, $value_type = '', $owner_guid = 0,
$access_id = ACCESS_PRIVATE, $allow_multiple = false) {
global $CONFIG;
@@ -120,13 +85,11 @@ function create_metadata($entity_guid, $name, $value, $value_type, $owner_guid,
}
if ($owner_guid == 0) {
- $owner_guid = get_loggedin_userid();
+ $owner_guid = elgg_get_logged_in_user_guid();
}
$access_id = (int)$access_id;
- $id = false;
-
$query = "SELECT * from {$CONFIG->dbprefix}metadata"
. " WHERE entity_guid = $entity_guid and name_id=" . add_metastring($name) . " limit 1";
@@ -141,37 +104,36 @@ function create_metadata($entity_guid, $name, $value, $value_type, $owner_guid,
} else {
// Support boolean types
if (is_bool($value)) {
- if ($value) {
- $value = 1;
- } else {
- $value = 0;
- }
+ $value = (int) $value;
}
// Add the metastrings
- $value = add_metastring($value);
- if (!$value) {
+ $value_id = add_metastring($value);
+ if (!$value_id) {
return false;
}
- $name = add_metastring($name);
- if (!$name) {
+ $name_id = add_metastring($name);
+ if (!$name_id) {
return false;
}
// If ok then add it
$query = "INSERT into {$CONFIG->dbprefix}metadata"
. " (entity_guid, name_id, value_id, value_type, owner_guid, time_created, access_id)"
- . " VALUES ($entity_guid, '$name','$value','$value_type', $owner_guid, $time, $access_id)";
+ . " VALUES ($entity_guid, '$name_id','$value_id','$value_type', $owner_guid, $time, $access_id)";
$id = insert_data($query);
if ($id !== false) {
- $obj = get_metadata($id);
+ $obj = elgg_get_metadata_from_id($id);
if (elgg_trigger_event('create', 'metadata', $obj)) {
+
+ elgg_get_metadata_cache()->save($entity_guid, $name, $value, $allow_multiple);
+
return $id;
} else {
- delete_metadata($id);
+ elgg_delete_metadata_by_id($id);
}
}
}
@@ -182,7 +144,7 @@ function create_metadata($entity_guid, $name, $value, $value_type, $owner_guid,
/**
* Update a specific piece of metadata.
*
- * @param int $id Metadata id
+ * @param int $id ID of the metadata to update
* @param string $name Metadata name
* @param string $value Metadata value
* @param string $value_type Value type
@@ -196,7 +158,7 @@ function update_metadata($id, $name, $value, $value_type, $owner_guid, $access_i
$id = (int)$id;
- if (!$md = get_metadata($id)) {
+ if (!$md = elgg_get_metadata_from_id($id)) {
return false;
}
if (!$md->canEdit()) {
@@ -210,6 +172,7 @@ function update_metadata($id, $name, $value, $value_type, $owner_guid, $access_i
}
if ($metabyname_memcache) {
+ // @todo fix memcache (name_id is not a property of ElggMetadata)
$metabyname_memcache->delete("{$md->entity_guid}:{$md->name_id}");
}
@@ -217,46 +180,42 @@ function update_metadata($id, $name, $value, $value_type, $owner_guid, $access_i
$owner_guid = (int)$owner_guid;
if ($owner_guid == 0) {
- $owner_guid = get_loggedin_userid();
+ $owner_guid = elgg_get_logged_in_user_guid();
}
$access_id = (int)$access_id;
- $access = get_access_sql_suffix();
-
// Support boolean types (as integers)
if (is_bool($value)) {
- if ($value) {
- $value = 1;
- } else {
- $value = 0;
- }
+ $value = (int) $value;
}
// Add the metastring
- $value = add_metastring($value);
- if (!$value) {
+ $value_id = add_metastring($value);
+ if (!$value_id) {
return false;
}
- $name = add_metastring($name);
- if (!$name) {
+ $name_id = add_metastring($name);
+ if (!$name_id) {
return false;
}
// If ok then add it
$query = "UPDATE {$CONFIG->dbprefix}metadata"
- . " set value_id='$value', value_type='$value_type', access_id=$access_id,"
- . " owner_guid=$owner_guid where id=$id and name_id='$name'";
+ . " set name_id='$name_id', value_id='$value_id', value_type='$value_type', access_id=$access_id,"
+ . " owner_guid=$owner_guid where id=$id";
$result = update_data($query);
if ($result !== false) {
- $obj = get_metadata($id);
- if (elgg_trigger_event('update', 'metadata', $obj)) {
- return true;
- } else {
- delete_metadata($id);
- }
+
+ elgg_get_metadata_cache()->save($md->entity_guid, $name, $value);
+
+ // @todo this event tells you the metadata has been updated, but does not
+ // let you do anything about it. What is needed is a plugin hook before
+ // the update that passes old and new values.
+ $obj = elgg_get_metadata_from_id($id);
+ elgg_trigger_event('update', 'metadata', $obj);
}
return $result;
@@ -270,7 +229,7 @@ function update_metadata($id, $name, $value, $value_type, $owner_guid, $access_i
* associative arrays and there is no guarantee on the ordering in the array.
*
* @param int $entity_guid The entity to attach the metadata to
- * @param string $name_and_values Associative array - a value can be a string, number, bool
+ * @param array $name_and_values Associative array - a value can be a string, number, bool
* @param string $value_type 'text', 'integer', or '' for automatic detection
* @param int $owner_guid GUID of entity that owns the metadata
* @param int $access_id Default is ACCESS_PRIVATE
@@ -292,222 +251,148 @@ $access_id = ACCESS_PRIVATE, $allow_multiple = false) {
}
/**
- * Delete a piece of metadata, where the current user has access.
+ * Returns metadata. Accepts all elgg_get_entities() options for entity
+ * restraints.
+ *
+ * @see elgg_get_entities
*
- * @param int $id The id of metadata to delete.
+ * @warning 1.7's find_metadata() didn't support limits and returned all metadata.
+ * This function defaults to a limit of 25. There is probably not a reason
+ * for you to return all metadata unless you're exporting an entity,
+ * have other restraints in place, or are doing something horribly
+ * wrong in your code.
*
- * @return bool
+ * @param array $options Array in format:
+ *
+ * metadata_names => NULL|ARR metadata names
+ * metadata_values => NULL|ARR metadata values
+ * metadata_ids => NULL|ARR metadata ids
+ * metadata_case_sensitive => BOOL Overall Case sensitive
+ * metadata_owner_guids => NULL|ARR guids for metadata owners
+ * metadata_created_time_lower => INT Lower limit for created time.
+ * metadata_created_time_upper => INT Upper limit for created time.
+ * metadata_calculation => STR Perform the MySQL function on the metadata values returned.
+ * The "metadata_calculation" option causes this function to
+ * return the result of performing a mathematical calculation on
+ * all metadata that match the query instead of returning
+ * ElggMetadata objects.
+ *
+ * @return ElggMetadata[]|mixed
+ * @since 1.8.0
*/
-function delete_metadata($id) {
- global $CONFIG;
-
- $id = (int)$id;
- $metadata = get_metadata($id);
-
- if ($metadata) {
- // Tidy up if memcache is enabled.
- static $metabyname_memcache;
- if ((!$metabyname_memcache) && (is_memcache_available())) {
- $metabyname_memcache = new ElggMemcache('metabyname_memcache');
- }
-
- if ($metabyname_memcache) {
- $metabyname_memcache->delete("{$metadata->entity_guid}:{$metadata->name_id}");
- }
+function elgg_get_metadata(array $options = array()) {
- if (($metadata->canEdit()) && (elgg_trigger_event('delete', 'metadata', $metadata))) {
- return delete_data("DELETE from {$CONFIG->dbprefix}metadata where id=$id");
- }
+ // @todo remove support for count shortcut - see #4393
+ // support shortcut of 'count' => true for 'metadata_calculation' => 'count'
+ if (isset($options['count']) && $options['count']) {
+ $options['metadata_calculation'] = 'count';
+ unset($options['count']);
}
- return false;
+ $options['metastring_type'] = 'metadata';
+ return elgg_get_metastring_based_objects($options);
}
/**
- * Get metadata objects by name.
+ * Deletes metadata based on $options.
*
- * @param int $entity_guid Entity GUID
- * @param string $meta_name Metadata name
+ * @warning Unlike elgg_get_metadata() this will not accept an empty options array!
+ * This requires at least one constraint: metadata_owner_guid(s),
+ * metadata_name(s), metadata_value(s), or guid(s) must be set.
*
- * @return mixed Either an ElggMetadata object, an array of ElggMetadata or false.
+ * @param array $options An options array. {@see elgg_get_metadata()}
+ * @return bool|null true on success, false on failure, null if no metadata to delete.
+ * @since 1.8.0
*/
-function get_metadata_byname($entity_guid, $meta_name) {
- global $CONFIG;
-
- $meta_name = get_metastring_id($meta_name);
-
- if (empty($meta_name)) {
- return false;
- }
-
- $entity_guid = (int)$entity_guid;
- $access = get_access_sql_suffix("e");
- $md_access = get_access_sql_suffix("m");
-
- // If memcache is available then cache this (cache only by name for now
- // since this is the most common query)
- $meta = null;
- static $metabyname_memcache;
- if ((!$metabyname_memcache) && (is_memcache_available())) {
- $metabyname_memcache = new ElggMemcache('metabyname_memcache');
- }
- if ($metabyname_memcache) {
- $meta = $metabyname_memcache->load("{$entity_guid}:{$meta_name}");
- }
- if ($meta) {
- return $meta;
- }
-
- $query = "SELECT m.*, n.string as name, v.string as value"
- . " from {$CONFIG->dbprefix}metadata m"
- . " JOIN {$CONFIG->dbprefix}entities e ON e.guid = m.entity_guid"
- . " JOIN {$CONFIG->dbprefix}metastrings v on m.value_id = v.id"
- . " JOIN {$CONFIG->dbprefix}metastrings n on m.name_id = n.id"
- . " where m.entity_guid=$entity_guid and m.name_id='$meta_name'"
- . " and $access and $md_access ORDER BY m.id ASC" ;
-
- $result = get_data($query, "row_to_elggmetadata");
-
- if (!$result) {
+function elgg_delete_metadata(array $options) {
+ if (!elgg_is_valid_options_for_batch_operation($options, 'metadata')) {
return false;
}
+ $options['metastring_type'] = 'metadata';
+ $result = elgg_batch_metastring_based_objects($options, 'elgg_batch_delete_callback', false);
- // Cache if memcache available
- if ($metabyname_memcache) {
- if (count($result) == 1) {
- $r = $result[0];
- } else {
- $r = $result;
- }
- // This is a bit of a hack - we shorten the expiry on object
- // metadata so that it'll be gone in an hour. This means that
- // deletions and more importantly updates will filter through eventually.
- $metabyname_memcache->setDefaultExpiry(3600);
- $metabyname_memcache->save("{$entity_guid}:{$meta_name}", $r);
- }
- if (count($result) == 1) {
- return $result[0];
- }
+ // This moved last in case an object's constructor sets metadata. Currently the batch
+ // delete process has to create the entity to delete its metadata. See #5214
+ elgg_get_metadata_cache()->invalidateByOptions('delete', $options);
return $result;
}
/**
- * Return all the metadata for a given GUID.
+ * Disables metadata based on $options.
*
- * @param int $entity_guid Entity GUID
+ * @warning Unlike elgg_get_metadata() this will not accept an empty options array!
*
- * @return mixed
+ * @param array $options An options array. {@See elgg_get_metadata()}
+ * @return bool|null true on success, false on failure, null if no metadata disabled.
+ * @since 1.8.0
*/
-function get_metadata_for_entity($entity_guid) {
- global $CONFIG;
-
- $entity_guid = (int)$entity_guid;
- $access = get_access_sql_suffix("e");
- $md_access = get_access_sql_suffix("m");
+function elgg_disable_metadata(array $options) {
+ if (!elgg_is_valid_options_for_batch_operation($options, 'metadata')) {
+ return false;
+ }
- $query = "SELECT m.*, n.string as name, v.string as value
- from {$CONFIG->dbprefix}metadata m
- JOIN {$CONFIG->dbprefix}entities e ON e.guid = m.entity_guid
- JOIN {$CONFIG->dbprefix}metastrings v on m.value_id = v.id
- JOIN {$CONFIG->dbprefix}metastrings n on m.name_id = n.id
- where m.entity_guid=$entity_guid and $access and $md_access";
+ elgg_get_metadata_cache()->invalidateByOptions('disable', $options);
+
+ // if we can see hidden (disabled) we need to use the offset
+ // otherwise we risk an infinite loop if there are more than 50
+ $inc_offset = access_get_show_hidden_status();
- return get_data($query, "row_to_elggmetadata");
+ $options['metastring_type'] = 'metadata';
+ return elgg_batch_metastring_based_objects($options, 'elgg_batch_disable_callback', $inc_offset);
}
/**
- * Get the metadata where the entities they are referring to match a given criteria.
+ * Enables metadata based on $options.
*
- * @param mixed $meta_name Metadata name
- * @param mixed $meta_value Metadata value
- * @param string $entity_type The type of entity to look for, eg 'site' or 'object'
- * @param string $entity_subtype The subtype of the entity.
- * @param int $limit Limit
- * @param int $offset Offset
- * @param string $order_by Optional ordering.
- * @param int $site_guid Site GUID. 0 for current, -1 for any
+ * @warning Unlike elgg_get_metadata() this will not accept an empty options array!
*
- * @return mixed
+ * @warning In order to enable metadata, you must first use
+ * {@link access_show_hidden_entities()}.
+ *
+ * @param array $options An options array. {@See elgg_get_metadata()}
+ * @return bool|null true on success, false on failure, null if no metadata enabled.
+ * @since 1.8.0
*/
-function find_metadata($meta_name = "", $meta_value = "", $entity_type = "", $entity_subtype = "",
- $limit = 10, $offset = 0, $order_by = "", $site_guid = 0) {
- global $CONFIG;
-
- $meta_n = get_metastring_id($meta_name);
- $meta_v = get_metastring_id($meta_value);
-
- $entity_type = sanitise_string($entity_type);
- $entity_subtype = get_subtype_id($entity_type, $entity_subtype);
- $limit = (int)$limit;
- $offset = (int)$offset;
- if ($order_by == "") {
- $order_by = "e.time_created desc";
- }
-
- $order_by = sanitise_string($order_by);
- $site_guid = (int) $site_guid;
- if ($site_guid == 0) {
- $site_guid = $CONFIG->site_guid;
- }
-
- $where = array();
-
- if ($entity_type != "") {
- $where[] = "e.type='$entity_type'";
- }
-
- if ($entity_subtype) {
- $where[] = "e.subtype=$entity_subtype";
- }
-
- if ($meta_name != "") {
- if (!$meta_v) {
- // The value is set, but we didn't get a value... so something went wrong.
- return false;
- }
- $where[] = "m.name_id='$meta_n'";
- }
- if ($meta_value != "") {
- // The value is set, but we didn't get a value... so something went wrong.
- if (!$meta_v) {
- return false;
- }
- $where[] = "m.value_id='$meta_v'";
- }
- if ($site_guid > 0) {
- $where[] = "e.site_guid = {$site_guid}";
+function elgg_enable_metadata(array $options) {
+ if (!$options || !is_array($options)) {
+ return false;
}
- $query = "SELECT m.*, n.string as name, v.string as value from {$CONFIG->dbprefix}entities e
- JOIN {$CONFIG->dbprefix}metadata m on e.guid = m.entity_guid
- JOIN {$CONFIG->dbprefix}metastrings v on m.value_id = v.id
- JOIN {$CONFIG->dbprefix}metastrings n on m.name_id = n.id where";
-
- foreach ($where as $w) {
- $query .= " $w and ";
- }
- $query .= get_access_sql_suffix("e"); // Add access controls
- $query .= ' and ' . get_access_sql_suffix("m"); // Add access controls
- $query .= " order by $order_by limit $offset, $limit"; // Add order and limit
+ elgg_get_metadata_cache()->invalidateByOptions('enable', $options);
- return get_data($query, "row_to_elggmetadata");
+ $options['metastring_type'] = 'metadata';
+ return elgg_batch_metastring_based_objects($options, 'elgg_batch_enable_callback');
}
/**
+ * ElggEntities interfaces
+ */
+
+/**
* Returns entities based upon metadata. Also accepts all
* options available to elgg_get_entities(). Supports
* the singular option shortcut.
*
- * NB: Using metadata_names and metadata_values results in a
+ * @note Using metadata_names and metadata_values results in a
* "names IN (...) AND values IN (...)" clause. This is subtly
* differently than default multiple metadata_name_value_pairs, which use
* "(name = value) AND (name = value)" clauses.
*
* When in doubt, use name_value_pairs.
*
+ * To ask for entities that do not have a metadata value, use a custom
+ * where clause like this:
+ *
+ * $options['wheres'][] = "NOT EXISTS (
+ * SELECT 1 FROM {$dbprefix}metadata md
+ * WHERE md.entity_guid = e.guid
+ * AND md.name_id = $name_metastring_id
+ * AND md.value_id = $value_metastring_id)";
+ *
+ * Note the metadata name and value has been denormalized in the above example.
+ *
* @see elgg_get_entities
- * @see elgg_get_entities_from_annotations
*
* @param array $options Array in format:
*
@@ -521,9 +406,11 @@ function find_metadata($meta_name = "", $meta_value = "", $entity_type = "", $en
* 'operand' => '=',
* 'case_sensitive' => TRUE
* )
- * Currently if multiple values are sent via
+ * Currently if multiple values are sent via
* an array (value => array('value1', 'value2')
* the pair's operand will be forced to "IN".
+ * If passing "IN" as the operand and a string as the value,
+ * the value must be a properly quoted and escaped string.
*
* metadata_name_value_pairs_operator => NULL|STR The operator to use for combining
* (name = value) OPERATOR (name = value); default AND
@@ -539,20 +426,20 @@ function find_metadata($meta_name = "", $meta_value = "", $entity_type = "", $en
*
* metadata_owner_guids => NULL|ARR guids for metadata owners
*
- * @return array
+ * @return ElggEntity[]|mixed If count, int. If not count, array. false on errors.
* @since 1.7.0
*/
function elgg_get_entities_from_metadata(array $options = array()) {
$defaults = array(
- 'metadata_names' => ELGG_ENTITIES_ANY_VALUE,
- 'metadata_values' => ELGG_ENTITIES_ANY_VALUE,
- 'metadata_name_value_pairs' => ELGG_ENTITIES_ANY_VALUE,
+ 'metadata_names' => ELGG_ENTITIES_ANY_VALUE,
+ 'metadata_values' => ELGG_ENTITIES_ANY_VALUE,
+ 'metadata_name_value_pairs' => ELGG_ENTITIES_ANY_VALUE,
- 'metadata_name_value_pairs_operator'=> 'AND',
- 'metadata_case_sensitive' => TRUE,
- 'order_by_metadata' => array(),
+ 'metadata_name_value_pairs_operator' => 'AND',
+ 'metadata_case_sensitive' => TRUE,
+ 'order_by_metadata' => array(),
- 'metadata_owner_guids' => ELGG_ENTITIES_ANY_VALUE,
+ 'metadata_owner_guids' => ELGG_ENTITIES_ANY_VALUE,
);
$options = array_merge($defaults, $options);
@@ -570,66 +457,6 @@ function elgg_get_entities_from_metadata(array $options = array()) {
}
/**
- * Returns options to pass to elgg_get_entities() for metastrings operations.
- *
- * @param string $type Metastring type: annotations or metadata
- * @param array $options Options
- *
- * @return array
- * @since 1.7.0
- */
-function elgg_entities_get_metastrings_options($type, $options) {
- $valid_types = array('metadata', 'annotation');
- if (!in_array($type, $valid_types)) {
- return FALSE;
- }
-
- // the options for annotations are singular (annotation_name) but the table
- // is plural (elgg_annotations) so rewrite for the table name.
- $n_table = ($type == 'annotation') ? 'annotations' : $type;
-
- $singulars = array("{$type}_name", "{$type}_value",
- "{$type}_name_value_pair", "{$type}_owner_guid");
- $options = elgg_normalise_plural_options_array($options, $singulars);
-
- $clauses = elgg_get_entity_metadata_where_sql('e', $n_table, $options["{$type}_names"],
- $options["{$type}_values"], $options["{$type}_name_value_pairs"],
- $options["{$type}_name_value_pairs_operator"], $options["{$type}_case_sensitive"],
- $options["order_by_{$type}"], $options["{$type}_owner_guids"]);
-
- if ($clauses) {
- // merge wheres to pass to get_entities()
- if (isset($options['wheres']) && !is_array($options['wheres'])) {
- $options['wheres'] = array($options['wheres']);
- } elseif (!isset($options['wheres'])) {
- $options['wheres'] = array();
- }
-
- $options['wheres'] = array_merge($options['wheres'], $clauses['wheres']);
-
- // merge joins to pass to get_entities()
- if (isset($options['joins']) && !is_array($options['joins'])) {
- $options['joins'] = array($options['joins']);
- } elseif (!isset($options['joins'])) {
- $options['joins'] = array();
- }
-
- $options['joins'] = array_merge($options['joins'], $clauses['joins']);
-
- if ($clauses['orders']) {
- $order_by_metadata = implode(", ", $clauses['orders']);
- if (isset($options['order_by']) && $options['order_by']) {
- $options['order_by'] = "$order_by_metadata, {$options['order_by']}";
- } else {
- $options['order_by'] = "$order_by_metadata, e.time_created DESC";
- }
- }
- }
-
- return $options;
-}
-
-/**
* Returns metadata name and value SQL where for entities.
* NB: $names and $values are not paired. Use $pairs for this.
* Pairs default to '=' operand.
@@ -637,19 +464,20 @@ function elgg_entities_get_metastrings_options($type, $options) {
* This function is reused for annotations because the tables are
* exactly the same.
*
- * @param string $e_table Entities table name
- * @param string $n_table Normalized metastrings table name (Where entities,
+ * @param string $e_table Entities table name
+ * @param string $n_table Normalized metastrings table name (Where entities,
* values, and names are joined. annotations / metadata)
- * @param arr|null $names Array of names
- * @param arr|null $values Array of values
- * @param arr|null $pairs Array of names / values / operands
- * @param and|or $pair_operator Operator to use to join the where clauses for pairs
- * @param bool $case_sensitive Case sensitive metadata names?
- * @param arr|null $order_by_metadata Array of names / direction
- * @param arr|null $owner_guids Array of owner GUIDs
- *
- * @return FALSE|array False on fail, array('joins', 'wheres')
+ * @param array|null $names Array of names
+ * @param array|null $values Array of values
+ * @param array|null $pairs Array of names / values / operands
+ * @param string $pair_operator ("AND" or "OR") Operator to use to join the where clauses for pairs
+ * @param bool $case_sensitive Case sensitive metadata names?
+ * @param array|null $order_by_metadata Array of names / direction
+ * @param array|null $owner_guids Array of owner GUIDs
+ *
+ * @return false|array False on fail, array('joins', 'wheres')
* @since 1.7.0
+ * @access private
*/
function elgg_get_entity_metadata_where_sql($e_table, $n_table, $names = NULL, $values = NULL,
$pairs = NULL, $pair_operator = 'AND', $case_sensitive = TRUE, $order_by_metadata = NULL,
@@ -794,6 +622,8 @@ $owner_guids = NULL) {
// if the operand is IN don't quote it because quoting should be done already.
if (is_numeric($pair['value'])) {
$value = sanitise_string($pair['value']);
+ } else if (is_bool($pair['value'])) {
+ $value = (int) $pair['value'];
} else if (is_array($pair['value'])) {
$values_array = array();
@@ -834,7 +664,7 @@ $owner_guids = NULL) {
$i++;
}
- if ($where = implode (" $pair_operator ", $pair_wheres)) {
+ if ($where = implode(" $pair_operator ", $pair_wheres)) {
$wheres[] = "($where)";
}
}
@@ -856,7 +686,7 @@ $owner_guids = NULL) {
}
if (is_array($order_by_metadata)) {
- if ((count($order_by_metadata) > 0) && !is_array($order_by_metadata[0])) {
+ if ((count($order_by_metadata) > 0) && !isset($order_by_metadata[0])) {
// singleton, so fix
$order_by_metadata = array($order_by_metadata);
}
@@ -892,132 +722,6 @@ $owner_guids = NULL) {
}
/**
- * Return a list of entities based on the given search criteria.
- *
- * @deprecated 1.7 use elgg_get_entities_from_metadata().
- *
- * @param mixed $meta_name Metadat name
- * @param mixed $meta_value Metadata value
- * @param string $entity_type The type of entity to look for, eg 'site' or 'object'
- * @param string $entity_subtype The subtype of the entity.
- * @param int $owner_guid Owner GUID
- * @param int $limit Limit
- * @param int $offset Offset
- * @param string $order_by Optional ordering.
- * @param int $site_guid Site GUID. 0 for current, -1 for any.
- * @param bool $count Return a count instead of entities
- * @param bool $case_sensitive Metadata names case sensitivity
- *
- * @return int|array A list of entities, or a count if $count is set to true
- */
-function get_entities_from_metadata($meta_name, $meta_value = "", $entity_type = "",
-$entity_subtype = "", $owner_guid = 0, $limit = 10, $offset = 0, $order_by = "",
-$site_guid = 0, $count = FALSE, $case_sensitive = TRUE) {
-
- elgg_deprecated_notice('get_entities_from_metadata() was deprecated by elgg_get_entities_from_metadata()!', 1.7);
-
- $options = array();
-
- $options['metadata_names'] = $meta_name;
-
- if ($meta_value) {
- $options['metadata_values'] = $meta_value;
- }
-
- if ($entity_type) {
- $options['types'] = $entity_type;
- }
-
- if ($entity_subtype) {
- $options['subtypes'] = $entity_subtype;
- }
-
- if ($owner_guid) {
- if (is_array($owner_guid)) {
- $options['owner_guids'] = $owner_guid;
- } else {
- $options['owner_guid'] = $owner_guid;
- }
- }
-
- if ($limit) {
- $options['limit'] = $limit;
- }
-
- if ($offset) {
- $options['offset'] = $offset;
- }
-
- if ($order_by) {
- $options['order_by'];
- }
-
- if ($site_guid) {
- $options['site_guid'];
- }
-
- if ($count) {
- $options['count'] = $count;
- }
-
- // need to be able to pass false
- $options['metadata_case_sensitive'] = $case_sensitive;
-
- return elgg_get_entities_from_metadata($options);
-}
-
-/**
- * Return a list of entities suitable for display based on the given search criteria.
- *
- * @see elgg_view_entity_list
- *
- * @deprecated 1.8 Use elgg_list_entities_from_metadata
- *
- * @param mixed $meta_name Metadata name to search on
- * @param mixed $meta_value The value to match, optionally
- * @param string $entity_type The type of entity to look for, eg 'site' or 'object'
- * @param string $entity_subtype The subtype of the entity
- * @param int $owner_guid Owner GUID
- * @param int $limit Number of entities to display per page
- * @param bool $fullview WDisplay the full view (default: true)
- * @param bool $listtypetoggle Allow users to toggle to the gallery view. Default: true
- * @param bool $pagination Display pagination? Default: true
- * @param bool $case_sensitive Case sensitive metadata names?
- *
- * @return string
- *
- * @return string A list of entities suitable for display
- */
-function list_entities_from_metadata($meta_name, $meta_value = "",
-$entity_type = ELGG_ENTITIES_ANY_VALUE, $entity_subtype = ELGG_ENTITIES_ANY_VALUE,
-$owner_guid = 0, $limit = 10, $fullview = true, $listtypetoggle = true,
-$pagination = true, $case_sensitive = true) {
-
- elgg_deprecated_notice('list_entities_from_metadata() was deprecated by elgg_list_entities_from_metadata()!', 1.8);
-
- $offset = (int) get_input('offset');
- $limit = (int) $limit;
- $options = array(
- 'metadata_name' => $meta_name,
- 'metadata_value' => $meta_value,
- 'types' => $entity_type,
- 'subtypes' => $entity_subtype,
- 'owner_guid' => $owner_guid,
- 'limit' => $limit,
- 'offset' => $offset,
- 'count' => TRUE,
- 'metadata_case_sensitive' => $case_sensitive
- );
- $count = elgg_get_entities_from_metadata($options);
-
- $options['count'] = FALSE;
- $entities = elgg_get_entities_from_metadata($options);
-
- return elgg_view_entity_list($entities, $count, $offset, $limit,
- $fullview, $listtypetoggle, $pagination);
-}
-
-/**
* Returns a list of entities filtered by provided metadata.
*
* @see elgg_get_entities_from_metadata
@@ -1032,153 +736,8 @@ function elgg_list_entities_from_metadata($options) {
}
/**
- * Return entities from metadata
- *
- * @deprecated 1.7. Use elgg_get_entities_from_metadata().
- *
- * @param mixed $meta_array Metadata name
- * @param string $entity_type The type of entity to look for, eg 'site' or 'object'
- * @param string $entity_subtype The subtype of the entity.
- * @param int $owner_guid Owner GUID
- * @param int $limit Limit
- * @param int $offset Offset
- * @param string $order_by Optional ordering.
- * @param int $site_guid Site GUID. 0 for current, -1 for any.
- * @param bool $count Return a count instead of entities
- * @param bool $meta_array_operator Operator for metadata values
- *
- * @return int|array A list of entities, or a count if $count is set to true
+ * Other functions
*/
-function get_entities_from_metadata_multi($meta_array, $entity_type = "", $entity_subtype = "",
-$owner_guid = 0, $limit = 10, $offset = 0, $order_by = "", $site_guid = 0,
-$count = false, $meta_array_operator = 'and') {
-
- elgg_deprecated_notice('get_entities_from_metadata_multi() was deprecated by elgg_get_entities_from_metadata()!', 1.7);
-
- if (!is_array($meta_array) || sizeof($meta_array) == 0) {
- return false;
- }
-
- $options = array();
-
- $options['metadata_name_value_pairs'] = $meta_array;
-
- if ($entity_type) {
- $options['types'] = $entity_type;
- }
-
- if ($entity_subtype) {
- $options['subtypes'] = $entity_subtype;
- }
-
- if ($owner_guid) {
- if (is_array($owner_guid)) {
- $options['owner_guids'] = $owner_guid;
- } else {
- $options['owner_guid'] = $owner_guid;
- }
- }
-
- if ($limit) {
- $options['limit'] = $limit;
- }
-
- if ($offset) {
- $options['offset'] = $offset;
- }
-
- if ($order_by) {
- $options['order_by'];
- }
-
- if ($site_guid) {
- $options['site_guid'];
- }
-
- if ($count) {
- $options['count'] = $count;
- }
-
- $options['metadata_name_value_pairs_operator'] = $meta_array_operator;
-
- return elgg_get_entities_from_metadata($options);
-}
-
-/**
- * Returns a viewable list of entities based on the given search criteria.
- *
- * @see elgg_view_entity_list
- *
- * @param array $meta_array Array of 'name' => 'value' pairs
- * @param string $entity_type The type of entity to look for, eg 'site' or 'object'
- * @param string $entity_subtype The subtype of the entity.
- * @param int $owner_guid Owner GUID
- * @param int $limit Limit
- * @param bool $fullview WDisplay the full view (default: true)
- * @param bool $listtypetoggle Allow users to toggle to the gallery view. Default: true
- * @param bool $pagination Display pagination? Default: true
- *
- * @return string List of ElggEntities suitable for display
- */
-function list_entities_from_metadata_multi($meta_array, $entity_type = "", $entity_subtype = "",
-$owner_guid = 0, $limit = 10, $fullview = true, $listtypetoggle = true, $pagination = true) {
-
- $offset = (int) get_input('offset');
- $limit = (int) $limit;
- $count = get_entities_from_metadata_multi($meta_array, $entity_type, $entity_subtype,
- $owner_guid, $limit, $offset, "", $site_guid, true);
- $entities = get_entities_from_metadata_multi($meta_array, $entity_type, $entity_subtype,
- $owner_guid, $limit, $offset, "", $site_guid, false);
-
- return elgg_view_entity_list($entities, $count, $offset, $limit, $fullview,
- $listtypetoggle, $pagination);
-}
-
-/**
- * Clear all the metadata for a given entity, assuming you have access to that metadata.
- *
- * @param int $entity_guid Entity GUID
- *
- * @return bool
- */
-function clear_metadata($entity_guid) {
- global $CONFIG;
-
- $entity_guid = (int)$entity_guid;
- if ($entity = get_entity($entity_guid)) {
- if ($entity->canEdit()) {
- return delete_data("DELETE from {$CONFIG->dbprefix}metadata where entity_guid={$entity_guid}");
- }
- }
- return false;
-}
-
-/**
- * Clear all annotations belonging to a given owner_guid
- *
- * @param int $owner_guid The owner
- *
- * @return bool
- */
-function clear_metadata_by_owner($owner_guid) {
- global $CONFIG;
-
- $owner_guid = (int)$owner_guid;
-
- $metas = get_data("SELECT id from {$CONFIG->dbprefix}metadata WHERE owner_guid=$owner_guid");
- $deleted = 0;
-
- if (is_array($metas)) {
- foreach ($metas as $id) {
- // Is this the best way?
- if (delete_metadata($id->id)) {
- $deleted++;
- }
- }
- }
-
- return $deleted;
-}
/**
* Handler called by trigger_plugin_hook on the "export" event.
@@ -1189,6 +748,9 @@ function clear_metadata_by_owner($owner_guid) {
* @param mixed $params Params
*
* @return array
+ * @access private
+ *
+ * @throws InvalidParameterException
*/
function export_metadata_plugin_hook($hook, $entity_type, $returnvalue, $params) {
// Sanity check values
@@ -1200,12 +762,13 @@ function export_metadata_plugin_hook($hook, $entity_type, $returnvalue, $params)
throw new InvalidParameterException(elgg_echo('InvalidParameterException:NonArrayReturnValue'));
}
- $guid = (int)$params['guid'];
- $name = $params['name'];
-
- $result = get_metadata_for_entity($guid);
+ $result = elgg_get_metadata(array(
+ 'guid' => (int)$params['guid'],
+ 'limit' => 0,
+ ));
if ($result) {
+ /* @var ElggMetadata[] $result */
foreach ($result as $r) {
$returnvalue[] = $r->export();
}
@@ -1216,7 +779,7 @@ function export_metadata_plugin_hook($hook, $entity_type, $returnvalue, $params)
/**
* Takes in a comma-separated string and returns an array of tags
- * which have been trimmed and set to lower case
+ * which have been trimmed
*
* @param string $string Comma-separated tag string
*
@@ -1225,17 +788,12 @@ function export_metadata_plugin_hook($hook, $entity_type, $returnvalue, $params)
function string_to_tag_array($string) {
if (is_string($string)) {
$ar = explode(",", $string);
- // trim blank spaces
$ar = array_map('trim', $ar);
- // make lower case : [Marcus Povey 20090605 - Using mb wrapper function
- // using UTF8 safe function where available]
- $ar = array_map('elgg_strtolower', $ar);
- // Remove null values
$ar = array_filter($ar, 'is_not_null');
+ $ar = array_map('strip_tags', $ar);
return $ar;
}
return false;
-
}
/**
@@ -1270,7 +828,7 @@ function metadata_array_to_values($array) {
function get_metadata_url($id) {
$id = (int)$id;
- if ($extender = get_metadata($id)) {
+ if ($extender = elgg_get_metadata_from_id($id)) {
return get_extender_url($extender);
}
return false;
@@ -1326,10 +884,10 @@ function is_metadata_independent($type, $subtype) {
function metadata_update($event, $object_type, $object) {
if ($object instanceof ElggEntity) {
if (!is_metadata_independent($object->getType(), $object->getSubtype())) {
- global $CONFIG;
+ $db_prefix = elgg_get_config('dbprefix');
$access_id = (int) $object->access_id;
$guid = (int) $object->getGUID();
- $query = "update {$CONFIG->dbprefix}metadata set access_id = {$access_id} where entity_guid = {$guid}";
+ $query = "update {$db_prefix}metadata set access_id = {$access_id} where entity_guid = {$guid}";
update_data($query);
}
}
@@ -1339,13 +897,57 @@ function metadata_update($event, $object_type, $object) {
/**
* Register a metadata url handler.
*
- * @param string $function_name The function.
* @param string $extender_name The name, default 'all'.
+ * @param string $function The function name.
*
* @return bool
*/
-function register_metadata_url_handler($function_name, $extender_name = "all") {
- return register_extender_url_handler($function_name, 'metadata', $extender_name);
+function elgg_register_metadata_url_handler($extender_name, $function) {
+ return elgg_register_extender_url_handler('metadata', $extender_name, $function);
+}
+
+/**
+ * Get the global metadata cache instance
+ *
+ * @return ElggVolatileMetadataCache
+ *
+ * @access private
+ */
+function elgg_get_metadata_cache() {
+ global $CONFIG;
+ if (empty($CONFIG->local_metadata_cache)) {
+ $CONFIG->local_metadata_cache = new ElggVolatileMetadataCache();
+ }
+ return $CONFIG->local_metadata_cache;
+}
+
+/**
+ * Invalidate the metadata cache based on options passed to various *_metadata functions
+ *
+ * @param string $action Action performed on metadata. "delete", "disable", or "enable"
+ * @param array $options Options passed to elgg_(delete|disable|enable)_metadata
+ * @return void
+ */
+function elgg_invalidate_metadata_cache($action, array $options) {
+ // remove as little as possible, optimizing for common cases
+ $cache = elgg_get_metadata_cache();
+ if (empty($options['guid'])) {
+ // safest to clear everything unless we want to make this even more complex :(
+ $cache->flush();
+ } else {
+ if (empty($options['metadata_name'])) {
+ // safest to clear the whole entity
+ $cache->clear($options['guid']);
+ } else {
+ switch ($action) {
+ case 'delete':
+ $cache->markEmpty($options['guid'], $options['metadata_name']);
+ break;
+ default:
+ $cache->markUnknown($options['guid'], $options['metadata_name']);
+ }
+ }
+ }
}
/** Register the hook */
@@ -1366,9 +968,11 @@ elgg_register_plugin_hook_handler('unit_test', 'system', 'metadata_test');
* @param mixed $params Params
*
* @return array
+ * @access private
*/
function metadata_test($hook, $type, $value, $params) {
global $CONFIG;
- $value[] = $CONFIG->path . 'engine/tests/objects/metadata.php';
+ $value[] = $CONFIG->path . 'engine/tests/api/metadata.php';
+ $value[] = $CONFIG->path . 'engine/tests/api/metadata_cache.php';
return $value;
}
diff --git a/engine/lib/metastrings.php b/engine/lib/metastrings.php
index 8a105b822..57d876c06 100644
--- a/engine/lib/metastrings.php
+++ b/engine/lib/metastrings.php
@@ -8,11 +8,15 @@
*/
/** Cache metastrings for a page */
+global $METASTRINGS_CACHE;
$METASTRINGS_CACHE = array();
/** Keep a record of strings we know don't exist */
+global $METASTRINGS_DEADNAME_CACHE;
$METASTRINGS_DEADNAME_CACHE = array();
+
+
/**
* Return the meta string id for a given tag, or false.
*
@@ -63,7 +67,7 @@ function get_metastring_id($string, $case_sensitive = TRUE) {
}
$row = FALSE;
- $metaStrings = get_data($query, "entity_row_to_elggstar");
+ $metaStrings = get_data($query);
if (is_array($metaStrings)) {
if (sizeof($metaStrings) > 1) {
$ids = array();
@@ -71,7 +75,7 @@ function get_metastring_id($string, $case_sensitive = TRUE) {
$ids[] = $metaString->id;
}
return $ids;
- } else {
+ } else if (isset($metaStrings[0])) {
$row = $metaStrings[0];
}
}
@@ -157,6 +161,7 @@ function add_metastring($string, $case_sensitive = true) {
* Delete any orphaned entries in metastrings. This is run by the garbage collector.
*
* @return bool
+ * @access private
*/
function delete_orphaned_metastrings() {
global $CONFIG;
@@ -197,4 +202,702 @@ function delete_orphaned_metastrings() {
)";
return delete_data($query);
-} \ No newline at end of file
+}
+
+/**
+ * Returns an array of either ElggAnnotation or ElggMetadata objects.
+ * Accepts all elgg_get_entities() options for entity restraints.
+ *
+ * @see elgg_get_entities
+ *
+ * @param array $options Array in format:
+ *
+ * metastring_names => NULL|ARR metastring names
+ *
+ * metastring_values => NULL|ARR metastring values
+ *
+ * metastring_ids => NULL|ARR metastring ids
+ *
+ * metastring_case_sensitive => BOOL Overall Case sensitive
+ *
+ * metastring_owner_guids => NULL|ARR Guids for metadata owners
+ *
+ * metastring_created_time_lower => INT Lower limit for created time.
+ *
+ * metastring_created_time_upper => INT Upper limit for created time.
+ *
+ * metastring_calculation => STR Perform the MySQL function on the metastring values
+ * returned.
+ * This differs from egef_annotation_calculation in that
+ * it returns only the calculation of all annotation values.
+ * You can sum, avg, count, etc. egef_annotation_calculation()
+ * returns ElggEntities ordered by a calculation on their
+ * annotation values.
+ *
+ * metastring_type => STR metadata or annotation(s)
+ *
+ * @return mixed
+ * @access private
+ */
+function elgg_get_metastring_based_objects($options) {
+ $options = elgg_normalize_metastrings_options($options);
+
+ switch ($options['metastring_type']) {
+ case 'metadata':
+ $type = 'metadata';
+ $callback = 'row_to_elggmetadata';
+ break;
+
+ case 'annotations':
+ case 'annotation':
+ $type = 'annotations';
+ $callback = 'row_to_elggannotation';
+ break;
+
+ default:
+ return false;
+ }
+
+ $defaults = array(
+ // entities
+ 'types' => ELGG_ENTITIES_ANY_VALUE,
+ 'subtypes' => ELGG_ENTITIES_ANY_VALUE,
+ 'type_subtype_pairs' => ELGG_ENTITIES_ANY_VALUE,
+
+ 'guids' => ELGG_ENTITIES_ANY_VALUE,
+ 'owner_guids' => ELGG_ENTITIES_ANY_VALUE,
+ 'container_guids' => ELGG_ENTITIES_ANY_VALUE,
+ 'site_guids' => get_config('site_guid'),
+
+ 'modified_time_lower' => ELGG_ENTITIES_ANY_VALUE,
+ 'modified_time_upper' => ELGG_ENTITIES_ANY_VALUE,
+ 'created_time_lower' => ELGG_ENTITIES_ANY_VALUE,
+ 'created_time_upper' => ELGG_ENTITIES_ANY_VALUE,
+
+ // options are normalized to the plural in case we ever add support for them.
+ 'metastring_names' => ELGG_ENTITIES_ANY_VALUE,
+ 'metastring_values' => ELGG_ENTITIES_ANY_VALUE,
+ //'metastring_name_value_pairs' => ELGG_ENTITIES_ANY_VALUE,
+ //'metastring_name_value_pairs_operator' => 'AND',
+
+ 'metastring_case_sensitive' => TRUE,
+ //'order_by_metastring' => array(),
+ 'metastring_calculation' => ELGG_ENTITIES_NO_VALUE,
+
+ 'metastring_created_time_lower' => ELGG_ENTITIES_ANY_VALUE,
+ 'metastring_created_time_upper' => ELGG_ENTITIES_ANY_VALUE,
+
+ 'metastring_owner_guids' => ELGG_ENTITIES_ANY_VALUE,
+
+ 'metastring_ids' => ELGG_ENTITIES_ANY_VALUE,
+
+ // sql
+ 'order_by' => 'n_table.time_created asc',
+ 'limit' => 10,
+ 'offset' => 0,
+ 'count' => FALSE,
+ 'selects' => array(),
+ 'wheres' => array(),
+ 'joins' => array(),
+
+ 'callback' => $callback
+ );
+
+ // @todo Ignore site_guid right now because of #2910
+ $options['site_guid'] = ELGG_ENTITIES_ANY_VALUE;
+
+ $options = array_merge($defaults, $options);
+
+ // can't use helper function with type_subtype_pair because
+ // it's already an array...just need to merge it
+ if (isset($options['type_subtype_pair'])) {
+ if (isset($options['type_subtype_pairs'])) {
+ $options['type_subtype_pairs'] = array_merge($options['type_subtype_pairs'],
+ $options['type_subtype_pair']);
+ } else {
+ $options['type_subtype_pairs'] = $options['type_subtype_pair'];
+ }
+ }
+
+ $singulars = array(
+ 'type', 'subtype', 'type_subtype_pair',
+ 'guid', 'owner_guid', 'container_guid', 'site_guid',
+ 'metastring_name', 'metastring_value',
+ 'metastring_owner_guid', 'metastring_id',
+ 'select', 'where', 'join'
+ );
+
+ $options = elgg_normalise_plural_options_array($options, $singulars);
+
+ if (!$options) {
+ return false;
+ }
+
+ $db_prefix = elgg_get_config('dbprefix');
+
+ // evaluate where clauses
+ if (!is_array($options['wheres'])) {
+ $options['wheres'] = array($options['wheres']);
+ }
+
+ $wheres = $options['wheres'];
+
+ // entities
+ $wheres[] = elgg_get_entity_type_subtype_where_sql('e', $options['types'],
+ $options['subtypes'], $options['type_subtype_pairs']);
+
+ $wheres[] = elgg_get_guid_based_where_sql('e.guid', $options['guids']);
+ $wheres[] = elgg_get_guid_based_where_sql('e.owner_guid', $options['owner_guids']);
+ $wheres[] = elgg_get_guid_based_where_sql('e.container_guid', $options['container_guids']);
+ $wheres[] = elgg_get_guid_based_where_sql('e.site_guid', $options['site_guids']);
+
+ $wheres[] = elgg_get_entity_time_where_sql('e', $options['created_time_upper'],
+ $options['created_time_lower'], $options['modified_time_upper'], $options['modified_time_lower']);
+
+
+ $wheres[] = elgg_get_entity_time_where_sql('n_table', $options['metastring_created_time_upper'],
+ $options['metastring_created_time_lower'], null, null);
+
+ $wheres[] = elgg_get_guid_based_where_sql('n_table.owner_guid',
+ $options['metastring_owner_guids']);
+
+ // see if any functions failed
+ // remove empty strings on successful functions
+ foreach ($wheres as $i => $where) {
+ if ($where === FALSE) {
+ return FALSE;
+ } elseif (empty($where)) {
+ unset($wheres[$i]);
+ }
+ }
+
+ // remove identical where clauses
+ $wheres = array_unique($wheres);
+
+ // evaluate join clauses
+ if (!is_array($options['joins'])) {
+ $options['joins'] = array($options['joins']);
+ }
+
+ $joins = $options['joins'];
+ $joins[] = "JOIN {$db_prefix}entities e ON n_table.entity_guid = e.guid";
+
+ // evaluate selects
+ if (!is_array($options['selects'])) {
+ $options['selects'] = array($options['selects']);
+ }
+
+ $selects = $options['selects'];
+
+ // For performance reasons we don't want the joins required for metadata / annotations
+ // unless we're going through one of their callbacks.
+ // this means we expect the functions passing different callbacks to pass their required joins.
+ // If we're doing a calculation
+ $custom_callback = ($options['callback'] == 'row_to_elggmetadata'
+ || $options['callback'] == 'row_to_elggannotation');
+ $is_calculation = $options['metastring_calculation'] ? true : false;
+
+ if ($custom_callback || $is_calculation) {
+ $joins[] = "JOIN {$db_prefix}metastrings n on n_table.name_id = n.id";
+ $joins[] = "JOIN {$db_prefix}metastrings v on n_table.value_id = v.id";
+
+ $selects[] = 'n.string as name';
+ $selects[] = 'v.string as value';
+ }
+
+ foreach ($joins as $i => $join) {
+ if ($join === FALSE) {
+ return FALSE;
+ } elseif (empty($join)) {
+ unset($joins[$i]);
+ }
+ }
+
+ // metastrings
+ $metastring_clauses = elgg_get_metastring_sql('n_table', $options['metastring_names'],
+ $options['metastring_values'], null, $options['metastring_ids'],
+ $options['metastring_case_sensitive']);
+
+ if ($metastring_clauses) {
+ $wheres = array_merge($wheres, $metastring_clauses['wheres']);
+ $joins = array_merge($joins, $metastring_clauses['joins']);
+ } else {
+ $wheres[] = get_access_sql_suffix('n_table');
+ }
+
+ if ($options['metastring_calculation'] === ELGG_ENTITIES_NO_VALUE && !$options['count']) {
+ $selects = array_unique($selects);
+ // evalutate selects
+ $select_str = '';
+ if ($selects) {
+ foreach ($selects as $select) {
+ $select_str .= ", $select";
+ }
+ }
+
+ $query = "SELECT DISTINCT n_table.*{$select_str} FROM {$db_prefix}$type n_table";
+ } elseif ($options['count']) {
+ // count is over the entities
+ $query = "SELECT count(DISTINCT e.guid) as calculation FROM {$db_prefix}$type n_table";
+ } else {
+ $query = "SELECT {$options['metastring_calculation']}(v.string) as calculation FROM {$db_prefix}$type n_table";
+ }
+
+ // remove identical join clauses
+ $joins = array_unique($joins);
+
+ // add joins
+ foreach ($joins as $j) {
+ $query .= " $j ";
+ }
+
+ // add wheres
+ $query .= ' WHERE ';
+
+ foreach ($wheres as $w) {
+ $query .= " $w AND ";
+ }
+
+ // Add access controls
+ $query .= get_access_sql_suffix('e');
+
+ // reverse order by
+ if (isset($options['reverse_order_by']) && $options['reverse_order_by']) {
+ $options['order_by'] = elgg_sql_reverse_order_by_clause($options['order_by'],
+ $defaults['order_by']);
+ }
+
+ if ($options['metastring_calculation'] === ELGG_ENTITIES_NO_VALUE && !$options['count']) {
+ if (isset($options['group_by'])) {
+ $options['group_by'] = sanitise_string($options['group_by']);
+ $query .= " GROUP BY {$options['group_by']}";
+ }
+
+ if (isset($options['order_by']) && $options['order_by']) {
+ $options['order_by'] = sanitise_string($options['order_by']);
+ $query .= " ORDER BY {$options['order_by']}, n_table.id";
+ }
+
+ if ($options['limit']) {
+ $limit = sanitise_int($options['limit']);
+ $offset = sanitise_int($options['offset'], false);
+ $query .= " LIMIT $offset, $limit";
+ }
+
+ $dt = get_data($query, $options['callback']);
+ return $dt;
+ } else {
+ $result = get_data_row($query);
+ return $result->calculation;
+ }
+}
+
+/**
+ * Returns an array of joins and wheres for use in metastrings.
+ *
+ * @note The $pairs is reserved for name/value pairs if we want to implement those.
+ *
+ * @param string $table The annotation or metadata table name or alias
+ * @param array $names An array of names
+ * @param array $values An array of values
+ * @param array $pairs Name / value pairs. Not currently used.
+ * @param array $ids Metastring IDs
+ * @param bool $case_sensitive Should name and values be case sensitive?
+ *
+ * @return array
+ * @access private
+ */
+function elgg_get_metastring_sql($table, $names = null, $values = null,
+ $pairs = null, $ids = null, $case_sensitive = false) {
+
+ if ((!$names && $names !== 0)
+ && (!$values && $values !== 0)
+ && !$ids
+ && (!$pairs && $pairs !== 0)) {
+
+ return array();
+ }
+
+ $db_prefix = elgg_get_config('dbprefix');
+
+ // binary forces byte-to-byte comparision of strings, making
+ // it case- and diacritical-mark- sensitive.
+ // only supported on values.
+ $binary = ($case_sensitive) ? ' BINARY ' : '';
+
+ $return = array (
+ 'joins' => array (),
+ 'wheres' => array()
+ );
+
+ $wheres = array();
+
+ // get names wheres and joins
+ $names_where = '';
+ if ($names !== NULL) {
+ if (!is_array($names)) {
+ $names = array($names);
+ }
+
+ $sanitised_names = array();
+ foreach ($names as $name) {
+ // normalise to 0.
+ if (!$name) {
+ $name = '0';
+ }
+ $sanitised_names[] = '\'' . sanitise_string($name) . '\'';
+ }
+
+ if ($names_str = implode(',', $sanitised_names)) {
+ $return['joins'][] = "JOIN {$db_prefix}metastrings msn on $table.name_id = msn.id";
+ $names_where = "(msn.string IN ($names_str))";
+ }
+ }
+
+ // get values wheres and joins
+ $values_where = '';
+ if ($values !== NULL) {
+ if (!is_array($values)) {
+ $values = array($values);
+ }
+
+ $sanitised_values = array();
+ foreach ($values as $value) {
+ // normalize to 0
+ if (!$value) {
+ $value = 0;
+ }
+ $sanitised_values[] = '\'' . sanitise_string($value) . '\'';
+ }
+
+ if ($values_str = implode(',', $sanitised_values)) {
+ $return['joins'][] = "JOIN {$db_prefix}metastrings msv on $table.value_id = msv.id";
+ $values_where = "({$binary}msv.string IN ($values_str))";
+ }
+ }
+
+ if ($ids !== NULL) {
+ if (!is_array($ids)) {
+ $ids = array($ids);
+ }
+
+ $ids_str = implode(',', $ids);
+
+ if ($ids_str) {
+ $wheres[] = "n_table.id IN ($ids_str)";
+ }
+ }
+
+ if ($names_where && $values_where) {
+ $wheres[] = "($names_where AND $values_where)";
+ } elseif ($names_where) {
+ $wheres[] = $names_where;
+ } elseif ($values_where) {
+ $wheres[] = $values_where;
+ }
+
+ $wheres[] = get_access_sql_suffix($table);
+
+ if ($where = implode(' AND ', $wheres)) {
+ $return['wheres'][] = "($where)";
+ }
+
+ return $return;
+}
+
+/**
+ * Normalizes metadata / annotation option names to their corresponding metastrings name.
+ *
+ * @param array $options An options array
+ * @since 1.8.0
+ * @return array
+ * @access private
+ */
+function elgg_normalize_metastrings_options(array $options = array()) {
+
+ // support either metastrings_type or metastring_type
+ // because I've made this mistake many times and hunting it down is a pain...
+ $type = elgg_extract('metastring_type', $options, null);
+ $type = elgg_extract('metastrings_type', $options, $type);
+
+ $options['metastring_type'] = $type;
+
+ // support annotation_ and annotations_ because they're way too easy to confuse
+ $prefixes = array('metadata_', 'annotation_', 'annotations_');
+
+ // map the metadata_* options to metastring_* options
+ $map = array(
+ 'names' => 'metastring_names',
+ 'values' => 'metastring_values',
+ 'case_sensitive' => 'metastring_case_sensitive',
+ 'owner_guids' => 'metastring_owner_guids',
+ 'created_time_lower' => 'metastring_created_time_lower',
+ 'created_time_upper' => 'metastring_created_time_upper',
+ 'calculation' => 'metastring_calculation',
+ 'ids' => 'metastring_ids'
+ );
+
+ foreach ($prefixes as $prefix) {
+ $singulars = array("{$prefix}name", "{$prefix}value", "{$prefix}owner_guid", "{$prefix}id");
+ $options = elgg_normalise_plural_options_array($options, $singulars);
+
+ foreach ($map as $specific => $normalized) {
+ $key = $prefix . $specific;
+ if (isset($options[$key])) {
+ $options[$normalized] = $options[$key];
+ }
+ }
+ }
+
+ return $options;
+}
+
+/**
+ * Enables or disables a metastrings-based object by its id.
+ *
+ * @warning To enable disabled metastrings you must first use
+ * {@link access_show_hidden_entities()}.
+ *
+ * @param int $id The object's ID
+ * @param string $enabled Value to set to: yes or no
+ * @param string $type The type of table to use: metadata or annotations
+ *
+ * @return bool
+ * @throws InvalidParameterException
+ * @since 1.8.0
+ * @access private
+ */
+function elgg_set_metastring_based_object_enabled_by_id($id, $enabled, $type) {
+ $id = (int)$id;
+ $db_prefix = elgg_get_config('dbprefix');
+
+ $object = elgg_get_metastring_based_object_from_id($id, $type);
+
+ switch($type) {
+ case 'annotation':
+ case 'annotations':
+ $table = "{$db_prefix}annotations";
+ break;
+
+ case 'metadata':
+ $table = "{$db_prefix}metadata";
+ break;
+ }
+
+ if ($enabled === 'yes' || $enabled === 1 || $enabled === true) {
+ $enabled = 'yes';
+ $event = 'enable';
+ } elseif ($enabled === 'no' || $enabled === 0 || $enabled === false) {
+ $enabled = 'no';
+ $event = 'disable';
+ } else {
+ return false;
+ }
+
+ $return = false;
+
+ if ($object) {
+ // don't set it if it's already set.
+ if ($object->enabled == $enabled) {
+ $return = false;
+ } elseif ($object->canEdit() && (elgg_trigger_event($event, $type, $object))) {
+ $return = update_data("UPDATE $table SET enabled = '$enabled' where id = $id");
+ }
+ }
+
+ return $return;
+}
+
+/**
+ * Runs metastrings-based objects found using $options through $callback
+ *
+ * @warning Unlike elgg_get_metastring_based_objects() this will not accept an
+ * empty options array!
+ *
+ * @warning This returns null on no ops.
+ *
+ * @param array $options An options array. {@See elgg_get_metastring_based_objects()}
+ * @param string $callback The callback to pass each result through
+ * @param bool $inc_offset Increment the offset? Pass false for callbacks that delete / disable
+ *
+ * @return bool|null true on success, false on failure, null if no objects are found.
+ * @since 1.8.0
+ * @access private
+ */
+function elgg_batch_metastring_based_objects(array $options, $callback, $inc_offset = true) {
+ if (!$options || !is_array($options)) {
+ return false;
+ }
+
+ $batch = new ElggBatch('elgg_get_metastring_based_objects', $options, $callback, 50, $inc_offset);
+ return $batch->callbackResult;
+}
+
+/**
+ * Returns a singular metastring-based object by its ID.
+ *
+ * @param int $id The metastring-based object's ID
+ * @param string $type The type: annotation or metadata
+ * @return ElggMetadata|ElggAnnotation
+ *
+ * @since 1.8.0
+ * @access private
+ */
+function elgg_get_metastring_based_object_from_id($id, $type) {
+ $id = (int)$id;
+ if (!$id) {
+ return false;
+ }
+
+ $options = array(
+ 'metastring_type' => $type,
+ 'metastring_id' => $id
+ );
+
+ $obj = elgg_get_metastring_based_objects($options);
+
+ if ($obj && count($obj) == 1) {
+ return $obj[0];
+ }
+
+ return false;
+}
+
+/**
+ * Deletes a metastring-based object by its id
+ *
+ * @param int $id The object's ID
+ * @param string $type The object's metastring type: annotation or metadata
+ * @return bool
+ *
+ * @since 1.8.0
+ * @access private
+ */
+function elgg_delete_metastring_based_object_by_id($id, $type) {
+ $id = (int)$id;
+ $db_prefix = elgg_get_config('dbprefix');
+
+ switch ($type) {
+ case 'annotation':
+ case 'annotations':
+ $type = 'annotations';
+ break;
+
+ case 'metadata':
+ $type = 'metadata';
+ break;
+
+ default:
+ return false;
+ }
+
+ $obj = elgg_get_metastring_based_object_from_id($id, $type);
+ $table = $db_prefix . $type;
+
+ if ($obj) {
+ // Tidy up if memcache is enabled.
+ // @todo only metadata is supported
+ if ($type == 'metadata') {
+ static $metabyname_memcache;
+ if ((!$metabyname_memcache) && (is_memcache_available())) {
+ $metabyname_memcache = new ElggMemcache('metabyname_memcache');
+ }
+
+ if ($metabyname_memcache) {
+ // @todo why name_id? is that even populated?
+ $metabyname_memcache->delete("{$obj->entity_guid}:{$obj->name_id}");
+ }
+ }
+
+ if (($obj->canEdit()) && (elgg_trigger_event('delete', $type, $obj))) {
+ return (bool)delete_data("DELETE from $table where id=$id");
+ }
+ }
+
+ return false;
+}
+
+/**
+ * Entities interface helpers
+ */
+
+/**
+ * Returns options to pass to elgg_get_entities() for metastrings operations.
+ *
+ * @param string $type Metastring type: annotations or metadata
+ * @param array $options Options
+ *
+ * @return array
+ * @since 1.7.0
+ * @access private
+ */
+function elgg_entities_get_metastrings_options($type, $options) {
+ $valid_types = array('metadata', 'annotation');
+ if (!in_array($type, $valid_types)) {
+ return FALSE;
+ }
+
+ // the options for annotations are singular (annotation_name) but the table
+ // is plural (elgg_annotations) so rewrite for the table name.
+ $n_table = ($type == 'annotation') ? 'annotations' : $type;
+
+ $singulars = array("{$type}_name", "{$type}_value",
+ "{$type}_name_value_pair", "{$type}_owner_guid");
+ $options = elgg_normalise_plural_options_array($options, $singulars);
+
+ $clauses = elgg_get_entity_metadata_where_sql('e', $n_table, $options["{$type}_names"],
+ $options["{$type}_values"], $options["{$type}_name_value_pairs"],
+ $options["{$type}_name_value_pairs_operator"], $options["{$type}_case_sensitive"],
+ $options["order_by_{$type}"], $options["{$type}_owner_guids"]);
+
+ if ($clauses) {
+ // merge wheres to pass to get_entities()
+ if (isset($options['wheres']) && !is_array($options['wheres'])) {
+ $options['wheres'] = array($options['wheres']);
+ } elseif (!isset($options['wheres'])) {
+ $options['wheres'] = array();
+ }
+
+ $options['wheres'] = array_merge($options['wheres'], $clauses['wheres']);
+
+ // merge joins to pass to get_entities()
+ if (isset($options['joins']) && !is_array($options['joins'])) {
+ $options['joins'] = array($options['joins']);
+ } elseif (!isset($options['joins'])) {
+ $options['joins'] = array();
+ }
+
+ $options['joins'] = array_merge($options['joins'], $clauses['joins']);
+
+ if ($clauses['orders']) {
+ $order_by_metadata = implode(", ", $clauses['orders']);
+ if (isset($options['order_by']) && $options['order_by']) {
+ $options['order_by'] = "$order_by_metadata, {$options['order_by']}";
+ } else {
+ $options['order_by'] = "$order_by_metadata, e.time_created DESC";
+ }
+ }
+ }
+
+ return $options;
+}
+
+// unit testing
+elgg_register_plugin_hook_handler('unit_test', 'system', 'metastrings_test');
+
+/**
+ * Metadata unit test
+ *
+ * @param string $hook unit_test
+ * @param string $type system
+ * @param mixed $value Array of other tests
+ * @param mixed $params Params
+ *
+ * @return array
+ * @access private
+ */
+function metastrings_test($hook, $type, $value, $params) {
+ global $CONFIG;
+ $value[] = $CONFIG->path . 'engine/tests/api/metastrings.php';
+ return $value;
+}
diff --git a/engine/lib/navigation.php b/engine/lib/navigation.php
index 75c5958f4..ab9cc05e8 100644
--- a/engine/lib/navigation.php
+++ b/engine/lib/navigation.php
@@ -3,504 +3,525 @@
* Elgg navigation library
* Functions for managing menus and other navigational elements
*
+ * Breadcrumbs
+ * Elgg uses a breadcrumb stack. The page handlers (controllers in MVC terms)
+ * push the breadcrumb links onto the stack. @see elgg_push_breadcrumb()
+ *
+ *
+ * Pagination
+ * Automatically handled by Elgg when using elgg_list_entities* functions.
+ * @see elgg_list_entities()
+ *
+ *
+ * Tabs
+ * @see navigation/tabs view
+ *
+ *
+ * Menus
+ * Elgg uses a single interface to manage its menus. Menu items are added with
+ * {@link elgg_register_menu_item()}. This is generally used for menus that
+ * appear only once per page. For dynamic menus (such as the hover
+ * menu for user's avatar), a plugin hook is emitted when the menu is being
+ * created. The hook is 'register', 'menu:<menu_name>'. For more details on this,
+ * @see elgg_view_menu().
+ *
+ * Menus supported by the Elgg core
+ * Standard menus:
+ * site Site navigation shown on every page.
+ * page Page menu usually shown in a sidebar. Uses Elgg's context.
+ * topbar Topbar menu shown on every page. The default has two sections.
+ * footer Like the topbar but in the footer.
+ * extras Links about content on the page. The RSS link is added to this.
+ *
+ * Dynamic menus (also called just-in-time menus):
+ * user_hover Avatar hover menu. The user entity is passed as a parameter.
+ * entity The set of links shown in the summary of an entity.
+ * river Links shown on river items.
+ * owner_block Links shown for a user or group in their owner block.
+ * filter The tab filter for content (all, mine, friends)
+ * title The buttons shown next to a content title.
+ * long-text The links shown above the input/longtext view.
+ *
* @package Elgg.Core
* @subpackage Navigation
*/
/**
- * Deprecated by elgg_add_submenu_item()
- *
- * @see elgg_add_submenu_item()
- * @deprecated 1.8
- *
- * @param string $label The label
- * @param string $link The link
- * @param string $group The group to store item in
- * @param boolean $onclick Add a confirmation when clicked?
- * @param boolean $selected Is menu item selected
+ * Register an item for an Elgg menu
+ *
+ * @warning Generally you should not use this in response to the plugin hook:
+ * 'register', 'menu:<menu_name>'. If you do, you may end up with many incorrect
+ * links on a dynamic menu.
+ *
+ * @warning A menu item's name must be unique per menu. If more than one menu
+ * item with the same name are registered, the last menu item takes priority.
+ *
+ * @see elgg_view_menu() for the plugin hooks available for modifying a menu as
+ * it is being rendered.
+ *
+ * @param string $menu_name The name of the menu: site, page, userhover,
+ * userprofile, groupprofile, or any custom menu
+ * @param mixed $menu_item A ElggMenuItem object or an array of options in format:
+ * name => STR Menu item identifier (required)
+ * text => STR Menu item display text (required)
+ * href => STR Menu item URL (required) (false for non-links.
+ * @warning If you disable the href the <a> tag will
+ * not appear, so the link_class will not apply. If you
+ * put <a> tags in manually through the 'text' option
+ * the default CSS selector .elgg-menu-$menu > li > a
+ * may affect formatting. Wrap in a <span> if it does.)
+ * contexts => ARR Page context strings
+ * section => STR Menu section identifier
+ * title => STR Menu item tooltip
+ * selected => BOOL Is this menu item currently selected
+ * parent_name => STR Identifier of the parent menu item
+ * link_class => STR A class or classes for the <a> tag
+ * item_class => STR A class or classes for the <li> tag
+ *
+ * Additional options that the view output/url takes can be
+ * passed in the array. If the 'confirm' key is passed, the
+ * menu link uses the 'output/confirmlink' view. Custom
+ * options can be added by using the 'data' key with the
+ * value being an associative array.
*
* @return bool
+ * @since 1.8.0
*/
-function add_submenu_item($label, $link, $group = 'default', $onclick = false, $selected = NULL) {
- elgg_deprecated_notice('add_submenu_item was deprecated by elgg_add_submenu_item', 1.8);
-
- $item = array(
- 'text' => $label,
- 'href' => $link,
- 'selected' => $selected
- );
+function elgg_register_menu_item($menu_name, $menu_item) {
+ global $CONFIG;
- if (!$group) {
- $group = 'default';
+ if (!isset($CONFIG->menus[$menu_name])) {
+ $CONFIG->menus[$menu_name] = array();
}
- if ($onclick) {
- $js = "onclick=\"javascript:return confirm('" . elgg_echo('deleteconfirm') . "')\"";
- $item['vars'] = array('js' => $js);
- }
- // submenu items were added in the page setup hook usually by checking
- // the context. We'll pass in the current context here, which will
- // emulate that effect.
- // if context == 'main' (default) it probably means they always wanted
- // the menu item to show up everywhere.
- $context = elgg_get_context();
-
- if ($context == 'main') {
- $context = 'all';
+ if (is_array($menu_item)) {
+ $item = ElggMenuItem::factory($menu_item);
+ if (!$item) {
+ elgg_log("Unable to add menu item '{$menu_item['name']}' to '$menu_name' menu", 'WARNING');
+ elgg_log(print_r($menu_item, true), 'DEBUG');
+ return false;
+ }
+ } else {
+ $item = $menu_item;
}
- return elgg_add_submenu_item($item, $context, $group);
+
+ $CONFIG->menus[$menu_name][] = $item;
+ return true;
}
/**
- * Add an entry to the submenu.
- *
- * @param array $item The item as:
- * <code>
- * array(
- * 'title' => 'Text to display',
- * 'url' => 'URL of the link',
- * 'id' => 'entry_unique_id' //used by children items to identify parents
- * 'parent_id' => 'id_of_parent',
- * 'selected' => BOOL // Is this item selected? (If NULL or unset will attempt to guess)
- * 'vars' => array() // Array of vars to pass to the navigation/submenu_item view
- * )
- * </code>
+ * Remove an item from a menu
*
- * @param string $context Context in which to display this menu item. 'all'
- * will make it show up all the time. Use sparingly.
- * @param string $group Group for the item. Each submenu group has its own <ul>
+ * @param string $menu_name The name of the menu
+ * @param string $item_name The unique identifier for this menu item
*
- * @return BOOL
- * @since 1.8
- * @see elgg_prepare_submenu
+ * @return bool
+ * @since 1.8.0
*/
-function elgg_add_submenu_item(array $item, $context = 'all', $group = 'default') {
+function elgg_unregister_menu_item($menu_name, $item_name) {
global $CONFIG;
- if (!isset($CONFIG->submenu_items)) {
- $CONFIG->submenu_items = array();
- }
-
- if (!isset($CONFIG->submenu_items[$context])) {
- $CONFIG->submenu_items[$context] = array();
- }
-
- if (!isset($CONFIG->submenu_items[$context][$group])) {
- $CONFIG->submenu_items[$context][$group] = array();
+ if (!isset($CONFIG->menus[$menu_name])) {
+ return false;
}
- if (!isset($item['text'])) {
- return FALSE;
- }
-
- if (!empty($item['href'])) {
- $item['href'] = elgg_normalize_url($item['href']);
- }
-
- // we use persistent object properties in the submenu
- // setup function, so normalize the array to an object.
- // we pass it in as an array because this would be the only
- // place in elgg that we ask for an object like this.
- // consistency ftw.
- $item_obj = new StdClass();
-
- foreach ($item as $k => $v) {
- switch ($k) {
- case 'parent_id':
- case 'id':
- // make sure '' and false make sense
- $v = (empty($v)) ? NULL : $v;
-
- default:
- $item_obj->$k = $v;
- break;
+ foreach ($CONFIG->menus[$menu_name] as $index => $menu_object) {
+ /* @var ElggMenuItem $menu_object */
+ if ($menu_object->getName() == $item_name) {
+ unset($CONFIG->menus[$menu_name][$index]);
+ return true;
}
}
- $CONFIG->submenu_items[$context][$group][] = $item_obj;
-
- return TRUE;
+ return false;
}
/**
- * Properly nest all submenu entries for contexts $context and 'all'
- *
- * @param string $context Context for menus
- * @param bool $sort Sort the menu items alphabetically
+ * Check if a menu item has been registered
*
- * @since 1.8
- * @see elgg_add_submenu_item
- *
- * @return true
+ * @param string $menu_name The name of the menu
+ * @param string $item_name The unique identifier for this menu item
+ *
+ * @return bool
+ * @since 1.8.0
*/
-function elgg_prepare_submenu($context = 'main', $sort = FALSE) {
+function elgg_is_menu_item_registered($menu_name, $item_name) {
global $CONFIG;
- if (!isset($CONFIG->submenu_items) || !($CONFIG->submenu_items)) {
- return FALSE;
+ if (!isset($CONFIG->menus[$menu_name])) {
+ return false;
}
- $groups = array();
-
- if (isset($CONFIG->submenu_items['all'])) {
- $groups = $CONFIG->submenu_items['all'];
+ foreach ($CONFIG->menus[$menu_name] as $menu_object) {
+ /* @var ElggMenuItem $menu_object */
+ if ($menu_object->getName() == $item_name) {
+ return true;
+ }
}
- if (isset($CONFIG->submenu_items[$context])) {
- $groups = array_merge_recursive($groups, $CONFIG->submenu_items[$context]);
- }
+ return false;
+}
- if (!$groups) {
- return FALSE;
- }
+/**
+ * Convenience function for registering a button to title menu
+ *
+ * The URL must be $handler/$name/$guid where $guid is the guid of the page owner.
+ * The label of the button is "$handler:$name" so that must be defined in a
+ * language file.
+ *
+ * This is used primarily to support adding an add content button
+ *
+ * @param string $handler The handler to use or null to autodetect from context
+ * @param string $name Name of the button
+ * @return void
+ * @since 1.8.0
+ */
+function elgg_register_title_button($handler = null, $name = 'add') {
+ if (elgg_is_logged_in()) {
- foreach ($groups as $group => $items) {
- if ($sort) {
- usort($items, 'elgg_submenu_item_cmp');
+ if (!$handler) {
+ $handler = elgg_get_context();
}
- $parsed_menu = array();
- // determin which children need to go in this item.
- foreach ($items as $i => $item) {
- // can only support children if there's an id
- if (isset($item->id)) {
- foreach ($items as $child_i => $child_item) {
- // don't check ourselves or used children.
- if ($child_i == $i || $child_item->used == TRUE) {
- continue;
- }
-
- if (isset($child_item->parent_id) && $child_item->parent_id == $item->id) {
- if (!isset($item->children)) {
- $item->children = array();
- }
- $item->children[] = $child_item;
- $child_item->parent = $item;
- // don't unset because we still need to check this item for children
- $child_item->used = TRUE;
- }
- }
-
- // if the parent doesn't have a url, make it the first child item.
- if (isset($item->children) && $item->children && !$item->href) {
- $child = $item->children[0];
- while ($child && !isset($child->href)) {
- if (isset($child->children) && isset($child->children[0])) {
- $child = $child->children[0];
- } else {
- $child = NULL;
- }
- }
-
- if ($child && isset($child->href)) {
- $item->href = $child->href;
- } else {
- // @todo There are no URLs anywhere in this tree.
- $item->href = elgg_get_site_url();
- }
- }
- }
-
- // only add top-level elements to the menu.
- // the rest are children.
- if (!isset($item->parent_id)) {
- $parsed_menu[] = $item;
- }
+ $owner = elgg_get_page_owner_entity();
+ if (!$owner) {
+ // no owns the page so this is probably an all site list page
+ $owner = elgg_get_logged_in_user_entity();
+ }
+ if ($owner && $owner->canWriteToContainer()) {
+ $guid = $owner->getGUID();
+ elgg_register_menu_item('title', array(
+ 'name' => $name,
+ 'href' => "$handler/$name/$guid",
+ 'text' => elgg_echo("$handler:$name"),
+ 'link_class' => 'elgg-button elgg-button-action',
+ ));
}
-
- $CONFIG->submenu[$context][$group] = $parsed_menu;
}
-
- return TRUE;
}
/**
- * Helper function used to sort submenu items by their display text.
+ * Adds a breadcrumb to the breadcrumbs stack.
+ *
+ * @param string $title The title to display
+ * @param string $link Optional. The link for the title.
*
- * @param object $a First object
- * @param object $b Second object
+ * @return void
+ * @since 1.8.0
*
- * @return int
- * @since 1.8
- * @see elgg_prepare_submenu
+ * @link http://docs.elgg.org/Tutorials/UI/Breadcrumbs
*/
-function elgg_submenu_item_cmp($a, $b) {
- $a = $a->text;
- $b = $b->text;
+function elgg_push_breadcrumb($title, $link = NULL) {
+ global $CONFIG;
+ if (!isset($CONFIG->breadcrumbs)) {
+ $CONFIG->breadcrumbs = array();
+ }
- return strnatcmp($a, $b);
+ // avoid key collisions.
+ $CONFIG->breadcrumbs[] = array('title' => elgg_get_excerpt($title, 100), 'link' => $link);
}
/**
- * Use elgg_get_submenu().
- *
- * @see elgg_get_submenu()
- * @deprecated 1.8
+ * Removes last breadcrumb entry.
*
- * @return string
+ * @return array popped item.
+ * @since 1.8.0
+ * @link http://docs.elgg.org/Tutorials/UI/Breadcrumbs
*/
-function get_submenu() {
- elgg_deprecated_notice("get_submenu() has been deprecated by elgg_get_submenu()", 1.8);
- return elgg_get_submenu();
+function elgg_pop_breadcrumb() {
+ global $CONFIG;
+
+ if (is_array($CONFIG->breadcrumbs)) {
+ return array_pop($CONFIG->breadcrumbs);
+ }
+
+ return FALSE;
}
/**
- * Return the HTML for a sidemenu.
- *
- * @param string $context The context of the submenu (defaults to main)
- * @param BOOL $sort Sort by display name?
+ * Returns all breadcrumbs as an array of array('title' => 'Readable Title', 'link' => 'URL')
*
- * @return string Formatted HTML.
- * @since 1.8
- * @todo Rename to a view function. See {@trac #2320}.
+ * @return array Breadcrumbs
+ * @since 1.8.0
+ * @link http://docs.elgg.org/Tutorials/UI/Breadcrumbs
*/
-function elgg_get_submenu($context = NULL, $sort = FALSE) {
+function elgg_get_breadcrumbs() {
global $CONFIG;
- if (!$context) {
- $context = elgg_get_context();
+ if (isset($CONFIG->breadcrumbs) && is_array($CONFIG->breadcrumbs)) {
+ return $CONFIG->breadcrumbs;
}
- if (!elgg_prepare_submenu($context, $sort)) {
- return '';
- }
-
- $groups = $CONFIG->submenu[$context];
- $submenu_html = '';
-
- foreach ($groups as $group => $items) {
- // how far down we are in children arrays
- $depth = 0;
- // push and pop parent items
- $temp_items = array();
+ return array();
+}
- while ($item = current($items)) {
- // ignore parents created by a child but parent never defined properly
- if (!isset($item->text) || !($item->text)) {
- next($items);
- continue;
+/**
+ * Set up the site menu
+ *
+ * Handles default, featured, and custom menu items
+ *
+ * @param string $hook
+ * @param string $type
+ * @param array $return Menu array
+ * @param array $params
+ * @return array
+ * @access private
+ */
+function elgg_site_menu_setup($hook, $type, $return, $params) {
+
+ $featured_menu_names = elgg_get_config('site_featured_menu_names');
+ $custom_menu_items = elgg_get_config('site_custom_menu_items');
+ if ($featured_menu_names || $custom_menu_items) {
+ // we have featured or custom menu items
+
+ $registered = $return['default'];
+
+ // set up featured menu items
+ $featured = array();
+ foreach ($featured_menu_names as $name) {
+ foreach ($registered as $index => $item) {
+ if ($item->getName() == $name) {
+ $featured[] = $item;
+ unset($registered[$index]);
+ }
}
+ }
- // try to guess if this should be selected if they don't specify
- if ((!isset($item->selected) || $item->selected === NULL) && isset($item->href)) {
- $item->selected = elgg_http_url_is_identical(full_url(), $item->href);
- }
+ // add custom menu items
+ $n = 1;
+ foreach ($custom_menu_items as $title => $url) {
+ $item = new ElggMenuItem("custom$n", $title, $url);
+ $featured[] = $item;
+ $n++;
+ }
- // traverse up the parent tree if matached to mark all parents as selected/expanded.
- if ($item->selected && isset($item->parent)) {
- $parent = $item->parent;
- while ($parent) {
- $parent->selected = TRUE;
- if (isset($parent->parent)) {
- $parent = $parent->parent;
- } else {
- $parent = NULL;
- }
- }
+ $return['default'] = $featured;
+ if (count($registered) > 0) {
+ $return['more'] = $registered;
+ }
+ } else {
+ // no featured menu items set
+ $max_display_items = 5;
+
+ // the first n are shown, rest added to more list
+ // if only one item on more menu, stick it with the rest
+ $num_menu_items = count($return['default']);
+ if ($num_menu_items > ($max_display_items + 1)) {
+ $return['more'] = array_splice($return['default'], $max_display_items);
+ }
+ }
+
+ // check if we have anything selected
+ $selected = false;
+ foreach ($return as $section) {
+ foreach ($section as $item) {
+ if ($item->getSelected()) {
+ $selected = true;
+ break 2;
}
-
- // get the next item
- if (isset($item->children) && $item->children) {
- $depth++;
- array_push($temp_items, $items);
- $items = $item->children;
- } elseif ($depth > 0) {
- // check if there are more children elements in the current items
- // pop back up to the parent(s) if not
- if ($item = next($items)) {
- continue;
- } else {
- while ($depth > 0) {
- $depth--;
- $items = array_pop($temp_items);
- if ($item = next($items)) {
- break;
- }
+ }
+ }
+
+ if (!$selected) {
+ // nothing selected, match name to context or match url
+ $current_url = current_page_url();
+ foreach ($return as $section_name => $section) {
+ foreach ($section as $key => $item) {
+ // only highlight internal links
+ if (strpos($item->getHref(), elgg_get_site_url()) === 0) {
+ if ($item->getName() == elgg_get_context()) {
+ $return[$section_name][$key]->setSelected(true);
+ break 2;
+ }
+ if ($item->getHref() == $current_url) {
+ $return[$section_name][$key]->setSelected(true);
+ break 2;
}
}
- } else {
- next($items);
}
}
-
- $vars = array('group' => $group, 'items' => $items);
- $submenu_html .= elgg_view('navigation/submenu_group', $vars);
}
- // include the JS for the expand menus too
- return elgg_view('navigation/submenu_js') . $submenu_html;
-}
-
-/**
- * Registers any custom menu items with the main Site Menu.
- *
- * @note Custom menu items are added through the admin interface. Plugins
- * can add standard menu items by using {@link add_menu()}.
- *
- * @since 1.8
- * @link http://docs.elgg.org/Tutorials/UI/SiteMenu
- * @elgg_event_handler init system
- * @return void
- */
-function add_custom_menu_items() {
- if ($custom_items = get_config('menu_items_custom_items')) {
- foreach ($custom_items as $url => $name) {
- add_menu($name, $url);
- }
- }
+ return $return;
}
/**
- * Returns the main site menu.
- *
- * @note The main site menu is split into "featured" links and
- * "more" links.
- *
- * @return array ('featured_urls' and 'more')
- * @since 1.8
- * @link http://docs.elgg.org/Tutorials/UI/SiteMenu
+ * Add the comment and like links to river actions menu
+ * @access private
*/
-function elgg_get_nav_items() {
- $menu_items = get_register('menu');
- $featured_urls_info = get_config('menu_items_featured_urls');
-
- $more = array();
- $featured_urls = array();
- $featured_urls_sanitised = array();
-
- // easier to compare with in_array() than embedded foreach()es
- $valid_urls = array();
- foreach ($menu_items as $info) {
- $valid_urls[] = $info->value->url;
- }
-
- // make sure the url is a valid link.
- // this prevents disabled plugins leaving behind
- // valid links when not using a pagehandler.
- if ($featured_urls_info) {
- foreach ($featured_urls_info as $info) {
- if (in_array($info->value->url, $valid_urls)) {
- $featured_urls[] = $info->value->url;
- $featured_urls_sanitised[] = $info;
+function elgg_river_menu_setup($hook, $type, $return, $params) {
+ if (elgg_is_logged_in()) {
+ $item = $params['item'];
+ /* @var ElggRiverItem $item */
+ $object = $item->getObjectEntity();
+ // comments and non-objects cannot be commented on or liked
+ if (!elgg_in_context('widgets') && $item->annotation_id == 0) {
+ // comments
+ if ($object->canComment()) {
+ $options = array(
+ 'name' => 'comment',
+ 'href' => "#comments-add-$object->guid",
+ 'text' => elgg_view_icon('speech-bubble'),
+ 'title' => elgg_echo('comment:this'),
+ 'rel' => 'toggle',
+ 'priority' => 50,
+ );
+ $return[] = ElggMenuItem::factory($options);
}
}
- }
-
- // add toolbar entries if not hiding dupes.
- foreach ($menu_items as $name => $info) {
- if (!in_array($info->value->url, $featured_urls)) {
- $more[] = $info;
+
+ if (elgg_is_admin_logged_in()) {
+ $options = array(
+ 'name' => 'delete',
+ 'href' => elgg_add_action_tokens_to_url("action/river/delete?id=$item->id"),
+ 'text' => elgg_view_icon('delete'),
+ 'title' => elgg_echo('delete'),
+ 'confirm' => elgg_echo('deleteconfirm'),
+ 'priority' => 200,
+ );
+ $return[] = ElggMenuItem::factory($options);
}
}
- return array(
- 'featured' => $featured_urls_sanitised,
- 'more' => $more
- );
+ return $return;
}
/**
- * Adds an item to the site-wide menu.
- *
- * You can obtain the menu array by calling {@link get_register('menu')}
- *
- * @param string $menu_name The name of the menu item
- * @param string $menu_url The URL of the page
- * @param array $menu_children Optionally, an array of submenu items (not currently used)
- * @param string $context The context of the menu
- *
- * @return true|false Depending on success
- * @todo Can be deprecated when the new menu system is introduced.
+ * Entity menu is list of links and info on any entity
+ * @access private
*/
-function add_menu($menu_name, $menu_url, $menu_children = array(), $context = "") {
- global $CONFIG;
-
- if (!isset($CONFIG->menucontexts)) {
- $CONFIG->menucontexts = array();
+function elgg_entity_menu_setup($hook, $type, $return, $params) {
+ if (elgg_in_context('widgets')) {
+ return $return;
}
-
- if (empty($context)) {
- $context = get_plugin_name();
+
+ $entity = $params['entity'];
+ /* @var ElggEntity $entity */
+ $handler = elgg_extract('handler', $params, false);
+
+ // access
+ $access = elgg_view('output/access', array('entity' => $entity));
+ $options = array(
+ 'name' => 'access',
+ 'text' => $access,
+ 'href' => false,
+ 'priority' => 100,
+ );
+ $return[] = ElggMenuItem::factory($options);
+
+ if ($entity->canEdit() && $handler) {
+ // edit link
+ $options = array(
+ 'name' => 'edit',
+ 'text' => elgg_echo('edit'),
+ 'title' => elgg_echo('edit:this'),
+ 'href' => "$handler/edit/{$entity->getGUID()}",
+ 'priority' => 200,
+ );
+ $return[] = ElggMenuItem::factory($options);
+
+ // delete link
+ $options = array(
+ 'name' => 'delete',
+ 'text' => elgg_view_icon('delete'),
+ 'title' => elgg_echo('delete:this'),
+ 'href' => "action/$handler/delete?guid={$entity->getGUID()}",
+ 'confirm' => elgg_echo('deleteconfirm'),
+ 'priority' => 300,
+ );
+ $return[] = ElggMenuItem::factory($options);
}
- $value = new stdClass();
- $value->url = elgg_normalize_url($menu_url);
- $value->context = $context;
-
- $CONFIG->menucontexts[] = $context;
- return add_to_register('menu', $menu_name, $value, $menu_children);
+ return $return;
}
/**
- * Removes an item from the menu register
- *
- * @param string $menu_name The name of the menu item
- *
- * @return true|false Depending on success
- */
-function remove_menu($menu_name) {
- return remove_from_register('menu', $menu_name);
-}
-
-/**
- * Returns a menu item for use in the children section of add_menu()
- * This is not currently used in the Elgg core.
- *
- * @param string $menu_name The name of the menu item
- * @param string $menu_url Its URL
- *
- * @return stdClass|false Depending on success
- * @todo Can be deprecated when the new menu system is introduced.
- */
-function menu_item($menu_name, $menu_url) {
- elgg_deprecated_notice('menu_item() is deprecated by add_submenu_item', 1.7);
- return make_register_object($menu_name, $menu_url);
-}
-
-/**
- * Adds a breadcrumb to the breadcrumbs stack.
- *
- * @param string $title The title to display
- * @param string $link Optional. The link for the title.
- *
- * @return void
- *
- * @link http://docs.elgg.org/Tutorials/UI/Breadcrumbs
+ * Widget menu is a set of widget controls
+ * @access private
*/
-function elgg_push_breadcrumb($title, $link = NULL) {
- global $CONFIG;
- if (!is_array($CONFIG->breadcrumbs)) {
- $CONFIG->breadcrumbs = array();
+function elgg_widget_menu_setup($hook, $type, $return, $params) {
+
+ $widget = $params['entity'];
+ /* @var ElggWidget $widget */
+ $show_edit = elgg_extract('show_edit', $params, true);
+
+ $collapse = array(
+ 'name' => 'collapse',
+ 'text' => ' ',
+ 'href' => "#elgg-widget-content-$widget->guid",
+ 'class' => 'elgg-widget-collapse-button',
+ 'rel' => 'toggle',
+ 'priority' => 1
+ );
+ $return[] = ElggMenuItem::factory($collapse);
+
+ if ($widget->canEdit()) {
+ $delete = array(
+ 'name' => 'delete',
+ 'text' => elgg_view_icon('delete-alt'),
+ 'title' => elgg_echo('widget:delete', array($widget->getTitle())),
+ 'href' => "action/widgets/delete?widget_guid=$widget->guid",
+ 'is_action' => true,
+ 'class' => 'elgg-widget-delete-button',
+ 'id' => "elgg-widget-delete-button-$widget->guid",
+ 'priority' => 900
+ );
+ $return[] = ElggMenuItem::factory($delete);
+
+ if ($show_edit) {
+ $edit = array(
+ 'name' => 'settings',
+ 'text' => elgg_view_icon('settings-alt'),
+ 'title' => elgg_echo('widget:edit'),
+ 'href' => "#widget-edit-$widget->guid",
+ 'class' => "elgg-widget-edit-button",
+ 'rel' => 'toggle',
+ 'priority' => 800,
+ );
+ $return[] = ElggMenuItem::factory($edit);
+ }
}
- // avoid key collisions.
- $CONFIG->breadcrumbs[] = array('title' => $title, 'link' => $link);
+ return $return;
}
/**
- * Removes last breadcrumb entry.
- *
- * @return array popped item.
- * @link http://docs.elgg.org/Tutorials/UI/Breadcrumbs
+ * Adds a delete link to "generic_comment" annotations
+ * @access private
*/
-function elgg_pop_breadcrumb() {
- global $CONFIG;
-
- if (is_array($CONFIG->breadcrumbs)) {
- array_pop($CONFIG->breadcrumbs);
+function elgg_annotation_menu_setup($hook, $type, $return, $params) {
+ $annotation = $params['annotation'];
+ /* @var ElggAnnotation $annotation */
+
+ if ($annotation->name == 'generic_comment' && $annotation->canEdit()) {
+ $url = elgg_http_add_url_query_elements('action/comments/delete', array(
+ 'annotation_id' => $annotation->id,
+ ));
+
+ $options = array(
+ 'name' => 'delete',
+ 'href' => $url,
+ 'text' => "<span class=\"elgg-icon elgg-icon-delete\"></span>",
+ 'confirm' => elgg_echo('deleteconfirm'),
+ 'encode_text' => false
+ );
+ $return[] = ElggMenuItem::factory($options);
}
- return FALSE;
+ return $return;
}
+
/**
- * Returns all breadcrumbs as an array of array('title' => 'Readable Title', 'link' => 'URL')
- *
- * @return array Breadcrumbs
- * @link http://docs.elgg.org/Tutorials/UI/Breadcrumbs
+ * Navigation initialization
+ * @access private
*/
-function elgg_get_breadcrumbs() {
- global $CONFIG;
-
- return (is_array($CONFIG->breadcrumbs)) ? $CONFIG->breadcrumbs : array();
+function elgg_nav_init() {
+ elgg_register_plugin_hook_handler('prepare', 'menu:site', 'elgg_site_menu_setup');
+ elgg_register_plugin_hook_handler('register', 'menu:river', 'elgg_river_menu_setup');
+ elgg_register_plugin_hook_handler('register', 'menu:entity', 'elgg_entity_menu_setup');
+ elgg_register_plugin_hook_handler('register', 'menu:widget', 'elgg_widget_menu_setup');
+ elgg_register_plugin_hook_handler('register', 'menu:annotation', 'elgg_annotation_menu_setup');
}
+
+elgg_register_event_handler('init', 'system', 'elgg_nav_init');
diff --git a/engine/lib/notification.php b/engine/lib/notification.php
index 8908dcfab..be0c359d4 100644
--- a/engine/lib/notification.php
+++ b/engine/lib/notification.php
@@ -19,6 +19,7 @@
*/
/** Notification handlers */
+global $NOTIFICATION_HANDLERS;
$NOTIFICATION_HANDLERS = array();
/**
@@ -37,7 +38,7 @@ $NOTIFICATION_HANDLERS = array();
function register_notification_handler($method, $handler, $params = NULL) {
global $NOTIFICATION_HANDLERS;
- if (is_callable($handler)) {
+ if (is_callable($handler, true)) {
$NOTIFICATION_HANDLERS[$method] = new stdClass;
$NOTIFICATION_HANDLERS[$method]->handler = $handler;
@@ -85,7 +86,7 @@ function unregister_notification_handler($method) {
* @throws NotificationException
*/
function notify_user($to, $from, $subject, $message, array $params = NULL, $methods_override = "") {
- global $NOTIFICATION_HANDLERS, $CONFIG;
+ global $NOTIFICATION_HANDLERS;
// Sanitise
if (!is_array($to)) {
@@ -109,12 +110,15 @@ function notify_user($to, $from, $subject, $message, array $params = NULL, $meth
// Are we overriding delivery?
$methods = $methods_override;
if (!$methods) {
- $tmp = (array)get_user_notification_settings($guid);
+ $tmp = get_user_notification_settings($guid);
$methods = array();
- foreach ($tmp as $k => $v) {
- // Add method if method is turned on for user!
- if ($v) {
- $methods[] = $k;
+ // $tmp may be false. don't cast
+ if (is_object($tmp)) {
+ foreach ($tmp as $k => $v) {
+ // Add method if method is turned on for user!
+ if ($v) {
+ $methods[] = $k;
+ }
}
}
}
@@ -130,8 +134,9 @@ function notify_user($to, $from, $subject, $message, array $params = NULL, $meth
// Extract method details from list
$details = $NOTIFICATION_HANDLERS[$method];
$handler = $details->handler;
+ /* @var callable $handler */
- if ((!$NOTIFICATION_HANDLERS[$method]) || (!$handler)) {
+ if ((!$NOTIFICATION_HANDLERS[$method]) || (!$handler) || (!is_callable($handler))) {
error_log(elgg_echo('NotificationException:NoHandlerFound', array($method)));
}
@@ -139,7 +144,7 @@ function notify_user($to, $from, $subject, $message, array $params = NULL, $meth
// Trigger handler and retrieve result.
try {
- $result[$guid][$method] = $handler(
+ $result[$guid][$method] = call_user_func($handler,
$from ? get_entity($from) : NULL, // From entity
get_entity($guid), // To entity
$subject, // The subject
@@ -163,16 +168,21 @@ function notify_user($to, $from, $subject, $message, array $params = NULL, $meth
*
* @param int $user_guid The user id
*
- * @return stdClass
+ * @return stdClass|false
*/
function get_user_notification_settings($user_guid = 0) {
$user_guid = (int)$user_guid;
if ($user_guid == 0) {
- $user_guid = get_loggedin_userid();
+ $user_guid = elgg_get_logged_in_user_guid();
}
- $all_metadata = get_metadata_for_entity($user_guid);
+ // @todo: there should be a better way now that metadata is cached. E.g. just query for MD names, then
+ // query user object directly
+ $all_metadata = elgg_get_metadata(array(
+ 'guid' => $user_guid,
+ 'limit' => 0
+ ));
if ($all_metadata) {
$prefix = "notification:method:";
$return = new stdClass;
@@ -207,7 +217,7 @@ function set_user_notification_setting($user_guid, $method, $value) {
$user = get_entity($user_guid);
if (!$user) {
- $user = get_loggedin_user();
+ $user = elgg_get_logged_in_user_entity();
}
if (($user) && ($user instanceof ElggUser)) {
@@ -231,6 +241,8 @@ function set_user_notification_setting($user_guid, $method, $value) {
* @param array $params Optional parameters (none taken in this instance)
*
* @return bool
+ * @throws NotificationException
+ * @access private
*/
function email_notify_handler(ElggEntity $from, ElggUser $to, $subject, $message,
array $params = NULL) {
@@ -256,7 +268,7 @@ array $params = NULL) {
$to = $to->email;
// From
- $site = get_entity($CONFIG->site_guid);
+ $site = elgg_get_site_entity();
// If there's an email address, use it - but only if its not from a user.
if (!($from instanceof ElggUser) && $from->email) {
$from = $from->email;
@@ -281,18 +293,19 @@ array $params = NULL) {
* @param array $params Optional parameters (none used in this function)
*
* @return bool
+ * @throws NotificationException
* @since 1.7.2
*/
function elgg_send_email($from, $to, $subject, $body, array $params = NULL) {
global $CONFIG;
if (!$from) {
- $msg = elgg_echo('NotificationException:NoEmailAddress', array('from'));
+ $msg = elgg_echo('NotificationException:MissingParameter', array('from'));
throw new NotificationException($msg);
}
if (!$to) {
- $msg = elgg_echo('NotificationException:NoEmailAddress', array('to'));
+ $msg = elgg_echo('NotificationException:MissingParameter', array('to'));
throw new NotificationException($msg);
}
@@ -337,6 +350,8 @@ function elgg_send_email($from, $to, $subject, $body, array $params = NULL) {
// Sanitise subject by stripping line endings
$subject = preg_replace("/(\r\n|\r|\n)/", " ", $subject);
+ // this is because Elgg encodes everything and matches what is done with body
+ $subject = html_entity_decode($subject, ENT_COMPAT, 'UTF-8'); // Decode any html entities
if (is_callable('mb_encode_mimeheader')) {
$subject = mb_encode_mimeheader($subject, "UTF-8", "B");
}
@@ -354,13 +369,14 @@ function elgg_send_email($from, $to, $subject, $body, array $params = NULL) {
* Correctly initialise notifications and register the email handler.
*
* @return void
+ * @access private
*/
function notification_init() {
// Register a notification handler for the default email method
register_notification_handler("email", "email_notify_handler");
// Add settings view to user settings & register action
- extend_elgg_settings_page('notifications/settings/usersettings', 'usersettings/user');
+ elgg_extend_view('forms/account/settings', 'core/settings/account/notifications');
elgg_register_plugin_hook_handler('usersettings:save', 'user', 'notification_user_settings_save');
}
@@ -370,9 +386,11 @@ function notification_init() {
*
* @return void
* @todo why can't this call action(...)?
+ * @access private
*/
function notification_user_settings_save() {
global $CONFIG;
+ //@todo Wha??
include($CONFIG->path . "actions/notifications/settings/usersettings/save.php");
}
@@ -412,7 +430,7 @@ function register_notification_object($entity_type, $object_subtype, $language_n
* @param int $user_guid The GUID of the user who wants to follow a user's content
* @param int $author_guid The GUID of the user whose content the user wants to follow
*
- * @return true|false Depending on success
+ * @return bool Depending on success
*/
function register_notification_interest($user_guid, $author_guid) {
return add_entity_relationship($user_guid, 'notify', $author_guid);
@@ -424,7 +442,7 @@ function register_notification_interest($user_guid, $author_guid) {
* @param int $user_guid The GUID of the user who is following a user's content
* @param int $author_guid The GUID of the user whose content the user wants to unfollow
*
- * @return true|false Depending on success
+ * @return bool Depending on success
*/
function remove_notification_interest($user_guid, $author_guid) {
return remove_entity_relationship($user_guid, 'notify', $author_guid);
@@ -440,11 +458,13 @@ function remove_notification_interest($user_guid, $author_guid) {
* @param string $object_type mixed
* @param mixed $object The object created
*
- * @return void
+ * @return bool
+ * @access private
*/
function object_notifications($event, $object_type, $object) {
// We only want to trigger notification events for ElggEntities
if ($object instanceof ElggEntity) {
+ /* @var ElggEntity $object */
// Get config data
global $CONFIG, $SESSION, $NOTIFICATION_HANDLERS;
@@ -470,35 +490,37 @@ function object_notifications($event, $object_type, $object) {
}
if (isset($CONFIG->register_objects[$object_type][$object_subtype])) {
- $descr = $CONFIG->register_objects[$object_type][$object_subtype];
- $string = $descr . ": " . $object->getURL();
+ $subject = $CONFIG->register_objects[$object_type][$object_subtype];
+ $string = $subject . ": " . $object->getURL();
// Get users interested in content from this person and notify them
// (Person defined by container_guid so we can also subscribe to groups if we want)
foreach ($NOTIFICATION_HANDLERS as $method => $foo) {
$interested_users = elgg_get_entities_from_relationship(array(
+ 'site_guids' => ELGG_ENTITIES_ANY_VALUE,
'relationship' => 'notify' . $method,
'relationship_guid' => $object->container_guid,
'inverse_relationship' => TRUE,
- 'types' => 'user',
- 'limit' => 99999
+ 'type' => 'user',
+ 'limit' => false
));
+ /* @var ElggUser[] $interested_users */
if ($interested_users && is_array($interested_users)) {
foreach ($interested_users as $user) {
if ($user instanceof ElggUser && !$user->isBanned()) {
if (($user->guid != $SESSION['user']->guid) && has_access_to_entity($object, $user)
&& $object->access_id != ACCESS_PRIVATE) {
- $methodstring = elgg_trigger_plugin_hook('notify:entity:message', $object->getType(), array(
+ $body = elgg_trigger_plugin_hook('notify:entity:message', $object->getType(), array(
'entity' => $object,
'to_entity' => $user,
'method' => $method), $string);
- if (empty($methodstring) && $methodstring !== false) {
- $methodstring = $string;
+ if (empty($body) && $body !== false) {
+ $body = $string;
}
- if ($methodstring !== false) {
- notify_user($user->guid, $object->container_guid, $descr, $methodstring,
- NULL, array($method));
+ if ($body !== false) {
+ notify_user($user->guid, $object->container_guid, $subject, $body,
+ null, array($method));
}
}
}
@@ -511,4 +533,4 @@ function object_notifications($event, $object_type, $object) {
// Register a startup event
elgg_register_event_handler('init', 'system', 'notification_init', 0);
-elgg_register_event_handler('create', 'object', 'object_notifications'); \ No newline at end of file
+elgg_register_event_handler('create', 'object', 'object_notifications');
diff --git a/engine/lib/objects.php b/engine/lib/objects.php
index 51b47df2a..ff3cc733f 100644
--- a/engine/lib/objects.php
+++ b/engine/lib/objects.php
@@ -13,6 +13,7 @@
* @param int $guid The guid to retreive
*
* @return bool
+ * @access private
*/
function get_object_entity_as_row($guid) {
global $CONFIG;
@@ -30,6 +31,7 @@ function get_object_entity_as_row($guid) {
* @param string $description The object's description
*
* @return bool
+ * @access private
*/
function create_object_entity($guid, $title, $description) {
global $CONFIG;
@@ -51,11 +53,8 @@ function create_object_entity($guid, $title, $description) {
if ($result != false) {
// Update succeeded, continue
$entity = get_entity($guid);
- if (elgg_trigger_event('update', $entity->type, $entity)) {
- return $guid;
- } else {
- $entity->delete();
- }
+ elgg_trigger_event('update', $entity->type, $entity);
+ return $guid;
}
} else {
// Update failed, attempt an insert.
@@ -78,76 +77,6 @@ function create_object_entity($guid, $title, $description) {
}
/**
- * THIS FUNCTION IS DEPRECATED.
- *
- * Delete a object's extra data.
- *
- * @todo - this should be removed - was deprecated in 1.5 or earlier
- *
- * @param int $guid GUID
- *
- * @return 1
- */
-function delete_object_entity($guid) {
- system_message(elgg_echo('deprecatedfunction', array('delete_user_entity')));
-
- return 1; // Always return that we have deleted one row in order to not break existing code.
-}
-
-/**
- * Searches for an object based on a complete or partial title
- * or description using full text searching.
- *
- * IMPORTANT NOTE: With MySQL's default setup:
- * 1) $criteria must be 4 or more characters long
- * 2) If $criteria matches greater than 50% of results NO RESULTS ARE RETURNED!
- *
- * @param string $criteria The partial or full name or username.
- * @param int $limit Limit of the search.
- * @param int $offset Offset.
- * @param string $order_by The order.
- * @param boolean $count Whether to return the count of results or just the results.
- *
- * @return int|false
- * @deprecated 1.7
- */
-function search_for_object($criteria, $limit = 10, $offset = 0, $order_by = "", $count = false) {
- elgg_deprecated_notice('search_for_object() was deprecated by new search plugin.', 1.7);
- global $CONFIG;
-
- $criteria = sanitise_string($criteria);
- $limit = (int)$limit;
- $offset = (int)$offset;
- $order_by = sanitise_string($order_by);
- $container_guid = (int)$container_guid;
-
- $access = get_access_sql_suffix("e");
-
- if ($order_by == "") {
- $order_by = "e.time_created desc";
- }
-
- if ($count) {
- $query = "SELECT count(e.guid) as total ";
- } else {
- $query = "SELECT e.* ";
- }
- $query .= "from {$CONFIG->dbprefix}entities e
- join {$CONFIG->dbprefix}objects_entity o on e.guid=o.guid
- where match(o.title,o.description) against ('$criteria') and $access";
-
- if (!$count) {
- $query .= " order by $order_by limit $offset, $limit"; // Add order and limit
- return get_data($query, "entity_row_to_elggstar");
- } else {
- if ($count = get_data_row($query)) {
- return $count->total;
- }
- }
- return false;
-}
-
-/**
* Get the sites this object is part of
*
* @param int $object_guid The object's GUID
@@ -164,21 +93,22 @@ function get_object_sites($object_guid, $limit = 10, $offset = 0) {
return elgg_get_entities_from_relationship(array(
'relationship' => 'member_of_site',
'relationship_guid' => $object_guid,
- 'types' => 'site',
+ 'type' => 'site',
'limit' => $limit,
- 'offset' => $offset
+ 'offset' => $offset,
));
}
/**
* Runs unit tests for ElggObject
*
- * @param sting $hook unit_test
+ * @param string $hook unit_test
* @param string $type system
* @param mixed $value Array of tests
* @param mixed $params Params
*
* @return array
+ * @access private
*/
function objects_test($hook, $type, $value, $params) {
global $CONFIG;
@@ -186,43 +116,5 @@ function objects_test($hook, $type, $value, $params) {
return $value;
}
-
-/**
- * Returns a formatted list of objects suitable for injecting into search.
- *
- * @deprecated 1.7
- *
- * @param sting $hook Hook
- * @param string $user user
- * @param mixed $returnvalue Previous return value
- * @param mixed $tag Search term
- *
- * @return array
- */
-function search_list_objects_by_name($hook, $user, $returnvalue, $tag) {
- elgg_deprecated_notice('search_list_objects_by_name was deprecated by new search plugin.', 1.7);
-
- // Change this to set the number of users that display on the search page
- $threshold = 4;
-
- $object = get_input('object');
-
- if (!get_input('offset') && (empty($object) || $object == 'user')) {
- if ($users = search_for_user($tag, $threshold)) {
- $countusers = search_for_user($tag, 0, 0, "", true);
-
- $return = elgg_view('user/search/startblurb', array('count' => $countusers, 'tag' => $tag));
- foreach ($users as $user) {
- $return .= elgg_view_entity($user);
- }
- $return .= elgg_view('user/search/finishblurb',
- array('count' => $countusers, 'threshold' => $threshold, 'tag' => $tag));
-
- return $return;
-
- }
- }
-}
-
elgg_register_event_handler('init', 'system', 'objects_init', 0);
-elgg_register_plugin_hook_handler('unit_test', 'system', 'objects_test'); \ No newline at end of file
+elgg_register_plugin_hook_handler('unit_test', 'system', 'objects_test');
diff --git a/engine/lib/opendd.php b/engine/lib/opendd.php
index d856806f5..7d635a295 100644
--- a/engine/lib/opendd.php
+++ b/engine/lib/opendd.php
@@ -7,12 +7,15 @@
* @version 0.4
*/
+// @codingStandardsIgnoreStart
+
/**
* Attempt to construct an ODD object out of a XmlElement or sub-elements.
*
* @param XmlElement $element The element(s)
*
* @return mixed An ODD object if the element can be handled, or false.
+ * @access private
*/
function ODD_factory (XmlElement $element) {
$name = $element->name;
@@ -57,6 +60,7 @@ function ODD_factory (XmlElement $element) {
* @param string $xml The XML ODD.
*
* @return ODDDocument
+ * @access private
*/
function ODD_Import($xml) {
// Parse XML to an array
@@ -96,7 +100,10 @@ function ODD_Import($xml) {
* @param ODDDocument $document The Document.
*
* @return string
+ * @access private
*/
function ODD_Export(ODDDocument $document) {
return "$document";
-} \ No newline at end of file
+}
+
+// @codingStandardsIgnoreEnd
diff --git a/engine/lib/output.php b/engine/lib/output.php
index 8df4bf089..de4f911fb 100644
--- a/engine/lib/output.php
+++ b/engine/lib/output.php
@@ -12,29 +12,34 @@
*
* @param string $text The input string
*
- * @return string The output stirng with formatted links
- **/
+ * @return string The output string with formatted links
+ */
function parse_urls($text) {
+
+ // URI specification: http://www.ietf.org/rfc/rfc3986.txt
+ // This varies from the specification in the following ways:
+ // * Supports non-ascii characters
+ // * Does not allow parentheses and single quotes
+ // * Cuts off commas, exclamation points, and periods off as last character
+
// @todo this causes problems with <attr = "val">
- // must be ing <attr="val"> format (no space).
+ // must be in <attr="val"> format (no space).
// By default htmlawed rewrites tags to this format.
// if PHP supported conditional negative lookbehinds we could use this:
// $r = preg_replace_callback('/(?<!=)(?<![ ])?(?<!["\'])((ht|f)tps?:\/\/[^\s\r\n\t<>"\'\!\(\),]+)/i',
- //
- // we can put , in the list of excluded char but need to keep . because of domain names.
- // it is removed in the callback.
- $r = preg_replace_callback('/(?<!=)(?<!["\'])((ht|f)tps?:\/\/[^\s\r\n\t<>"\'\!\(\),]+)/i',
+ $r = preg_replace_callback('/(?<![=\/"\'])((ht|f)tps?:\/\/[^\s\r\n\t<>"\']+)/i',
create_function(
'$matches',
'
$url = $matches[1];
- $period = \'\';
- if (substr($url, -1, 1) == \'.\') {
- $period = \'.\';
- $url = trim($url, \'.\');
+ $punc = "";
+ $last = substr($url, -1, 1);
+ if (in_array($last, array(".", "!", ",", "(", ")"))) {
+ $punc = $last;
+ $url = rtrim($url, ".!,()");
}
$urltext = str_replace("/", "/<wbr />", $url);
- return "<a href=\"$url\" style=\"text-decoration:underline;\">$urltext</a>$period";
+ return "<a href=\"$url\" rel=\"nofollow\">$urltext</a>$punc";
'
), $text);
@@ -43,51 +48,26 @@ function parse_urls($text) {
/**
* Create paragraphs from text with line spacing
- * Borrowed from Wordpress.
*
* @param string $pee The string
- * @param bool $br Add BRs?
+ * @deprecated Use elgg_autop instead
+ * @todo Add deprecation warning in 1.9
*
- * @todo Rewrite
* @return string
**/
-function autop($pee, $br = 1) {
- $pee = $pee . "\n"; // just to make things a little easier, pad the end
- $pee = preg_replace('|<br />\s*<br />|', "\n\n", $pee);
- // Space things out a little
- $allblocks = '(?:table|thead|tfoot|caption|colgroup|tbody|tr|td|th|div|dl|dd|dt|ul|ol|li|pre|select|form|map|area|blockquote|address|math|style|input|p|h[1-6]|hr)';
- $pee = preg_replace('!(<' . $allblocks . '[^>]*>)!', "\n$1", $pee);
- $pee = preg_replace('!(</' . $allblocks . '>)!', "$1\n\n", $pee);
- $pee = str_replace(array("\r\n", "\r"), "\n", $pee); // cross-platform newlines
- if ( strpos($pee, '<object') !== false ) {
- $pee = preg_replace('|\s*<param([^>]*)>\s*|', "<param$1>", $pee); // no pee inside object/embed
- $pee = preg_replace('|\s*</embed>\s*|', '</embed>', $pee);
- }
- $pee = preg_replace("/\n\n+/", "\n\n", $pee); // take care of duplicates
- $pee = preg_replace('/\n?(.+?)(?:\n\s*\n|\z)/s', "<p>$1</p>\n", $pee); // make paragraphs, including one at the end
- $pee = preg_replace('|<p>\s*?</p>|', '', $pee); // under certain strange conditions it could create a P of entirely whitespace
- $pee = preg_replace('!<p>([^<]+)\s*?(</(?:div|address|form)[^>]*>)!', "<p>$1</p>$2", $pee);
- $pee = preg_replace( '|<p>|', "$1<p>", $pee );
- $pee = preg_replace('!<p>\s*(</?' . $allblocks . '[^>]*>)\s*</p>!', "$1", $pee); // don't pee all over a tag
- $pee = preg_replace("|<p>(<li.+?)</p>|", "$1", $pee); // problem with nested lists
- $pee = preg_replace('|<p><blockquote([^>]*)>|i', "<blockquote$1><p>", $pee);
- $pee = str_replace('</blockquote></p>', '</p></blockquote>', $pee);
- $pee = preg_replace('!<p>\s*(</?' . $allblocks . '[^>]*>)!', "$1", $pee);
- $pee = preg_replace('!(</?' . $allblocks . '[^>]*>)\s*</p>!', "$1", $pee);
- if ($br) {
- $pee = preg_replace_callback('/<(script|style).*?<\/\\1>/s', create_function('$matches', 'return str_replace("\n", "<WPPreserveNewline />", $matches[0]);'), $pee);
- $pee = preg_replace('|(?<!<br />)\s*\n|', "<br />\n", $pee); // optionally make line breaks
- $pee = str_replace('<WPPreserveNewline />', "\n", $pee);
- }
- $pee = preg_replace('!(</?' . $allblocks . '[^>]*>)\s*<br />!', "$1", $pee);
- $pee = preg_replace('!<br />(\s*</?(?:p|li|div|dl|dd|dt|th|pre|td|ul|ol)[^>]*>)!', '$1', $pee);
-// if (strpos($pee, '<pre') !== false) {
-// mind the space between the ? and >. Only there because of the comment.
-// $pee = preg_replace_callback('!(<pre.*? >)(.*?)</pre>!is', 'clean_pre', $pee );
-// }
- $pee = preg_replace( "|\n</p>$|", '</p>', $pee );
-
- return $pee;
+function autop($pee) {
+ return elgg_autop($pee);
+}
+
+/**
+ * Create paragraphs from text with line spacing
+ *
+ * @param string $string The string
+ *
+ * @return string
+ **/
+function elgg_autop($string) {
+ return ElggAutoP::getInstance()->process($string);
}
/**
@@ -170,7 +150,7 @@ function elgg_format_attributes(array $attrs) {
}
// ignore $vars['entity'] => ElggEntity stuff
- if (is_not_null($val) && (is_array($val) || is_string($val))) {
+ if ($val !== NULL && $val !== false && (is_array($val) || !is_object($val))) {
// allow $vars['class'] => array('one', 'two');
// @todo what about $vars['style']? Needs to be semi-colon separated...
@@ -178,7 +158,7 @@ function elgg_format_attributes(array $attrs) {
$val = implode(' ', $val);
}
- $val = htmlspecialchars($val);
+ $val = htmlspecialchars($val, ENT_QUOTES, 'UTF-8', false);
$attributes[] = "$attr=\"$val\"";
}
}
@@ -186,7 +166,6 @@ function elgg_format_attributes(array $attrs) {
return implode(' ', $attributes);
}
-
/**
* Preps an associative array for use in {@link elgg_format_attributes()}.
*
@@ -199,10 +178,12 @@ function elgg_format_attributes(array $attrs) {
* @param array $vars The raw $vars array with all it's dirtiness (config, url, etc.)
*
* @return array The array, ready to be used in elgg_format_attributes().
+ * @access private
*/
function elgg_clean_vars(array $vars = array()) {
unset($vars['config']);
unset($vars['url']);
+ unset($vars['user']);
// backwards compatibility code
if (isset($vars['internalname'])) {
@@ -215,6 +196,14 @@ function elgg_clean_vars(array $vars = array()) {
unset($vars['internalid']);
}
+ if (isset($vars['__ignoreInternalid'])) {
+ unset($vars['__ignoreInternalid']);
+ }
+
+ if (isset($vars['__ignoreInternalname'])) {
+ unset($vars['__ignoreInternalname']);
+ }
+
return $vars;
}
@@ -225,7 +214,7 @@ function elgg_clean_vars(array $vars = array()) {
*
* @example
* elgg_normalize_url(''); // 'http://my.site.com/'
- * elgg_normalize_url('pg/dashboard'); // 'http://my.site.com/pg/dashboard'
+ * elgg_normalize_url('dashboard'); // 'http://my.site.com/dashboard'
* elgg_normalize_url('http://google.com/'); // no change
* elgg_normalize_url('//google.com/'); // no change
*
@@ -234,23 +223,49 @@ function elgg_clean_vars(array $vars = array()) {
* @return string The absolute url
*/
function elgg_normalize_url($url) {
- // 'http://example.com', 'https://example.com', '//example.com'
- if (preg_match("#^(https?:)?//#i", $url)) {
- return $url;
+ // see https://bugs.php.net/bug.php?id=51192
+ // from the bookmarks save action.
+ $php_5_2_13_and_below = version_compare(PHP_VERSION, '5.2.14', '<');
+ $php_5_3_0_to_5_3_2 = version_compare(PHP_VERSION, '5.3.0', '>=') &&
+ version_compare(PHP_VERSION, '5.3.3', '<');
+
+ if ($php_5_2_13_and_below || $php_5_3_0_to_5_3_2) {
+ $tmp_address = str_replace("-", "", $url);
+ $validated = filter_var($tmp_address, FILTER_VALIDATE_URL);
+ } else {
+ $validated = filter_var($url, FILTER_VALIDATE_URL);
}
- // 'install.php', 'install.php?step=step'
- elseif (preg_match("#^[^/]*\.php(\?.*)?$#i", $url)) {
- return elgg_get_site_url().$url;
+ // work around for handling absoluate IRIs (RFC 3987) - see #4190
+ if (!$validated && (strpos($url, 'http:') === 0) || (strpos($url, 'https:') === 0)) {
+ $validated = true;
}
- // 'example.com', 'example.com/subpage'
- elseif (preg_match("#^[^/]*\.#i", $url)) {
+ if ($validated) {
+ // all normal URLs including mailto:
+ return $url;
+
+ } elseif (preg_match("#^(\#|\?|//)#i", $url)) {
+ // '//example.com' (Shortcut for protocol.)
+ // '?query=test', #target
+ return $url;
+
+ } elseif (stripos($url, 'javascript:') === 0 || stripos($url, 'mailto:') === 0) {
+ // 'javascript:' and 'mailto:'
+ // Not covered in FILTER_VALIDATE_URL
+ return $url;
+
+ } elseif (preg_match("#^[^/]*\.php(\?.*)?$#i", $url)) {
+ // 'install.php', 'install.php?step=step'
+ return elgg_get_site_url() . $url;
+
+ } elseif (preg_match("#^[^/]*\.#i", $url)) {
+ // 'example.com', 'example.com/subpage'
return "http://$url";
- }
- // 'pg/page/handler', 'mod/plugin/file.php'
- else {
+ } else {
+ // 'page/handler', 'mod/plugin/file.php'
+
// trim off any leading / because the site URL is stored
// with a trailing /
return elgg_get_site_url() . ltrim($url, '/');
@@ -263,19 +278,6 @@ function elgg_normalize_url($url) {
* @param string $title The title
*
* @return string The optimised title
- * @deprecated 1.8
- */
-function friendly_title($title) {
- elgg_deprecated_notice('friendly_title was deprecated by elgg_get_friendly_title', 1.8);
- return elgg_get_friendly_title($title);
-}
-
-/**
- * When given a title, returns a version suitable for inclusion in a URL
- *
- * @param string $title The title
- *
- * @return string The optimised title
* @since 1.7.2
*/
function elgg_get_friendly_title($title) {
@@ -287,26 +289,12 @@ function elgg_get_friendly_title($title) {
return $result;
}
- //$title = iconv('UTF-8', 'ASCII//TRANSLIT', $title);
- $title = preg_replace("/[^\w ]/", "", $title);
- $title = str_replace(" ", "-", $title);
- $title = str_replace("--", "-", $title);
- $title = trim($title);
- $title = strtolower($title);
- return $title;
-}
+ // titles are often stored HTML encoded
+ $title = html_entity_decode($title, ENT_QUOTES, 'UTF-8');
+
+ $title = ElggTranslit::urlize($title);
-/**
- * Displays a UNIX timestamp in a friendly way (eg "less than a minute ago")
- *
- * @param int $time A UNIX epoch timestamp
- *
- * @return string The friendly time
- * @deprecated 1.8
- */
-function friendly_time($time) {
- elgg_deprecated_notice('friendly_time was deprecated by elgg_view_friendly_time', 1.8);
- return elgg_view_friendly_time($time);
+ return $title;
}
/**
@@ -375,7 +363,7 @@ function elgg_get_friendly_time($time) {
/**
* Strip tags and offer plugins the chance.
* Plugins register for output:strip_tags plugin hook.
- * Original string included in $params['original_string']
+ * Original string included in $params['original_string']
*
* @param string $string Formatted string
*
@@ -390,70 +378,92 @@ function elgg_strip_tags($string) {
return $string;
}
-
-
/**
- * Filters a string into an array of significant words
- *
- * @deprecated 1.8
- *
- * @param string $string A string
- *
- * @return array
- */
-function filter_string($string) {
- elgg_deprecated_notice('filter_string() was deprecated!', 1.8);
-
- // Convert it to lower and trim
- $string = strtolower($string);
- $string = trim($string);
-
- // Remove links and email addresses
- // match protocol://address/path/file.extension?some=variable&another=asf%
- $string = preg_replace("/\s([a-zA-Z]+:\/\/[a-z][a-z0-9\_\.\-]*[a-z]{2,6}"
- . "[a-zA-Z0-9\/\*\-\?\&\%\=]*)([\s|\.|\,])/iu", " ", $string);
-
- // match www.something.domain/path/file.extension?some=variable&another=asf%
- $string = preg_replace("/\s(www\.[a-z][a-z0-9\_\.\-]*[a-z]{2,6}"
- . "[a-zA-Z0-9\/\*\-\?\&\%\=]*)([\s|\.|\,])/iu", " ", $string);
-
- // match name@address
- $string = preg_replace("/\s([a-zA-Z][a-zA-Z0-9\_\.\-]*[a-zA-Z]"
- . "*\@[a-zA-Z][a-zA-Z0-9\_\.\-]*[a-zA-Z]{2,6})([\s|\.|\,])/iu", " ", $string);
-
- // Sanitise the string; remove unwanted characters
- $string = preg_replace('/\W/ui', ' ', $string);
-
- // Explode it into an array
- $terms = explode(' ', $string);
-
- // Remove any blacklist terms
- //$terms = array_filter($terms, 'remove_blacklist');
-
- return $terms;
+ * Apply html_entity_decode() to a string while re-entitising HTML
+ * special char entities to prevent them from being decoded back to their
+ * unsafe original forms.
+ *
+ * This relies on html_entity_decode() not translating entities when
+ * doing so leaves behind another entity, e.g. &amp;gt; if decoded would
+ * create &gt; which is another entity itself. This seems to escape the
+ * usual behaviour where any two paired entities creating a HTML tag are
+ * usually decoded, i.e. a lone &gt; is not decoded, but &lt;foo&gt; would
+ * be decoded to <foo> since it creates a full tag.
+ *
+ * Note: This function is poorly explained in the manual - which is really
+ * bad given its potential for misuse on user input already escaped elsewhere.
+ * Stackoverflow is littered with advice to use this function in the precise
+ * way that would lead to user input being capable of injecting arbitrary HTML.
+ *
+ * @param string $string
+ *
+ * @return string
+ *
+ * @author P谩draic Brady
+ * @copyright Copyright (c) 2010 P谩draic Brady (http://blog.astrumfutura.com)
+ * @license Released under dual-license GPL2/MIT by explicit permission of P谩draic Brady
+ *
+ * @access private
+ */
+function _elgg_html_decode($string) {
+ $string = str_replace(
+ array('&gt;', '&lt;', '&amp;', '&quot;', '&#039;'),
+ array('&amp;gt;', '&amp;lt;', '&amp;amp;', '&amp;quot;', '&amp;#039;'),
+ $string
+ );
+ $string = html_entity_decode($string, ENT_NOQUOTES, 'UTF-8');
+ $string = str_replace(
+ array('&amp;gt;', '&amp;lt;', '&amp;amp;', '&amp;quot;', '&amp;#039;'),
+ array('&gt;', '&lt;', '&amp;', '&quot;', '&#039;'),
+ $string
+ );
+ return $string;
}
/**
- * Returns true if the word in $input is considered significant
+ * Prepares query string for output to prevent CSRF attacks.
+ *
+ * @param string $string
+ * @return string
*
- * @deprecated 1.8
+ * @access private
+ */
+function _elgg_get_display_query($string) {
+ //encode <,>,&, quotes and characters above 127
+ if (function_exists('mb_convert_encoding')) {
+ $display_query = mb_convert_encoding($string, 'HTML-ENTITIES', 'UTF-8');
+ } else {
+ // if no mbstring extension, we just strip characters
+ $display_query = preg_replace("/[^\x01-\x7F]/", "", $string);
+ }
+ return htmlspecialchars($display_query, ENT_QUOTES, 'UTF-8', false);
+}
+
+/**
+ * Unit tests for Output
*
- * @param string $input A word
+ * @param string $hook unit_test
+ * @param string $type system
+ * @param mixed $value Array of tests
+ * @param mixed $params Params
*
- * @return true|false
+ * @return array
+ * @access private
*/
-function remove_blacklist($input) {
- elgg_deprecated_notice('remove_blacklist() was deprecated!', 1.8);
-
+function output_unit_test($hook, $type, $value, $params) {
global $CONFIG;
+ $value[] = $CONFIG->path . 'engine/tests/api/output.php';
+ return $value;
+}
- if (!is_array($CONFIG->wordblacklist)) {
- return $input;
- }
-
- if (strlen($input) < 3 || in_array($input, $CONFIG->wordblacklist)) {
- return false;
- }
+/**
+ * Initialise the Output subsystem.
+ *
+ * @return void
+ * @access private
+ */
+function output_init() {
+ elgg_register_plugin_hook_handler('unit_test', 'system', 'output_unit_test');
+}
- return true;
-} \ No newline at end of file
+elgg_register_event_handler('init', 'system', 'output_init');
diff --git a/engine/lib/pagehandler.php b/engine/lib/pagehandler.php
index 727ace54d..0cf99b6fe 100644
--- a/engine/lib/pagehandler.php
+++ b/engine/lib/pagehandler.php
@@ -7,14 +7,17 @@
*/
/**
- * Turns the current page over to the page handler, allowing registered handlers to take over.
+ * Routes the request to a registered page handler
*
- * If a page handler returns FALSE, the request is handed over to the default_page_handler.
+ * This function sets the context based on the handler name (first segment of the
+ * URL). It also triggers a plugin hook 'route', $handler so that plugins can
+ * modify the routing or handle a request.
*
* @param string $handler The name of the handler type (eg 'blog')
* @param array $page The parameters to the page, as an array (exploded by '/' slashes)
*
- * @return true|false Depending on whether a registered page handler was found
+ * @return bool
+ * @access private
*/
function page_handler($handler, $page) {
global $CONFIG;
@@ -27,57 +30,64 @@ function page_handler($handler, $page) {
array_pop($page);
}
- if (!isset($CONFIG->pagehandler) || empty($handler)) {
- $result = false;
- } else if (isset($CONFIG->pagehandler[$handler]) && is_callable($CONFIG->pagehandler[$handler])) {
- $function = $CONFIG->pagehandler[$handler];
- $result = $function($page, $handler);
- if ($result !== false) {
- $result = true;
- }
- } else {
- $result = false;
+ // return false to stop processing the request (because you handled it)
+ // return a new $request array if you want to route the request differently
+ $request = array(
+ 'handler' => $handler,
+ 'segments' => $page,
+ );
+ $request = elgg_trigger_plugin_hook('route', $handler, null, $request);
+ if ($request === false) {
+ return true;
}
- if (!$result) {
- $result = default_page_handler($page, $handler);
- }
- if ($result !== false) {
- $result = true;
+ $handler = $request['handler'];
+ $page = $request['segments'];
+
+ $result = false;
+ if (isset($CONFIG->pagehandler)
+ && !empty($handler)
+ && isset($CONFIG->pagehandler[$handler])
+ && is_callable($CONFIG->pagehandler[$handler])) {
+ $function = $CONFIG->pagehandler[$handler];
+ $result = call_user_func($function, $page, $handler);
}
- return $result;
+ return $result || headers_sent();
}
/**
* Registers a page handler for a particular identifier
*
* For example, you can register a function called 'blog_page_handler' for handler type 'blog'
- * For all URLs http://yoururl/pg/blog/*, the blog_page_handler() function will be called.
+ * For all URLs http://yoururl/blog/*, the blog_page_handler() function will be called.
* The part of the URL marked with * above will be exploded on '/' characters and passed as an
* array to that function.
* For example, the URL http://yoururl/blog/username/friends/ would result in the call:
* blog_page_handler(array('username','friends'), blog);
*
- * Page handler functions should return true or the default page handler will be called.
- *
* A request to register a page handler with the same identifier as previously registered
* handler will replace the previous one.
*
* The context is set to the page handler identifier before the registered
* page handler function is called. For the above example, the context is set to 'blog'.
*
+ * Page handlers should return true to indicate that they handled the request.
+ * Requests not handled are forwarded to the front page with a reason of 404.
+ * Plugins can register for the 'forward', '404' plugin hook. @see forward()
+ *
* @param string $handler The page type to handle
* @param string $function Your function name
*
- * @return true|false Depending on success
+ * @return bool Depending on success
*/
-function register_page_handler($handler, $function) {
+function elgg_register_page_handler($handler, $function) {
global $CONFIG;
+
if (!isset($CONFIG->pagehandler)) {
$CONFIG->pagehandler = array();
}
- if (is_callable($function)) {
+ if (is_callable($function, true)) {
$CONFIG->pagehandler[$handler] = $function;
return true;
}
@@ -88,14 +98,14 @@ function register_page_handler($handler, $function) {
/**
* Unregister a page handler for an identifier
*
- * Note: to replace a page handler, call register_page_handler()
+ * Note: to replace a page handler, call elgg_register_page_handler()
*
* @param string $handler The page type identifier
*
* @since 1.7.2
* @return void
*/
-function unregister_page_handler($handler) {
+function elgg_unregister_page_handler($handler) {
global $CONFIG;
if (!isset($CONFIG->pagehandler)) {
@@ -106,35 +116,35 @@ function unregister_page_handler($handler) {
}
/**
- * A default page handler
- * Tries to locate a suitable file to include. Only works for core pages, not plugins.
+ * Serve an error page
*
- * @param array $page The page URL elements
- * @param string $handler The base handler
+ * @todo not sending status codes yet
*
- * @return true|false Depending on success
+ * @param string $hook The name of the hook
+ * @param string $type The type of the hook
+ * @param bool $result The current value of the hook
+ * @param array $params Parameters related to the hook
+ * @return void
*/
-function default_page_handler($page, $handler) {
- global $CONFIG;
-
- $page = implode('/', $page);
-
- // protect against including arbitary files
- $page = str_replace("..", "", $page);
-
- $callpath = $CONFIG->path . $handler . "/" . $page;
- if (is_dir($callpath)) {
- $callpath = sanitise_filepath($callpath);
- $callpath .= "index.php";
- if (file_exists($callpath)) {
- if (include($callpath)) {
- return TRUE;
- }
- }
- } else if (file_exists($callpath)) {
- include($callpath);
- return TRUE;
+function elgg_error_page_handler($hook, $type, $result, $params) {
+ if (elgg_view_exists("errors/$type")) {
+ $content = elgg_view("errors/$type", $params);
+ } else {
+ $content = elgg_view("errors/default", $params);
}
+ $body = elgg_view_layout('error', array('content' => $content));
+ echo elgg_view_page('', $body, 'error');
+ exit;
+}
- return FALSE;
+/**
+ * Initializes the page handler/routing system
+ *
+ * @return void
+ * @access private
+ */
+function page_handler_init() {
+ elgg_register_plugin_hook_handler('forward', '404', 'elgg_error_page_handler');
}
+
+elgg_register_event_handler('init', 'system', 'page_handler_init');
diff --git a/engine/lib/pageowner.php b/engine/lib/pageowner.php
index 649e77e40..bd63d08c6 100644
--- a/engine/lib/pageowner.php
+++ b/engine/lib/pageowner.php
@@ -13,7 +13,7 @@
* @param int $guid Optional parameter used by elgg_set_page_owner_guid().
*
* @return int The current page owner guid (0 if none).
- * @since 1.8
+ * @since 1.8.0
*/
function elgg_get_page_owner_guid($guid = 0) {
static $page_owner_guid;
@@ -26,100 +26,73 @@ function elgg_get_page_owner_guid($guid = 0) {
return $page_owner_guid;
}
+ // return guid of page owner entity
$guid = elgg_trigger_plugin_hook('page_owner', 'system', NULL, 0);
- $page_owner_guid = $guid;
+ if ($guid) {
+ $page_owner_guid = $guid;
+ }
return $guid;
}
/**
- * Gets the guid of the entity that owns the current page.
- *
- * @deprecated 1.8 Use elgg_get_page_owner_guid()
- *
- * @return int The current page owner guid (0 if none).
- */
-function page_owner() {
- elgg_deprecated_notice('page_owner() was deprecated by elgg_get_page_owner_guid().', 1.8);
- return elgg_get_page_owner_guid();
-}
-
-/**
* Gets the owner entity for the current page.
*
- * @return ElggEntity|false The current page owner or false if none.
+ * @note Access is disabled when getting the page owner entity.
*
- * @since 1.8
+ * @return ElggUser|ElggGroup|false The current page owner or false if none.
+ *
+ * @since 1.8.0
*/
-function elgg_get_page_owner() {
+function elgg_get_page_owner_entity() {
$guid = elgg_get_page_owner_guid();
if ($guid > 0) {
- return get_entity($guid);
- }
+ $ia = elgg_set_ignore_access(true);
+ $owner = get_entity($guid);
+ elgg_set_ignore_access($ia);
- return FALSE;
-}
+ return $owner;
+ }
-/**
- * Gets the owner entity for the current page.
- *
- * @deprecated 1.8 Use elgg_get_page_owner()
- * @return ElggEntity|false The current page owner or false if none.
- *
- * @since 1.8
- */
-function page_owner_entity() {
- elgg_deprecated_notice('page_owner_entity() was deprecated by elgg_get_page_owner().', 1.8);
- return elgg_get_page_owner();
+ return false;
}
/**
* Set the guid of the entity that owns this page
*
* @param int $guid The guid of the page owner
- *
- * @since 1.8
+ * @return void
+ * @since 1.8.0
*/
function elgg_set_page_owner_guid($guid) {
elgg_get_page_owner_guid($guid);
}
-
/**
- * Registers a page owner handler function
+ * Sets the page owner based on request
*
- * @param string $functionname The callback function
+ * Tries to figure out the page owner by looking at the URL or a request
+ * parameter. The request parameters used are 'username' and 'owner_guid'. If
+ * the page request is going through the page handling system, this function
+ * attempts to figure out the owner if the url fits the patterns of:
+ * <handler>/owner/<username>
+ * <handler>/friends/<username>
+ * <handler>/view/<entity guid>
+ * <handler>/add/<container guid>
+ * <handler>/edit/<entity guid>
+ * <handler>/group/<group guid>
*
- * @deprecated 1.8 Use the 'page_owner', 'system' plugin hook
- * @return void
- */
-function add_page_owner_handler($functionname) {
- elgg_deprecated_notice("add_page_owner_handler() was deprecated by the plugin hook 'page_owner', 'system'.", 1.8);
-}
-
-/**
- * Set a page owner entity
+ * @note Access is disabled while finding the page owner for the group gatekeeper functions.
*
- * @param int $entitytoset The GUID of the entity
- *
- * @deprecated 1.8 Use elgg_set_page_owner_guid()
- * @return void
- */
-function set_page_owner($entitytoset = -1) {
- elgg_deprecated_notice('set_page_owner() was deprecated by elgg_set_page_owner_guid().', 1.8);
- elgg_set_page_owner_guid($entitytoset);
-}
-
-/**
- * Handles default page owners
*
- * @param string $hook page_owner
- * @param string $entity_type system
- * @param mixed $returnvalue Previous function's return value
- * @param mixed $params Params
+ * @param string $hook 'page_owner'
+ * @param string $entity_type 'system'
+ * @param int $returnvalue Previous function's return value
+ * @param array $params no parameters
*
- * @return int
+ * @return int GUID
+ * @access private
*/
function default_page_owner_handler($hook, $entity_type, $returnvalue, $params) {
@@ -127,17 +100,22 @@ function default_page_owner_handler($hook, $entity_type, $returnvalue, $params)
return $returnvalue;
}
+ $ia = elgg_set_ignore_access(true);
+
$username = get_input("username");
if ($username) {
+ // @todo using a username of group:<guid> is deprecated
if (substr_count($username, 'group:')) {
preg_match('/group\:([0-9]+)/i', $username, $matches);
$guid = $matches[1];
if ($entity = get_entity($guid)) {
+ elgg_set_ignore_access($ia);
return $entity->getGUID();
}
}
if ($user = get_user_by_username($username)) {
+ elgg_set_ignore_access($ia);
return $user->getGUID();
}
}
@@ -145,11 +123,53 @@ function default_page_owner_handler($hook, $entity_type, $returnvalue, $params)
$owner = get_input("owner_guid");
if ($owner) {
if ($user = get_entity($owner)) {
+ elgg_set_ignore_access($ia);
return $user->getGUID();
}
}
- return $returnvalue;
+ // ignore root and query
+ $uri = current_page_url();
+ $path = str_replace(elgg_get_site_url(), '', $uri);
+ $path = trim($path, "/");
+ if (strpos($path, "?")) {
+ $path = substr($path, 0, strpos($path, "?"));
+ }
+
+ // @todo feels hacky
+ if (get_input('page', FALSE)) {
+ $segments = explode('/', $path);
+ if (isset($segments[1]) && isset($segments[2])) {
+ switch ($segments[1]) {
+ case 'owner':
+ case 'friends':
+ $user = get_user_by_username($segments[2]);
+ if ($user) {
+ elgg_set_ignore_access($ia);
+ return $user->getGUID();
+ }
+ break;
+ case 'view':
+ case 'edit':
+ $entity = get_entity($segments[2]);
+ if ($entity) {
+ elgg_set_ignore_access($ia);
+ return $entity->getContainerGUID();
+ }
+ break;
+ case 'add':
+ case 'group':
+ $entity = get_entity($segments[2]);
+ if ($entity) {
+ elgg_set_ignore_access($ia);
+ return $entity->getGUID();
+ }
+ break;
+ }
+ }
+ }
+
+ elgg_set_ignore_access($ia);
}
/**
@@ -160,8 +180,8 @@ function default_page_owner_handler($hook, $entity_type, $returnvalue, $params)
* output could be different for those two contexts ('blog' vs 'widget').
*
* Pages that pass through the page handling system set the context to the
- * first string after 'pg'. Example: http://elgg.org/pg/bookmarks/ results in
- * the initial context being set to 'bookmarks'.
+ * first string after the root url. Example: http://example.org/elgg/bookmarks/
+ * results in the initial context being set to 'bookmarks'.
*
* The context is a stack so that for a widget on a profile, the context stack
* may contain first 'profile' and then 'widget'.
@@ -171,9 +191,9 @@ function default_page_owner_handler($hook, $entity_type, $returnvalue, $params)
* @warning The context is not available until the page_handler runs (after
* the 'init, system' event processing has completed).
*
- * @param string $context The context of the page
+ * @param string $context The context of the page
* @return bool
- * @since 1.8
+ * @since 1.8.0
*/
function elgg_set_context($context) {
global $CONFIG;
@@ -198,11 +218,15 @@ function elgg_set_context($context) {
* Since context is a stack, this is equivalent to a peek.
*
* @return string|NULL
- * @since 1.8
+ * @since 1.8.0
*/
function elgg_get_context() {
global $CONFIG;
+ if (!$CONFIG->context) {
+ return null;
+ }
+
return $CONFIG->context[count($CONFIG->context) - 1];
}
@@ -210,7 +234,8 @@ function elgg_get_context() {
* Push a context onto the top of the stack
*
* @param string $context The context string to add to the context stack
- * @since 1.8
+ * @return void
+ * @since 1.8.0
*/
function elgg_push_context($context) {
global $CONFIG;
@@ -222,7 +247,7 @@ function elgg_push_context($context) {
* Removes and returns the top context string from the stack
*
* @return string|NULL
- * @since 1.8
+ * @since 1.8.0
*/
function elgg_pop_context() {
global $CONFIG;
@@ -238,9 +263,9 @@ function elgg_pop_context() {
* itself differently based on being on the dashboard or profile pages, it
* can check the stack.
*
- * @param string $context The context string to check for
+ * @param string $context The context string to check for
* @return bool
- * @since 1.8
+ * @since 1.8.0
*/
function elgg_in_context($context) {
global $CONFIG;
@@ -249,53 +274,24 @@ function elgg_in_context($context) {
}
/**
- * Sets the functional context of a page
- *
- * @deprecated 1.8 Use elgg_set_context()
- *
- * @param string $context The context of the page
- *
- * @return mixed Either the context string, or false on failure
- */
-function set_context($context) {
- elgg_deprecated_notice('set_context() was deprecated by elgg_set_context().', 1.8);
- elgg_set_context($context);
- if (empty($context)) {
- return false;
- }
- return $context;
-}
-
-/**
- * Returns the functional context of a page
- *
- * @deprecated 1.8 Use elgg_get_context()
- *
- * @return string The context, or 'main' if no context has been provided
- */
-function get_context() {
- elgg_deprecated_notice('get_context() was deprecated by elgg_get_context().', 1.8);
- return elgg_get_context();
-
- // @todo - used to set context based on calling script
- // $context = get_plugin_name(true)
-}
-
-
-/**
* Initializes the page owner functions
*
* @note This is on the 'boot, system' event so that the context is set up quickly.
*
* @return void
+ * @access private
*/
function page_owner_boot() {
- global $CONFIG;
elgg_register_plugin_hook_handler('page_owner', 'system', 'default_page_owner_handler');
-
- // initial context - will be replaced by page handler
- $CONFIG->context = array('main');
+
+ // Bootstrap the context stack by setting its first entry to the handler.
+ // This is the first segment of the URL and the handler is set by the rewrite rules.
+ // @todo this does not work for actions
+ $handler = get_input('handler', FALSE);
+ if ($handler) {
+ elgg_set_context($handler);
+ }
}
-elgg_register_event_handler('boot', 'system', 'page_owner_boot'); \ No newline at end of file
+elgg_register_event_handler('boot', 'system', 'page_owner_boot');
diff --git a/engine/lib/pam.php b/engine/lib/pam.php
index f6db28355..1c9c3bfe1 100644
--- a/engine/lib/pam.php
+++ b/engine/lib/pam.php
@@ -20,6 +20,7 @@
* @subpackage Authentication.PAM
*/
+global $_PAM_HANDLERS;
$_PAM_HANDLERS = array();
/**
@@ -29,7 +30,9 @@ $_PAM_HANDLERS = array();
* failure, return false or throw an exception. Returning nothing indicates that
* the handler wants to be skipped.
*
- * @param string $handler The handler function in the format
+ * Note, $handler must be string callback (not an array/Closure).
+ *
+ * @param string $handler Callable global handler function in the format ()
* pam_handler($credentials = NULL);
* @param string $importance The importance - "sufficient" (default) or "required"
* @param string $policy The policy type, default is "user"
@@ -44,7 +47,8 @@ function register_pam_handler($handler, $importance = "sufficient", $policy = "u
$_PAM_HANDLERS[$policy] = array();
}
- if (is_callable($handler)) {
+ // @todo remove requirement that $handle be a global function
+ if (is_string($handler) && is_callable($handler, true)) {
$_PAM_HANDLERS[$policy][$handler] = new stdClass;
$_PAM_HANDLERS[$policy][$handler]->handler = $handler;
@@ -70,43 +74,3 @@ function unregister_pam_handler($handler, $policy = "user") {
unset($_PAM_HANDLERS[$policy][$handler]);
}
-
-function pam_authenticate($credentials = NULL, $policy = "user") {
- elgg_deprecated_notice('pam_authenticate has been deprecated for ElggPAM', 1.8);
- global $_PAM_HANDLERS, $_PAM_HANDLERS_MSG;
-
- $_PAM_HANDLERS_MSG = array();
-
- $authenticated = false;
-
- foreach ($_PAM_HANDLERS[$policy] as $k => $v) {
- $handler = $v->handler;
- $importance = $v->importance;
-
- try {
- // Execute the handler
- if ($handler($credentials)) {
- // Explicitly returned true
- $_PAM_HANDLERS_MSG[$k] = "Authenticated!";
-
- $authenticated = true;
- } else {
- $_PAM_HANDLERS_MSG[$k] = "Not Authenticated.";
-
- // If this is required then abort.
- if ($importance == 'required') {
- return false;
- }
- }
- } catch (Exception $e) {
- $_PAM_HANDLERS_MSG[$k] = "$e";
-
- // If this is required then abort.
- if ($importance == 'required') {
- return false;
- }
- }
- }
-
- return $authenticated;
-} \ No newline at end of file
diff --git a/engine/lib/plugins.php b/engine/lib/plugins.php
index 3649fddb7..d5d3db466 100644
--- a/engine/lib/plugins.php
+++ b/engine/lib/plugins.php
@@ -7,220 +7,538 @@
* @subpackage Plugins
*/
-/// Cache enabled plugins per page
-$ENABLED_PLUGINS_CACHE = NULL;
+/**
+ * Tells ElggPlugin::start() to include the start.php file.
+ */
+define('ELGG_PLUGIN_INCLUDE_START', 1);
+
+/**
+ * Tells ElggPlugin::start() to automatically register the plugin's views.
+ */
+define('ELGG_PLUGIN_REGISTER_VIEWS', 2);
+
+/**
+ * Tells ElggPlugin::start() to automatically register the plugin's languages.
+ */
+define('ELGG_PLUGIN_REGISTER_LANGUAGES', 4);
+
+/**
+ * Tells ElggPlugin::start() to automatically register the plugin's classes.
+ */
+define('ELGG_PLUGIN_REGISTER_CLASSES', 8);
/**
- * Returns a list of plugins to load, in the order that they should be loaded.
+ * Prefix for plugin setting names
*
- * @return array List of plugins
+ * @todo Can't namespace these because many plugins directly call
+ * private settings via $entity->$name.
*/
-function get_plugin_list() {
- global $CONFIG;
+//define('ELGG_PLUGIN_SETTING_PREFIX', 'plugin:setting:');
- if (!empty($CONFIG->pluginlistcache)) {
- return $CONFIG->pluginlistcache;
+/**
+ * Prefix for plugin user setting names
+ */
+define('ELGG_PLUGIN_USER_SETTING_PREFIX', 'plugin:user_setting:');
+
+/**
+ * Internal settings prefix
+ *
+ * @todo This could be resolved by promoting ElggPlugin to a 5th type.
+ */
+define('ELGG_PLUGIN_INTERNAL_PREFIX', 'elgg:internal:');
+
+
+/**
+ * Returns a list of plugin IDs (dir names) from a dir.
+ *
+ * @param string $dir A dir to scan for plugins. Defaults to config's plugins_path.
+ *
+ * @return array
+ * @since 1.8.0
+ * @access private
+ */
+function elgg_get_plugin_ids_in_dir($dir = null) {
+ if (!$dir) {
+ $dir = elgg_get_plugins_path();
}
- if ($site = get_entity($CONFIG->site_guid)) {
- $pluginorder = $site->pluginorder;
- if (!empty($pluginorder)) {
- $plugins = unserialize($pluginorder);
+ $plugin_ids = array();
+ $handle = opendir($dir);
- $CONFIG->pluginlistcache = $plugins;
- return $plugins;
- } else {
- // this only runs on install, otherwise uses serialized plugin order
- $plugins = array();
-
- if ($handle = opendir($CONFIG->pluginspath)) {
- while ($mod = readdir($handle)) {
- // must be directory and not begin with a .
- if (substr($mod, 0, 1) !== '.' && is_dir($CONFIG->pluginspath . "/" . $mod)) {
- $plugins[] = $mod;
- }
- }
+ if ($handle) {
+ while ($plugin_id = readdir($handle)) {
+ // must be directory and not begin with a .
+ if (substr($plugin_id, 0, 1) !== '.' && is_dir($dir . $plugin_id)) {
+ $plugin_ids[] = $plugin_id;
+ }
+ }
+ }
+
+ sort($plugin_ids);
+
+ return $plugin_ids;
+}
+
+/**
+ * Discovers plugins in the plugins_path setting and creates ElggPlugin
+ * entities for them if they don't exist. If there are plugins with entities
+ * but not actual files, will disable the ElggPlugin entities and mark as inactive.
+ * The ElggPlugin object holds config data, so don't delete.
+ *
+ * @todo Crappy name?
+ * @return bool
+ * @since 1.8.0
+ * @access private
+ */
+function elgg_generate_plugin_entities() {
+ // @todo $site unused, can remove?
+ $site = get_config('site');
+
+ $dir = elgg_get_plugins_path();
+ $db_prefix = elgg_get_config('dbprefix');
+
+ $options = array(
+ 'type' => 'object',
+ 'subtype' => 'plugin',
+ 'selects' => array('plugin_oe.*'),
+ 'joins' => array("JOIN {$db_prefix}objects_entity plugin_oe on plugin_oe.guid = e.guid"),
+ 'limit' => ELGG_ENTITIES_NO_VALUE
+ );
+
+ $old_ia = elgg_set_ignore_access(true);
+ $old_access = access_get_show_hidden_status();
+ access_show_hidden_entities(true);
+ $known_plugins = elgg_get_entities_from_relationship($options);
+ /* @var ElggPlugin[] $known_plugins */
+
+ if (!$known_plugins) {
+ $known_plugins = array();
+ }
+
+ // map paths to indexes
+ $id_map = array();
+ foreach ($known_plugins as $i => $plugin) {
+ // if the ID is wrong, delete the plugin because we can never load it.
+ $id = $plugin->getID();
+ if (!$id) {
+ $plugin->delete();
+ unset($known_plugins[$i]);
+ continue;
+ }
+ $id_map[$plugin->getID()] = $i;
+ }
+
+ $physical_plugins = elgg_get_plugin_ids_in_dir($dir);
+
+ if (!$physical_plugins) {
+ return false;
+ }
+
+ // check real plugins against known ones
+ foreach ($physical_plugins as $plugin_id) {
+ // is this already in the db?
+ if (array_key_exists($plugin_id, $id_map)) {
+ $index = $id_map[$plugin_id];
+ $plugin = $known_plugins[$index];
+ // was this plugin deleted and its entity disabled?
+ if (!$plugin->isEnabled()) {
+ $plugin->enable();
+ $plugin->deactivate();
+ $plugin->setPriority('last');
}
- sort($plugins);
+ // remove from the list of plugins to disable
+ unset($known_plugins[$index]);
+ } else {
+ // add new plugins
+ // priority is force to last in save() if not set.
+ $plugin = new ElggPlugin($plugin_id);
+ $plugin->save();
+ }
+ }
- $CONFIG->pluginlistcache = $plugins;
- return $plugins;
+ // everything remaining in $known_plugins needs to be disabled
+ // because they are entities, but their dirs were removed.
+ // don't delete the entities because they hold settings.
+ foreach ($known_plugins as $plugin) {
+ if ($plugin->isActive()) {
+ $plugin->deactivate();
}
+ // remove the priority.
+ $name = elgg_namespace_plugin_private_setting('internal', 'priority');
+ remove_private_setting($plugin->guid, $name);
+ $plugin->disable();
+ }
+
+ access_show_hidden_entities($old_access);
+ elgg_set_ignore_access($old_ia);
+
+ elgg_reindex_plugin_priorities();
+
+ return true;
+}
+
+/**
+ * Cache a reference to this plugin by its ID
+ *
+ * @param ElggPlugin $plugin
+ *
+ * @access private
+ */
+function _elgg_cache_plugin_by_id(ElggPlugin $plugin) {
+ $map = (array) elgg_get_config('plugins_by_id_map');
+ $map[$plugin->getID()] = $plugin;
+ elgg_set_config('plugins_by_id_map', $map);
+}
+
+/**
+ * Returns an ElggPlugin object with the path $path.
+ *
+ * @param string $plugin_id The id (dir name) of the plugin. NOT the guid.
+ * @return ElggPlugin|false
+ * @since 1.8.0
+ */
+function elgg_get_plugin_from_id($plugin_id) {
+ $map = (array) elgg_get_config('plugins_by_id_map');
+ if (isset($map[$plugin_id])) {
+ return $map[$plugin_id];
+ }
+
+ $plugin_id = sanitize_string($plugin_id);
+ $db_prefix = get_config('dbprefix');
+
+ $options = array(
+ 'type' => 'object',
+ 'subtype' => 'plugin',
+ 'joins' => array("JOIN {$db_prefix}objects_entity oe on oe.guid = e.guid"),
+ 'selects' => array("oe.title", "oe.description"),
+ 'wheres' => array("oe.title = '$plugin_id'"),
+ 'limit' => 1
+ );
+
+ $plugins = elgg_get_entities($options);
+
+ if ($plugins) {
+ return $plugins[0];
}
return false;
}
/**
- * Regenerates the list of known plugins and saves it to the current site
+ * Returns if a plugin exists in the system.
*
- * Important: You should regenerate simplecache and the viewpath cache after executing this function
- * otherwise you may experience view display artifacts. Do this with the following code:
+ * @warning This checks only plugins that are registered in the system!
+ * If the plugin cache is outdated, be sure to regenerate it with
+ * {@link elgg_generate_plugin_objects()} first.
*
- * elgg_view_regenerate_simplecache();
- * elgg_filepath_cache_reset();
+ * @param string $id The plugin ID.
+ * @since 1.8.0
+ * @return bool
+ */
+function elgg_plugin_exists($id) {
+ $plugin = elgg_get_plugin_from_id($id);
+
+ return ($plugin) ? true : false;
+}
+
+/**
+ * Returns the highest priority of the plugins
*
- * @param array $pluginorder Optionally, a list of existing plugins and their orders
+ * @return int
+ * @since 1.8.0
+ * @access private
+ */
+function elgg_get_max_plugin_priority() {
+ $db_prefix = get_config('dbprefix');
+ $priority = elgg_namespace_plugin_private_setting('internal', 'priority');
+ $plugin_subtype = get_subtype_id('object', 'plugin');
+
+ $q = "SELECT MAX(CAST(ps.value AS unsigned)) as max
+ FROM {$db_prefix}entities e, {$db_prefix}private_settings ps
+ WHERE ps.name = '$priority'
+ AND ps.entity_guid = e.guid
+ AND e.type = 'object' and e.subtype = $plugin_subtype";
+
+ $data = get_data($q);
+ if ($data) {
+ $max = $data[0]->max;
+ } else {
+ $max = 1;
+ }
+
+ // can't have a priority of 0.
+ return ($max) ? $max : 1;
+}
+
+/**
+ * Returns if a plugin is active for a current site.
*
- * @return array The new list of plugins and their orders
+ * @param string $plugin_id The plugin ID
+ * @param int $site_guid The site guid
+ * @since 1.8.0
+ * @return bool
*/
-function regenerate_plugin_list($pluginorder = FALSE) {
- global $CONFIG;
+function elgg_is_active_plugin($plugin_id, $site_guid = null) {
+ if ($site_guid) {
+ $site = get_entity($site_guid);
+ } else {
+ $site = elgg_get_site_entity();
+ }
+
+ if (!($site instanceof ElggSite)) {
+ return false;
+ }
- $CONFIG->pluginlistcache = NULL;
+ $plugin = elgg_get_plugin_from_id($plugin_id);
- if ($site = get_entity($CONFIG->site_guid)) {
- if (empty($pluginorder)) {
- $pluginorder = $site->pluginorder;
- $pluginorder = unserialize($pluginorder);
- } else {
- ksort($pluginorder);
- }
+ if (!$plugin) {
+ return false;
+ }
- if (empty($pluginorder)) {
- $pluginorder = array();
- }
+ return $plugin->isActive($site->guid);
+}
- $max = 0;
- if (sizeof($pluginorder)) {
- foreach ($pluginorder as $key => $plugin) {
- if (is_dir($CONFIG->pluginspath . "/" . $plugin)) {
- if ($key > $max) {
- $max = $key;
- }
- } else {
- unset($pluginorder[$key]);
- }
- }
- }
- // Add new plugins to the end
- if ($handle = opendir($CONFIG->pluginspath)) {
- while ($mod = readdir($handle)) {
- // must be directory and not begin with a .
- if (substr($mod, 0, 1) !== '.' && is_dir($CONFIG->pluginspath . "/" . $mod)) {
- if (!in_array($mod, $pluginorder)) {
- $max = $max + 10;
- $pluginorder[$max] = $mod;
- }
- }
- }
+/**
+ * Loads all active plugins in the order specified in the tool admin panel.
+ *
+ * @note This is called on every page load. If a plugin is active and problematic, it
+ * will be disabled and a visible error emitted. This does not check the deps system because
+ * that was too slow.
+ *
+ * @return bool
+ * @since 1.8.0
+ * @access private
+ */
+function elgg_load_plugins() {
+ $plugins_path = elgg_get_plugins_path();
+ $start_flags = ELGG_PLUGIN_INCLUDE_START |
+ ELGG_PLUGIN_REGISTER_VIEWS |
+ ELGG_PLUGIN_REGISTER_LANGUAGES |
+ ELGG_PLUGIN_REGISTER_CLASSES;
+
+ if (!$plugins_path) {
+ return false;
+ }
+
+ // temporary disable all plugins if there is a file called 'disabled' in the plugin dir
+ if (file_exists("$plugins_path/disabled")) {
+ if (elgg_is_admin_logged_in() && elgg_in_context('admin')) {
+ system_message(elgg_echo('plugins:disabled'));
}
+ return false;
+ }
+
+ if (elgg_get_config('system_cache_loaded')) {
+ $start_flags = $start_flags & ~ELGG_PLUGIN_REGISTER_VIEWS;
+ }
- ksort($pluginorder);
+ if (elgg_get_config('i18n_loaded_from_cache')) {
+ $start_flags = $start_flags & ~ELGG_PLUGIN_REGISTER_LANGUAGES;
+ }
- // Now reorder the keys ..
- $key = 10;
- $plugins = array();
- if (sizeof($pluginorder)) {
- foreach ($pluginorder as $plugin) {
- $plugins[$key] = $plugin;
- $key = $key + 10;
+ $return = true;
+ $plugins = elgg_get_plugins('active');
+ if ($plugins) {
+ foreach ($plugins as $plugin) {
+ try {
+ $plugin->start($start_flags);
+ } catch (Exception $e) {
+ $plugin->deactivate();
+ $msg = elgg_echo('PluginException:CannotStart',
+ array($plugin->getID(), $plugin->guid, $e->getMessage()));
+ elgg_add_admin_notice('cannot_start' . $plugin->getID(), $msg);
+ $return = false;
+
+ continue;
}
}
+ }
+
+ return $return;
+}
- $plugins = serialize($plugins);
+/**
+ * Returns an ordered list of plugins
+ *
+ * @param string $status The status of the plugins. active, inactive, or all.
+ * @param mixed $site_guid Optional site guid
+ * @return ElggPlugin[]
+ * @since 1.8.0
+ * @access private
+ */
+function elgg_get_plugins($status = 'active', $site_guid = null) {
+ $db_prefix = get_config('dbprefix');
+ $priority = elgg_namespace_plugin_private_setting('internal', 'priority');
- $site->pluginorder = $plugins;
+ if (!$site_guid) {
+ $site = get_config('site');
+ $site_guid = $site->guid;
+ }
- return $plugins;
+ // grab plugins
+ $options = array(
+ 'type' => 'object',
+ 'subtype' => 'plugin',
+ 'limit' => ELGG_ENTITIES_NO_VALUE,
+ 'selects' => array('plugin_oe.*'),
+ 'joins' => array(
+ "JOIN {$db_prefix}private_settings ps on ps.entity_guid = e.guid",
+ "JOIN {$db_prefix}objects_entity plugin_oe on plugin_oe.guid = e.guid"
+ ),
+ 'wheres' => array("ps.name = '$priority'"),
+ 'order_by' => "CAST(ps.value as unsigned), e.guid"
+ );
+
+ switch ($status) {
+ case 'active':
+ $options['relationship'] = 'active_plugin';
+ $options['relationship_guid'] = $site_guid;
+ $options['inverse_relationship'] = true;
+ break;
+
+ case 'inactive':
+ $options['wheres'][] = "NOT EXISTS (
+ SELECT 1 FROM {$db_prefix}entity_relationships active_er
+ WHERE active_er.guid_one = e.guid
+ AND active_er.relationship = 'active_plugin'
+ AND active_er.guid_two = $site_guid)";
+ break;
+
+ case 'all':
+ default:
+ break;
}
- return FALSE;
-}
+ $old_ia = elgg_set_ignore_access(true);
+ $plugins = elgg_get_entities_from_relationship($options);
+ elgg_set_ignore_access($old_ia);
+ return $plugins;
+}
/**
- * For now, loads plugins directly
+ * Reorder plugins to an order specified by the array.
+ * Plugins not included in this array will be appended to the end.
*
- * @todo Add proper plugin handler that launches plugins in an
- * admin-defined order and activates them on admin request
+ * @note This doesn't use the ElggPlugin->setPriority() method because
+ * all plugins are being changed and we don't want it to automatically
+ * reorder plugins.
*
- * @return void
+ * @param array $order An array of plugin ids in the order to set them
+ * @return bool
+ * @since 1.8.0
+ * @access private
*/
-function load_plugins() {
- global $CONFIG;
+function elgg_set_plugin_priorities(array $order) {
+ $name = elgg_namespace_plugin_private_setting('internal', 'priority');
+
+ $plugins = elgg_get_plugins('any');
+ if (!$plugins) {
+ return false;
+ }
+
+ $return = true;
- if (!empty($CONFIG->pluginspath)) {
- // See if we have cached values for things
- $cached_view_paths = elgg_filepath_cache_load();
- if ($cached_view_paths) {
- $CONFIG->views = unserialize($cached_view_paths);
+ // reindex to get standard counting. no need to increment by 10.
+ // though we do start with 1
+ $order = array_values($order);
+
+ $missing_plugins = array();
+ foreach ($plugins as $plugin) {
+ $plugin_id = $plugin->getID();
+
+ if (!in_array($plugin_id, $order)) {
+ $missing_plugins[] = $plugin;
+ continue;
}
- // temporary disable all plugins if there is a file called 'disabled' in the plugin dir
- if (file_exists($CONFIG->pluginspath . "disabled")) {
- return;
+ $priority = array_search($plugin_id, $order) + 1;
+
+ if (!$plugin->set($name, $priority)) {
+ $return = false;
+ break;
}
+ }
- $plugins = get_plugin_list();
-
- if (sizeof($plugins)) {
- foreach ($plugins as $mod) {
- if (is_plugin_enabled($mod)) {
- if (file_exists($CONFIG->pluginspath . $mod)) {
- if (!include($CONFIG->pluginspath . $mod . "/start.php")) {
- // automatically disable the bad plugin
- disable_plugin($mod);
-
- // register error rather than rendering the site unusable with exception
- register_error(elgg_echo('PluginException:MisconfiguredPlugin', array($mod)));
-
- // continue loading remaining plugins
- continue;
- }
-
- if (!$cached_view_paths) {
- $view_dir = $CONFIG->pluginspath . $mod . '/views/';
-
- if (is_dir($view_dir) && ($handle = opendir($view_dir))) {
- while (FALSE !== ($view_type = readdir($handle))) {
- $view_type_dir = $view_dir . $view_type;
-
- if ('.' !== substr($view_type, 0, 1) && is_dir($view_type_dir)) {
- if (autoregister_views('', $view_type_dir, $view_dir, $view_type)) {
- // add the valid view type.
- if (!in_array($view_type, $CONFIG->view_types)) {
- $CONFIG->view_types[] = $view_type;
- }
- }
- }
- }
- }
- }
-
- if (is_dir($CONFIG->pluginspath . $mod . "/languages")) {
- register_translations($CONFIG->pluginspath . $mod . "/languages/");
- }
-
- if (is_dir($CONFIG->pluginspath . "$mod/classes")) {
- elgg_register_classes($CONFIG->pluginspath . "$mod/classes");
- }
- }
- }
+ // set the missing plugins' priorities
+ if ($return && $missing_plugins) {
+ if (!isset($priority)) {
+ $priority = 0;
+ }
+ foreach ($missing_plugins as $plugin) {
+ $priority++;
+ if (!$plugin->set($name, $priority)) {
+ $return = false;
+ break;
}
}
+ }
- // Cache results
- if (!$cached_view_paths) {
- elgg_filepath_cache_save(serialize($CONFIG->views));
- }
+ return $return;
+}
+
+/**
+ * Reindexes all plugin priorities starting at 1.
+ *
+ * @todo Can this be done in a single sql command?
+ * @return bool
+ * @since 1.8.0
+ * @access private
+ */
+function elgg_reindex_plugin_priorities() {
+ return elgg_set_plugin_priorities(array());
+}
+
+/**
+ * Namespaces a string to be used as a private setting for a plugin.
+ *
+ * @param string $type The type of value: user_setting or internal.
+ * @param string $name The name to namespace.
+ * @param string $id The plugin's ID to namespace with. Required for user_setting.
+ * @return string
+ * @since 1.8.0
+ * @access private
+ */
+function elgg_namespace_plugin_private_setting($type, $name, $id = null) {
+ switch ($type) {
+ // commented out because it breaks $plugin->$name access to variables
+ //case 'setting':
+ // $name = ELGG_PLUGIN_SETTING_PREFIX . $name;
+ // break;
+
+ case 'user_setting':
+ if (!$id) {
+ $id = elgg_get_calling_plugin_id();
+ }
+ $name = ELGG_PLUGIN_USER_SETTING_PREFIX . "$id:$name";
+ break;
+
+ case 'internal':
+ $name = ELGG_PLUGIN_INTERNAL_PREFIX . $name;
+ break;
}
+
+ return $name;
}
/**
* Get the name of the most recent plugin to be called in the
* call stack (or the plugin that owns the current page, if any).
*
- * i.e., if the last plugin was in /mod/foobar/, get_plugin_name would return foo_bar.
+ * i.e., if the last plugin was in /mod/foobar/, this would return foo_bar.
*
* @param boolean $mainfilename If set to true, this will instead determine the
* context from the main script filename called by
* the browser. Default = false.
*
* @return string|false Plugin name, or false if no plugin name was called
+ * @since 1.8.0
+ * @access private
+ *
+ * @todo get rid of this
*/
-function get_plugin_name($mainfilename = false) {
+function elgg_get_calling_plugin_id($mainfilename = false) {
if (!$mainfilename) {
if ($backtrace = debug_backtrace()) {
foreach ($backtrace as $step) {
@@ -233,8 +551,9 @@ function get_plugin_name($mainfilename = false) {
}
}
} else {
- if (preg_match("/pg\/([a-zA-Z0-9\-\_]*)\//", $_SERVER['REQUEST_URI'], $matches)) {
- return $matches[1];
+ //@todo this is a hack -- plugins do not have to match their page handler names!
+ if ($handler = get_input('handler', FALSE)) {
+ return $handler;
} else {
$file = $_SERVER["SCRIPT_NAME"];
$file = str_replace("\\", "/", $file);
@@ -248,635 +567,613 @@ function get_plugin_name($mainfilename = false) {
}
/**
- * Load and parse a plugin manifest from a plugin XML file.
- *
- * Example file:
- *
- * <plugin_manifest>
- * <!-- Basic information -->
- * <field key="name" value="My Plugin" />
- * <field key="description" value="My Plugin's concise description" />
- * <field key="version" value="1.0" />
- * <field key="category" value="theme" />
- * <field key="category" value="bundled" />
- * <field key="screenshot" value="path/relative/to/my_plugin.jpg" />
- * <field key="screenshot" value="path/relative/to/my_plugin_2.jpg" />
+ * Returns an array of all provides from all active plugins.
*
- * <field key="author" value="Curverider Ltd" />
- * <field key="website" value="http://www.elgg.org/" />
- * <field key="copyright" value="(C) Curverider 2008-2010" />
- * <field key="licence" value="GNU Public License version 2" />
- * </plugin_manifest>
+ * Array in the form array(
+ * 'provide_type' => array(
+ * 'provided_name' => array(
+ * 'version' => '1.8',
+ * 'provided_by' => 'provider_plugin_id'
+ * )
+ * )
+ * )
*
- * @param string $plugin Plugin name.
+ * @param string $type The type of provides to return
+ * @param string $name A specific provided name to return. Requires $provide_type.
*
- * @return array of values
+ * @return array
+ * @since 1.8.0
+ * @access private
*/
-function load_plugin_manifest($plugin) {
- global $CONFIG;
-
- $xml = xml_to_object(file_get_contents($CONFIG->pluginspath . $plugin . "/manifest.xml"));
-
- if ($xml) {
- // set up some defaults to normalize expected values to arrays
- $elements = array(
- 'screenshot' => array(),
- 'category' => array()
- );
-
- foreach ($xml->children as $element) {
- $key = $element->attributes['key'];
- $value = $element->attributes['value'];
-
- // create arrays if multiple fields are set
- if (array_key_exists($key, $elements)) {
- if (!is_array($elements[$key])) {
- $orig = $elements[$key];
- $elements[$key] = array($orig);
+function elgg_get_plugins_provides($type = null, $name = null) {
+ static $provides = null;
+ $active_plugins = elgg_get_plugins('active');
+
+ if (!isset($provides)) {
+ $provides = array();
+
+ foreach ($active_plugins as $plugin) {
+ $plugin_provides = array();
+ $manifest = $plugin->getManifest();
+ if ($manifest instanceof ElggPluginManifest) {
+ $plugin_provides = $plugin->getManifest()->getProvides();
+ }
+ if ($plugin_provides) {
+ foreach ($plugin_provides as $provided) {
+ $provides[$provided['type']][$provided['name']] = array(
+ 'version' => $provided['version'],
+ 'provided_by' => $plugin->getID()
+ );
}
-
- $elements[$key][] = $value;
- } else {
- $elements[$key] = $value;
}
}
+ }
- // handle plugins that don't define a name
- if (!isset($elements['name'])) {
- $elements['name'] = ucwords($plugin);
+ if ($type && $name) {
+ if (isset($provides[$type][$name])) {
+ return $provides[$type][$name];
+ } else {
+ return false;
+ }
+ } elseif ($type) {
+ if (isset($provides[$type])) {
+ return $provides[$type];
+ } else {
+ return false;
}
-
- return $elements;
}
- return false;
+ return $provides;
}
/**
- * This function checks a plugin manifest 'elgg_version' value against the current install
- * returning TRUE if the elgg_version is >= the current install's version.
+ * Checks if a plugin is currently providing $type and $name, and optionally
+ * checking a version.
*
- * @param string $manifest_elgg_version_string The build version (eg 2009010201).
+ * @param string $type The type of the provide
+ * @param string $name The name of the provide
+ * @param string $version A version to check against
+ * @param string $comparison The comparison operator to use in version_compare()
*
- * @return bool
+ * @return array An array in the form array(
+ * 'status' => bool Does the provide exist?,
+ * 'value' => string The version provided
+ * )
+ * @since 1.8.0
+ * @access private
*/
-function check_plugin_compatibility($manifest_elgg_version_string) {
- $version = get_version();
-
- if (strpos($manifest_elgg_version_string, '.') === false) {
- // Using version
- $req_version = (int)$manifest_elgg_version_string;
+function elgg_check_plugins_provides($type, $name, $version = null, $comparison = 'ge') {
+ $provided = elgg_get_plugins_provides($type, $name);
+ if (!$provided) {
+ return array(
+ 'status' => false,
+ 'version' => ''
+ );
+ }
- return ($version >= $req_version);
+ if ($version) {
+ $status = version_compare($provided['version'], $version, $comparison);
+ } else {
+ $status = true;
}
- return false;
+ return array(
+ 'status' => $status,
+ 'value' => $provided['version']
+ );
}
/**
- * Shorthand function for finding the plugin settings.
+ * Returns an array of parsed strings for a dependency in the
+ * format: array(
+ * 'type' => requires, conflicts, or provides.
+ * 'name' => The name of the requirement / conflict
+ * 'value' => A string representing the expected value: <1, >=3, !=enabled
+ * 'local_value' => The current value, ("Not installed")
+ * 'comment' => Free form text to help resovle the problem ("Enable / Search for plugin <link>")
+ * )
*
- * @param string $plugin_name Optional plugin name, if not specified
- * then it is detected from where you are calling from.
- *
- * @return mixed
+ * @param array $dep An ElggPluginPackage dependency array
+ * @return array
+ * @since 1.8.0
+ * @access private
*/
-function find_plugin_settings($plugin_name = "") {
- $options = array('type' => 'object', 'subtype' => 'plugin', 'limit' => 9999);
- $plugins = elgg_get_entities($options);
- $plugin_name = sanitise_string($plugin_name);
- if (!$plugin_name) {
- $plugin_name = get_plugin_name();
+function elgg_get_plugin_dependency_strings($dep) {
+ $dep_system = elgg_extract('type', $dep);
+ $info = elgg_extract('dep', $dep);
+ $type = elgg_extract('type', $info);
+
+ if (!$dep_system || !$info || !$type) {
+ return false;
}
- if ($plugins) {
- foreach ($plugins as $plugin) {
- if (strcmp($plugin->title, $plugin_name) == 0) {
- return $plugin;
+ // rewrite some of these to be more readable
+ switch($info['comparison']) {
+ case 'lt':
+ $comparison = '<';
+ break;
+ case 'gt':
+ $comparison = '>';
+ break;
+ case 'ge':
+ $comparison = '>=';
+ break;
+ case 'le':
+ $comparison = '<=';
+ break;
+ default;
+ $comparison = $info['comparison'];
+ break;
+ }
+
+ /*
+ 'requires' 'plugin oauth_lib' <1.3 1.3 'downgrade'
+ 'requires' 'php setting bob' >3 3 'change it'
+ 'conflicts' 'php setting' >3 4 'change it'
+ 'conflicted''plugin profile' any 1.8 'disable profile'
+ 'provides' 'plugin oauth_lib' 1.3 -- --
+ 'priority' 'before blog' -- after 'move it'
+ */
+ $strings = array();
+ $strings['type'] = elgg_echo('ElggPlugin:Dependencies:' . ucwords($dep_system));
+
+ switch ($type) {
+ case 'elgg_version':
+ case 'elgg_release':
+ // 'Elgg Version'
+ $strings['name'] = elgg_echo('ElggPlugin:Dependencies:Elgg');
+ $strings['expected_value'] = "$comparison {$info['version']}";
+ $strings['local_value'] = $dep['value'];
+ $strings['comment'] = '';
+ break;
+
+ case 'php_extension':
+ // PHP Extension %s [version]
+ $strings['name'] = elgg_echo('ElggPlugin:Dependencies:PhpExtension', array($info['name']));
+ if ($info['version']) {
+ $strings['expected_value'] = "$comparison {$info['version']}";
+ $strings['local_value'] = $dep['value'];
+ } else {
+ $strings['expected_value'] = '';
+ $strings['local_value'] = '';
}
+ $strings['comment'] = '';
+ break;
+
+ case 'php_ini':
+ $strings['name'] = elgg_echo('ElggPlugin:Dependencies:PhpIni', array($info['name']));
+ $strings['expected_value'] = "$comparison {$info['value']}";
+ $strings['local_value'] = $dep['value'];
+ $strings['comment'] = '';
+ break;
+
+ case 'plugin':
+ $strings['name'] = elgg_echo('ElggPlugin:Dependencies:Plugin', array($info['name']));
+ $expected = $info['version'] ? "$comparison {$info['version']}" : elgg_echo('any');
+ $strings['expected_value'] = $expected;
+ $strings['local_value'] = $dep['value'] ? $dep['value'] : '--';
+ $strings['comment'] = '';
+ break;
+
+ case 'priority':
+ $expected_priority = ucwords($info['priority']);
+ $real_priority = ucwords($dep['value']);
+ $strings['name'] = elgg_echo('ElggPlugin:Dependencies:Priority');
+ $strings['expected_value'] = elgg_echo("ElggPlugin:Dependencies:Priority:$expected_priority", array($info['plugin']));
+ $strings['local_value'] = elgg_echo("ElggPlugin:Dependencies:Priority:$real_priority", array($info['plugin']));
+ $strings['comment'] = '';
+ break;
+ }
+
+ if ($dep['type'] == 'suggests') {
+ if ($dep['status']) {
+ $strings['comment'] = elgg_echo('ok');
+ } else {
+ $strings['comment'] = elgg_echo('ElggPlugin:Dependencies:Suggests:Unsatisfied');
+ }
+ } else {
+ if ($dep['status']) {
+ $strings['comment'] = elgg_echo('ok');
+ } else {
+ $strings['comment'] = elgg_echo('error');
}
}
- return false;
+ return $strings;
}
/**
- * Find the plugin settings for a user.
- *
- * @param string $plugin_name Plugin name.
- * @param int $user_guid The guid who's settings to retrieve.
+ * Returns the ElggPlugin entity of the last plugin called.
*
- * @return array of settings in an associative array minus prefix.
+ * @return mixed ElggPlugin or false
+ * @since 1.8.0
+ * @access private
*/
-function find_plugin_usersettings($plugin_name = "", $user_guid = 0) {
- $plugin_name = sanitise_string($plugin_name);
- $user_guid = (int)$user_guid;
+function elgg_get_calling_plugin_entity() {
+ $plugin_id = elgg_get_calling_plugin_id();
- if (!$plugin_name) {
- $plugin_name = get_plugin_name();
+ if ($plugin_id) {
+ return elgg_get_plugin_from_id($plugin_id);
}
- if ($user_guid == 0) {
- $user_guid = get_loggedin_userid();
+ return false;
+}
+
+/**
+ * Returns an array of all plugin settings for a user.
+ *
+ * @param mixed $user_guid The user GUID or null for the currently logged in user.
+ * @param string $plugin_id The plugin ID
+ * @param bool $return_obj Return settings as an object? This can be used to in reusable
+ * views where the settings are passed as $vars['entity'].
+ * @return array
+ * @since 1.8.0
+ */
+function elgg_get_all_plugin_user_settings($user_guid = null, $plugin_id = null, $return_obj = false) {
+ if ($plugin_id) {
+ $plugin = elgg_get_plugin_from_id($plugin_id);
+ } else {
+ $plugin = elgg_get_calling_plugin_entity();
}
- // Get metadata for user
- $all_metadata = get_all_private_settings($user_guid); //get_metadata_for_entity($user_guid);
- if ($all_metadata) {
- $prefix = "plugin:settings:$plugin_name:";
- $return = new stdClass;
+ if (!$plugin instanceof ElggPlugin) {
+ return false;
+ }
- foreach ($all_metadata as $key => $meta) {
- $name = substr($key, strlen($prefix));
- $value = $meta;
+ $settings = $plugin->getAllUserSettings($user_guid);
- if (strpos($key, $prefix) === 0) {
- $return->$name = $value;
- }
+ if ($settings && $return_obj) {
+ $return = new stdClass;
+
+ foreach ($settings as $k => $v) {
+ $return->$k = $v;
}
return $return;
+ } else {
+ return $settings;
}
-
- return false;
}
/**
* Set a user specific setting for a plugin.
*
- * @param string $name The name - note, can't be "title".
- * @param mixed $value The value.
- * @param int $user_guid Optional user.
- * @param string $plugin_name Optional plugin name, if not specified then it
- * is detected from where you are calling from.
+ * @param string $name The name - note, can't be "title".
+ * @param mixed $value The value.
+ * @param int $user_guid Optional user.
+ * @param string $plugin_id Optional plugin name, if not specified then it
+ * is detected from where you are calling from.
*
* @return bool
+ * @since 1.8.0
*/
-function set_plugin_usersetting($name, $value, $user_guid = 0, $plugin_name = "") {
- $plugin_name = sanitise_string($plugin_name);
- $user_guid = (int)$user_guid;
- $name = sanitise_string($name);
-
- if (!$plugin_name) {
- $plugin_name = get_plugin_name();
- }
-
- $user = get_entity($user_guid);
- if (!$user) {
- $user = get_loggedin_user();
+function elgg_set_plugin_user_setting($name, $value, $user_guid = null, $plugin_id = null) {
+ if ($plugin_id) {
+ $plugin = elgg_get_plugin_from_id($plugin_id);
+ } else {
+ $plugin = elgg_get_calling_plugin_entity();
}
- if (($user) && ($user instanceof ElggUser)) {
- $prefix = "plugin:settings:$plugin_name:$name";
- //$user->$prefix = $value;
- //$user->save();
-
- // Hook to validate setting
- $value = elgg_trigger_plugin_hook('plugin:usersetting', 'user', array(
- 'user' => $user,
- 'plugin' => $plugin_name,
- 'name' => $name,
- 'value' => $value
- ), $value);
-
- return set_private_setting($user->guid, $prefix, $value);
+ if (!$plugin) {
+ return false;
}
- return false;
+ return $plugin->setUserSetting($name, $value, $user_guid);
}
/**
- * Clears a user-specific plugin setting
+ * Unsets a user-specific plugin setting
*
- * @param str $name Name of the plugin setting
- * @param int $user_guid Defaults to logged in user
- * @param str $plugin_name Defaults to contextual plugin name
+ * @param string $name Name of the setting
+ * @param int $user_guid Defaults to logged in user
+ * @param string $plugin_id Defaults to contextual plugin name
*
- * @return bool Success
+ * @return bool
+ * @since 1.8.0
*/
-function clear_plugin_usersetting($name, $user_guid = 0, $plugin_name = '') {
- $plugin_name = sanitise_string($plugin_name);
- $name = sanitise_string($name);
-
- if (!$plugin_name) {
- $plugin_name = get_plugin_name();
- }
-
- $user = get_entity((int) $user_guid);
- if (!$user) {
- $user = get_loggedin_user();
+function elgg_unset_plugin_user_setting($name, $user_guid = null, $plugin_id = null) {
+ if ($plugin_id) {
+ $plugin = elgg_get_plugin_from_id($plugin_id);
+ } else {
+ $plugin = elgg_get_calling_plugin_entity();
}
- if (($user) && ($user instanceof ElggUser)) {
- $prefix = "plugin:settings:$plugin_name:$name";
-
- return remove_private_setting($user->getGUID(), $prefix);
+ if (!$plugin) {
+ return false;
}
- return FALSE;
+ return $plugin->unsetUserSetting($name, $user_guid);
}
/**
* Get a user specific setting for a plugin.
*
- * @param string $name The name.
- * @param int $user_guid Guid of owning user
- * @param string $plugin_name Optional plugin name, if not specified
- * then it is detected from where you are calling from.
+ * @param string $name The name of the setting.
+ * @param int $user_guid Guid of owning user
+ * @param string $plugin_id Optional plugin name, if not specified
+ * it is detected from where you are calling.
*
* @return mixed
+ * @since 1.8.0
*/
-function get_plugin_usersetting($name, $user_guid = 0, $plugin_name = "") {
- $plugin_name = sanitise_string($plugin_name);
- $user_guid = (int)$user_guid;
- $name = sanitise_string($name);
-
- if (!$plugin_name) {
- $plugin_name = get_plugin_name();
- }
-
- $user = get_entity($user_guid);
- if (!$user) {
- $user = get_loggedin_user();
+function elgg_get_plugin_user_setting($name, $user_guid = null, $plugin_id = null) {
+ if ($plugin_id) {
+ $plugin = elgg_get_plugin_from_id($plugin_id);
+ } else {
+ $plugin = elgg_get_calling_plugin_entity();
}
- if (($user) && ($user instanceof ElggUser)) {
- $prefix = "plugin:settings:$plugin_name:$name";
- return get_private_setting($user->guid, $prefix);
+ if (!$plugin) {
+ return false;
}
- return false;
+ return $plugin->getUserSetting($name, $user_guid);
}
/**
* Set a setting for a plugin.
*
- * @param string $name The name - note, can't be "title".
- * @param mixed $value The value.
- * @param string $plugin_name Optional plugin name, if not specified
- * then it is detected from where you are calling from.
+ * @param string $name The name of the setting - note, can't be "title".
+ * @param mixed $value The value.
+ * @param string $plugin_id Optional plugin name, if not specified
+ * then it is detected from where you are calling from.
*
- * @return int|false
+ * @return bool
+ * @since 1.8.0
*/
-function set_plugin_setting($name, $value, $plugin_name = "") {
- if (!$plugin_name) {
- $plugin_name = get_plugin_name();
+function elgg_set_plugin_setting($name, $value, $plugin_id = null) {
+ if ($plugin_id) {
+ $plugin = elgg_get_plugin_from_id($plugin_id);
+ } else {
+ $plugin = elgg_get_calling_plugin_entity();
}
- $plugin = find_plugin_settings($plugin_name);
if (!$plugin) {
- $plugin = new ElggPlugin();
- }
-
- if ($name != 'title') {
- // Hook to validate setting
- $value = elgg_trigger_plugin_hook('plugin:setting', 'plugin', array(
- 'plugin' => $plugin_name,
- 'name' => $name,
- 'value' => $value
- ), $value);
-
- $plugin->title = $plugin_name;
- $plugin->access_id = ACCESS_PUBLIC;
- $plugin->save();
- $plugin->$name = $value;
-
- return $plugin->getGUID();
+ return false;
}
- return false;
+ return $plugin->setSetting($name, $value);
}
/**
* Get setting for a plugin.
*
- * @param string $name The name.
- * @param string $plugin_name Optional plugin name, if not specified
- * then it is detected from where you are calling from.
+ * @param string $name The name of the setting.
+ * @param string $plugin_id Optional plugin name, if not specified
+ * then it is detected from where you are calling from.
*
* @return mixed
+ * @since 1.8.0
+ * @todo make $plugin_id required in future version
*/
-function get_plugin_setting($name, $plugin_name = "") {
- $plugin = find_plugin_settings($plugin_name);
+function elgg_get_plugin_setting($name, $plugin_id = null) {
+ if ($plugin_id) {
+ $plugin = elgg_get_plugin_from_id($plugin_id);
+ } else {
+ $plugin = elgg_get_calling_plugin_entity();
+ }
- if ($plugin) {
- return $plugin->$name;
+ if (!$plugin) {
+ return false;
}
- return false;
+ return $plugin->getSetting($name);
}
/**
- * Clear a plugin setting.
+ * Unsets a plugin setting.
*
- * @param string $name The name.
- * @param string $plugin_name Optional plugin name, if not specified
- * then it is detected from where you are calling from.
+ * @param string $name The name of the setting.
+ * @param string $plugin_id Optional plugin name, if not specified
+ * then it is detected from where you are calling from.
*
* @return bool
+ * @since 1.8.0
*/
-function clear_plugin_setting($name, $plugin_name = "") {
- $plugin = find_plugin_settings($plugin_name);
+function elgg_unset_plugin_setting($name, $plugin_id = null) {
+ if ($plugin_id) {
+ $plugin = elgg_get_plugin_from_id($plugin_id);
+ } else {
+ $plugin = elgg_get_calling_plugin_entity();
+ }
- if ($plugin) {
- return remove_private_setting($plugin->guid, $name);
+ if (!$plugin) {
+ return false;
}
- return FALSE;
+ return $plugin->unsetSetting($name);
}
/**
- * Clear all plugin settings.
+ * Unsets all plugin settings for a plugin.
*
- * @param string $plugin_name Optional plugin name, if not specified
- * then it is detected from where you are calling from.
+ * @param string $plugin_id Optional plugin name, if not specified
+ * then it is detected from where you are calling from.
*
* @return bool
- * @since 1.7.0
+ * @since 1.8.0
*/
-function clear_all_plugin_settings($plugin_name = "") {
- $plugin = find_plugin_settings($plugin_name);
-
- if ($plugin) {
- return remove_all_private_settings($plugin->guid);
+function elgg_unset_all_plugin_settings($plugin_id = null) {
+ if ($plugin_id) {
+ $plugin = elgg_get_plugin_from_id($plugin_id);
+ } else {
+ $plugin = elgg_get_calling_plugin_entity();
}
- return FALSE;
-}
-
-/**
- * Return an array of installed plugins.
- *
- * @return array
- */
-function get_installed_plugins() {
- global $CONFIG;
-
- $installed_plugins = array();
-
- if (!empty($CONFIG->pluginspath)) {
- $plugins = get_plugin_list();
-
- foreach ($plugins as $mod) {
- // require manifest.
- if (!$manifest = load_plugin_manifest($mod)) {
- continue;
- }
- $installed_plugins[$mod] = array();
- $installed_plugins[$mod]['active'] = is_plugin_enabled($mod);
- $installed_plugins[$mod]['manifest'] = $manifest;
- }
+ if (!$plugin) {
+ return false;
}
- return $installed_plugins;
+ return $plugin->unsetAllSettings();
}
/**
- * Enable a plugin for a site (default current site)
+ * Returns entities based upon plugin settings.
+ * Takes all the options for {@see elgg_get_entities_from_private_settings()}
+ * in addition to the ones below.
*
- * Important: You should regenerate simplecache and the viewpath cache after executing this function
- * otherwise you may experience view display artifacts. Do this with the following code:
+ * @param array $options Array in the format:
*
- * elgg_view_regenerate_simplecache();
- * elgg_filepath_cache_reset();
+ * plugin_id => NULL|STR The plugin id. Defaults to calling plugin
*
- * @param string $plugin The plugin name.
- * @param int $site_guid The site id, if not specified then this is detected.
+ * plugin_user_setting_names => NULL|ARR private setting names
*
- * @return array
- * @throws InvalidClassException
+ * plugin_user_setting_values => NULL|ARR metadata values
+ *
+ * plugin_user_setting_name_value_pairs => NULL|ARR (
+ * name => 'name',
+ * value => 'value',
+ * 'operand' => '=',
+ * )
+ * Currently if multiple values are sent via
+ * an array (value => array('value1', 'value2')
+ * the pair's operand will be forced to "IN".
+ *
+ * plugin_user_setting_name_value_pairs_operator => NULL|STR The operator to use for combining
+ * (name = value) OPERATOR (name = value); default AND
+ *
+ * @return mixed int If count, int. If not count, array. false on errors.
*/
-function enable_plugin($plugin, $site_guid = 0) {
- global $CONFIG, $ENABLED_PLUGINS_CACHE;
-
- $plugin = sanitise_string($plugin);
- $site_guid = (int) $site_guid;
- if ($site_guid == 0) {
- $site_guid = $CONFIG->site_guid;
- }
-
- $site = get_entity($site_guid);
- if (!($site instanceof ElggSite)) {
- $msg = elgg_echo('InvalidClassException:NotValidElggStar', array($site_guid, "ElggSite"));
- throw new InvalidClassException($msg);
+function elgg_get_entities_from_plugin_user_settings(array $options = array()) {
+ // if they're passing it don't bother
+ if (!isset($options['plugin_id'])) {
+ $options['plugin_id'] = elgg_get_calling_plugin_id();
}
- if (!$plugin_info = load_plugin_manifest($plugin)) {
- return FALSE;
- }
-
- // getMetadata() doesn't return an array if only one plugin is enabled
- if ($enabled = $site->enabled_plugins) {
- if (!is_array($enabled)) {
- $enabled = array($enabled);
- }
- } else {
- $enabled = array();
- }
+ $singulars = array('plugin_user_setting_name', 'plugin_user_setting_value',
+ 'plugin_user_setting_name_value_pair');
- $enabled[] = $plugin;
- $enabled = array_unique($enabled);
+ $options = elgg_normalise_plural_options_array($options, $singulars);
- if ($return = $site->setMetaData('enabled_plugins', $enabled)) {
+ // rewrite plugin_user_setting_name_* to the right PS ones.
+ $map = array(
+ 'plugin_user_setting_names' => 'private_setting_names',
+ 'plugin_user_setting_values' => 'private_setting_values',
+ 'plugin_user_setting_name_value_pairs' => 'private_setting_name_value_pairs',
+ 'plugin_user_setting_name_value_pairs_operator' => 'private_setting_name_value_pairs_operator'
+ );
- // for other plugins that want to hook into this.
- $params = array('plugin' => $plugin, 'manifest' => $plugin_info);
- if ($return && !elgg_trigger_event('enable', 'plugin', $params)) {
- $return = FALSE;
+ foreach ($map as $plugin => $private) {
+ if (!isset($options[$plugin])) {
+ continue;
}
- // for this plugin's on_enable
- if ($return && isset($plugin_info['on_enable'])) {
- // pull in the actual plugin's start so the on_enable function is callable
- // NB: this will not run re-run the init hooks!
- $start = "{$CONFIG->pluginspath}$plugin/start.php";
- if (!file_exists($start) || !include($start)) {
- $return = FALSE;
+ if (isset($options[$private])) {
+ if (!is_array($options[$private])) {
+ $options[$private] = array($options[$private]);
}
- // need language files for the messages
- $translations = "{$CONFIG->pluginspath}$plugin/languages/";
- register_translations($translations);
- if (!is_callable($plugin_info['on_enable'])) {
- $return = FALSE;
- } else {
- $on_enable = call_user_func($plugin_info['on_enable']);
- // allow null to mean "I don't care" like other subsystems
- $return = ($on_disable === FALSE) ? FALSE : TRUE;
- }
+ $options[$private] = array_merge($options[$private], $options[$plugin]);
+ } else {
+ $options[$private] = $options[$plugin];
}
+ }
- // disable the plugin if the on_enable or trigger results failed
- if (!$return) {
- array_pop($enabled);
- $site->setMetaData('enabled_plugins', $enabled);
- }
- $ENABLED_PLUGINS_CACHE = $enabled;
- }
+ $plugin_id = $options['plugin_id'];
+ $prefix = elgg_namespace_plugin_private_setting('user_setting', '', $plugin_id);
+ $options['private_setting_name_prefix'] = $prefix;
- return $return;
+ return elgg_get_entities_from_private_settings($options);
}
/**
- * Disable a plugin for a site (default current site)
- *
- * Important: You should regenerate simplecache and the viewpath cache after executing this function
- * otherwise you may experience view display artifacts. Do this with the following code:
+ * Register object, plugin entities as ElggPlugin classes
*
- * elgg_view_regenerate_simplecache();
- * elgg_filepath_cache_reset();
+ * @return void
+ * @access private
+ */
+function plugin_run_once() {
+ add_subtype("object", "plugin", "ElggPlugin");
+}
+
+/**
+ * Runs unit tests for the entity objects.
*
- * @param string $plugin The plugin name.
- * @param int $site_guid The site id, if not specified then this is detected.
+ * @param string $hook unit_test
+ * @param string $type system
+ * @param mixed $value Array of tests
+ * @param mixed $params Params
*
- * @return bool
- * @throws InvalidClassException
+ * @return array
+ * @access private
*/
-function disable_plugin($plugin, $site_guid = 0) {
- global $CONFIG, $ENABLED_PLUGINS_CACHE;
-
- $plugin = sanitise_string($plugin);
- $site_guid = (int) $site_guid;
- if ($site_guid == 0) {
- $site_guid = $CONFIG->site_guid;
- }
-
- $site = get_entity($site_guid);
- if (!($site instanceof ElggSite)) {
- $msg = elgg_echo('InvalidClassException:NotValidElggStar', array($site_guid, "ElggSite"));
- throw new InvalidClassException();
- }
-
- if (!$plugin_info = load_plugin_manifest($plugin)) {
- return FALSE;
- }
-
- // getMetadata() doesn't return an array if only one plugin is enabled
- if ($enabled = $site->enabled_plugins) {
- if (!is_array($enabled)) {
- $enabled = array($enabled);
- }
- } else {
- $enabled = array();
- }
-
- $old_enabled = $enabled;
-
- // remove the disabled plugin from the array
- if (FALSE !== $i = array_search($plugin, $enabled)) {
- unset($enabled[$i]);
- }
-
- // if we're unsetting all the plugins, this will return an empty array.
- // it will fail with FALSE, though.
- $return = (FALSE === $site->enabled_plugins = $enabled) ? FALSE : TRUE;
-
- if ($return) {
- // for other plugins that want to hook into this.
- $params = array('plugin' => $plugin, 'manifest' => $plugin_info);
- if ($return && !elgg_trigger_event('disable', 'plugin', $params)) {
- $return = FALSE;
- }
-
- // for this plugin's on_disable
- if ($return && isset($plugin_info['on_disable'])) {
- if (!is_callable($plugin_info['on_disable'])) {
- $return = FALSE;
- } else {
- $on_disable = call_user_func($plugin_info['on_disable']);
- // allow null to mean "I don't care" like other subsystems
- $return = ($on_disable === FALSE) ? FALSE : TRUE;
- }
- }
-
- // disable the plugin if the on_enable or trigger results failed
- if (!$return) {
- $site->enabled_plugins = $old_enabled;
- $ENABLED_PLUGINS_CACHE = $old_enabled;
- } else {
- $ENABLED_PLUGINS_CACHE = $enabled;
- }
- }
-
- return $return;
+function plugins_test($hook, $type, $value, $params) {
+ global $CONFIG;
+ $value[] = $CONFIG->path . 'engine/tests/api/plugins.php';
+ return $value;
}
/**
- * Return whether a plugin is enabled or not.
+ * Checks on deactivate plugin event if disabling it won't create unmet dependencies and blocks disable in such case.
*
- * @param string $plugin The plugin name.
- * @param int $site_guid The site id, if not specified then this is detected.
+ * @param string $event deactivate
+ * @param string $type plugin
+ * @param array $params Parameters array containing entry with ELggPlugin instance under 'plugin_entity' key
+ * @return bool false to block plugin deactivation action
*
- * @return bool
- * @throws InvalidClassException
+ * @access private
*/
-function is_plugin_enabled($plugin, $site_guid = 0) {
- global $CONFIG, $ENABLED_PLUGINS_CACHE;
+function _plugins_deactivate_dependency_check($event, $type, $params) {
+ $plugin_id = $params['plugin_entity']->getManifest()->getPluginID();
+ $plugin_name = $params['plugin_entity']->getManifest()->getName();
- if (!file_exists($CONFIG->pluginspath . $plugin)) {
- return false;
- }
+ $active_plugins = elgg_get_plugins();
- $site_guid = (int) $site_guid;
- if ($site_guid == 0) {
- $site_guid = $CONFIG->site_guid;
- }
+ $dependents = array();
+ foreach ($active_plugins as $plugin) {
+ $manifest = $plugin->getManifest();
+ $requires = $manifest->getRequires();
- if (!$ENABLED_PLUGINS_CACHE) {
- $site = get_entity($site_guid);
- if (!($site instanceof ElggSite)) {
- $msg = elgg_echo('InvalidClassException:NotValidElggStar', array($site_guid, "ElggSite"));
- throw new InvalidClassException($msg);
- }
-
- $enabled_plugins = $site->enabled_plugins;
- if ($enabled_plugins && !is_array($enabled_plugins)) {
- $enabled_plugins = array($enabled_plugins);
+ foreach ($requires as $required) {
+ if ($required['type'] == 'plugin' && $required['name'] == $plugin_id) {
+ // there are active dependents
+ $dependents[$manifest->getPluginID()] = $plugin;
+ }
}
- $ENABLED_PLUGINS_CACHE = $enabled_plugins;
}
- foreach ($ENABLED_PLUGINS_CACHE as $e) {
- if ($e == $plugin) {
- return true;
+ if ($dependents) {
+ $list = '<ul>';
+ // construct error message and prevent disabling
+ foreach ($dependents as $dependent) {
+ $list .= '<li>' . $dependent->getManifest()->getName() . '</li>';
}
- }
+ $list .= '</ul>';
- return false;
-}
+ register_error(elgg_echo('ElggPlugin:Dependencies:ActiveDependent', array($plugin_name, $list)));
-/**
- * Register object, plugin entities as ElggPlugin classes
- *
- * @return void
- */
-function plugin_run_once() {
- // Register a class
- add_subtype("object", "plugin", "ElggPlugin");
+ return false;
+ }
}
/**
- * Initialise the file modules.
- * Listens to system boot and registers any appropriate file types and classes
+ * Initialize the plugin system
+ * Listens to system init and registers actions
*
* @return void
+ * @access private
*/
function plugin_init() {
- // Now run this stuff, but only once
run_function_once("plugin_run_once");
- // Register some actions
- register_action("plugins/settings/save", false, "", true);
- register_action("plugins/usersettings/save");
+ elgg_register_plugin_hook_handler('unit_test', 'system', 'plugins_test');
+
+ // note - plugins are booted by the time this handler is registered
+ // deactivation due to error may have already occurred
+ elgg_register_event_handler('deactivate', 'plugin', '_plugins_deactivate_dependency_check');
+
+ elgg_register_action("plugins/settings/save", '', 'admin');
+ elgg_register_action("plugins/usersettings/save");
+
+ elgg_register_action('admin/plugins/activate', '', 'admin');
+ elgg_register_action('admin/plugins/deactivate', '', 'admin');
+ elgg_register_action('admin/plugins/activate_all', '', 'admin');
+ elgg_register_action('admin/plugins/deactivate_all', '', 'admin');
- register_action('admin/plugins/enable', false, "", true);
- register_action('admin/plugins/disable', false, "", true);
- register_action('admin/plugins/enableall', false, "", true);
- register_action('admin/plugins/disableall', false, "", true);
+ elgg_register_action('admin/plugins/set_priority', '', 'admin');
- register_action('admin/plugins/reorder', false, "", true);
+ elgg_register_library('elgg:markdown', elgg_get_root_path() . 'vendors/markdown/markdown.php');
}
-// Register a startup event
elgg_register_event_handler('init', 'system', 'plugin_init');
diff --git a/engine/lib/private_settings.php b/engine/lib/private_settings.php
index 0d86b93a1..7541f7b3b 100644
--- a/engine/lib/private_settings.php
+++ b/engine/lib/private_settings.php
@@ -9,169 +9,6 @@
*/
/**
- * Get entities based on their private data.
- *
- * @param string $name The name of the setting
- * @param string $value The value of the setting
- * @param string $type The type of entity (eg "user", "object" etc)
- * @param string $subtype The arbitrary subtype of the entity
- * @param int $owner_guid The GUID of the owning user
- * @param string $order_by The field to order by; by default, time_created desc
- * @param int $limit The number of entities to return; 10 by default
- * @param int $offset The indexing offset, 0 by default
- * @param boolean $count Return a count of entities
- * @param int $site_guid The site to get entities for. 0 for current, -1 for any
- * @param mixed $container_guid The container(s) GUIDs
- *
- * @return array A list of entities.
- * @deprecated 1.8
- */
-function get_entities_from_private_setting($name = "", $value = "", $type = "", $subtype = "",
-$owner_guid = 0, $order_by = "", $limit = 10, $offset = 0, $count = false, $site_guid = 0,
-$container_guid = null) {
- elgg_deprecated_notice('get_entities_from_private_setting() was deprecated by elgg_get_entities_from_private_setting()!', 1.8);
-
- $options = array();
-
- $options['private_setting_name'] = $name;
- $options['private_setting_value'] = $value;
-
- // set container_guid to owner_guid to emulate old functionality
- if ($owner_guid != "") {
- if (is_null($container_guid)) {
- $container_guid = $owner_guid;
- }
- }
-
- if ($type) {
- $options['types'] = $type;
- }
-
- if ($subtype) {
- $options['subtypes'] = $subtype;
- }
-
- if ($owner_guid) {
- if (is_array($owner_guid)) {
- $options['owner_guids'] = $owner_guid;
- } else {
- $options['owner_guid'] = $owner_guid;
- }
- }
-
- if ($container_guid) {
- if (is_array($container_guid)) {
- $options['container_guids'] = $container_guid;
- } else {
- $options['container_guid'] = $container_guid;
- }
- }
-
- $options['limit'] = $limit;
-
- if ($offset) {
- $options['offset'] = $offset;
- }
-
- if ($order_by) {
- $options['order_by'];
- }
-
- if ($site_guid) {
- $options['site_guid'];
- }
-
- if ($count) {
- $options['count'] = $count;
- }
-
- return elgg_get_entities_from_private_settings($options);
-}
-
-/**
- * Get entities based on their private data by multiple keys.
- *
- * @param string $name The name of the setting
- * @param mixed $type Entity type
- * @param string $subtype Entity subtype
- * @param int $owner_guid The GUID of the owning user
- * @param string $order_by The field to order by; by default, time_created desc
- * @param int $limit The number of entities to return; 10 by default
- * @param int $offset The indexing offset, 0 by default
- * @param bool $count Count entities
- * @param int $site_guid Site GUID. 0 for current, -1 for any.
- * @param mixed $container_guid Container GUID
- *
- * @return array A list of entities.
- * @deprecated 1.8
- */
-function get_entities_from_private_setting_multi(array $name, $type = "", $subtype = "",
-$owner_guid = 0, $order_by = "", $limit = 10, $offset = 0, $count = false,
-$site_guid = 0, $container_guid = null) {
-
- elgg_deprecated_notice('get_entities_from_private_setting_multi() was deprecated by elgg_get_entities_from_private_setting()!', 1.8);
-
- $options = array();
-
- $pairs = array();
- foreach ($name as $setting_name => $setting_value) {
- $pairs[] = array('name' => $setting_name, 'value' => $setting_value);
- }
- $options['private_setting_name_value_pairs'] = $pairs;
-
- // set container_guid to owner_guid to emulate old functionality
- if ($owner_guid != "") {
- if (is_null($container_guid)) {
- $container_guid = $owner_guid;
- }
- }
-
- if ($type) {
- $options['types'] = $type;
- }
-
- if ($subtype) {
- $options['subtypes'] = $subtype;
- }
-
- if ($owner_guid) {
- if (is_array($owner_guid)) {
- $options['owner_guids'] = $owner_guid;
- } else {
- $options['owner_guid'] = $owner_guid;
- }
- }
-
- if ($container_guid) {
- if (is_array($container_guid)) {
- $options['container_guids'] = $container_guid;
- } else {
- $options['container_guid'] = $container_guid;
- }
- }
-
- $options['limit'] = $limit;
-
- if ($offset) {
- $options['offset'] = $offset;
- }
-
- if ($order_by) {
- $options['order_by'];
- }
-
- if ($site_guid) {
- $options['site_guid'];
- }
-
- if ($count) {
- $options['count'] = $count;
- }
-
- return elgg_get_entities_from_private_settings($options);
-}
-
-/**
* Returns entities based upon private settings. Also accepts all
* options available to elgg_get_entities(). Supports
* the singular option shortcut.
@@ -196,8 +33,12 @@ $site_guid = 0, $container_guid = null) {
* private_setting_name_value_pairs_operator => NULL|STR The operator to use for combining
* (name = value) OPERATOR (name = value); default AND
*
+ * private_setting_name_prefix => STR A prefix to apply to all private settings. Used to
+ * namespace plugin user settings or by plugins to namespace
+ * their own settings.
*
- * @return array
+ *
+ * @return mixed int If count, int. If not count, array. false on errors.
* @since 1.8.0
*/
function elgg_get_entities_from_private_settings(array $options = array()) {
@@ -206,6 +47,7 @@ function elgg_get_entities_from_private_settings(array $options = array()) {
'private_setting_values' => ELGG_ENTITIES_ANY_VALUE,
'private_setting_name_value_pairs' => ELGG_ENTITIES_ANY_VALUE,
'private_setting_name_value_pairs_operator' => 'AND',
+ 'private_setting_name_prefix' => '',
);
$options = array_merge($defaults, $options);
@@ -217,7 +59,7 @@ function elgg_get_entities_from_private_settings(array $options = array()) {
$clauses = elgg_get_entity_private_settings_where_sql('e', $options['private_setting_names'],
$options['private_setting_values'], $options['private_setting_name_value_pairs'],
- $options['private_setting_name_value_pairs_operator']);
+ $options['private_setting_name_value_pairs_operator'], $options['private_setting_name_prefix']);
if ($clauses) {
// merge wheres to pass to get_entities()
@@ -228,7 +70,7 @@ function elgg_get_entities_from_private_settings(array $options = array()) {
}
$options['wheres'] = array_merge($options['wheres'], $clauses['wheres']);
-
+
// merge joins to pass to get_entities()
if (isset($options['joins']) && !is_array($options['joins'])) {
$options['joins'] = array($options['joins']);
@@ -250,11 +92,13 @@ function elgg_get_entities_from_private_settings(array $options = array()) {
* @param array|null $values Array of values
* @param array|null $pairs Array of names / values / operands
* @param string $pair_operator Operator for joining pairs where clauses
+ * @param string $name_prefix A string to prefix all names with
* @return array
* @since 1.8.0
+ * @access private
*/
function elgg_get_entity_private_settings_where_sql($table, $names = NULL, $values = NULL,
-$pairs = NULL, $pair_operator = 'AND') {
+$pairs = NULL, $pair_operator = 'AND', $name_prefix = '') {
global $CONFIG;
@@ -279,6 +123,7 @@ $pairs = NULL, $pair_operator = 'AND') {
$sanitised_names = array();
foreach ($names as $name) {
+ $name = $name_prefix . $name;
$sanitised_names[] = '\'' . sanitise_string($name) . '\'';
}
@@ -322,7 +167,7 @@ $pairs = NULL, $pair_operator = 'AND') {
if (is_array($pairs)) {
// join counter for incremental joins in pairs
$i = 1;
-
+
// check if this is an array of pairs or just a single pair.
if (isset($pairs['name']) || isset($pairs['value'])) {
$pairs = array($pairs);
@@ -383,7 +228,7 @@ $pairs = NULL, $pair_operator = 'AND') {
$value = "'" . sanitise_string($pair['value']) . "'";
}
- $name = sanitise_string($pair['name']);
+ $name = sanitise_string($name_prefix . $pair['name']);
// @todo The multiple joins are only needed when the operator is AND
$return['joins'][] = "JOIN {$CONFIG->dbprefix}private_settings ps{$i}
@@ -395,7 +240,7 @@ $pairs = NULL, $pair_operator = 'AND') {
$i++;
}
- $where = implode (" $pair_operator ", $pair_wheres);
+ $where = implode(" $pair_operator ", $pair_wheres);
if ($where) {
$wheres[] = "($where)";
}
@@ -405,7 +250,7 @@ $pairs = NULL, $pair_operator = 'AND') {
if ($where) {
$return['wheres'][] = "($where)";
}
-
+
return $return;
}
@@ -433,6 +278,11 @@ function get_private_setting($entity_guid, $name) {
$entity_guid = (int) $entity_guid;
$name = sanitise_string($name);
+ $entity = get_entity($entity_guid);
+ if (!$entity instanceof ElggEntity) {
+ return false;
+ }
+
$query = "SELECT value from {$CONFIG->dbprefix}private_settings
where name = '{$name}' and entity_guid = {$entity_guid}";
$setting = get_data_row($query);
@@ -459,6 +309,10 @@ function get_all_private_settings($entity_guid) {
global $CONFIG;
$entity_guid = (int) $entity_guid;
+ $entity = get_entity($entity_guid);
+ if (!$entity instanceof ElggEntity) {
+ return false;
+ }
$query = "SELECT * from {$CONFIG->dbprefix}private_settings where entity_guid = {$entity_guid}";
$result = get_data($query);
@@ -481,7 +335,7 @@ function get_all_private_settings($entity_guid) {
* @param string $name The name of the setting
* @param string $value The value of the setting
*
- * @return mixed The setting ID, or false on failure
+ * @return bool
* @see get_private_setting()
* @see get_all_private_settings()
* @see remove_private_setting()
@@ -497,12 +351,10 @@ function set_private_setting($entity_guid, $name, $value) {
$result = insert_data("INSERT into {$CONFIG->dbprefix}private_settings
(entity_guid, name, value) VALUES
- ($entity_guid, '{$name}', '{$value}')
+ ($entity_guid, '$name', '$value')
ON DUPLICATE KEY UPDATE value='$value'");
- if ($result === 0) {
- return true;
- }
- return $result;
+
+ return $result !== false;
}
/**
@@ -511,7 +363,7 @@ function set_private_setting($entity_guid, $name, $value) {
* @param int $entity_guid The Entity GUID
* @param string $name The name of the setting
*
- * @return true|false depending on success
+ * @return bool
* @see get_private_setting()
* @see get_all_private_settings()
* @see set_private_setting()
@@ -522,11 +374,17 @@ function remove_private_setting($entity_guid, $name) {
global $CONFIG;
$entity_guid = (int) $entity_guid;
+
+ $entity = get_entity($entity_guid);
+ if (!$entity instanceof ElggEntity) {
+ return false;
+ }
+
$name = sanitise_string($name);
return delete_data("DELETE from {$CONFIG->dbprefix}private_settings
- where name = '{$name}'
- and entity_guid = {$entity_guid}");
+ WHERE name = '{$name}'
+ AND entity_guid = {$entity_guid}");
}
/**
@@ -534,7 +392,7 @@ function remove_private_setting($entity_guid, $name) {
*
* @param int $entity_guid The Entity GUID
*
- * @return true|false depending on success
+ * @return bool
* @see get_private_setting()
* @see get_all_private_settings()
* @see set_private_setting()
@@ -545,6 +403,12 @@ function remove_all_private_settings($entity_guid) {
global $CONFIG;
$entity_guid = (int) $entity_guid;
+
+ $entity = get_entity($entity_guid);
+ if (!$entity instanceof ElggEntity) {
+ return false;
+ }
+
return delete_data("DELETE from {$CONFIG->dbprefix}private_settings
- where entity_guid = {$entity_guid}");
+ WHERE entity_guid = {$entity_guid}");
}
diff --git a/engine/lib/relationships.php b/engine/lib/relationships.php
index f19c76e8b..b0cd627fc 100644
--- a/engine/lib/relationships.php
+++ b/engine/lib/relationships.php
@@ -12,7 +12,8 @@
*
* @param stdClass $row Database row from the relationship table
*
- * @return stdClass or ElggMetadata
+ * @return ElggRelationship|stdClass
+ * @access private
*/
function row_to_elggrelationship($row) {
if (!($row instanceof stdClass)) {
@@ -27,7 +28,7 @@ function row_to_elggrelationship($row) {
*
* @param int $id The ID of a relationship
*
- * @return mixed
+ * @return ElggRelationship|false
*/
function get_relationship($id) {
global $CONFIG;
@@ -108,7 +109,7 @@ function add_entity_relationship($guid_one, $relationship, $guid_two) {
* @param string $relationship The type of relationship
* @param int $guid_two The GUID of the entity the relationship is with
*
- * @return object|false Depending on success
+ * @return ElggRelationship|false Depending on success
*/
function check_entity_relationship($guid_one, $relationship, $guid_two) {
global $CONFIG;
@@ -122,7 +123,7 @@ function check_entity_relationship($guid_one, $relationship, $guid_two) {
AND relationship='$relationship'
AND guid_two=$guid_two limit 1";
- $row = $row = get_data_row($query);
+ $row = row_to_elggrelationship(get_data_row($query));
if ($row) {
return $row;
}
@@ -157,7 +158,7 @@ function remove_entity_relationship($guid_one, $relationship, $guid_two) {
and relationship='$relationship'
and guid_two=$guid_two";
- return delete_data($query);
+ return (bool)delete_data($query);
} else {
return false;
}
@@ -219,7 +220,7 @@ function remove_entity_relationships($guid_one, $relationship = "", $inverse = f
* @param int $guid The GUID of the relationship owner
* @param bool $inverse_relationship Inverse relationship owners?
*
- * @return mixed
+ * @return ElggRelationship[]
*/
function get_entity_relationships($guid, $inverse_relationship = FALSE) {
global $CONFIG;
@@ -233,9 +234,22 @@ function get_entity_relationships($guid, $inverse_relationship = FALSE) {
return get_data($query, "row_to_elggrelationship");
}
-
/**
* Return entities matching a given query joining against a relationship.
+ * Also accepts all options available to elgg_get_entities() and
+ * elgg_get_entities_from_metadata().
+ *
+ * To ask for entities that do not have a particulat relationship to an entity,
+ * use a custom where clause like the following:
+ *
+ * $options['wheres'][] = "NOT EXISTS (
+ * SELECT 1 FROM {$db_prefix}entity_relationships
+ * WHERE guid_one = e.guid
+ * AND relationship = '$relationship'
+ * )";
+ *
+ * @see elgg_get_entities
+ * @see elgg_get_entities_from_metadata
*
* @param array $options Array in format:
*
@@ -245,7 +259,7 @@ function get_entity_relationships($guid, $inverse_relationship = FALSE) {
*
* inverse_relationship => BOOL Inverse the relationship
*
- * @return array
+ * @return ElggEntity[]|mixed If count, int. If not count, array. false on errors.
* @since 1.7.0
*/
function elgg_get_entities_from_relationship($options) {
@@ -257,7 +271,7 @@ function elgg_get_entities_from_relationship($options) {
$options = array_merge($defaults, $options);
- $clauses = elgg_get_entity_relationship_where_sql('e', $options['relationship'],
+ $clauses = elgg_get_entity_relationship_where_sql('e.guid', $options['relationship'],
$options['relationship_guid'], $options['inverse_relationship']);
if ($clauses) {
@@ -278,6 +292,16 @@ function elgg_get_entities_from_relationship($options) {
}
$options['joins'] = array_merge($options['joins'], $clauses['joins']);
+
+ if (isset($options['selects']) && !is_array($options['selects'])) {
+ $options['selects'] = array($options['selects']);
+ } elseif (!isset($options['selects'])) {
+ $options['selects'] = array();
+ }
+
+ $select = array('r.id');
+
+ $options['selects'] = array_merge($options['selects'], $select);
}
return elgg_get_entities_from_metadata($options);
@@ -288,18 +312,20 @@ function elgg_get_entities_from_relationship($options) {
*
* @todo add support for multiple relationships and guids.
*
- * @param string $table Entities table name
+ * @param string $column Column name the guid should be checked against.
+ * Provide in table.column format.
* @param string $relationship Relationship string
* @param int $relationship_guid Entity guid to check
- * @param string $inverse_relationship Inverse relationship check?
+ * @param bool $inverse_relationship Inverse relationship check?
*
* @return mixed
* @since 1.7.0
+ * @access private
*/
-function elgg_get_entity_relationship_where_sql($table, $relationship = NULL,
+function elgg_get_entity_relationship_where_sql($column, $relationship = NULL,
$relationship_guid = NULL, $inverse_relationship = FALSE) {
- if ($relationship == NULL && $entity_guid == NULL) {
+ if ($relationship == NULL && $relationship_guid == NULL) {
return '';
}
@@ -309,9 +335,9 @@ $relationship_guid = NULL, $inverse_relationship = FALSE) {
$joins = array();
if ($inverse_relationship) {
- $joins[] = "JOIN {$CONFIG->dbprefix}entity_relationships r on r.guid_one = e.guid";
+ $joins[] = "JOIN {$CONFIG->dbprefix}entity_relationships r on r.guid_one = $column";
} else {
- $joins[] = "JOIN {$CONFIG->dbprefix}entity_relationships r on r.guid_two = e.guid";
+ $joins[] = "JOIN {$CONFIG->dbprefix}entity_relationships r on r.guid_two = $column";
}
if ($relationship) {
@@ -335,73 +361,9 @@ $relationship_guid = NULL, $inverse_relationship = FALSE) {
}
/**
- * Return entities from relationships
- *
- * @deprecated 1.7 Use elgg_get_entities_from_relationship()
- *
- * @param string $relationship The relationship type
- * @param int $relationship_guid The GUID of the relationship owner
- * @param bool $inverse_relationship Invert relationship?
- * @param string $type Entity type
- * @param string $subtype Entity subtype
- * @param int $owner_guid Entity owner GUID
- * @param string $order_by Order by clause
- * @param int $limit Limit
- * @param int $offset Offset
- * @param bool $count Return a count instead of entities?
- * @param int $site_guid Site GUID
- *
- * @return mixed
- */
-function get_entities_from_relationship($relationship, $relationship_guid,
-$inverse_relationship = false, $type = "", $subtype = "", $owner_guid = 0,
-$order_by = "", $limit = 10, $offset = 0, $count = false, $site_guid = 0) {
-
- elgg_deprecated_notice('get_entities_from_relationship() was deprecated by elgg_get_entities_from_relationship()!', 1.7);
-
- $options = array();
-
- $options['relationship'] = $relationship;
- $options['relationship_guid'] = $relationship_guid;
- $options['inverse_relationship'] = $inverse_relationship;
-
- if ($type) {
- $options['types'] = $type;
- }
-
- if ($subtype) {
- $options['subtypes'] = $subtype;
- }
-
- if ($owner_guid) {
- $options['owner_guid'] = $owner_guid;
- }
-
- $options['limit'] = $limit;
-
- if ($offset) {
- $options['offset'] = $offset;
- }
-
- if ($order_by) {
- $options['order_by'];
- }
-
- if ($site_guid) {
- $options['site_guid'];
- }
-
- if ($count) {
- $options['count'] = $count;
- }
-
- return elgg_get_entities_from_relationship($options);
-}
-
-/**
* Returns a viewable list of entities by relationship
*
- * @param array $options
+ * @param array $options Options array for retrieval of entities
*
* @see elgg_list_entities()
* @see elgg_get_entities_from_relationship()
@@ -413,240 +375,48 @@ function elgg_list_entities_from_relationship(array $options = array()) {
}
/**
- * @deprecated 1.8 Use elgg_list_entities_from_relationship()
- */
-function list_entities_from_relationship($relationship, $relationship_guid,
-$inverse_relationship = false, $type = ELGG_ENTITIES_ANY_VALUE,
-$subtype = ELGG_ENTITIES_ANY_VALUE, $owner_guid = 0, $limit = 10,
-$fullview = true, $listtypetoggle = false, $pagination = true) {
-
- elgg_deprecated_notice("list_entities_from_relationship was deprecated by elgg_list_entities_from_relationship()!", 1.8);
- return elgg_list_entities_from_relationship(array(
- 'relationship' => $relationship,
- 'relationship_guid' => $relationship_guid,
- 'inverse_relationship' => $inverse_relationship,
- 'types' => $type,
- 'subtypes' => $subtype,
- 'owner_guid' => $owner_guid,
- 'limit' => $limit,
- 'full_view' => $fullview,
- 'list_type_toggle' => $listtypetoggle,
- 'pagination' => $pagination,
- ));
-}
-
-/**
* Gets the number of entities by a the number of entities related to them in a particular way.
* This is a good way to get out the users with the most friends, or the groups with the
* most members.
*
- * @param string $relationship The relationship eg "friends_of"
- * @param bool $inverse_relationship Inverse relationship owners
- * @param string $type The type of entity (default: all)
- * @param string $subtype The entity subtype (default: all)
- * @param int $owner_guid The owner of the entities (default: none)
- * @param int $limit Limit
- * @param int $offset Offset
- * @param bool $count Return a count instead of entities
- * @param int $site_guid Site GUID
- *
- * @return array|int|false An array of entities, or the number of entities, or false on failure
+ * @param array $options An options array compatible with
+ * elgg_get_entities_from_relationship()
+ * @return ElggEntity[]|mixed int If count, int. If not count, array. false on errors.
+ * @since 1.8.0
*/
-
-function get_entities_by_relationship_count($relationship, $inverse_relationship = true, $type = "",
-$subtype = "", $owner_guid = 0, $limit = 10, $offset = 0, $count = false, $site_guid = 0) {
-
- global $CONFIG;
-
- $relationship = sanitise_string($relationship);
- $inverse_relationship = (bool)$inverse_relationship;
- $type = sanitise_string($type);
- if ($subtype AND !$subtype = get_subtype_id($type, $subtype)) {
- return false;
- }
- $owner_guid = (int)$owner_guid;
- $order_by = sanitise_string($order_by);
- $limit = (int)$limit;
- $offset = (int)$offset;
- $site_guid = (int) $site_guid;
- if ($site_guid == 0) {
- $site_guid = $CONFIG->site_guid;
- }
-
- //$access = get_access_list();
-
- $where = array();
-
- if ($relationship != "") {
- $where[] = "r.relationship='$relationship'";
- }
-
- if ($inverse_relationship) {
- $on = 'e.guid = r.guid_two';
- } else {
- $on = 'e.guid = r.guid_one';
- }
- if ($type != "") {
- $where[] = "e.type='$type'";
- }
-
- if ($subtype) {
- $where[] = "e.subtype=$subtype";
- }
-
- if ($owner_guid != "") {
- $where[] = "e.container_guid='$owner_guid'";
- }
-
- if ($site_guid > 0) {
- $where[] = "e.site_guid = {$site_guid}";
- }
-
- if ($count) {
- $query = "SELECT count(distinct e.guid) as total ";
- } else {
- $query = "SELECT e.*, count(e.guid) as total ";
- }
-
- $query .= " from {$CONFIG->dbprefix}entity_relationships r
- JOIN {$CONFIG->dbprefix}entities e on {$on} where ";
-
- if (!empty($where)) {
- foreach ($where as $w) {
- $query .= " $w and ";
- }
- }
- $query .= get_access_sql_suffix("e");
-
- if (!$count) {
- $query .= " group by e.guid ";
- $query .= " order by total desc limit {$offset}, {$limit}";
- return get_data($query, "entity_row_to_elggstar");
- } else {
- if ($count = get_data_row($query)) {
- return $count->total;
- }
- }
-
- return false;
+function elgg_get_entities_from_relationship_count(array $options = array()) {
+ $options['selects'][] = "COUNT(e.guid) as total";
+ $options['group_by'] = 'r.guid_two';
+ $options['order_by'] = 'total desc';
+ return elgg_get_entities_from_relationship($options);
}
/**
- * Displays a human-readable list of entities
- *
- * @param string $relationship The relationship eg "friends_of"
- * @param bool $inverse_relationship Inverse relationship owners
- * @param string $type The type of entity (eg 'object')
- * @param string $subtype The entity subtype
- * @param int $owner_guid The owner (default: all)
- * @param int $limit The number of entities to display on a page
- * @param bool $fullview Whether or not to display the full view (default: true)
- * @param bool $listtypetoggle Whether or not to allow gallery view
- * @param bool $pagination Whether to display pagination (default: true)
+ * Returns a list of entities by relationship count
*
- * @return string The viewable list of entities
+ * @see elgg_get_entities_from_relationship_count()
+ *
+ * @param array $options Options array
+ *
+ * @return string
+ * @since 1.8.0
*/
-
-function list_entities_by_relationship_count($relationship, $inverse_relationship = true,
-$type = "", $subtype = "", $owner_guid = 0, $limit = 10, $fullview = true,
-$listtypetoggle = false, $pagination = true) {
-
- $limit = (int) $limit;
- $offset = (int) get_input('offset');
- $count = get_entities_by_relationship_count($relationship, $inverse_relationship,
- $type, $subtype, $owner_guid, 0, 0, true);
- $entities = get_entities_by_relationship_count($relationship, $inverse_relationship,
- $type, $subtype, $owner_guid, $limit, $offset);
-
- return elgg_view_entity_list($entities, $count, $offset, $limit, $fullview, $listtypetoggle, $pagination);
-}
-
-/**
- * Gets the number of entities by a the number of entities related to
- * them in a particular way also constrained by metadata
- *
- * @param string $relationship The relationship eg "friends_of"
- * @param int $relationship_guid The guid of the entity to use query
- * @param bool $inverse_relationship Inverse relationship owner
- * @param String $meta_name The metadata name
- * @param String $meta_value The metadata value
- * @param string $type The type of entity (default: all)
- * @param string $subtype The entity subtype (default: all)
- * @param int $owner_guid The owner of the entities (default: none)
- * @param int $limit Limit
- * @param int $offset Offset
- * @param bool $count Return a count instead of entities
- * @param int $site_guid Site GUID
- *
- * @return array|int|false An array of entities, or the number of entities, or false on failure
- */
-function get_entities_from_relationships_and_meta($relationship, $relationship_guid,
-$inverse_relationship = false, $meta_name = "", $meta_value = "", $type = "",
-$subtype = "", $owner_guid = 0, $limit = 10, $offset = 0, $count = false, $site_guid = 0) {
-
- elgg_deprecated_notice('get_entities_from_relationship_and_meta() was deprecated by elgg_get_entities_from_relationship()!', 1.7);
-
- $options = array();
-
- $options['relationship'] = $relationship;
- $options['relationship_guid'] = $relationship_guid;
- $options['inverse_relationship'] = $inverse_relationship;
-
- if ($meta_value) {
- $options['values'] = $meta_value;
- }
-
- if ($entity_type) {
- $options['types'] = $entity_type;
- }
-
- if ($type) {
- $options['types'] = $type;
- }
-
- if ($subtype) {
- $options['subtypes'] = $subtype;
- }
-
- if ($owner_guid) {
- $options['owner_guid'] = $owner_guid;
- }
-
- if ($limit) {
- $options['limit'] = $limit;
- }
-
- if ($offset) {
- $options['offset'] = $offset;
- }
-
- if ($order_by) {
- $options['order_by'];
- }
-
- if ($site_guid) {
- $options['site_guid'];
- }
-
- if ($count) {
- $options['count'] = $count;
- }
-
- return elgg_get_entities_from_relationship($options);
+function elgg_list_entities_from_relationship_count($options) {
+ return elgg_list_entities($options, 'elgg_get_entities_from_relationship_count');
}
/**
* Sets the URL handler for a particular relationship type
*
- * @param string $function_name The function to register
* @param string $relationship_type The relationship type.
+ * @param string $function_name The function to register
*
* @return bool Depending on success
*/
-function register_relationship_url_handler($function_name, $relationship_type = "all") {
+function elgg_register_relationship_url_handler($relationship_type, $function_name) {
global $CONFIG;
- if (!is_callable($function_name)) {
+ if (!is_callable($function_name, true)) {
return false;
}
@@ -688,7 +458,7 @@ function get_relationship_url($id) {
}
if (is_callable($function)) {
- $url = $function($relationship);
+ $url = call_user_func($function, $relationship);
}
if ($url == "") {
@@ -713,7 +483,8 @@ function get_relationship_url($id) {
* @param int $guid_two This is the object trying to attach to $guid_one
*
* @return bool
- **/
+ * @access private
+ */
function already_attached($guid_one, $guid_two) {
if ($attached = check_entity_relationship($guid_one, "attached", $guid_two)) {
return true;
@@ -728,14 +499,15 @@ function already_attached($guid_one, $guid_two) {
* @param int $guid Entity GUID
* @param string $type The type of object to return e.g. 'file', 'friend_of' etc
*
- * @return an array of objects
-**/
+ * @return ElggEntity[]
+ * @access private
+ */
function get_attachments($guid, $type = "") {
$options = array(
'relationship' => 'attached',
'relationship_guid' => $guid,
'inverse_relationship' => false,
- 'types' => $type,
+ 'type' => $type,
'subtypes' => '',
'owner_guid' => 0,
'order_by' => 'time_created desc',
@@ -755,7 +527,8 @@ function get_attachments($guid, $type = "") {
* @param int $guid_two This is the object to remove from $guid_one
*
* @return void
-**/
+ * @access private
+ */
function remove_attachment($guid_one, $guid_two) {
if (already_attached($guid_one, $guid_two)) {
remove_entity_relationship($guid_one, "attached", $guid_two);
@@ -769,7 +542,8 @@ function remove_attachment($guid_one, $guid_two) {
* @param int $guid_two This is the object trying to attach to $guid_one
*
* @return true|void
-**/
+ * @access private
+ */
function make_attachment($guid_one, $guid_two) {
if (!(already_attached($guid_one, $guid_two))) {
if (add_entity_relationship($guid_one, "attached", $guid_two)) {
@@ -787,7 +561,7 @@ function make_attachment($guid_one, $guid_two) {
* @param mixed $params Array of params
*
* @return mixed
- *
+ * @access private
*/
function import_relationship_plugin_hook($hook, $entity_type, $returnvalue, $params) {
$element = $params['element'];
@@ -797,9 +571,8 @@ function import_relationship_plugin_hook($hook, $entity_type, $returnvalue, $par
if ($element instanceof ODDRelationship) {
$tmp = new ElggRelationship();
$tmp->import($element);
-
- return $tmp;
}
+ return $tmp;
}
/**
@@ -812,10 +585,10 @@ function import_relationship_plugin_hook($hook, $entity_type, $returnvalue, $par
*
* @elgg_event_handler export all
* @return mixed
+ * @throws InvalidParameterException
+ * @access private
*/
function export_relationship_plugin_hook($hook, $entity_type, $returnvalue, $params) {
- global $CONFIG;
-
// Sanity check values
if ((!is_array($params)) && (!isset($params['guid']))) {
throw new InvalidParameterException(elgg_echo('InvalidParameterException:GUIDNotForExport'));
@@ -839,38 +612,32 @@ function export_relationship_plugin_hook($hook, $entity_type, $returnvalue, $par
}
/**
- * An event listener which will notify users based on certain events.
+ * Notify user that someone has friended them
*
- * @param string $event Event name
- * @param string $object_type Object type
- * @param mixed $object Object
+ * @param string $event Event name
+ * @param string $type Object type
+ * @param mixed $object Object
*
* @return bool
+ * @access private
*/
-function relationship_notification_hook($event, $object_type, $object) {
- global $CONFIG;
+function relationship_notification_hook($event, $type, $object) {
+ /* @var ElggRelationship $object */
+ $user_one = get_entity($object->guid_one);
+ /* @var ElggUser $user_one */
- if (
- ($object instanceof ElggRelationship) &&
- ($event == 'create') &&
- ($object_type == 'friend')
- ) {
- $user_one = get_entity($object->guid_one);
- $user_two = get_entity($object->guid_two);
-
- // Notify target user
- return notify_user($object->guid_two, $object->guid_one,
+ return notify_user($object->guid_two,
+ $object->guid_one,
elgg_echo('friend:newfriend:subject', array($user_one->name)),
elgg_echo("friend:newfriend:body", array($user_one->name, $user_one->getURL()))
- );
- }
+ );
}
-/** Register the import hook */
+// Register the import hook
elgg_register_plugin_hook_handler("import", "all", "import_relationship_plugin_hook", 3);
-/** Register the hook, ensuring entities are serialised first */
+// Register the hook, ensuring entities are serialised first
elgg_register_plugin_hook_handler("export", "all", "export_relationship_plugin_hook", 3);
-/** Register event to listen to some events **/
+// Register event to listen to some events
elgg_register_event_handler('create', 'friend', 'relationship_notification_hook');
diff --git a/engine/lib/river.php b/engine/lib/river.php
index ad069d5cf..e92040eb7 100644
--- a/engine/lib/river.php
+++ b/engine/lib/river.php
@@ -1,7 +1,7 @@
<?php
/**
- * Elgg river 2.0.
- * Functions for listening for and generating the river separately from the system log.
+ * Elgg river.
+ * Activity stream functions.
*
* @package Elgg.Core
* @subpackage SocialModel.River
@@ -18,12 +18,14 @@
* @param int $posted The UNIX epoch timestamp of the river item (default: now)
* @param int $annotation_id The annotation ID associated with this river entry
*
- * @return bool Depending on success
+ * @return int/bool River ID or false on failure
*/
function add_to_river($view, $action_type, $subject_guid, $object_guid, $access_id = "",
$posted = 0, $annotation_id = 0) {
- // use default viewtype for when called from REST api
+ global $CONFIG;
+
+ // use default viewtype for when called from web services api
if (!elgg_view_exists($view, 'default')) {
return false;
}
@@ -42,705 +44,660 @@ $posted = 0, $annotation_id = 0) {
if ($access_id === "") {
$access_id = $object->access_id;
}
- $annotation_id = (int)$annotation_id;
$type = $object->getType();
$subtype = $object->getSubtype();
- $action_type = sanitise_string($action_type);
- // Load config
- global $CONFIG;
+ $view = sanitise_string($view);
+ $action_type = sanitise_string($action_type);
+ $subject_guid = sanitise_int($subject_guid);
+ $object_guid = sanitise_int($object_guid);
+ $access_id = sanitise_int($access_id);
+ $posted = sanitise_int($posted);
+ $annotation_id = sanitise_int($annotation_id);
+
+ $values = array(
+ 'type' => $type,
+ 'subtype' => $subtype,
+ 'action_type' => $action_type,
+ 'access_id' => $access_id,
+ 'view' => $view,
+ 'subject_guid' => $subject_guid,
+ 'object_guid' => $object_guid,
+ 'annotation_id' => $annotation_id,
+ 'posted' => $posted,
+ );
+
+ // return false to stop insert
+ $values = elgg_trigger_plugin_hook('creating', 'river', null, $values);
+ if ($values == false) {
+ // inserting did not fail - it was just prevented
+ return true;
+ }
+
+ extract($values);
// Attempt to save river item; return success status
- $insert_data = insert_data("insert into {$CONFIG->dbprefix}river " .
- " set type = '{$type}', " .
- " subtype = '{$subtype}', " .
- " action_type = '{$action_type}', " .
- " access_id = {$access_id}, " .
- " view = '{$view}', " .
- " subject_guid = {$subject_guid}, " .
- " object_guid = {$object_guid}, " .
- " annotation_id = {$annotation_id}, " .
- " posted = {$posted} ");
-
- //update the entities which had the action carried out on it
- if ($insert_data) {
+ $id = insert_data("insert into {$CONFIG->dbprefix}river " .
+ " set type = '$type', " .
+ " subtype = '$subtype', " .
+ " action_type = '$action_type', " .
+ " access_id = $access_id, " .
+ " view = '$view', " .
+ " subject_guid = $subject_guid, " .
+ " object_guid = $object_guid, " .
+ " annotation_id = $annotation_id, " .
+ " posted = $posted");
+
+ // update the entities which had the action carried out on it
+ // @todo shouldn't this be down elsewhere? Like when an annotation is saved?
+ if ($id) {
update_entity_last_action($object_guid, $posted);
- return $insert_data;
+
+ $river_items = elgg_get_river(array('id' => $id));
+ if ($river_items) {
+ elgg_trigger_event('created', 'river', $river_items[0]);
+ }
+ return $id;
+ } else {
+ return false;
}
}
/**
- * Removes all items relating to a particular acting entity from the river
+ * Delete river items
*
- * @param int $subject_guid The GUID of the entity
+ * @warning not checking access (should we?)
*
- * @return bool Depending on success
- */
-function remove_from_river_by_subject($subject_guid) {
- // Sanitise
- $subject_guid = (int) $subject_guid;
-
- // Load config
- global $CONFIG;
-
- // Remove
- return delete_data("delete from {$CONFIG->dbprefix}river where subject_guid = {$subject_guid}");
-}
-
-/**
- * Removes all items relating to a particular entity being acted upon from the river
+ * @param array $options Parameters:
+ * ids => INT|ARR River item id(s)
+ * subject_guids => INT|ARR Subject guid(s)
+ * object_guids => INT|ARR Object guid(s)
+ * annotation_ids => INT|ARR The identifier of the annotation(s)
+ * action_types => STR|ARR The river action type(s) identifier
+ * views => STR|ARR River view(s)
*
- * @param int $object_guid The GUID of the entity
+ * types => STR|ARR Entity type string(s)
+ * subtypes => STR|ARR Entity subtype string(s)
+ * type_subtype_pairs => ARR Array of type => subtype pairs where subtype
+ * can be an array of subtype strings
*
- * @return bool Depending on success
+ * posted_time_lower => INT The lower bound on the time posted
+ * posted_time_upper => INT The upper bound on the time posted
+ *
+ * @return bool
+ * @since 1.8.0
*/
-function remove_from_river_by_object($object_guid) {
- // Sanitise
- $object_guid = (int) $object_guid;
-
- // Load config
+function elgg_delete_river(array $options = array()) {
global $CONFIG;
- // Remove
- return delete_data("delete from {$CONFIG->dbprefix}river where object_guid = {$object_guid}");
-}
+ $defaults = array(
+ 'ids' => ELGG_ENTITIES_ANY_VALUE,
-/**
- * Removes all items relating to a particular annotation being acted upon from the river
- *
- * @param int $annotation_id The ID of the annotation
- *
- * @return bool Depending on success
- * @since 1.7.0
- */
-function remove_from_river_by_annotation($annotation_id) {
- // Sanitise
- $annotation_id = (int) $annotation_id;
+ 'subject_guids' => ELGG_ENTITIES_ANY_VALUE,
+ 'object_guids' => ELGG_ENTITIES_ANY_VALUE,
+ 'annotation_ids' => ELGG_ENTITIES_ANY_VALUE,
- // Load config
- global $CONFIG;
+ 'views' => ELGG_ENTITIES_ANY_VALUE,
+ 'action_types' => ELGG_ENTITIES_ANY_VALUE,
- // Remove
- return delete_data("delete from {$CONFIG->dbprefix}river where annotation_id = {$annotation_id}");
-}
+ 'types' => ELGG_ENTITIES_ANY_VALUE,
+ 'subtypes' => ELGG_ENTITIES_ANY_VALUE,
+ 'type_subtype_pairs' => ELGG_ENTITIES_ANY_VALUE,
-/**
- * Removes a single river entry
- *
- * @param int $id The ID of the river entry
- *
- * @return bool Depending on success
- * @since 1.7.2
- */
-function remove_from_river_by_id($id) {
- global $CONFIG;
+ 'posted_time_lower' => ELGG_ENTITIES_ANY_VALUE,
+ 'posted_time_upper' => ELGG_ENTITIES_ANY_VALUE,
- // Sanitise
- $id = (int) $id;
+ 'wheres' => array(),
+ 'joins' => array(),
- return delete_data("delete from {$CONFIG->dbprefix}river where id = {$id}");
-}
+ );
-/**
- * Sets the access ID on river items for a particular object
- *
- * @param int $object_guid The GUID of the entity
- * @param int $access_id The access ID
- *
- * @return bool Depending on success
- */
-function update_river_access_by_object($object_guid, $access_id) {
- // Sanitise
- $object_guid = (int) $object_guid;
- $access_id = (int) $access_id;
+ $options = array_merge($defaults, $options);
- // Load config
- global $CONFIG;
+ $singulars = array('id', 'subject_guid', 'object_guid', 'annotation_id', 'action_type', 'view', 'type', 'subtype');
+ $options = elgg_normalise_plural_options_array($options, $singulars);
- // Remove
- $query = "update {$CONFIG->dbprefix}river
- set access_id = {$access_id}
- where object_guid = {$object_guid}";
- return update_data($query);
-}
+ $wheres = $options['wheres'];
-/**
- * Retrieves items from the river. All parameters are optional.
- *
- * @param int|array $subject_guid Acting entity to restrict to. Default: all
- * @param int|array $object_guid Entity being acted on to restrict to. Default: all
- * @param string $subject_relationship If set to a relationship type, this will use
- * $subject_guid as the starting point and set the
- * subjects to be all users this
- * entity has this relationship with (eg 'friend').
- * Default: blank
- * @param string $type The type of entity to restrict to. Default: all
- * @param string $subtype The subtype of entity to restrict to. Default: all
- * @param string $action_type The type of river action to restrict to. Default: all
- * @param int $limit The number of items to retrieve. Default: 20
- * @param int $offset The page offset. Default: 0
- * @param int $posted_min The minimum time period to look at. Default: none
- * @param int $posted_max The maximum time period to look at. Default: none
- *
- * @return array|false Depending on success
- */
-function get_river_items($subject_guid = 0, $object_guid = 0, $subject_relationship = '',
-$type = '', $subtype = '', $action_type = '', $limit = 20, $offset = 0, $posted_min = 0,
-$posted_max = 0) {
+ $wheres[] = elgg_get_guid_based_where_sql('rv.id', $options['ids']);
+ $wheres[] = elgg_get_guid_based_where_sql('rv.subject_guid', $options['subject_guids']);
+ $wheres[] = elgg_get_guid_based_where_sql('rv.object_guid', $options['object_guids']);
+ $wheres[] = elgg_get_guid_based_where_sql('rv.annotation_id', $options['annotation_ids']);
+ $wheres[] = elgg_river_get_action_where_sql($options['action_types']);
+ $wheres[] = elgg_river_get_view_where_sql($options['views']);
+ $wheres[] = elgg_get_river_type_subtype_where_sql('rv', $options['types'],
+ $options['subtypes'], $options['type_subtype_pairs']);
- // Get config
- global $CONFIG;
+ if ($options['posted_time_lower'] && is_int($options['posted_time_lower'])) {
+ $wheres[] = "rv.posted >= {$options['posted_time_lower']}";
+ }
- // Sanitise variables
- if (!is_array($subject_guid)) {
- $subject_guid = (int) $subject_guid;
- } else {
- foreach ($subject_guid as $key => $temp) {
- $subject_guid[$key] = (int) $temp;
- }
+ if ($options['posted_time_upper'] && is_int($options['posted_time_upper'])) {
+ $wheres[] = "rv.posted <= {$options['posted_time_upper']}";
}
- if (!is_array($object_guid)) {
- $object_guid = (int) $object_guid;
- } else {
- foreach ($object_guid as $key => $temp) {
- $object_guid[$key] = (int) $temp;
+
+ // see if any functions failed
+ // remove empty strings on successful functions
+ foreach ($wheres as $i => $where) {
+ if ($where === FALSE) {
+ return FALSE;
+ } elseif (empty($where)) {
+ unset($wheres[$i]);
}
}
- if (!empty($type)) {
- $type = sanitise_string($type);
- }
- if (!empty($subtype)) {
- $subtype = sanitise_string($subtype);
- }
- if (!empty($action_type)) {
- $action_type = sanitise_string($action_type);
- }
- $limit = (int) $limit;
- $offset = (int) $offset;
- $posted_min = (int) $posted_min;
- $posted_max = (int) $posted_max;
- // Construct 'where' clauses for the river
- $where = array();
- // river table does not have columns expected by get_access_sql_suffix so we modify its output
- $where[] = str_replace("and enabled='yes'", '',
- str_replace('owner_guid', 'subject_guid', get_access_sql_suffix()));
+ // remove identical where clauses
+ $wheres = array_unique($wheres);
- if (empty($subject_relationship)) {
- if (!empty($subject_guid)) {
- if (!is_array($subject_guid)) {
- $where[] = " subject_guid = {$subject_guid} ";
- } else {
- $where[] = " subject_guid in (" . implode(',', $subject_guid) . ") ";
- }
- }
- } else {
- if (!is_array($subject_guid)) {
- if ($entities = elgg_get_entities_from_relationship(array (
- 'relationship' => $subject_relationship,
- 'relationship_guid' => $subject_guid,
- 'limit' => 9999))
- ) {
- $guids = array();
- foreach ($entities as $entity) {
- $guids[] = (int) $entity->guid;
- }
- $where[] = " subject_guid in (" . implode(',', $guids) . ") ";
- } else {
- return array();
- }
- }
- }
- if (!empty($object_guid)) {
- if (!is_array($object_guid)) {
- $where[] = " object_guid = {$object_guid} ";
- } else {
- $where[] = " object_guid in (" . implode(',', $object_guid) . ") ";
- }
- }
- if (!empty($type)) {
- $where[] = " type = '{$type}' ";
- }
- if (!empty($subtype)) {
- $where[] = " subtype = '{$subtype}' ";
- }
- if (!empty($action_type)) {
- $where[] = " action_type = '{$action_type}' ";
- }
- if (!empty($posted_min)) {
- $where[] = " posted > {$posted_min} ";
- }
- if (!empty($posted_max)) {
- $where[] = " posted < {$posted_max} ";
+ $query = "DELETE rv.* FROM {$CONFIG->dbprefix}river rv ";
+
+ // remove identical join clauses
+ $joins = array_unique($options['joins']);
+
+ // add joins
+ foreach ($joins as $j) {
+ $query .= " $j ";
}
- $whereclause = implode(' and ', $where);
+ // add wheres
+ $query .= ' WHERE ';
- // Construct main SQL
- $sql = "select id, type, subtype, action_type, access_id, view,
- subject_guid, object_guid, annotation_id, posted
- from {$CONFIG->dbprefix}river
- where {$whereclause} order by posted desc limit {$offset}, {$limit}";
+ foreach ($wheres as $w) {
+ $query .= " $w AND ";
+ }
+ $query .= "1=1";
- // Get data
- return get_data($sql);
+ return delete_data($query);
}
/**
- * Retrieves items from the river. All parameters are optional.
+ * Get river items
+ *
+ * @note If using types and subtypes in a query, they are joined with an AND.
+ *
+ * @param array $options Parameters:
+ * ids => INT|ARR River item id(s)
+ * subject_guids => INT|ARR Subject guid(s)
+ * object_guids => INT|ARR Object guid(s)
+ * annotation_ids => INT|ARR The identifier of the annotation(s)
+ * action_types => STR|ARR The river action type(s) identifier
+ * posted_time_lower => INT The lower bound on the time posted
+ * posted_time_upper => INT The upper bound on the time posted
+ *
+ * types => STR|ARR Entity type string(s)
+ * subtypes => STR|ARR Entity subtype string(s)
+ * type_subtype_pairs => ARR Array of type => subtype pairs where subtype
+ * can be an array of subtype strings
*
- * @param int|array $subject_guid Acting entity to restrict to. Default: all
- * @param int|array $object_guid Entity being acted on to restrict to. Default: all
- * @param string $subject_relationship If set to a relationship type, this will use
- * $subject_guid as the starting point and set the
- * subjects to be all users this entity has this
- * relationship with (eg 'friend'). Default: blank
- * @param string $type The type of entity to restrict to. Default: all
- * @param string $subtype The subtype of entity to restrict to. Default: all
- * @param string $action_type The type of river action to restrict to. Default: all
- * @param int $limit The number of items to retrieve. Default: 20
- * @param int $offset The page offset. Default: 0
- * @param int $posted_min The minimum time period to look at. Default: none
- * @param int $posted_max The maximum time period to look at. Default: none
+ * relationship => STR Relationship identifier
+ * relationship_guid => INT|ARR Entity guid(s)
+ * inverse_relationship => BOOL Subject or object of the relationship (false)
*
- * @return array|false Depending on success
+ * limit => INT Number to show per page (20)
+ * offset => INT Offset in list (0)
+ * count => BOOL Count the river items? (false)
+ * order_by => STR Order by clause (rv.posted desc)
+ * group_by => STR Group by clause
+ *
+ * @return array|int
+ * @since 1.8.0
*/
-function elgg_get_river_items($subject_guid = 0, $object_guid = 0, $subject_relationship = '',
-$type = '', $subtype = '', $action_type = '', $limit = 10, $offset = 0, $posted_min = 0,
-$posted_max = 0) {
-
- // Get config
+function elgg_get_river(array $options = array()) {
global $CONFIG;
- // Sanitise variables
- if (!is_array($subject_guid)) {
- $subject_guid = (int) $subject_guid;
- } else {
- foreach ($subject_guid as $key => $temp) {
- $subject_guid[$key] = (int) $temp;
- }
- }
- if (!is_array($object_guid)) {
- $object_guid = (int) $object_guid;
- } else {
- foreach ($object_guid as $key => $temp) {
- $object_guid[$key] = (int) $temp;
- }
- }
- if (!empty($type)) {
- $type = sanitise_string($type);
- }
- if (!empty($subtype)) {
- $subtype = sanitise_string($subtype);
+ $defaults = array(
+ 'ids' => ELGG_ENTITIES_ANY_VALUE,
+
+ 'subject_guids' => ELGG_ENTITIES_ANY_VALUE,
+ 'object_guids' => ELGG_ENTITIES_ANY_VALUE,
+ 'annotation_ids' => ELGG_ENTITIES_ANY_VALUE,
+ 'action_types' => ELGG_ENTITIES_ANY_VALUE,
+
+ 'relationship' => NULL,
+ 'relationship_guid' => NULL,
+ 'inverse_relationship' => FALSE,
+
+ 'types' => ELGG_ENTITIES_ANY_VALUE,
+ 'subtypes' => ELGG_ENTITIES_ANY_VALUE,
+ 'type_subtype_pairs' => ELGG_ENTITIES_ANY_VALUE,
+
+ 'posted_time_lower' => ELGG_ENTITIES_ANY_VALUE,
+ 'posted_time_upper' => ELGG_ENTITIES_ANY_VALUE,
+
+ 'limit' => 20,
+ 'offset' => 0,
+ 'count' => FALSE,
+
+ 'order_by' => 'rv.posted desc',
+ 'group_by' => ELGG_ENTITIES_ANY_VALUE,
+
+ 'wheres' => array(),
+ 'joins' => array(),
+ );
+
+ $options = array_merge($defaults, $options);
+
+ $singulars = array('id', 'subject_guid', 'object_guid', 'annotation_id', 'action_type', 'type', 'subtype');
+ $options = elgg_normalise_plural_options_array($options, $singulars);
+
+ $wheres = $options['wheres'];
+
+ $wheres[] = elgg_get_guid_based_where_sql('rv.id', $options['ids']);
+ $wheres[] = elgg_get_guid_based_where_sql('rv.subject_guid', $options['subject_guids']);
+ $wheres[] = elgg_get_guid_based_where_sql('rv.object_guid', $options['object_guids']);
+ $wheres[] = elgg_get_guid_based_where_sql('rv.annotation_id', $options['annotation_ids']);
+ $wheres[] = elgg_river_get_action_where_sql($options['action_types']);
+ $wheres[] = elgg_get_river_type_subtype_where_sql('rv', $options['types'],
+ $options['subtypes'], $options['type_subtype_pairs']);
+
+ if ($options['posted_time_lower'] && is_int($options['posted_time_lower'])) {
+ $wheres[] = "rv.posted >= {$options['posted_time_lower']}";
}
- if (!empty($action_type)) {
- $action_type = sanitise_string($action_type);
+
+ if ($options['posted_time_upper'] && is_int($options['posted_time_upper'])) {
+ $wheres[] = "rv.posted <= {$options['posted_time_upper']}";
}
- $limit = (int) $limit;
- $offset = (int) $offset;
- $posted_min = (int) $posted_min;
- $posted_max = (int) $posted_max;
- // Construct 'where' clauses for the river
- $where = array();
- // river table does not have columns expected by get_access_sql_suffix so we modify its output
- $where[] = str_replace("and enabled='yes'", '',
- str_replace('owner_guid', 'subject_guid', get_access_sql_suffix_new('er', 'e')));
+ $joins = $options['joins'];
- if (empty($subject_relationship)) {
- if (!empty($subject_guid)) {
- if (!is_array($subject_guid)) {
- $where[] = " subject_guid = {$subject_guid} ";
- } else {
- $where[] = " subject_guid in (" . implode(',', $subject_guid) . ") ";
- }
- }
- } else {
- if (!is_array($subject_guid)) {
- $entities = elgg_get_entities_from_relationship(array(
- 'relationship' => $subject_relationship,
- 'relationship_guid' => $subject_guid,
- 'limit' => 9999,
- ));
- if (is_array($entities) && !empty($entities)) {
- $guids = array();
- foreach ($entities as $entity) {
- $guids[] = (int) $entity->guid;
- }
- // $guids[] = $subject_guid;
- $where[] = " subject_guid in (" . implode(',', $guids) . ") ";
- } else {
- return array();
- }
+ if ($options['relationship_guid']) {
+ $clauses = elgg_get_entity_relationship_where_sql(
+ 'rv.subject_guid',
+ $options['relationship'],
+ $options['relationship_guid'],
+ $options['inverse_relationship']);
+ if ($clauses) {
+ $wheres = array_merge($wheres, $clauses['wheres']);
+ $joins = array_merge($joins, $clauses['joins']);
}
}
- if (!empty($object_guid)) {
- if (!is_array($object_guid)) {
- $where[] = " object_guid = {$object_guid} ";
- } else {
- $where[] = " object_guid in (" . implode(',', $object_guid) . ") ";
+
+ // see if any functions failed
+ // remove empty strings on successful functions
+ foreach ($wheres as $i => $where) {
+ if ($where === FALSE) {
+ return FALSE;
+ } elseif (empty($where)) {
+ unset($wheres[$i]);
}
}
- if (!empty($type)) {
- $where[] = " er.type = '{$type}' ";
- }
- if (!empty($subtype)) {
- $where[] = " er.subtype = '{$subtype}' ";
- }
- if (!empty($action_type)) {
- $where[] = " action_type = '{$action_type}' ";
+
+ // remove identical where clauses
+ $wheres = array_unique($wheres);
+
+ if (!$options['count']) {
+ $query = "SELECT DISTINCT rv.* FROM {$CONFIG->dbprefix}river rv ";
+ } else {
+ $query = "SELECT count(DISTINCT rv.id) as total FROM {$CONFIG->dbprefix}river rv ";
}
- if (!empty($posted_min)) {
- $where[] = " posted > {$posted_min} ";
+
+ // add joins
+ foreach ($joins as $j) {
+ $query .= " $j ";
}
- if (!empty($posted_max)) {
- $where[] = " posted < {$posted_max} ";
+
+ // add wheres
+ $query .= ' WHERE ';
+
+ foreach ($wheres as $w) {
+ $query .= " $w AND ";
}
- $whereclause = implode(' and ', $where);
+ $query .= elgg_river_get_access_sql();
- // Construct main SQL
- $sql = "select er.*" .
- " from {$CONFIG->dbprefix}river er, {$CONFIG->dbprefix}entities e " .
- " where {$whereclause} AND er.object_guid = e.guid GROUP BY object_guid " .
- " ORDER BY e.last_action desc LIMIT {$offset}, {$limit}";
+ if (!$options['count']) {
+ $options['group_by'] = sanitise_string($options['group_by']);
+ if ($options['group_by']) {
+ $query .= " GROUP BY {$options['group_by']}";
+ }
+
+ $options['order_by'] = sanitise_string($options['order_by']);
+ $query .= " ORDER BY {$options['order_by']}";
+
+ if ($options['limit']) {
+ $limit = sanitise_int($options['limit']);
+ $offset = sanitise_int($options['offset'], false);
+ $query .= " LIMIT $offset, $limit";
+ }
- // Get data
- return get_data($sql);
+ $river_items = get_data($query, 'elgg_row_to_elgg_river_item');
+ _elgg_prefetch_river_entities($river_items);
+
+ return $river_items;
+ } else {
+ $total = get_data_row($query);
+ return (int)$total->total;
+ }
}
/**
- * Returns a human-readable representation of a river item
- *
- * @see get_river_items
+ * Prefetch entities that will be displayed in the river.
*
- * @param stdClass $item A river item object as returned from get_river_items
- *
- * @return string|false Depending on success
+ * @param ElggRiverItem[] $river_items
+ * @access private
*/
-function elgg_view_river_item($item) {
- if (isset($item->view)) {
- $object = get_entity($item->object_guid);
- $subject = get_entity($item->subject_guid);
- if (!$object || !$subject) {
- // probably means an entity is disabled
- return false;
- } else {
- if (elgg_view_exists($item->view)) {
- $body = elgg_view($item->view, array(
- 'item' => $item
- ));
- }
+function _elgg_prefetch_river_entities(array $river_items) {
+ // prefetch objects and subjects
+ $guids = array();
+ foreach ($river_items as $item) {
+ if ($item->subject_guid && !_elgg_retrieve_cached_entity($item->subject_guid)) {
+ $guids[$item->subject_guid] = true;
+ }
+ if ($item->object_guid && !_elgg_retrieve_cached_entity($item->object_guid)) {
+ $guids[$item->object_guid] = true;
+ }
+ }
+ if ($guids) {
+ // avoid creating oversized query
+ // @todo how to better handle this?
+ $guids = array_slice($guids, 0, 300, true);
+ // return value unneeded, just priming cache
+ elgg_get_entities(array(
+ 'guids' => array_keys($guids),
+ 'limit' => 0,
+ ));
+ }
+
+ // prefetch object containers
+ $guids = array();
+ foreach ($river_items as $item) {
+ $object = $item->getObjectEntity();
+ if ($object->container_guid && !_elgg_retrieve_cached_entity($object->container_guid)) {
+ $guids[$object->container_guid] = true;
}
- return elgg_view('river/item/wrapper', array(
- 'item' => $item,
- 'body' => $body
+ }
+ if ($guids) {
+ $guids = array_slice($guids, 0, 300, true);
+ elgg_get_entities(array(
+ 'guids' => array_keys($guids),
+ 'limit' => 0,
));
}
- return false;
}
/**
- * Returns a human-readable version of the river.
+ * List river items
*
- * @param int|array $subject_guid Acting entity to restrict to. Default: all
- * @param int|array $object_guid Entity being acted on to restrict to. Default: all
- * @param string $subject_relationship If set to a relationship type, this will use
- * $subject_guid as the starting point and set
- * the subjects to be all users this entity has this
- * relationship with (eg 'friend'). Default: blank
- * @param string $type The type of entity to restrict to. Default: all
- * @param string $subtype The subtype of entity to restrict to. Default: all
- * @param string $action_type The type of river action to restrict to. Default: all
- * @param int $limit The number of items to retrieve. Default: 20
- * @param int $posted_min The minimum time period to look at. Default: none
- * @param int $posted_max The maximum time period to look at. Default: none
- * @param bool $pagination Show pagination?
- * @param $bool $chronological Show in chronological order?
+ * @param array $options Any options from elgg_get_river() plus:
+ * pagination => BOOL Display pagination links (true)
*
- * @return string Human-readable river.
+ * @return string
+ * @since 1.8.0
*/
-function elgg_view_river_items($subject_guid = 0, $object_guid = 0, $subject_relationship = '',
-$type = '', $subtype = '', $action_type = '', $limit = 20, $posted_min = 0,
-$posted_max = 0, $pagination = true, $chronological = false) {
-
- // Get input from outside world and sanitise it
- $offset = (int) get_input('offset', 0);
+function elgg_list_river(array $options = array()) {
+ global $autofeed;
+ $autofeed = true;
+
+ $defaults = array(
+ 'offset' => (int) max(get_input('offset', 0), 0),
+ 'limit' => (int) max(get_input('limit', 20), 0),
+ 'pagination' => TRUE,
+ 'list_class' => 'elgg-list-river elgg-river', // @todo remove elgg-river in Elgg 1.9
+ );
+
+ $options = array_merge($defaults, $options);
+
+ if (!$options["limit"] && !$options["offset"]) {
+ // no need for pagination if listing is unlimited
+ $options["pagination"] = false;
+ }
+
+ $options['count'] = TRUE;
+ $count = elgg_get_river($options);
+
+ $options['count'] = FALSE;
+ $items = elgg_get_river($options);
+
+ $options['count'] = $count;
+ $options['items'] = $items;
+
+ return elgg_view('page/components/list', $options);
+}
- // Get the correct function
- if ($chronological == true) {
- $riveritems = get_river_items($subject_guid, $object_guid, $subject_relationship, $type,
- $subtype, $action_type, ($limit + 1), $offset, $posted_min, $posted_max);
- } else {
- $riveritems = elgg_get_river_items($subject_guid, $object_guid, $subject_relationship, $type,
- $subtype, $action_type, ($limit + 1), $offset, $posted_min, $posted_max);
+/**
+ * Convert a database row to a new ElggRiverItem
+ *
+ * @param stdClass $row Database row from the river table
+ *
+ * @return ElggRiverItem
+ * @since 1.8.0
+ * @access private
+ */
+function elgg_row_to_elgg_river_item($row) {
+ if (!($row instanceof stdClass)) {
+ return NULL;
}
- // Get river items, if they exist
- if ($riveritems) {
-
- return elgg_view('river/item/list', array(
- 'limit' => $limit,
- 'offset' => $offset,
- 'items' => $riveritems,
- 'pagination' => $pagination
- ));
-
- }
+ return new ElggRiverItem($row);
+}
- return '';
+/**
+ * Get the river's access where clause
+ *
+ * @return string
+ * @since 1.8.0
+ * @access private
+ */
+function elgg_river_get_access_sql() {
+ // rewrite default access where clause to work with river table
+ return str_replace("and enabled='yes'", '',
+ str_replace('owner_guid', 'rv.subject_guid',
+ str_replace('access_id', 'rv.access_id', get_access_sql_suffix())));
}
/**
- * This function has been added here until we decide if it is going to roll into core or not
- * Add access restriction sql code to a given query.
- * Note that if this code is executed in privileged mode it will return blank.
+ * Returns SQL where clause for type and subtype on river table
*
- * @TODO: DELETE once Query classes are fully integrated
+ * @internal This is a simplified version of elgg_get_entity_type_subtype_where_sql()
+ * which could be used for all queries once the subtypes have been denormalized.
*
- * @param string $table_prefix_one Optional table. prefix for the access code.
- * @param string $table_prefix_two Another optiona table prefix?
- * @param int $owner Owner GUID
+ * @param string $table 'rv'
+ * @param NULL|array $types Array of types or NULL if none.
+ * @param NULL|array $subtypes Array of subtypes or NULL if none
+ * @param NULL|array $pairs Array of pairs of types and subtypes
*
* @return string
+ * @since 1.8.0
+ * @access private
*/
-function get_access_sql_suffix_new($table_prefix_one = '', $table_prefix_two = '', $owner = null) {
- global $ENTITY_SHOW_HIDDEN_OVERRIDE, $CONFIG;
-
- $sql = "";
- $friends_bit = "";
- $enemies_bit = "";
-
- if ($table_prefix_one) {
- $table_prefix_one = sanitise_string($table_prefix_one) . ".";
+function elgg_get_river_type_subtype_where_sql($table, $types, $subtypes, $pairs) {
+ // short circuit if nothing is requested
+ if (!$types && !$subtypes && !$pairs) {
+ return '';
}
- if ($table_prefix_two) {
- $table_prefix_two = sanitise_string($table_prefix_two) . ".";
- }
+ $wheres = array();
+ $types_wheres = array();
+ $subtypes_wheres = array();
- if (!isset($owner)) {
- $owner = get_loggedin_userid();
- }
-
- if (!$owner) {
- $owner = -1;
- }
+ // if no pairs, use types and subtypes
+ if (!is_array($pairs)) {
+ if ($types) {
+ if (!is_array($types)) {
+ $types = array($types);
+ }
+ foreach ($types as $type) {
+ $type = sanitise_string($type);
+ $types_wheres[] = "({$table}.type = '$type')";
+ }
+ }
- $ignore_access = elgg_check_access_overrides($owner);
- $access = get_access_list($owner);
+ if ($subtypes) {
+ if (!is_array($subtypes)) {
+ $subtypes = array($subtypes);
+ }
+ foreach ($subtypes as $subtype) {
+ $subtype = sanitise_string($subtype);
+ $subtypes_wheres[] = "({$table}.subtype = '$subtype')";
+ }
+ }
- if ($ignore_access) {
- $sql = " (1 = 1) ";
- } else if ($owner != -1) {
- $friends_bit = "{$table_prefix_one}access_id = " . ACCESS_FRIENDS . "
- AND {$table_prefix_one}owner_guid IN (
- SELECT guid_one FROM {$CONFIG->dbprefix}entity_relationships
- WHERE relationship='friend' AND guid_two=$owner
- )";
+ if (is_array($types_wheres) && count($types_wheres)) {
+ $types_wheres = array(implode(' OR ', $types_wheres));
+ }
- $friends_bit = '(' . $friends_bit . ') OR ';
+ if (is_array($subtypes_wheres) && count($subtypes_wheres)) {
+ $subtypes_wheres = array('(' . implode(' OR ', $subtypes_wheres) . ')');
+ }
- if ((isset($CONFIG->user_block_and_filter_enabled)) && ($CONFIG->user_block_and_filter_enabled)) {
- // check to see if the user is in the entity owner's block list
- // or if the entity owner is in the user's filter list
- // if so, disallow access
- $enemies_bit = get_annotation_sql('elgg_block_list', "{$table_prefix_one}owner_guid",
- $owner, false);
+ $wheres = array(implode(' AND ', array_merge($types_wheres, $subtypes_wheres)));
- $enemies_bit = '('
- . $enemies_bit
- . ' AND ' . get_annotation_sql('elgg_filter_list', $owner, "{$table_prefix_one}owner_guid",
- false)
- . ')';
+ } else {
+ // using type/subtype pairs
+ foreach ($pairs as $paired_type => $paired_subtypes) {
+ $paired_type = sanitise_string($paired_type);
+ if (is_array($paired_subtypes)) {
+ $paired_subtypes = array_map('sanitise_string', $paired_subtypes);
+ $paired_subtype_str = implode("','", $paired_subtypes);
+ if ($paired_subtype_str) {
+ $wheres[] = "({$table}.type = '$paired_type'"
+ . " AND {$table}.subtype IN ('$paired_subtype_str'))";
+ }
+ } else {
+ $paired_subtype = sanitise_string($paired_subtypes);
+ $wheres[] = "({$table}.type = '$paired_type'"
+ . " AND {$table}.subtype = '$paired_subtype')";
+ }
}
}
- if (empty($sql)) {
- $sql = " $friends_bit ({$table_prefix_one}access_id IN {$access}
- OR ({$table_prefix_one}owner_guid = {$owner})
- OR (
- {$table_prefix_one}access_id = " . ACCESS_PRIVATE . "
- AND {$table_prefix_one}owner_guid = $owner
- )
- )";
+ if (is_array($wheres) && count($wheres)) {
+ $where = implode(' OR ', $wheres);
+ return "($where)";
+ }
+
+ return '';
+}
+
+/**
+ * Get the where clause based on river action type strings
+ *
+ * @param array $types Array of action type strings
+ *
+ * @return string
+ * @since 1.8.0
+ * @access private
+ */
+function elgg_river_get_action_where_sql($types) {
+ if (!$types) {
+ return '';
}
- if ($enemies_bit) {
- $sql = "$enemies_bit AND ($sql)";
+ if (!is_array($types)) {
+ $types = sanitise_string($types);
+ return "(rv.action_type = '$types')";
}
- if (!$ENTITY_SHOW_HIDDEN_OVERRIDE) {
- $sql .= " and {$table_prefix_two}enabled='yes'";
+ // sanitize types array
+ $types_sanitized = array();
+ foreach ($types as $type) {
+ $types_sanitized[] = sanitise_string($type);
}
- return '(' . $sql . ')';
+ $type_str = implode("','", $types_sanitized);
+ return "(rv.action_type IN ('$type_str'))";
}
/**
- * Construct and execute the query required for the activity stream.
- *
- * @deprecated 1.8
+ * Get the where clause based on river view strings
*
- * @param int $limit Limit the query.
- * @param int $offset Execute from the given object
- * @param mixed $type A type, or array of types to look for.
- * Note: This is how they appear in the SYSTEM LOG.
- * @param mixed $subtype A subtype, or array of types to look for.
- * Note: This is how they appear in the SYSTEM LOG.
- * @param mixed $owner_guid The guid or a collection of GUIDs
- * @param string $owner_relationship If defined, the relationship between $owner_guid and
- * the entity owner_guid - so "is $owner_guid $owner_relationship
- * with $entity->owner_guid"
+ * @param array $views Array of view strings
*
- * @return array An array of system log entries.
+ * @return string
+ * @since 1.8.0
+ * @access private
*/
-function get_activity_stream_data($limit = 10, $offset = 0, $type = "", $subtype = "",
-$owner_guid = "", $owner_relationship = "") {
-
- global $CONFIG;
-
- $limit = (int)$limit;
- $offset = (int)$offset;
-
- if ($type) {
- if (!is_array($type)) {
- $type = array(sanitise_string($type));
- } else {
- foreach ($type as $k => $v) {
- $type[$k] = sanitise_string($v);
- }
- }
+function elgg_river_get_view_where_sql($views) {
+ if (!$views) {
+ return '';
}
- if ($subtype) {
- if (!is_array($subtype)) {
- $subtype = array(sanitise_string($subtype));
- } else {
- foreach ($subtype as $k => $v) {
- $subtype[$k] = sanitise_string($v);
- }
- }
+ if (!is_array($views)) {
+ $views = sanitise_string($views);
+ return "(rv.view = '$views')";
}
- if ($owner_guid) {
- if (is_array($owner_guid)) {
- foreach ($owner_guid as $k => $v) {
- $owner_guid[$k] = (int)$v;
- }
- } else {
- $owner_guid = array((int)$owner_guid);
- }
+ // sanitize views array
+ $views_sanitized = array();
+ foreach ($views as $view) {
+ $views_sanitized[] = sanitise_string($view);
}
- $owner_relationship = sanitise_string($owner_relationship);
-
- // Get a list of possible views
- $activity_events = array();
- $activity_views = array_merge(elgg_view_tree('activity', 'default'),
- elgg_view_tree('river', 'default'));
-
- $done = array();
+ $view_str = implode("','", $views_sanitized);
+ return "(rv.view IN ('$view_str'))";
+}
- foreach ($activity_views as $view) {
- $fragments = explode('/', $view);
- $tmp = explode('/', $view, 2);
- $tmp = $tmp[1];
+/**
+ * Sets the access ID on river items for a particular object
+ *
+ * @param int $object_guid The GUID of the entity
+ * @param int $access_id The access ID
+ *
+ * @return bool Depending on success
+ */
+function update_river_access_by_object($object_guid, $access_id) {
+ // Sanitise
+ $object_guid = (int) $object_guid;
+ $access_id = (int) $access_id;
- if ((isset($fragments[0])) && (($fragments[0] == 'river') || ($fragments[0] == 'activity'))
- && (!in_array($tmp, $done))) {
+ // Load config
+ global $CONFIG;
- if (isset($fragments[1])) {
- $f = array();
- for ($n = 1; $n < count($fragments); $n++) {
- $val = sanitise_string($fragments[$n]);
- switch($n) {
- case 1: $key = 'type'; break;
- case 2: $key = 'subtype'; break;
- case 3: $key = 'event'; break;
- }
- $f[$key] = $val;
- }
+ // Remove
+ $query = "update {$CONFIG->dbprefix}river
+ set access_id = {$access_id}
+ where object_guid = {$object_guid}";
+ return update_data($query);
+}
- // Filter result based on parameters
- $add = true;
- if ($type) {
- if (!in_array($f['type'], $type)) {
- $add = false;
- }
- }
- if (($add) && ($subtype)) {
- if (!in_array($f['subtype'], $subtype)) {
- $add = false;
- }
- }
- if (($add) && ($event)) {
- if (!in_array($f['event'], $event)) {
- $add = false;
- }
- }
+/**
+ * Page handler for activity
+ *
+ * @param array $page
+ * @return bool
+ * @access private
+ */
+function elgg_river_page_handler($page) {
+ global $CONFIG;
- if ($add) {
- $activity_events[] = $f;
- }
- }
+ elgg_set_page_owner_guid(elgg_get_logged_in_user_guid());
- $done[] = $tmp;
- }
+ // make a URL segment available in page handler script
+ $page_type = elgg_extract(0, $page, 'all');
+ $page_type = preg_replace('[\W]', '', $page_type);
+ if ($page_type == 'owner') {
+ $page_type = 'mine';
}
+ set_input('page_type', $page_type);
- $n = 0;
- foreach ($activity_events as $details) {
- // Get what we're talking about
- if ($details['subtype'] == 'default') {
- $details['subtype'] = '';
- }
-
- if (($details['type']) && ($details['event'])) {
- if ($n > 0) {
- $obj_query .= " or ";
- }
-
- $access = "";
- if ($details['type'] != 'relationship') {
- $access = " and " . get_access_sql_suffix('sl');
- }
-
- $obj_query .= "( sl.object_type='{$details['type']}'
- AND sl.object_subtype='{$details['subtype']}'
- AND sl.event='{$details['event']}' $access )";
+ require_once("{$CONFIG->path}pages/river.php");
+ return true;
+}
- $n++;
- }
- }
+/**
+ * Register river unit tests
+ * @access private
+ */
+function elgg_river_test($hook, $type, $value) {
+ global $CONFIG;
+ $value[] = $CONFIG->path . 'engine/tests/api/river.php';
+ return $value;
+}
- // User
- if ((count($owner_guid)) && ($owner_guid[0] != 0)) {
- $user = " and sl.performed_by_guid in (" . implode(',', $owner_guid) . ")";
-
- if ($owner_relationship) {
- $friendsarray = "";
- if ($friends = elgg_get_entities_from_relationship(array(
- 'relationship' => $owner_relationship,
- 'relationship_guid' => $owner_guid[0],
- 'inverse_relationship' => FALSE,
- 'types' => 'user',
- 'subtypes' => $subtype,
- 'limit' => 9999))
- ) {
-
- $friendsarray = array();
- foreach ($friends as $friend) {
- $friendsarray[] = $friend->getGUID();
- }
+/**
+ * Initialize river library
+ * @access private
+ */
+function elgg_river_init() {
+ elgg_register_page_handler('activity', 'elgg_river_page_handler');
+ $item = new ElggMenuItem('activity', elgg_echo('activity'), 'activity');
+ elgg_register_menu_item('site', $item);
+
+ elgg_register_widget_type('river_widget', elgg_echo('river:widget:title'), elgg_echo('river:widget:description'));
- $user = " and sl.performed_by_guid in (" . implode(',', $friendsarray) . ")";
- }
- }
- }
+ elgg_register_action('river/delete', '', 'admin');
- $query = "SELECT sl.* FROM {$CONFIG->dbprefix}system_log sl
- WHERE 1 $user AND ($obj_query)
- ORDER BY sl.time_created desc limit $offset, $limit";
- return get_data($query);
+ elgg_register_plugin_hook_handler('unit_test', 'system', 'elgg_river_test');
}
+
+elgg_register_event_handler('init', 'system', 'elgg_river_init');
diff --git a/engine/lib/sessions.php b/engine/lib/sessions.php
index 5cb3e8260..e3d5ce9cd 100644
--- a/engine/lib/sessions.php
+++ b/engine/lib/sessions.php
@@ -18,9 +18,9 @@ global $SESSION;
* hook - 'session:get' 'user' to give plugin authors another
* way to provide user details to the ACL system without touching the session.
*
- * @return ElggUser|NULL
+ * @return ElggUser
*/
-function get_loggedin_user() {
+function elgg_get_logged_in_user_entity() {
global $SESSION;
if (isset($SESSION)) {
@@ -33,11 +33,11 @@ function get_loggedin_user() {
/**
* Return the current logged in user by id.
*
- * @see get_loggedin_user()
+ * @see elgg_get_logged_in_user_entity()
* @return int
*/
-function get_loggedin_userid() {
- $user = get_loggedin_user();
+function elgg_get_logged_in_user_guid() {
+ $user = elgg_get_logged_in_user_entity();
if ($user) {
return $user->guid;
}
@@ -50,9 +50,8 @@ function get_loggedin_userid() {
*
* @return bool
*/
-function isloggedin() {
-
- $user = get_loggedin_user();
+function elgg_is_logged_in() {
+ $user = elgg_get_logged_in_user_entity();
if ((isset($user)) && ($user instanceof ElggUser) && ($user->guid > 0)) {
return true;
@@ -64,14 +63,12 @@ function isloggedin() {
/**
* Returns whether or not the user is currently logged in and that they are an admin user.
*
- * @uses isloggedin()
* @return bool
*/
-function isadminloggedin() {
-
- $user = get_loggedin_user();
+function elgg_is_admin_logged_in() {
+ $user = elgg_get_logged_in_user_entity();
- if ((isloggedin()) && $user->isAdmin()) {
+ if ((elgg_is_logged_in()) && $user->isAdmin()) {
return TRUE;
}
@@ -90,6 +87,9 @@ function isadminloggedin() {
*/
function elgg_is_admin_user($user_guid) {
global $CONFIG;
+
+ $user_guid = (int)$user_guid;
+
// cannot use magic metadata here because of recursion
// must support the old way of getting admin from metadata
@@ -130,12 +130,17 @@ function elgg_is_admin_user($user_guid) {
/**
* Perform user authentication with a given username and password.
*
+ * @warning This returns an error message on failure. Use the identical operator to check
+ * for access: if (true === elgg_authenticate()) { ... }.
+ *
+ *
* @see login
*
* @param string $username The username
* @param string $password The password
*
* @return true|string True or an error message on failure
+ * @access private
*/
function elgg_authenticate($username, $password) {
$pam = new ElggPAM('user');
@@ -148,28 +153,6 @@ function elgg_authenticate($username, $password) {
}
/**
- * Perform standard authentication with a given username and password.
- * Returns an ElggUser object for use with login.
- *
- * @see login
- *
- * @param string $username The username, optionally (for standard logins)
- * @param string $password The password, optionally (for standard logins)
- *
- * @return ElggUser|false The authenticated user object, or false on failure.
- */
-function authenticate($username, $password) {
- elgg_deprecated_notice('authenticate() has been deprecated for elgg_authenticate()', 1.8);
- $pam = new ElggPAM('user');
- $credentials = array('username' => $username, 'password' => $password);
- $result = $pam->authenticate($credentials);
- if ($result) {
- return get_user_by_username($username);
- }
- return false;
-}
-
-/**
* Hook into the PAM system which accepts a username and password and attempts to authenticate
* it against a known user.
*
@@ -179,10 +162,11 @@ function authenticate($username, $password) {
*
* @return bool
* @throws LoginException
+ * @access private
*/
-function pam_auth_userpass($credentials = NULL) {
+function pam_auth_userpass(array $credentials = array()) {
- if (!is_array($credentials) && (!$credentials['username']) && (!$credentials['password'])) {
+ if (!isset($credentials['username']) || !isset($credentials['password'])) {
return false;
}
@@ -198,7 +182,7 @@ function pam_auth_userpass($credentials = NULL) {
if ($user->password !== generate_user_password($user, $credentials['password'])) {
log_login_failure($user->guid);
throw new LoginException(elgg_echo('LoginException:PasswordFailure'));
- }
+ }
return true;
}
@@ -208,7 +192,7 @@ function pam_auth_userpass($credentials = NULL) {
*
* @param int $user_guid User GUID
*
- * @return bool on success
+ * @return bool
*/
function log_login_failure($user_guid) {
$user_guid = (int)$user_guid;
@@ -305,8 +289,6 @@ function check_rate_limit_exceeded($user_guid) {
* @throws LoginException
*/
function login(ElggUser $user, $persistent = false) {
- global $CONFIG;
-
// User is banned, return false.
if ($user->isBanned()) {
throw new LoginException(elgg_echo('LoginException:BannedUser'));
@@ -344,6 +326,12 @@ function login(ElggUser $user, $persistent = false) {
set_last_login($_SESSION['guid']);
reset_login_failure_count($user->guid); // Reset any previous failed login attempts
+ // if memcache is enabled, invalidate the user in memcache @see https://github.com/Elgg/Elgg/issues/3143
+ if (is_memcache_available()) {
+ // this needs to happen with a shutdown function because of the timing with set_last_login()
+ register_shutdown_function("_elgg_invalidate_memcache_for_entity", $_SESSION['guid']);
+ }
+
return true;
}
@@ -353,8 +341,6 @@ function login(ElggUser $user, $persistent = false) {
* @return bool
*/
function logout() {
- global $CONFIG;
-
if (isset($_SESSION['user'])) {
if (!elgg_trigger_event('logout', 'user', $_SESSION['user'])) {
return false;
@@ -378,7 +364,7 @@ function logout() {
session_destroy();
// starting a default session to store any post-logout messages.
- session_init(NULL, NULL, NULL);
+ _elgg_session_boot(NULL, NULL, NULL);
$_SESSION['msg'] = $old_msg;
return TRUE;
@@ -395,13 +381,10 @@ function logout() {
*
* @uses $_SESSION
*
- * @param string $event Event name
- * @param string $object_type Object type
- * @param mixed $object Object
- *
* @return bool
+ * @access private
*/
-function session_init($event, $object_type, $object) {
+function _elgg_session_boot() {
global $DB_PREFIX, $CONFIG;
// Use database for sessions
@@ -466,8 +449,8 @@ function session_init($event, $object_type, $object) {
set_last_action($_SESSION['guid']);
}
- register_action("login", true);
- register_action("logout");
+ elgg_register_action('login', '', 'public');
+ elgg_register_action('logout');
// Register a default PAM handler
register_pam_handler('pam_auth_userpass');
@@ -482,9 +465,6 @@ function session_init($event, $object_type, $object) {
return false;
}
- // Since we have loaded a new user, this user may have different language preferences
- register_translations(dirname(dirname(dirname(__FILE__))) . "/languages/");
-
return true;
}
@@ -494,7 +474,7 @@ function session_init($event, $object_type, $object) {
* @return void
*/
function gatekeeper() {
- if (!isloggedin()) {
+ if (!elgg_is_logged_in()) {
$_SESSION['last_forward_from'] = current_page_url();
register_error(elgg_echo('loggedinrequired'));
forward('', 'login');
@@ -509,7 +489,7 @@ function gatekeeper() {
function admin_gatekeeper() {
gatekeeper();
- if (!isadminloggedin()) {
+ if (!elgg_is_admin_logged_in()) {
$_SESSION['last_forward_from'] = current_page_url();
register_error(elgg_echo('adminrequired'));
forward('', 'admin');
@@ -524,6 +504,7 @@ function admin_gatekeeper() {
*
* @return true
* @todo Document
+ * @access private
*/
function _elgg_session_open($save_path, $session_name) {
global $sess_save_path;
@@ -539,6 +520,7 @@ function _elgg_session_open($save_path, $session_name) {
* @todo document
*
* @return true
+ * @access private
*/
function _elgg_session_close() {
return true;
@@ -550,6 +532,7 @@ function _elgg_session_close() {
* @param string $id The session ID
*
* @return string
+ * @access private
*/
function _elgg_session_read($id) {
global $DB_PREFIX;
@@ -583,6 +566,7 @@ function _elgg_session_read($id) {
* @param mixed $sess_data Session data
*
* @return bool
+ * @access private
*/
function _elgg_session_write($id, $sess_data) {
global $DB_PREFIX;
@@ -622,6 +606,7 @@ function _elgg_session_write($id, $sess_data) {
* @param string $id Session ID
*
* @return bool
+ * @access private
*/
function _elgg_session_destroy($id) {
global $DB_PREFIX;
@@ -636,10 +621,8 @@ function _elgg_session_destroy($id) {
global $sess_save_path;
$sess_file = "$sess_save_path/sess_$id";
- return(@unlink($sess_file));
+ return @unlink($sess_file);
}
-
- return false;
}
/**
@@ -648,6 +631,7 @@ function _elgg_session_destroy($id) {
* @param int $maxlifetime Max age of a session
*
* @return bool
+ * @access private
*/
function _elgg_session_gc($maxlifetime) {
global $DB_PREFIX;
@@ -670,5 +654,3 @@ function _elgg_session_gc($maxlifetime) {
return true;
}
-
-elgg_register_event_handler("boot", "system", "session_init", 20);
diff --git a/engine/lib/sites.php b/engine/lib/sites.php
index 79b71d901..3de0eccc2 100644
--- a/engine/lib/sites.php
+++ b/engine/lib/sites.php
@@ -8,11 +8,38 @@
*/
/**
+ * Get an ElggSite entity (default is current site)
+ *
+ * @param int $site_guid Optional. Site GUID.
+ *
+ * @return ElggSite
+ * @since 1.8.0
+ */
+function elgg_get_site_entity($site_guid = 0) {
+ global $CONFIG;
+
+ $result = false;
+
+ if ($site_guid == 0) {
+ $site = $CONFIG->site;
+ } else {
+ $site = get_entity($site_guid);
+ }
+
+ if ($site instanceof ElggSite) {
+ $result = $site;
+ }
+
+ return $result;
+}
+
+/**
* Return the site specific details of a site by a row.
*
* @param int $guid The site GUID
*
* @return mixed
+ * @access private
*/
function get_site_entity_as_row($guid) {
global $CONFIG;
@@ -22,7 +49,7 @@ function get_site_entity_as_row($guid) {
}
/**
- * Create or update the extras table for a given site.
+ * Create or update the entities table for a given site.
* Call create_entity first.
*
* @param int $guid Site GUID
@@ -31,6 +58,7 @@ function get_site_entity_as_row($guid) {
* @param string $url URL of the site
*
* @return bool
+ * @access private
*/
function create_site_entity($guid, $name, $description, $url) {
global $CONFIG;
@@ -82,24 +110,6 @@ function create_site_entity($guid, $name, $description, $url) {
}
/**
- * THIS FUNCTION IS DEPRECATED.
- *
- * Delete a site's extra data.
- *
- * @todo remove
- *
- * @param int $guid Site guid
- *
- * @deprecated 1.5 Or so?
- * @return 1
- */
-function delete_site_entity($guid) {
- system_message(elgg_echo('deprecatedfunction', array('delete_user_entity')));
-
- return 1; // Always return that we have deleted one row in order to not break existing code.
-}
-
-/**
* Add a user to a site.
*
* @param int $site_guid Site guid
@@ -108,8 +118,6 @@ function delete_site_entity($guid) {
* @return bool
*/
function add_site_user($site_guid, $user_guid) {
- global $CONFIG;
-
$site_guid = (int)$site_guid;
$user_guid = (int)$user_guid;
@@ -132,57 +140,6 @@ function remove_site_user($site_guid, $user_guid) {
}
/**
- * Get the members of a site.
- *
- * @param int $site_guid Site GUID
- * @param int $limit User GUID
- * @param int $offset Offset
- *
- * @return mixed
- */
-function get_site_members($site_guid, $limit = 10, $offset = 0) {
- $site_guid = (int)$site_guid;
- $limit = (int)$limit;
- $offset = (int)$offset;
-
- return elgg_get_entities_from_relationship(array(
- 'relationship' => 'member_of_site',
- 'relationship_guid' => $site_guid,
- 'inverse_relationship' => TRUE,
- 'types' => 'user',
- 'limit' => $limit, 'offset' => $offset
- ));
-}
-
-/**
- * Display a list of site members
- *
- * @param int $site_guid The GUID of the site
- * @param int $limit The number of members to display on a page
- * @param bool $fullview Whether or not to display the full view (default: true)
- *
- * @return string A displayable list of members
- */
-function list_site_members($site_guid, $limit = 10, $fullview = true) {
- $offset = (int) get_input('offset');
- $limit = (int) $limit;
- $options = array(
- 'relationship' => 'member_of_site',
- 'relationship_guid' => $site_guid,
- 'inverse_relationship' => TRUE,
- 'types' => 'user',
- 'limit' => $limit,
- 'offset' => $offset,
- 'count' => TRUE
- );
- $count = (int) elgg_get_entities_from_relationship($options);
- $entities = get_site_members($site_guid, $limit, $offset);
-
- return elgg_view_entity_list($entities, $count, $offset, $limit, $fullview);
-
-}
-
-/**
* Add an object to a site.
*
* @param int $site_guid Site GUID
@@ -191,8 +148,6 @@ function list_site_members($site_guid, $limit = 10, $fullview = true) {
* @return mixed
*/
function add_site_object($site_guid, $object_guid) {
- global $CONFIG;
-
$site_guid = (int)$site_guid;
$object_guid = (int)$object_guid;
@@ -226,77 +181,15 @@ function remove_site_object($site_guid, $object_guid) {
*/
function get_site_objects($site_guid, $subtype = "", $limit = 10, $offset = 0) {
$site_guid = (int)$site_guid;
- $subtype = sanitise_string($subtype);
- $limit = (int)$limit;
- $offset = (int)$offset;
-
- return elgg_get_entities_from_relationship(array(
- 'relationship' => 'member_of_site',
- 'relationship_guid' => $site_guid,
- 'inverse_relationship' => TRUE,
- 'types' => 'object',
- 'subtypes' => $subtype,
- 'limit' => $limit,
- 'offset' => $offset
- ));
-}
-
-/**
- * Add a collection to a site.
- *
- * @param int $site_guid Site GUID
- * @param int $collection_guid Collection GUID
- *
- * @return mixed
- */
-function add_site_collection($site_guid, $collection_guid) {
- global $CONFIG;
-
- $site_guid = (int)$site_guid;
- $collection_guid = (int)$collection_guid;
-
- return add_entity_relationship($collection_guid, "member_of_site", $site_guid);
-}
-
-/**
- * Remove a collection from a site.
- *
- * @param int $site_guid Site GUID
- * @param int $collection_guid Collection GUID
- *
- * @todo probably remove.
- * @return mixed
- */
-function remove_site_collection($site_guid, $collection_guid) {
- $site_guid = (int)$site_guid;
- $collection_guid = (int)$collection_guid;
-
- return remove_entity_relationship($collection_guid, "member_of_site", $site_guid);
-}
-
-/**
- * Get the collections belonging to a site.
- *
- * @param int $site_guid Site GUID
- * @param string $subtype Subtype
- * @param int $limit Limit
- * @param int $offset Offset
- *
- * @return mixed
- */
-function get_site_collections($site_guid, $subtype = "", $limit = 10, $offset = 0) {
- $site_guid = (int)$site_guid;
- $subtype = sanitise_string($subtype);
$limit = (int)$limit;
$offset = (int)$offset;
- // collection isn't a valid type. This won't work.
return elgg_get_entities_from_relationship(array(
'relationship' => 'member_of_site',
'relationship_guid' => $site_guid,
'inverse_relationship' => TRUE,
- 'types' => 'collection',
- 'subtypes' => $subtype,
+ 'type' => 'object',
+ 'subtype' => $subtype,
'limit' => $limit,
'offset' => $offset
));
@@ -317,61 +210,9 @@ function get_site_by_url($url) {
$row = get_data_row("SELECT * from {$CONFIG->dbprefix}sites_entity where url='$url'");
if ($row) {
- return new ElggSite($row);
- }
-
- return false;
-}
-
-/**
- * Searches for a site based on a complete or partial name
- * or description or url using full text searching.
- *
- * IMPORTANT NOTE: With MySQL's default setup:
- * 1) $criteria must be 4 or more characters long
- * 2) If $criteria matches greater than 50% of results NO RESULTS ARE RETURNED!
- *
- * @param string $criteria The partial or full name or username.
- * @param int $limit Limit of the search.
- * @param int $offset Offset.
- * @param string $order_by The order.
- * @param boolean $count Whether to return the count of results or just the results.
- *
- * @return mixed
- * @deprecated 1.7
- */
-function search_for_site($criteria, $limit = 10, $offset = 0, $order_by = "", $count = false) {
- elgg_deprecated_notice('search_for_site() was deprecated by new search plugin.', 1.7);
- global $CONFIG;
-
- $criteria = sanitise_string($criteria);
- $limit = (int)$limit;
- $offset = (int)$offset;
- $order_by = sanitise_string($order_by);
-
- $access = get_access_sql_suffix("e");
-
- if ($order_by == "") {
- $order_by = "e.time_created desc";
+ return get_entity($row->guid);
}
- if ($count) {
- $query = "SELECT count(e.guid) as total ";
- } else {
- $query = "SELECT e.* ";
- }
- $query .= "from {$CONFIG->dbprefix}entities e
- join {$CONFIG->dbprefix}sites_entity s on e.guid=s.guid
- where match(s.name, s.description, s.url) against ('$criteria') and $access";
-
- if (!$count) {
- $query .= " order by $order_by limit $offset, $limit"; // Add order and limit
- return get_data($query, "entity_row_to_elggstar");
- } else {
- if ($count = get_data_row($query)) {
- return $count->total;
- }
- }
return false;
}
@@ -395,53 +236,21 @@ function get_site_domain($guid) {
}
/**
- * Initialise site handling
- *
- * Called at the beginning of system running, to set the ID of the current site.
- * This is 0 by default, but plugins may alter this behaviour by attaching functions
- * to the sites init event and changing $CONFIG->site_id.
- *
- * @uses $CONFIG
- *
- * @param string $event Event API required parameter
- * @param string $object_type Event API required parameter
- * @param null $object Event API required parameter
- *
- * @return true
- */
-function sites_boot($event, $object_type, $object) {
- global $CONFIG;
-
- $site = elgg_trigger_plugin_hook("siteid", "system");
- if ($site === null || $site === false) {
- $CONFIG->site_id = (int) datalist_get('default_site');
- } else {
- $CONFIG->site_id = $site;
- }
- $CONFIG->site_guid = $CONFIG->site_id;
- $CONFIG->site = get_entity($CONFIG->site_guid);
-
- return true;
-}
-
-// Register event handlers
-elgg_register_event_handler('boot', 'system', 'sites_boot', 2);
-
-// Register with unit test
-elgg_register_plugin_hook_handler('unit_test', 'system', 'sites_test');
-
-/**
* Unit tests for sites
*
- * @param sting $hook unit_test
+ * @param string $hook unit_test
* @param string $type system
* @param mixed $value Array of tests
* @param mixed $params Params
*
* @return array
+ * @access private
*/
function sites_test($hook, $type, $value, $params) {
global $CONFIG;
$value[] = "{$CONFIG->path}engine/tests/objects/sites.php";
return $value;
}
+
+// Register with unit test
+elgg_register_plugin_hook_handler('unit_test', 'system', 'sites_test');
diff --git a/engine/lib/statistics.php b/engine/lib/statistics.php
index 62f4ca508..4cb0bb0b8 100644
--- a/engine/lib/statistics.php
+++ b/engine/lib/statistics.php
@@ -95,28 +95,32 @@ function get_number_users($show_deactivated = false) {
* @return string
*/
function get_online_users() {
- $offset = get_input('offset', 0);
- $count = count(find_active_users(600, 9999));
- $objects = find_active_users(600, 10, $offset);
+ $limit = max(0, (int) get_input("limit", 10));
+ $offset = max(0, (int) get_input("offset", 0));
+
+ $count = find_active_users(600, $limit, $offset, true);
+ $objects = find_active_users(600, $limit, $offset);
if ($objects) {
- return elgg_view_entity_list($objects, $count, $offset, 10, false);
+ return elgg_view_entity_list($objects, array(
+ 'count' => $count,
+ 'limit' => $limit,
+ 'offset' => $offset
+ ));
}
+ return '';
}
/**
* Initialise the statistics admin page.
*
* @return void
+ * @access private
*/
function statistics_init() {
- extend_elgg_admin_page('admin/statistics_opt/basic', 'admin/statistics');
- extend_elgg_admin_page('admin/statistics_opt/numentities', 'admin/statistics');
- extend_elgg_admin_page('admin/statistics_opt/online', 'admin/statistics');
-
- extend_elgg_settings_page('usersettings/statistics_opt/online', 'usersettings/statistics');
- extend_elgg_settings_page('usersettings/statistics_opt/numentities', 'usersettings/statistics');
+ elgg_extend_view('core/settings/statistics', 'core/settings/statistics/online');
+ elgg_extend_view('core/settings/statistics', 'core/settings/statistics/numentities');
}
/// Register init function
-elgg_register_event_handler('init', 'system', 'statistics_init'); \ No newline at end of file
+elgg_register_event_handler('init', 'system', 'statistics_init');
diff --git a/engine/lib/system_log.php b/engine/lib/system_log.php
index 5e4a145bb..84302632e 100644
--- a/engine/lib/system_log.php
+++ b/engine/lib/system_log.php
@@ -10,7 +10,10 @@
/**
* Retrieve the system log based on a number of parameters.
*
+ * @todo too many args, and the first arg is too confusing
+ *
* @param int|array $by_user The guid(s) of the user(s) who initiated the event.
+ * Use 0 for unowned entries. Anything else falsey means anyone.
* @param string $event The event you are searching on.
* @param string $class The class of object it effects.
* @param string $type The type
@@ -21,11 +24,12 @@
* @param int $timebefore Lower time limit
* @param int $timeafter Upper time limit
* @param int $object_id GUID of an object
- *
+ * @param string $ip_address The IP address.
* @return mixed
*/
-function get_system_log($by_user = "", $event = "", $class = "", $type = "", $subtype = "",
-$limit = 10, $offset = 0, $count = false, $timebefore = 0, $timeafter = 0, $object_id = 0) {
+function get_system_log($by_user = "", $event = "", $class = "", $type = "", $subtype = "", $limit = 10,
+ $offset = 0, $count = false, $timebefore = 0, $timeafter = 0, $object_id = 0,
+ $ip_address = "") {
global $CONFIG;
@@ -37,16 +41,18 @@ $limit = 10, $offset = 0, $count = false, $timebefore = 0, $timeafter = 0, $obje
} else {
$by_user = (int)$by_user;
}
+
$event = sanitise_string($event);
$class = sanitise_string($class);
$type = sanitise_string($type);
$subtype = sanitise_string($subtype);
+ $ip_address = sanitise_string($ip_address);
$limit = (int)$limit;
$offset = (int)$offset;
$where = array();
- if ($by_user_orig !== "") {
+ if ($by_user_orig !== "" && $by_user_orig !== false && $by_user_orig !== null) {
if (is_int($by_user)) {
$where[] = "performed_by_guid=$by_user";
} else if (is_array($by_user)) {
@@ -75,6 +81,9 @@ $limit = 10, $offset = 0, $count = false, $timebefore = 0, $timeafter = 0, $obje
if ($object_id) {
$where[] = "object_id = " . ((int) $object_id);
}
+ if ($ip_address) {
+ $where[] = "ip_address = '$ip_address'";
+ }
$select = "*";
if ($count) {
@@ -91,7 +100,8 @@ $limit = 10, $offset = 0, $count = false, $timebefore = 0, $timeafter = 0, $obje
}
if ($count) {
- if ($numrows = get_data_row($query)) {
+ $numrows = get_data_row($query);
+ if ($numrows) {
return $numrows->count;
}
} else {
@@ -128,9 +138,12 @@ function get_object_from_log_entry($entry_id) {
if ($entry) {
$class = $entry->object_class;
- $tmp = new $class();
- $object = $tmp->getObjectFromID($entry->object_id);
-
+ // surround with try/catch because object could be disabled
+ try {
+ $object = new $class($entry->object_id);
+ } catch (Exception $e) {
+
+ }
if ($object) {
return $object;
}
@@ -145,17 +158,26 @@ function get_object_from_log_entry($entry_id) {
* This is called by the event system and should not be called directly.
*
* @param object $object The object you're talking about.
- * @param string $event String The event being logged
- *
- * @return mixed
+ * @param string $event The event being logged
+ * @return void
*/
function system_log($object, $event) {
global $CONFIG;
- static $logcache;
+ static $log_cache;
+ static $cache_size = 0;
if ($object instanceof Loggable) {
- if (!is_array($logcache)) {
- $logcache = array();
+
+ /* @var ElggEntity|ElggExtender $object */
+ if (datalist_get('version') < 2012012000) {
+ // this is a site that doesn't have the ip_address column yet
+ return;
+ }
+
+ // reset cache if it has grown too large
+ if (!is_array($log_cache) || $cache_size > 500) {
+ $log_cache = array();
+ $cache_size = 0;
}
// Has loggable interface, extract the necessary information and store
@@ -165,7 +187,17 @@ function system_log($object, $event) {
$object_subtype = $object->getSubtype();
$event = sanitise_string($event);
$time = time();
- $performed_by = get_loggedin_userid();
+
+ if (!empty($_SERVER['HTTP_X_FORWARDED_FOR'])) {
+ $ip_address = array_pop(explode(',', $_SERVER['HTTP_X_FORWARDED_FOR']));
+ } elseif (!empty($_SERVER['HTTP_X_REAL_IP'])) {
+ $ip_address = array_pop(explode(',', $_SERVER['HTTP_X_REAL_IP']));
+ } else {
+ $ip_address = $_SERVER['REMOTE_ADDR'];
+ }
+ $ip_address = sanitise_string($ip_address);
+
+ $performed_by = elgg_get_logged_in_user_guid();
if (isset($object->access_id)) {
$access_id = $object->access_id;
@@ -185,20 +217,19 @@ function system_log($object, $event) {
}
// Create log if we haven't already created it
- if (!isset($logcache[$time][$object_id][$event])) {
+ if (!isset($log_cache[$time][$object_id][$event])) {
$query = "INSERT DELAYED into {$CONFIG->dbprefix}system_log
(object_id, object_class, object_type, object_subtype, event,
- performed_by_guid, owner_guid, access_id, enabled, time_created)
+ performed_by_guid, owner_guid, access_id, enabled, time_created, ip_address)
VALUES
('$object_id','$object_class','$object_type', '$object_subtype', '$event',
- $performed_by, $owner_guid, $access_id, '$enabled', '$time')";
+ $performed_by, $owner_guid, $access_id, '$enabled', '$time', '$ip_address')";
insert_data($query);
- $logcache[$time][$object_id][$event] = true;
+ $log_cache[$time][$object_id][$event] = true;
+ $cache_size += 1;
}
-
- return true;
}
}
@@ -263,6 +294,7 @@ function system_log_default_logger($event, $object_type, $object) {
* @param Loggable $object Object to log
*
* @return true
+ * @access private
*/
function system_log_listener($event, $object_type, $object) {
if (($object_type != 'systemlog') && ($event != 'log')) {
@@ -276,4 +308,4 @@ function system_log_listener($event, $object_type, $object) {
elgg_register_event_handler('all', 'all', 'system_log_listener', 400);
/** Register a default system log handler */
-elgg_register_event_handler('log', 'systemlog', 'system_log_default_logger', 999); \ No newline at end of file
+elgg_register_event_handler('log', 'systemlog', 'system_log_default_logger', 999);
diff --git a/engine/lib/tags.php b/engine/lib/tags.php
index d5010118a..586a9b9e4 100644
--- a/engine/lib/tags.php
+++ b/engine/lib/tags.php
@@ -17,6 +17,7 @@
* @param int $buckets The number of buckets
*
* @return int
+ * @access private
*/
function calculate_tag_size($min, $max, $number_of_tags, $buckets = 6) {
$delta = (($max - $min) / $buckets);
@@ -47,7 +48,8 @@ function calculate_tag_size($min, $max, $number_of_tags, $buckets = 6) {
* @param array $tags The array of tags.
* @param int $buckets The number of buckets
*
- * @return An associated array of tags with a weighting, this can then be mapped to a display class.
+ * @return array An associated array of tags with a weighting, this can then be mapped to a display class.
+ * @access private
*/
function generate_tag_cloud(array $tags, $buckets = 6) {
$cloud = array();
@@ -112,8 +114,8 @@ function generate_tag_cloud(array $tags, $buckets = 6) {
*
* joins => array() Additional joins
*
- * @return false/array - if no tags or error, false
- * otherwise, array of objects with ->tag and ->total values
+ * @return object[]|false If no tags or error, false
+ * otherwise, array of objects with ->tag and ->total values
* @since 1.7.1
*/
function elgg_get_tags(array $options = array()) {
@@ -144,10 +146,9 @@ function elgg_get_tags(array $options = array()) {
$options = array_merge($defaults, $options);
- $singulars = array('type', 'subtype', 'owner_guid', 'container_guid', 'site_guid');
+ $singulars = array('type', 'subtype', 'owner_guid', 'container_guid', 'site_guid', 'tag_name');
$options = elgg_normalise_plural_options_array($options, $singulars);
-
$registered_tags = elgg_get_registered_tag_metadata_names();
if (!is_array($options['tag_names'])) {
@@ -171,6 +172,7 @@ function elgg_get_tags(array $options = array()) {
// catch for tags that were spaces
$wheres[] = "msv.string != ''";
+ $sanitised_tags = array();
foreach ($options['tag_names'] as $tag) {
$sanitised_tags[] = '"' . sanitise_string($tag) . '"';
}
@@ -179,15 +181,12 @@ function elgg_get_tags(array $options = array()) {
$wheres[] = elgg_get_entity_type_subtype_where_sql('e', $options['types'],
$options['subtypes'], $options['type_subtype_pairs']);
- $wheres[] = elgg_get_entity_site_where_sql('e', $options['site_guids']);
- $wheres[] = elgg_get_entity_owner_where_sql('e', $options['owner_guids']);
- $wheres[] = elgg_get_entity_container_where_sql('e', $options['container_guids']);
+ $wheres[] = elgg_get_guid_based_where_sql('e.site_guid', $options['site_guids']);
+ $wheres[] = elgg_get_guid_based_where_sql('e.owner_guid', $options['owner_guids']);
+ $wheres[] = elgg_get_guid_based_where_sql('e.container_guid', $options['container_guids']);
$wheres[] = elgg_get_entity_time_where_sql('e', $options['created_time_upper'],
$options['created_time_lower'], $options['modified_time_upper'], $options['modified_time_lower']);
- // remove identical where clauses
- $wheres = array_unique($wheres);
-
// see if any functions failed
// remove empty strings on successful functions
foreach ($wheres as $i => $where) {
@@ -198,6 +197,8 @@ function elgg_get_tags(array $options = array()) {
}
}
+ // remove identical where clauses
+ $wheres = array_unique($wheres);
$joins = $options['joins'];
@@ -246,75 +247,6 @@ function elgg_get_tags(array $options = array()) {
}
/**
- * Get an array of tags with weights for use with the output/tagcloud view.
- *
- * @deprecated 1.8 Use elgg_get_tags().
- *
- * @param int $threshold Get the threshold of minimum number of each tags to
- * bother with (ie only show tags where there are more
- * than $threshold occurances)
- * @param int $limit Number of tags to return
- * @param string $metadata_name Optionally, the name of the field you want to grab for
- * @param string $entity_type Optionally, the entity type ('object' etc)
- * @param string $entity_subtype The entity subtype, optionally
- * @param int $owner_guid The GUID of the tags owner, optionally
- * @param int $site_guid Optionally, the site to restrict to (default is the current site)
- * @param int $start_ts Optionally specify a start timestamp for tags used to
- * generate cloud.
- * @param int $end_ts Optionally specify an end timestamp for tags used to generate cloud
- *
- * @return array|false Array of objects with ->tag and ->total values, or false on failure
- */
-function get_tags($threshold = 1, $limit = 10, $metadata_name = "", $entity_type = "object",
-$entity_subtype = "", $owner_guid = "", $site_guid = -1, $start_ts = "", $end_ts = "") {
-
- elgg_deprecated_notice('get_tags() has been replaced by elgg_get_tags()', 1.8);
-
- if (is_array($metadata_name)) {
- return false;
- }
-
- $options = array();
- if ($metadata_name === '') {
- $options['tag_names'] = array();
- } else {
- $options['tag_names'] = array($metadata_name);
- }
-
- $options['threshold'] = $threshold;
- $options['limit'] = $limit;
-
- // rewrite owner_guid to container_guid to emulate old functionality
- $container_guid = $owner_guid;
- if ($container_guid) {
- $options['container_guids'] = $container_guid;
- }
-
- if ($entity_type) {
- $options['type'] = $entity_type;
- }
-
- if ($entity_subtype) {
- $options['subtype'] = $entity_subtype;
- }
-
- if ($site_guid != -1) {
- $options['site_guids'] = $site_guid;
- }
-
- if ($end_ts) {
- $options['created_time_upper'] = $end_ts;
- }
-
- if ($start_ts) {
- $options['created_time_lower'] = $start_ts;
- }
-
- $r = elgg_get_tags($options);
- return $r;
-}
-
-/**
* Returns viewable tagcloud
*
* @see elgg_get_tags
@@ -339,45 +271,10 @@ function elgg_view_tagcloud(array $options = array()) {
}
$tag_data = elgg_get_tags($options);
- return elgg_view("output/tagcloud", array('value' => $tag_data,
- 'type' => $type,
- 'subtype' => $subtype));
-
-}
-
-/**
- * Loads and displays a tagcloud given particular criteria.
- *
- * @deprecated 1.8 use elgg_view_tagcloud()
- *
- * @param int $threshold Get the threshold of minimum number of each tags
- * to bother with (ie only show tags where there are
- * more than $threshold occurances)
- * @param int $limit Number of tags to return
- * @param string $metadata_name Optionally, the name of the field you want to grab for
- * @param string $entity_type Optionally, the entity type ('object' etc)
- * @param string $entity_subtype The entity subtype, optionally
- * @param int $owner_guid The GUID of the tags owner, optionally
- * @param int $site_guid Optionally, the site to restrict to (default is the current site)
- * @param int $start_ts Optionally specify a start timestamp for tags used to
- * generate cloud.
- * @param int $end_ts Optionally specify an end timestamp for tags used to generate
- * cloud.
- *
- * @return string The HTML (or other, depending on view type) of the tagcloud.
- */
-function display_tagcloud($threshold = 1, $limit = 10, $metadata_name = "", $entity_type = "object",
-$entity_subtype = "", $owner_guid = "", $site_guid = -1, $start_ts = "", $end_ts = "") {
-
- elgg_deprecated_notice('display_cloud() was deprecated by elgg_view_tagcloud()!', 1.8);
-
- $tags = get_tags($threshold, $limit, $metadata_name, $entity_type,
- $entity_subtype, $owner_guid, $site_guid, $start_ts, $end_ts);
-
- return elgg_view('output/tagcloud', array(
- 'value' => $tags,
- 'type' => $entity_type,
- 'subtype' => $entity_subtype,
+ return elgg_view("output/tagcloud", array(
+ 'value' => $tag_data,
+ 'type' => $type,
+ 'subtype' => $subtype,
));
}
@@ -420,29 +317,38 @@ function elgg_get_registered_tag_metadata_names() {
return $names;
}
-// register the standard tags metadata name
-elgg_register_tag_metadata_name('tags');
-
-register_page_handler('tags', 'elgg_tagcloud_page_handler');
-
/**
* Page hander for tags
*
* @param array $page Page array
*
- * @return void
+ * @return bool
+ * @access private
*/
function elgg_tagcloud_page_handler($page) {
- global $CONFIG;
- switch ($page[0]) {
- default:
- $title = elgg_view_title(elgg_echo('tags:site_cloud'));
- $tags = display_tagcloud(0, 100, 'tags');
- $content = $title . $tags;
- $body = elgg_view_layout('one_column_with_sidebar', array('content' => $content));
+ $title = elgg_view_title(elgg_echo('tags:site_cloud'));
+ $options = array(
+ 'threshold' => 0,
+ 'limit' => 100,
+ 'tag_name' => 'tags',
+ );
+ $tags = elgg_view_tagcloud($options);
+ $content = $title . $tags;
+ $body = elgg_view_layout('one_sidebar', array('content' => $content));
+
+ echo elgg_view_page(elgg_echo('tags:site_cloud'), $body);
+ return true;
+}
- echo elgg_view_page(elgg_echo('tags:site_cloud'), $body);
- break;
- }
+/**
+ * @access private
+ */
+function elgg_tags_init() {
+ // register the standard tags metadata name
+ elgg_register_tag_metadata_name('tags');
+
+ elgg_register_page_handler('tags', 'elgg_tagcloud_page_handler');
}
+
+elgg_register_event_handler('init', 'system', 'elgg_tags_init'); \ No newline at end of file
diff --git a/engine/lib/upgrade.php b/engine/lib/upgrade.php
new file mode 100644
index 000000000..158ec9ec1
--- /dev/null
+++ b/engine/lib/upgrade.php
@@ -0,0 +1,365 @@
+<?php
+/**
+ * Elgg upgrade library.
+ * Contains code for handling versioning and upgrades.
+ *
+ * @package Elgg.Core
+ * @subpackage Upgrade
+ */
+
+/**
+ * Run any php upgrade scripts which are required
+ *
+ * @param int $version Version upgrading from.
+ * @param bool $quiet Suppress errors. Don't use this.
+ *
+ * @return bool
+ * @access private
+ */
+function upgrade_code($version, $quiet = FALSE) {
+ // do not remove - upgrade scripts depend on this
+ global $CONFIG;
+
+ $version = (int) $version;
+ $upgrade_path = elgg_get_config('path') . 'engine/lib/upgrades/';
+ $processed_upgrades = elgg_get_processed_upgrades();
+
+ // upgrading from 1.7 to 1.8. Need to bootstrap.
+ if (!$processed_upgrades) {
+ elgg_upgrade_bootstrap_17_to_18();
+
+ // grab accurate processed upgrades
+ $processed_upgrades = elgg_get_processed_upgrades();
+ }
+
+ $upgrade_files = elgg_get_upgrade_files($upgrade_path);
+
+ if ($upgrade_files === false) {
+ return false;
+ }
+
+ $upgrades = elgg_get_unprocessed_upgrades($upgrade_files, $processed_upgrades);
+
+ // Sort and execute
+ sort($upgrades);
+
+ foreach ($upgrades as $upgrade) {
+ $upgrade_version = elgg_get_upgrade_file_version($upgrade);
+ $success = true;
+
+ // hide all errors.
+ if ($quiet) {
+ // hide include errors as well as any exceptions that might happen
+ try {
+ if (!@include("$upgrade_path/$upgrade")) {
+ $success = false;
+ error_log("Could not include $upgrade_path/$upgrade");
+ }
+ } catch (Exception $e) {
+ $success = false;
+ error_log($e->getmessage());
+ }
+ } else {
+ if (!include("$upgrade_path/$upgrade")) {
+ $success = false;
+ error_log("Could not include $upgrade_path/$upgrade");
+ }
+ }
+
+ if ($success) {
+ // incrementally set upgrade so we know where to start if something fails.
+ $processed_upgrades[] = $upgrade;
+
+ // don't set the version to a lower number in instances where an upgrade
+ // has been merged from a lower version of Elgg
+ if ($upgrade_version > $version) {
+ datalist_set('version', $upgrade_version);
+ }
+
+ elgg_set_processed_upgrades($processed_upgrades);
+ } else {
+ return false;
+ }
+ }
+
+ return true;
+}
+
+/**
+ * Saves the processed upgrades to a dataset.
+ *
+ * @param array $processed_upgrades An array of processed upgrade filenames
+ * (not the path, just the file)
+ * @return bool
+ * @access private
+ */
+function elgg_set_processed_upgrades(array $processed_upgrades) {
+ $processed_upgrades = array_unique($processed_upgrades);
+ return datalist_set('processed_upgrades', serialize($processed_upgrades));
+}
+
+/**
+ * Gets a list of processes upgrades
+ *
+ * @return mixed Array of processed upgrade filenames or false
+ * @access private
+ */
+function elgg_get_processed_upgrades() {
+ $upgrades = datalist_get('processed_upgrades');
+ $unserialized = unserialize($upgrades);
+ return $unserialized;
+}
+
+/**
+ * Returns the version of the upgrade filename.
+ *
+ * @param string $filename The upgrade filename. No full path.
+ * @return int|false
+ * @since 1.8.0
+ * @access private
+ */
+function elgg_get_upgrade_file_version($filename) {
+ preg_match('/^([0-9]{10})([\.a-z0-9-_]+)?\.(php)$/i', $filename, $matches);
+
+ if (isset($matches[1])) {
+ return (int) $matches[1];
+ }
+
+ return false;
+}
+
+/**
+ * Returns a list of upgrade files relative to the $upgrade_path dir.
+ *
+ * @param string $upgrade_path The up
+ * @return array|false
+ * @access private
+ */
+function elgg_get_upgrade_files($upgrade_path = null) {
+ if (!$upgrade_path) {
+ $upgrade_path = elgg_get_config('path') . 'engine/lib/upgrades/';
+ }
+ $upgrade_path = sanitise_filepath($upgrade_path);
+ $handle = opendir($upgrade_path);
+
+ if (!$handle) {
+ return false;
+ }
+
+ $upgrade_files = array();
+
+ while ($upgrade_file = readdir($handle)) {
+ // make sure this is a wellformed upgrade.
+ if (is_dir($upgrade_path . '$upgrade_file')) {
+ continue;
+ }
+ $upgrade_version = elgg_get_upgrade_file_version($upgrade_file);
+ if (!$upgrade_version) {
+ continue;
+ }
+ $upgrade_files[] = $upgrade_file;
+ }
+
+ sort($upgrade_files);
+
+ return $upgrade_files;
+}
+
+/**
+ * Get the current Elgg version information
+ *
+ * @param bool $humanreadable Whether to return a human readable version (default: false)
+ *
+ * @return string|false Depending on success
+ */
+function get_version($humanreadable = false) {
+ global $CONFIG;
+
+ static $version, $release;
+
+ if (isset($CONFIG->path)) {
+ if (!isset($version) || !isset($release)) {
+ if (!include($CONFIG->path . "version.php")) {
+ return false;
+ }
+ }
+ return (!$humanreadable) ? $version : $release;
+ }
+
+ return false;
+}
+
+/**
+ * Checks if any upgrades need to be run.
+ *
+ * @param null|array $upgrade_files Optional upgrade files
+ * @param null|array $processed_upgrades Optional processed upgrades
+ *
+ * @return array
+ * @access private
+ */
+function elgg_get_unprocessed_upgrades($upgrade_files = null, $processed_upgrades = null) {
+ if ($upgrade_files === null) {
+ $upgrade_files = elgg_get_upgrade_files();
+ }
+
+ if ($processed_upgrades === null) {
+ $processed_upgrades = unserialize(datalist_get('processed_upgrades'));
+ if (!is_array($processed_upgrades)) {
+ $processed_upgrades = array();
+ }
+ }
+
+ $unprocessed = array_diff($upgrade_files, $processed_upgrades);
+ return $unprocessed;
+}
+
+/**
+ * Determines whether or not the database needs to be upgraded.
+ *
+ * @return bool Depending on whether or not the db version matches the code version
+ * @access private
+ */
+function version_upgrade_check() {
+ $dbversion = (int) datalist_get('version');
+ $version = get_version();
+
+ if ($version > $dbversion) {
+ return TRUE;
+ }
+
+ return FALSE;
+}
+
+/**
+ * Upgrades Elgg Database and code
+ *
+ * @return bool
+ * @access private
+ */
+function version_upgrade() {
+ // It's possible large upgrades could exceed the max execution time.
+ set_time_limit(0);
+
+ $dbversion = (int) datalist_get('version');
+
+ // No version number? Oh snap...this is an upgrade from a clean installation < 1.7.
+ // Run all upgrades without error reporting and hope for the best.
+ // See https://github.com/elgg/elgg/issues/1432 for more.
+ $quiet = !$dbversion;
+
+ // Note: Database upgrades are deprecated as of 1.8. Use code upgrades. See #1433
+ if (db_upgrade($dbversion, '', $quiet)) {
+ system_message(elgg_echo('upgrade:db'));
+ }
+
+ if (upgrade_code($dbversion, $quiet)) {
+ system_message(elgg_echo('upgrade:core'));
+
+ // Now we trigger an event to give the option for plugins to do something
+ $upgrade_details = new stdClass;
+ $upgrade_details->from = $dbversion;
+ $upgrade_details->to = get_version();
+
+ elgg_trigger_event('upgrade', 'upgrade', $upgrade_details);
+
+ return true;
+ }
+
+ return false;
+}
+
+/**
+ * Boot straps into 1.8 upgrade system from 1.7
+ *
+ * This runs all the 1.7 upgrades, then sets the processed_upgrades to all existing 1.7 upgrades.
+ * Control is then passed back to the main upgrade function which detects and runs the
+ * 1.8 upgrades, regardless of filename convention.
+ *
+ * @return bool
+ * @access private
+ */
+function elgg_upgrade_bootstrap_17_to_18() {
+ $db_version = (int) datalist_get('version');
+
+ // the 1.8 upgrades before the upgrade system change that are interspersed with 1.7 upgrades.
+ $upgrades_18 = array(
+ '2010111501.php',
+ '2010121601.php',
+ '2010121602.php',
+ '2010121701.php',
+ '2010123101.php',
+ '2011010101.php',
+ );
+
+ $upgrade_files = elgg_get_upgrade_files();
+ $processed_upgrades = array();
+
+ foreach ($upgrade_files as $upgrade_file) {
+ // ignore if not in 1.7 format or if it's a 1.8 upgrade
+ if (in_array($upgrade_file, $upgrades_18) || !preg_match("/[0-9]{10}\.php/", $upgrade_file)) {
+ continue;
+ }
+
+ $upgrade_version = elgg_get_upgrade_file_version($upgrade_file);
+
+ // this has already been run in a previous 1.7.X -> 1.7.X upgrade
+ if ($upgrade_version < $db_version) {
+ $processed_upgrades[] = $upgrade_file;
+ }
+ }
+
+ return elgg_set_processed_upgrades($processed_upgrades);
+}
+
+/**
+ * Creates a table {prefix}upgrade_lock that is used as a mutex for upgrades.
+ *
+ * @see _elgg_upgrade_lock()
+ *
+ * @return bool
+ * @access private
+ */
+function _elgg_upgrade_lock() {
+ global $CONFIG;
+
+ if (!_elgg_upgrade_is_locked()) {
+ // lock it
+ insert_data("create table {$CONFIG->dbprefix}upgrade_lock (id INT)");
+ elgg_log('Locked for upgrade.', 'NOTICE');
+ return true;
+ }
+
+ elgg_log('Cannot lock for upgrade: already locked.', 'WARNING');
+ return false;
+}
+
+/**
+ * Unlocks upgrade.
+ *
+ * @see _elgg_upgrade_lock()
+ *
+ * @access private
+ */
+function _elgg_upgrade_unlock() {
+ global $CONFIG;
+ delete_data("drop table {$CONFIG->dbprefix}upgrade_lock");
+ elgg_log('Upgrade unlocked.', 'NOTICE');
+}
+
+/**
+ * Checks if upgrade is locked
+ *
+ * @return bool
+ * @access private
+ */
+function _elgg_upgrade_is_locked() {
+ global $CONFIG;
+
+ $is_locked = count(get_data("show tables like '{$CONFIG->dbprefix}upgrade_lock'"));
+
+ // @todo why?
+ _elgg_invalidate_query_cache();
+
+ return $is_locked;
+}
diff --git a/engine/lib/upgrades/2008100701.php b/engine/lib/upgrades/2008100701.php
index 394a90046..b8d4dfdbc 100644
--- a/engine/lib/upgrades/2008100701.php
+++ b/engine/lib/upgrades/2008100701.php
@@ -1,7 +1,7 @@
<?php
- /// Activate mail plugin
- /**
- * Because Elgg now has a plugable account activation process we need to activate
- * the email account activation plugin for existing installs.
- */
- enable_plugin('uservalidationbyemail', $CONFIG->site->guid); \ No newline at end of file
+
+/**
+ * Because Elgg now has a plugable account activation process we need to activate
+ * the email account activation plugin for existing installs.
+ */
+enable_plugin('uservalidationbyemail', $CONFIG->site->guid);
diff --git a/engine/lib/upgrades/2009022701.php b/engine/lib/upgrades/2009022701.php
index 2e83b56b3..54083a34d 100644
--- a/engine/lib/upgrades/2009022701.php
+++ b/engine/lib/upgrades/2009022701.php
@@ -1,7 +1,7 @@
<?php
- global $CONFIG;
+global $CONFIG;
- /**
- * Disable update client since this has now been removed.
- */
- disable_plugin('updateclient', $CONFIG->site->guid); \ No newline at end of file
+/**
+ * Disable update client since this has now been removed.
+ */
+disable_plugin('updateclient', $CONFIG->site->guid);
diff --git a/engine/lib/upgrades/2009041701.php b/engine/lib/upgrades/2009041701.php
index acc8fc0bd..7b31a3bc9 100644
--- a/engine/lib/upgrades/2009041701.php
+++ b/engine/lib/upgrades/2009041701.php
@@ -1,9 +1,8 @@
<?php
- global $CONFIG;
+global $CONFIG;
- /// Activate kses
- /**
- * Elgg now has kses tag filtering built as a plugin. This needs to be enabled.
- */
- enable_plugin('kses', $CONFIG->site->guid); \ No newline at end of file
+/**
+ * Elgg now has kses tag filtering built as a plugin. This needs to be enabled.
+ */
+enable_plugin('kses', $CONFIG->site->guid);
diff --git a/engine/lib/upgrades/2009070101.php b/engine/lib/upgrades/2009070101.php
index 3bf89e9b7..d0eae9b91 100644
--- a/engine/lib/upgrades/2009070101.php
+++ b/engine/lib/upgrades/2009070101.php
@@ -1,10 +1,9 @@
<?php
- global $CONFIG;
+global $CONFIG;
- /// Deprecate kses and activate htmlawed
- /**
- * Kses appears to be a dead project so we are deprecating it in favour of htmlawed.
- */
- disable_plugin('kses', $CONFIG->site->guid);
- enable_plugin('htmlawed', $CONFIG->site->guid); \ No newline at end of file
+/**
+ * Kses appears to be a dead project so we are deprecating it in favour of htmlawed.
+ */
+disable_plugin('kses', $CONFIG->site->guid);
+enable_plugin('htmlawed', $CONFIG->site->guid);
diff --git a/engine/lib/upgrades/2009102801.php b/engine/lib/upgrades/2009102801.php
index 8885dbb09..3ad113fb2 100644
--- a/engine/lib/upgrades/2009102801.php
+++ b/engine/lib/upgrades/2009102801.php
@@ -1,7 +1,8 @@
<?php
-// disable timeout for large sites.
-set_time_limit(0);
+/**
+ * Move user's data directories from using username to registration date
+ */
/**
* Generates a file matrix like Elgg 1.0 did
@@ -202,14 +203,15 @@ function user_file_matrix($guid) {
return "$time_created/$user->guid/";
}
-global $DB_QUERY_CACHE, $DB_PROFILE, $ENTITY_CACHE;
+global $ENTITY_CACHE, $CONFIG;
/**
- Upgrade file locations
+ * Upgrade file locations
*/
$users = mysql_query("SELECT guid, username
FROM {$CONFIG->dbprefix}users_entity WHERE username != ''");
while ($user = mysql_fetch_object($users)) {
- $DB_QUERY_CACHE = $DB_PROFILE = $ENTITY_CACHE = array();
+ $ENTITY_CACHE = array();
+ _elgg_invalidate_query_cache();
$to = $CONFIG->dataroot . user_file_matrix($user->guid);
foreach (array('1_0', '1_1', '1_6') as $version) {
diff --git a/engine/lib/upgrades/2010033101.php b/engine/lib/upgrades/2010033101.php
index 5c8ee036b..4779295fd 100644
--- a/engine/lib/upgrades/2010033101.php
+++ b/engine/lib/upgrades/2010033101.php
@@ -1,6 +1,7 @@
<?php
-/*
- * Conditional upgrade for UTF8 as described in http://trac.elgg.org/ticket/1928
+
+/**
+ * Conditional upgrade for UTF8 as described in https://github.com/elgg/elgg/issues/1928
*/
// get_version() returns the code version.
@@ -66,4 +67,4 @@ if ($dbversion < 2009100701) {
}
}
}
-} \ No newline at end of file
+}
diff --git a/engine/lib/upgrades/2010040201.php b/engine/lib/upgrades/2010040201.php
index 22eee15f8..789bf5dfc 100644
--- a/engine/lib/upgrades/2010040201.php
+++ b/engine/lib/upgrades/2010040201.php
@@ -1,4 +1,5 @@
<?php
+
/**
* Pull admin metadata setting into users_entity table column
*/
@@ -37,4 +38,4 @@ $qs[] = "DELETE FROM {$CONFIG->dbprefix}metadata
foreach ($qs as $q) {
update_data($q);
-} \ No newline at end of file
+}
diff --git a/engine/lib/upgrades/2010050701.php b/engine/lib/upgrades/2010050701.php
deleted file mode 100644
index 4a02a77c6..000000000
--- a/engine/lib/upgrades/2010050701.php
+++ /dev/null
@@ -1,17 +0,0 @@
-<?php
-/**
- * Removes the Walled Garden plugin in favor of new system settings
- */
-
-$access = elgg_set_ignore_access(TRUE);
-
-if (is_plugin_enabled('walledgarden')) {
- disable_plugin('walledgarden');
- set_config('allow_registration', FALSE);
- set_config('walled_garden', TRUE);
-} else {
- set_config('allow_registration', TRUE);
- set_config('walled_garden', FALSE);
-}
-
-elgg_set_ignore_access($access);
diff --git a/engine/lib/upgrades/2010052601.php b/engine/lib/upgrades/2010052601.php
index 5b477910f..a9cca6dc5 100644
--- a/engine/lib/upgrades/2010052601.php
+++ b/engine/lib/upgrades/2010052601.php
@@ -9,14 +9,14 @@ $params = array('type' => 'group',
$groups = elgg_get_entities($params);
if ($groups) {
foreach ($groups as $group) {
- $group->name = html_entity_decode($group->name, ENT_COMPAT, 'UTF-8');
- $group->description = html_entity_decode($group->description, ENT_COMPAT, 'UTF-8');
- $group->briefdescription = html_entity_decode($group->briefdescription, ENT_COMPAT, 'UTF-8');
- $group->website = html_entity_decode($group->website, ENT_COMPAT, 'UTF-8');
+ $group->name = _elgg_html_decode($group->name);
+ $group->description = _elgg_html_decode($group->description);
+ $group->briefdescription = _elgg_html_decode($group->briefdescription);
+ $group->website = _elgg_html_decode($group->website);
if ($group->interests) {
$tags = $group->interests;
- foreach ($tags as $index=>$tag) {
- $tags[$index] = html_entity_decode($tag, ENT_COMPAT, 'UTF-8');
+ foreach ($tags as $index => $tag) {
+ $tags[$index] = _elgg_html_decode($tag);
}
$group->interests = $tags;
}
diff --git a/engine/lib/upgrades/2010060101.php b/engine/lib/upgrades/2010060101.php
index 7772c42eb..bb7f7c1a6 100644
--- a/engine/lib/upgrades/2010060101.php
+++ b/engine/lib/upgrades/2010060101.php
@@ -10,7 +10,7 @@ delete_data($query);
if ($CONFIG->simplecache_enabled) {
datalist_set('simplecache_enabled', 1);
- elgg_view_regenerate_simplecache();
+ elgg_regenerate_simplecache();
} else {
datalist_set('simplecache_enabled', 0);
}
diff --git a/engine/lib/upgrades/2010061501.php b/engine/lib/upgrades/2010061501.php
index d230236fc..744c28fd5 100644
--- a/engine/lib/upgrades/2010061501.php
+++ b/engine/lib/upgrades/2010061501.php
@@ -1,13 +1,12 @@
<?php
/**
- * utf8 conversion and file merging for usernames with multibyte chars
+ * utf8 database conversion and file merging for usernames with multibyte chars
*
*/
// check that we need to do the utf8 conversion
// C&P logic from 2010033101
-set_time_limit(0);
$dbversion = (int) datalist_get('version');
if ($dbversion < 2009100701) {
@@ -46,7 +45,7 @@ if ($dbversion < 2009100701) {
}
}
- global $DB_QUERY_CACHE, $DB_PROFILE, $ENTITY_CACHE;
+ global $ENTITY_CACHE;
/**
Upgrade file locations
@@ -61,7 +60,9 @@ if ($dbversion < 2009100701) {
$users = mysql_query("SELECT guid, username FROM {$CONFIG->dbprefix}users_entity
WHERE username != ''", $link);
while ($user = mysql_fetch_object($users)) {
- $DB_QUERY_CACHE = $DB_PROFILE = $ENTITY_CACHE = array();
+ $ENTITY_CACHE = array();
+ _elgg_invalidate_query_cache();
+
$to = $CONFIG->dataroot . user_file_matrix($user->guid);
foreach (array('1_0', '1_1', '1_6') as $version) {
@@ -71,4 +72,4 @@ if ($dbversion < 2009100701) {
}
}
}
-} \ No newline at end of file
+}
diff --git a/engine/lib/upgrades/2010071001.php b/engine/lib/upgrades/2010071001.php
index 1b5d379d8..5594493a8 100644
--- a/engine/lib/upgrades/2010071001.php
+++ b/engine/lib/upgrades/2010071001.php
@@ -30,11 +30,12 @@ function user_file_matrix_2010071001($guid) {
$sizes = array('large', 'medium', 'small', 'tiny', 'master', 'topbar');
-global $DB_QUERY_CACHE, $DB_PROFILE, $ENTITY_CACHE, $CONFIG;
+global $ENTITY_CACHE, $CONFIG;
$users = mysql_query("SELECT guid, username FROM {$CONFIG->dbprefix}users_entity
WHERE username != ''");
while ($user = mysql_fetch_object($users)) {
- $DB_QUERY_CACHE = $DB_PROFILE = $ENTITY_CACHE = array();
+ $ENTITY_CACHE = array();
+ _elgg_invalidate_query_cache();
$user_directory = user_file_matrix_2010071001($user->guid);
if (!$user_directory) {
diff --git a/engine/lib/upgrades/2010071002.php b/engine/lib/upgrades/2010071002.php
index 30bd6538c..52aa15ef5 100644
--- a/engine/lib/upgrades/2010071002.php
+++ b/engine/lib/upgrades/2010071002.php
@@ -4,12 +4,13 @@
*/
// loop through all users checking collections and notifications
-global $DB_QUERY_CACHE, $DB_PROFILE, $ENTITY_CACHE, $CONFIG;
+global $ENTITY_CACHE, $CONFIG;
global $NOTIFICATION_HANDLERS;
$users = mysql_query("SELECT guid, username FROM {$CONFIG->dbprefix}users_entity
WHERE username != ''");
while ($user = mysql_fetch_object($users)) {
- $DB_QUERY_CACHE = $DB_PROFILE = $ENTITY_CACHE = array();
+ $ENTITY_CACHE = array();
+ _elgg_invalidate_query_cache();
$user = get_entity($user->guid);
foreach ($NOTIFICATION_HANDLERS as $method => $foo) {
diff --git a/engine/lib/upgrades/2010100500.php b/engine/lib/upgrades/2010100500.php
deleted file mode 100644
index 1f9587196..000000000
--- a/engine/lib/upgrades/2010100500.php
+++ /dev/null
@@ -1,9 +0,0 @@
-<?php
-/**
- * Upgrades the oAuth Library plugin name
- */
-
-if (is_plugin_enabled('oauth')) {
- disable_plugin('oauth');
- enable_plugin('oauth_lib');
-}
diff --git a/engine/lib/upgrades/2010121601.php b/engine/lib/upgrades/2010121601.php
new file mode 100644
index 000000000..ad7d26adb
--- /dev/null
+++ b/engine/lib/upgrades/2010121601.php
@@ -0,0 +1,9 @@
+<?php
+/**
+ * Create friends river view has been changed
+ */
+
+$query = "UPDATE {$CONFIG->dbprefix}river
+ SET view='river/relationship/friend/create', action_type='create'
+ WHERE view='friends/river/create' AND action_type='friend'";
+update_data($query);
diff --git a/engine/lib/upgrades/2010121602.php b/engine/lib/upgrades/2010121602.php
new file mode 100644
index 000000000..5b0996b5e
--- /dev/null
+++ b/engine/lib/upgrades/2010121602.php
@@ -0,0 +1,10 @@
+<?php
+/**
+ * Create comment river view has been changed
+ */
+
+$query = "UPDATE {$CONFIG->dbprefix}river
+ SET view='river/annotation/generic_comment/create'
+ WHERE view='annotation/annotate' AND action_type='comment'";
+update_data($query);
+
diff --git a/engine/lib/upgrades/2010121701.php b/engine/lib/upgrades/2010121701.php
new file mode 100644
index 000000000..375654bac
--- /dev/null
+++ b/engine/lib/upgrades/2010121701.php
@@ -0,0 +1,10 @@
+<?php
+/**
+ * Create group forum topic river view has been changed
+ */
+
+$query = "UPDATE {$CONFIG->dbprefix}river
+ SET view='river/object/groupforumtopic/create'
+ WHERE view='river/forum/topic/create' AND action_type='create'";
+update_data($query);
+
diff --git a/engine/lib/upgrades/2010123101.php b/engine/lib/upgrades/2010123101.php
new file mode 100644
index 000000000..f4befd1a8
--- /dev/null
+++ b/engine/lib/upgrades/2010123101.php
@@ -0,0 +1,9 @@
+<?php
+/**
+ * Set default access for older sites
+ */
+
+$access = elgg_get_config('default_access');
+if ($access == false) {
+ elgg_save_config('default_access', ACCESS_LOGGED_IN);
+}
diff --git a/engine/lib/upgrades/2011010101.php b/engine/lib/upgrades/2011010101.php
new file mode 100644
index 000000000..f4411ee20
--- /dev/null
+++ b/engine/lib/upgrades/2011010101.php
@@ -0,0 +1,98 @@
+<?php
+/**
+ * Migrate plugins to the new system using ElggPlugin and private settings
+ */
+
+$old_ia = elgg_set_ignore_access(true);
+
+$site = get_config('site');
+$old_plugin_order = unserialize($site->pluginorder);
+$old_enabled_plugins = $site->enabled_plugins;
+
+$db_prefix = get_config('dbprefix');
+$plugin_subtype_id = get_subtype_id('object', 'plugin');
+
+// easy one first: make sure the the site owns all plugin entities.
+$q = "UPDATE {$db_prefix}entities e
+ SET owner_guid = $site->guid, container_guid = $site->guid
+ WHERE e.type = 'object' AND e.subtype = $plugin_subtype_id";
+
+$r = update_data($q);
+
+// rewrite all plugin:setting:* to ELGG_PLUGIN_USER_SETTING_PREFIX . *
+$q = "UPDATE {$db_prefix}private_settings
+ SET name = replace(name, 'plugin:settings:', '" . ELGG_PLUGIN_USER_SETTING_PREFIX . "')
+ WHERE name LIKE 'plugin:settings:%'";
+
+$r = update_data($q);
+
+// grab current plugin GUIDs to add a temp priority
+$q = "SELECT * FROM {$db_prefix}entities e
+ JOIN {$db_prefix}objects_entity oe ON e.guid = oe.guid
+ WHERE e.type = 'object' AND e.subtype = $plugin_subtype_id";
+
+$plugins = get_data($q);
+
+foreach ($plugins as $plugin) {
+ $priority = elgg_namespace_plugin_private_setting('internal', 'priority');
+ set_private_setting($plugin->guid, $priority, 0);
+}
+
+// force regenerating plugin entities
+elgg_generate_plugin_entities();
+
+// set the priorities for all plugins
+// this function rewrites it to a normal index so use the current one.
+elgg_set_plugin_priorities($old_plugin_order);
+
+// add relationships for enabled plugins
+if ($old_enabled_plugins) {
+ // they might only have one plugin enabled.
+ if (!is_array($old_enabled_plugins)) {
+ $old_enabled_plugins = array($old_enabled_plugins);
+ }
+
+ // sometimes there were problems and you'd get 1000s of enabled plugins.
+ $old_enabled_plugins = array_unique($old_enabled_plugins);
+
+ foreach ($old_enabled_plugins as $plugin_id) {
+ $plugin = elgg_get_plugin_from_id($plugin_id);
+
+ if ($plugin) {
+ $plugin->activate();
+ }
+ }
+}
+
+// invalidate caches
+elgg_invalidate_simplecache();
+elgg_reset_system_cache();
+
+// clean up.
+remove_metadata($site->guid, 'pluginorder');
+remove_metadata($site->guid, 'enabled_plugins');
+
+elgg_set_ignore_access($old_id);
+
+/**
+ * @hack
+ *
+ * We stop the upgrade at this point because plugins weren't given the chance to
+ * load due to the new plugin code introduced with Elgg 1.8. Instead, we manually
+ * set the version and start the upgrade process again.
+ *
+ * The variables from upgrade_code() are available because this script was included
+ */
+if ($upgrade_version > $version) {
+ datalist_set('version', $upgrade_version);
+}
+
+// add ourselves to the processed_upgrades.
+$processed_upgrades[] = '2011010101.php';
+
+$processed_upgrades = array_unique($processed_upgrades);
+elgg_set_processed_upgrades($processed_upgrades);
+
+_elgg_upgrade_unlock();
+
+forward('upgrade.php');
diff --git a/engine/lib/upgrades/2011021800-1.8_svn-goodbye_walled_garden-083121a656d06894.php b/engine/lib/upgrades/2011021800-1.8_svn-goodbye_walled_garden-083121a656d06894.php
new file mode 100644
index 000000000..40b2c71d5
--- /dev/null
+++ b/engine/lib/upgrades/2011021800-1.8_svn-goodbye_walled_garden-083121a656d06894.php
@@ -0,0 +1,34 @@
+<?php
+/**
+ * Elgg 1.8-svn upgrade 2011021800
+ * goodbye_walled_garden
+ *
+ * Removes the Walled Garden plugin in favor of new system settings
+ */
+
+global $CONFIG;
+
+$access = elgg_set_ignore_access(TRUE);
+
+if (elgg_is_active_plugin('walledgarden')) {
+ disable_plugin('walledgarden');
+ set_config('allow_registration', FALSE);
+ set_config('walled_garden', TRUE);
+} else {
+ set_config('allow_registration', TRUE);
+ set_config('walled_garden', FALSE);
+}
+
+// this was for people who manually set the config option
+$disable_registration = elgg_get_config('disable_registration');
+if ($disable_registration !== null) {
+ $allow_registration = !$disable_registration;
+ elgg_save_config('allow_registration', $allow_registration);
+
+ $site = elgg_get_site_entity();
+ $query = "DELETE FROM {$CONFIG->dbprefix}config
+ WHERE name = 'disable_registration' AND site_guid = $site->guid";
+ delete_data($query);
+}
+
+elgg_set_ignore_access($access);
diff --git a/engine/lib/upgrades/2011022000-1.8_svn-custom_profile_fields-390ac967b0bb5665.php b/engine/lib/upgrades/2011022000-1.8_svn-custom_profile_fields-390ac967b0bb5665.php
new file mode 100644
index 000000000..7561b84ba
--- /dev/null
+++ b/engine/lib/upgrades/2011022000-1.8_svn-custom_profile_fields-390ac967b0bb5665.php
@@ -0,0 +1,59 @@
+<?php
+/**
+ * Elgg 2011010401 upgrade 00
+ * custom_profile_fields
+ *
+ * Migrate 1.7 style custom profile fields to 1.8
+ */
+
+$plugin = elgg_get_plugin_from_id('profile');
+
+// plugin not installed
+if (!$plugin) {
+ return true;
+}
+
+$settings = $plugin->getAllSettings();
+// no fields to migrate
+if (!$settings['user_defined_fields']) {
+ return true;
+}
+
+$order = array();
+$remove_settings = array();
+
+// make sure we have a name and type
+foreach ($settings as $k => $v) {
+ if (!preg_match('/admin_defined_profile_([0-9]+)/i', $k, $matches)) {
+ continue;
+ }
+
+ $i = $matches[1];
+ $type_name = "admin_defined_profile_type_$i";
+ $type = elgg_extract($type_name, $settings, null);
+
+ if ($type) {
+ // field name
+ elgg_save_config($k, $v);
+ // field value
+ elgg_save_config($type_name, $type);
+
+ $order[] = $i;
+ $remove_settings[] = $k;
+ $remove_settings[] = $type_name;
+ }
+}
+
+if ($order) {
+ // these will always need to be in order, but there might be gaps
+ ksort($order);
+
+ $order_str = implode(',', $order);
+ elgg_save_config('profile_custom_fields', $order_str);
+
+ foreach ($remove_settings as $name) {
+ $plugin->unsetSetting($name);
+ }
+
+ $plugin->unsetSetting('user_defined_fields');
+} \ No newline at end of file
diff --git a/engine/lib/upgrades/2011030700-1.8_svn-blog_status_metadata-4645225d7b440876.php b/engine/lib/upgrades/2011030700-1.8_svn-blog_status_metadata-4645225d7b440876.php
new file mode 100644
index 000000000..fe2af9928
--- /dev/null
+++ b/engine/lib/upgrades/2011030700-1.8_svn-blog_status_metadata-4645225d7b440876.php
@@ -0,0 +1,24 @@
+<?php
+/**
+ * Elgg 1.8-svn upgrade 2011030700
+ * blog_status_metadata
+ *
+ * Add a "status" metadata entry to every blog entity because in 1.8 you can have status = draft or
+ * status = published
+ */
+$ia = elgg_set_ignore_access(true);
+$options = array(
+ 'type' => 'object',
+ 'subtype' => 'blog',
+ 'limit' => 0,
+);
+$batch = new ElggBatch('elgg_get_entities', $options);
+
+foreach ($batch as $entity) {
+ if (!$entity->status) {
+ // create metadata owned by the original owner
+ create_metadata($entity->getGUID(), 'status', 'published', '', $entity->owner_guid,
+ $entity->access_id);
+ }
+}
+elgg_set_ignore_access($ia); \ No newline at end of file
diff --git a/engine/lib/upgrades/2011031300-1.8_svn-twitter_api-12b832a5a7a3e1bd.php b/engine/lib/upgrades/2011031300-1.8_svn-twitter_api-12b832a5a7a3e1bd.php
new file mode 100644
index 000000000..df60892a6
--- /dev/null
+++ b/engine/lib/upgrades/2011031300-1.8_svn-twitter_api-12b832a5a7a3e1bd.php
@@ -0,0 +1,54 @@
+<?php
+/**
+ * Elgg 1.8-svn upgrade 2011031300
+ * twitter_api
+ *
+ * Updates the database for twitterservice to twitter_api changes.
+ */
+
+
+$ia = elgg_set_ignore_access(true);
+
+// make sure we have updated plugins
+elgg_generate_plugin_entities();
+
+$show_hidden = access_get_show_hidden_status();
+access_show_hidden_entities(true);
+
+$db_prefix = elgg_get_config('dbprefix');
+$site_guid = elgg_get_site_entity()->getGUID();
+$old = elgg_get_plugin_from_id('twitterservice');
+$new = elgg_get_plugin_from_id('twitter_api');
+$has_settings = false;
+
+// if not loaded, don't bother.
+if (!$old || !$new) {
+ return true;
+}
+
+$settings = array('consumer_key', 'consumer_secret', 'sign_on', 'new_users');
+
+foreach ($settings as $setting) {
+ $value = $old->getSetting($setting);
+ if ($value) {
+ $has_settings = true;
+ $new->setSetting($setting, $value);
+ }
+}
+
+// update the user settings
+$q = "UPDATE {$db_prefix}private_settings
+ SET name = replace(name, 'twitterservice', 'twitter_api')
+ WHERE name like '%twitterservice%'";
+
+update_data($q);
+
+// if there were settings, emit a notice to re-enable twitter_api
+if ($has_settings) {
+ elgg_add_admin_notice('twitter_api:disabled', elgg_echo('update:twitter_api:deactivated'));
+}
+
+$old->delete();
+
+access_show_hidden_entities($show_hidden);
+elgg_set_ignore_access($ia); \ No newline at end of file
diff --git a/engine/lib/upgrades/2011031600-1.8_svn-datalist_grows_up-0b8aec5a55cc1e1c.php b/engine/lib/upgrades/2011031600-1.8_svn-datalist_grows_up-0b8aec5a55cc1e1c.php
new file mode 100644
index 000000000..379244b36
--- /dev/null
+++ b/engine/lib/upgrades/2011031600-1.8_svn-datalist_grows_up-0b8aec5a55cc1e1c.php
@@ -0,0 +1,18 @@
+<?php
+/**
+ * Elgg 1.8-svn upgrade 2011031600
+ * datalist_grows_up
+ *
+ * Ups the varchar to 256 for the datalist and config table.
+ *
+ * Keeping it as a varchar because of the trailing whitespace trimming it apparently does:
+ * http://dev.mysql.com/doc/refman/5.0/en/char.html
+ */
+
+$db_prefix = elgg_get_config('dbprefix');
+
+$q = "ALTER TABLE {$db_prefix}datalists CHANGE name name VARCHAR(255)";
+update_data($q);
+
+$q = "ALTER TABLE {$db_prefix}config CHANGE name name VARCHAR(255)";
+update_data($q);
diff --git a/engine/lib/upgrades/2011032000-1.8_svn-widgets_arent_plugins-61836261fa280a5c.php b/engine/lib/upgrades/2011032000-1.8_svn-widgets_arent_plugins-61836261fa280a5c.php
new file mode 100644
index 000000000..a20970d79
--- /dev/null
+++ b/engine/lib/upgrades/2011032000-1.8_svn-widgets_arent_plugins-61836261fa280a5c.php
@@ -0,0 +1,10 @@
+<?php
+/**
+ * Elgg 1.8-svn upgrade 2011031800
+ * widgets_arent_plugins
+ *
+ * At some point in Elgg's history subtype widget was registered with class ElggPlugin.
+ * Fix that.
+ */
+
+update_subtype('object', 'widget', 'ElggWidget');
diff --git a/engine/lib/upgrades/2011032200-1.8_svn-admins_like_widgets-7f19d2783c1680d3.php b/engine/lib/upgrades/2011032200-1.8_svn-admins_like_widgets-7f19d2783c1680d3.php
new file mode 100644
index 000000000..592adb403
--- /dev/null
+++ b/engine/lib/upgrades/2011032200-1.8_svn-admins_like_widgets-7f19d2783c1680d3.php
@@ -0,0 +1,13 @@
+<?php
+/**
+ * Elgg 1.8-svn upgrade 2011032200
+ * admins_like_widgets
+ *
+ * Give current admins widgets for those pre-1.8
+ */
+
+$admins = elgg_get_admins(array('limit' => 0));
+foreach ($admins as $admin) {
+ // call the admin handler for the make_admin event
+ elgg_add_admin_widgets('make_admin', 'user', $admin);
+}
diff --git a/engine/lib/upgrades/2011052801.php b/engine/lib/upgrades/2011052801.php
new file mode 100644
index 000000000..b5a8e1018
--- /dev/null
+++ b/engine/lib/upgrades/2011052801.php
@@ -0,0 +1,46 @@
+<?php
+/**
+ * Make sure all users have the relationship member_of_site
+ */
+global $ENTITY_CACHE;
+$db_prefix = get_config('dbprefix');
+
+$limit = 100;
+
+$q = "SELECT e.* FROM {$db_prefix}entities e
+ WHERE e.type = 'user' AND e.guid NOT IN (
+ SELECT guid_one FROM {$db_prefix}entity_relationships
+ WHERE guid_two = 1 AND relationship = 'member_of_site'
+ )
+ LIMIT $limit";
+
+$users = get_data($q);
+
+while ($users) {
+ $ENTITY_CACHE = array();
+ _elgg_invalidate_query_cache();
+
+ // do manually to not trigger any events because these aren't new users.
+ foreach ($users as $user) {
+ $rel_q = "INSERT INTO {$db_prefix}entity_relationships VALUES (
+ '',
+ '$user->guid',
+ 'member_of_site',
+ '$user->site_guid',
+ '$user->time_created'
+ )";
+
+ insert_data($rel_q);
+ }
+
+ // every time we run this query we've just reduced the rows it returns by $limit
+ // so don't pass an offset.
+ $q = "SELECT e.* FROM {$db_prefix}entities e
+ WHERE e.type = 'user' AND e.guid NOT IN (
+ SELECT guid_one FROM {$db_prefix}entity_relationships
+ WHERE guid_two = 1 AND relationship = 'member_of_site'
+ )
+ LIMIT $limit";
+
+ $users = get_data($q);
+} \ No newline at end of file
diff --git a/engine/lib/upgrades/2011061200-1.8b1-sites_need_a_site_guid-6d9dcbf46c0826cc.php b/engine/lib/upgrades/2011061200-1.8b1-sites_need_a_site_guid-6d9dcbf46c0826cc.php
new file mode 100644
index 000000000..41ab29998
--- /dev/null
+++ b/engine/lib/upgrades/2011061200-1.8b1-sites_need_a_site_guid-6d9dcbf46c0826cc.php
@@ -0,0 +1,31 @@
+<?php
+/**
+ * Elgg 1.8b1 upgrade 2011061200
+ * sites_need_a_site_guid
+ *
+ * Sites did not have a site guid. This causes problems with getting
+ * metadata on site objects since we default to the current site.
+ */
+
+global $CONFIG;
+
+$ia = elgg_set_ignore_access(true);
+$access_status = access_get_show_hidden_status();
+access_show_hidden_entities(true);
+
+$options = array(
+ 'type' => 'site',
+ 'site_guid' => 0,
+ 'limit' => 0,
+);
+$batch = new ElggBatch('elgg_get_entities', $options);
+
+foreach ($batch as $entity) {
+ if (!$entity->site_guid) {
+ update_data("UPDATE {$CONFIG->dbprefix}entities SET site_guid=$entity->guid
+ WHERE guid=$entity->guid");
+ }
+}
+
+access_show_hidden_entities($access_status);
+elgg_set_ignore_access($ia);
diff --git a/engine/lib/upgrades/2011092500-1.8.0.1-forum_reply_river_view-5758ce8d86ac56ce.php b/engine/lib/upgrades/2011092500-1.8.0.1-forum_reply_river_view-5758ce8d86ac56ce.php
new file mode 100644
index 000000000..3a9200b51
--- /dev/null
+++ b/engine/lib/upgrades/2011092500-1.8.0.1-forum_reply_river_view-5758ce8d86ac56ce.php
@@ -0,0 +1,12 @@
+<?php
+/**
+ * Elgg 1.8.0.1 upgrade 2011092500
+ * forum_reply_river_view
+ *
+ * The forum reply river view is in a new location in Elgg 1.8
+ */
+
+$query = "UPDATE {$CONFIG->dbprefix}river SET view='river/annotation/group_topic_post/reply',
+ action_type='reply'
+ WHERE view='river/forum/create' AND action_type='create'";
+update_data($query);
diff --git a/engine/lib/upgrades/2011123100-1.8.2-fix_friend_river-b17e7ff8345c2269.php b/engine/lib/upgrades/2011123100-1.8.2-fix_friend_river-b17e7ff8345c2269.php
new file mode 100644
index 000000000..4dc43cd32
--- /dev/null
+++ b/engine/lib/upgrades/2011123100-1.8.2-fix_friend_river-b17e7ff8345c2269.php
@@ -0,0 +1,12 @@
+<?php
+/**
+ * Elgg 1.8.2 upgrade 2011123100
+ * fix_friend_river
+ *
+ * Action type was incorrect due to previoud friends river upgrade
+ */
+
+$query = "UPDATE {$CONFIG->dbprefix}river
+ SET action_type='friend'
+ WHERE view='river/relationship/friend/create' AND action_type='create'";
+update_data($query);
diff --git a/engine/lib/upgrades/2011123101-1.8.2-fix_blog_status-b14c2a0e7b9e7d55.php b/engine/lib/upgrades/2011123101-1.8.2-fix_blog_status-b14c2a0e7b9e7d55.php
new file mode 100644
index 000000000..e351c6ac9
--- /dev/null
+++ b/engine/lib/upgrades/2011123101-1.8.2-fix_blog_status-b14c2a0e7b9e7d55.php
@@ -0,0 +1,25 @@
+<?php
+/**
+ * Elgg 1.8.2 upgrade 2011123101
+ * fix_blog_status
+ *
+ * Most blog posts did not have their status properly set with 1.8 upgrade so we run
+ * the blog status upgrade again
+ */
+
+$ia = elgg_set_ignore_access(true);
+$options = array(
+ 'type' => 'object',
+ 'subtype' => 'blog',
+ 'limit' => 0,
+);
+$batch = new ElggBatch('elgg_get_entities', $options);
+
+foreach ($batch as $entity) {
+ if (!$entity->status) {
+ // create metadata owned by the original owner
+ create_metadata($entity->getGUID(), 'status', 'published', '', $entity->owner_guid,
+ $entity->access_id);
+ }
+}
+elgg_set_ignore_access($ia); \ No newline at end of file
diff --git a/engine/lib/upgrades/2012012000-1.8.3-ip_in_syslog-87fe0f068cf62428.php b/engine/lib/upgrades/2012012000-1.8.3-ip_in_syslog-87fe0f068cf62428.php
new file mode 100644
index 000000000..b9514e156
--- /dev/null
+++ b/engine/lib/upgrades/2012012000-1.8.3-ip_in_syslog-87fe0f068cf62428.php
@@ -0,0 +1,12 @@
+<?php
+/**
+ * Elgg 1.8.3 upgrade 2012012000
+ * ip_in_syslog
+ *
+ * Adds a field for an IP address in the system log table
+ */
+
+$db_prefix = elgg_get_config('dbprefix');
+$q = "ALTER TABLE {$db_prefix}system_log ADD ip_address VARCHAR(15) NOT NULL AFTER time_created";
+
+update_data($q); \ No newline at end of file
diff --git a/engine/lib/upgrades/2012012100-1.8.3-system_cache-93100e7d55a24a11.php b/engine/lib/upgrades/2012012100-1.8.3-system_cache-93100e7d55a24a11.php
new file mode 100644
index 000000000..3a9aae2a1
--- /dev/null
+++ b/engine/lib/upgrades/2012012100-1.8.3-system_cache-93100e7d55a24a11.php
@@ -0,0 +1,13 @@
+<?php
+/**
+ * Elgg 1.8.3 upgrade 2012012100
+ * system_cache
+ *
+ * Convert viewpath cache to system cache
+ */
+
+$value = datalist_get('viewpath_cache_enabled');
+datalist_set('system_cache_enabled', $value);
+
+$query = "DELETE FROM {$CONFIG->dbprefix}datalists WHERE name='viewpath_cache_enabled'";
+delete_data($query);
diff --git a/engine/lib/upgrades/2012041800-1.8.3-dont_filter_passwords-c0ca4a18b38ae2bc.php b/engine/lib/upgrades/2012041800-1.8.3-dont_filter_passwords-c0ca4a18b38ae2bc.php
new file mode 100644
index 000000000..b82ffbebf
--- /dev/null
+++ b/engine/lib/upgrades/2012041800-1.8.3-dont_filter_passwords-c0ca4a18b38ae2bc.php
@@ -0,0 +1,11 @@
+<?php
+/**
+ * Elgg 1.8.3 upgrade 2012041800
+ * dont_filter_passwords
+ *
+ * Add admin notice that password handling has changed and if
+ * users can't login to have them reset their passwords.
+ */
+elgg_add_admin_notice('dont_filter_passwords', 'Password handling has been updated to be more secure and flexible. '
+ . 'This change may prevent a small number of users from logging in with their existing passwords. '
+ . 'If a user is unable to log in, please advise him or her to reset their password, or reset it as an admin user.');
diff --git a/engine/lib/upgrades/2012041801-1.8.3-multiple_user_tokens-852225f7fd89f6c5.php b/engine/lib/upgrades/2012041801-1.8.3-multiple_user_tokens-852225f7fd89f6c5.php
new file mode 100644
index 000000000..780038c32
--- /dev/null
+++ b/engine/lib/upgrades/2012041801-1.8.3-multiple_user_tokens-852225f7fd89f6c5.php
@@ -0,0 +1,13 @@
+<?php
+/**
+ * Elgg 1.8.3 upgrade 2012041801
+ * multiple_user_tokens
+ *
+ * Fixes https://github.com/elgg/elgg/issues/4291
+ * Removes the unique index on users_apisessions for user_guid and site_guid
+ */
+
+$db_prefix = elgg_get_config('dbprefix');
+$q = "ALTER TABLE {$db_prefix}users_apisessions DROP INDEX user_guid,
+ ADD INDEX user_guid (user_guid, site_guid)";
+update_data($q); \ No newline at end of file
diff --git a/engine/lib/upgrades/2013030600-1.8.13-update_user_location-8999eb8bf1bdd9a3.php b/engine/lib/upgrades/2013030600-1.8.13-update_user_location-8999eb8bf1bdd9a3.php
new file mode 100644
index 000000000..8eccf05e2
--- /dev/null
+++ b/engine/lib/upgrades/2013030600-1.8.13-update_user_location-8999eb8bf1bdd9a3.php
@@ -0,0 +1,24 @@
+<?php
+/**
+ * Elgg 1.8.14 upgrade 2013030600
+ * update_user_location
+ *
+ * Before Elgg 1.8, a location like "London, England" would be stored as an array.
+ * This script turns that back into a string.
+ */
+
+$ia = elgg_set_ignore_access(true);
+$options = array(
+ 'type' => 'user',
+ 'limit' => 0,
+);
+$batch = new ElggBatch('elgg_get_entities', $options);
+
+foreach ($batch as $entity) {
+ _elgg_invalidate_query_cache();
+
+ if (is_array($entity->location)) {
+ $entity->location = implode(', ', $entity->location);
+ }
+}
+elgg_set_ignore_access($ia);
diff --git a/engine/lib/upgrades/2013051700-1.8.15-add_missing_group_index-52a63a3a3ffaced2.php b/engine/lib/upgrades/2013051700-1.8.15-add_missing_group_index-52a63a3a3ffaced2.php
new file mode 100644
index 000000000..ee99bdbc8
--- /dev/null
+++ b/engine/lib/upgrades/2013051700-1.8.15-add_missing_group_index-52a63a3a3ffaced2.php
@@ -0,0 +1,28 @@
+<?php
+/**
+ * Elgg 1.8.15 upgrade 2013051700
+ * add_missing_group_index
+ *
+ * Some Elgg sites are missing the groups_entity full text index on name and
+ * description. This checks if it exists and adds it if it does not.
+ */
+
+$db_prefix = elgg_get_config('dbprefix');
+
+$full_text_index_exists = false;
+$results = get_data("SHOW INDEX FROM {$db_prefix}groups_entity");
+if ($results) {
+ foreach ($results as $result) {
+ if ($result->Index_type === 'FULLTEXT') {
+ $full_text_index_exists = true;
+ }
+ }
+}
+
+if ($full_text_index_exists == false) {
+ $query = "ALTER TABLE {$db_prefix}groups_entity
+ ADD FULLTEXT name_2 (name, description)";
+ if (!update_data($query)) {
+ elgg_log("Failed to add full text index to groups_entity table", 'ERROR');
+ }
+}
diff --git a/engine/lib/upgrades/2013052900-1.8.15-ipv6_in_syslog-f5c2cc0196e9e731.php b/engine/lib/upgrades/2013052900-1.8.15-ipv6_in_syslog-f5c2cc0196e9e731.php
new file mode 100644
index 000000000..d333a6cd2
--- /dev/null
+++ b/engine/lib/upgrades/2013052900-1.8.15-ipv6_in_syslog-f5c2cc0196e9e731.php
@@ -0,0 +1,12 @@
+<?php
+/**
+ * Elgg 1.8.15 upgrade 2013052900
+ * ipv6_in_syslog
+ *
+ * Upgrade the ip column in system_log to be able to store ipv6 addresses
+ */
+
+$db_prefix = elgg_get_config('dbprefix');
+$q = "ALTER TABLE {$db_prefix}system_log MODIFY COLUMN ip_address varchar(46) NOT NULL";
+
+update_data($q); \ No newline at end of file
diff --git a/engine/lib/upgrades/2013060900-1.8.15-site_secret-404fc165cf9e0ac9.php b/engine/lib/upgrades/2013060900-1.8.15-site_secret-404fc165cf9e0ac9.php
new file mode 100644
index 000000000..538d74dd6
--- /dev/null
+++ b/engine/lib/upgrades/2013060900-1.8.15-site_secret-404fc165cf9e0ac9.php
@@ -0,0 +1,16 @@
+<?php
+/**
+ * Elgg 1.8.15 upgrade 2013060900
+ * site_secret
+ *
+ * Description
+ */
+
+$strength = _elgg_get_site_secret_strength();
+
+if ($strength !== 'strong') {
+ // a new key is needed immediately
+ register_translations(elgg_get_root_path() . 'languages/');
+
+ elgg_add_admin_notice('weak_site_key', elgg_echo("upgrade:site_secret_warning:$strength"));
+}
diff --git a/engine/lib/upgrades/create_upgrade.php b/engine/lib/upgrades/create_upgrade.php
new file mode 100644
index 000000000..b34f31b7e
--- /dev/null
+++ b/engine/lib/upgrades/create_upgrade.php
@@ -0,0 +1,152 @@
+<?php
+/**
+ * Creates an upgrade file for Elgg.
+ *
+ * Run this from the command line:
+ * php create_upgrade.php upgrade_name
+ */
+
+error_reporting(E_NOTICE);
+
+// only allow from the command line.
+if (php_sapi_name() != 'cli') {
+ die('Upgrades can only be created from the command line.');
+}
+
+if (count($argv) < 2) {
+ elgg_create_upgrade_show_usage('No upgrade name.');
+}
+
+$name = $argv[1];
+
+if (strlen($name) > 24) {
+ elgg_create_upgrade_show_usage('Upgrade names cannot be longer than 24 characters.');
+}
+
+require_once '../../../version.php';
+require_once '../elgglib.php';
+$upgrade_path = dirname(__FILE__);
+
+$upgrade_name = strtolower($name);
+$upgrade_name = str_replace(array(' ', '-'), '_', $upgrade_name);
+$upgrade_release = str_replace(array(' ', '-'), '_', $release);
+$time = time();
+$upgrade_rnd = substr(md5($time), 0, 16);
+$upgrade_date = date('Ymd', $time);
+
+// determine the inc count
+$upgrade_inc = 0;
+$files = elgg_get_file_list($upgrade_path);
+sort($files);
+
+foreach ($files as $filename) {
+ $filename = basename($filename);
+ $date = (int)substr($filename, 0, 8);
+ $inc = (int)substr($filename, 8, 2);
+
+ if ($upgrade_date == $date) {
+ if ($inc >= $upgrade_inc) {
+ $upgrade_inc = $inc + 1;
+ }
+ }
+}
+
+// zero-pad
+// if there are more than 10 upgrades in a day, someone needs talking to.
+if ($upgrade_inc < 10) {
+ $upgrade_inc = "0$upgrade_inc";
+}
+
+$upgrade_version = $upgrade_date . $upgrade_inc;
+
+// make filename
+if (substr($release, 0, 3) == '1.7') {
+ // 1.7 upgrades are YYYYMMDDXX
+ $upgrade_name = $upgrade_version . '.php';
+} else {
+ // 1.8+ upgrades are YYYYMMDDXX-release-friendly_name-rnd
+ $upgrade_name = $upgrade_version . "-$upgrade_release-$name-$upgrade_rnd.php";
+}
+
+$upgrade_file = $upgrade_path . '/' . $upgrade_name;
+
+if (is_file($upgrade_file)) {
+ elgg_create_upgrade_show_usage("Upgrade file $upgrade_file already exists. This script has failed you.");
+}
+
+$upgrade_code = <<<___UPGRADE
+<?php
+/**
+ * Elgg $release upgrade $upgrade_version
+ * $name
+ *
+ * Description
+ */
+
+// upgrade code here.
+
+___UPGRADE;
+
+$h = fopen($upgrade_file, 'wb');
+
+if (!$h) {
+ die("Could not open file $upgrade_file");
+}
+
+if (!fwrite($h, $upgrade_code)) {
+ die("Could not write to $upgrade_file");
+} else {
+ elgg_set_version_dot_php_version($upgrade_version);
+ echo <<<___MSG
+
+Created upgrade file and updated version.php.
+
+Upgrade file: $upgrade_name
+Version: $upgrade_version
+
+___MSG;
+}
+
+fclose($h);
+
+
+function elgg_set_version_dot_php_version($version) {
+ $file = '../../../version.php';
+ $h = fopen($file, 'r+b');
+
+ if (!$h) {
+ return false;
+ }
+
+ $out = '';
+
+ while (($line = fgets($h)) !== false) {
+ $find = "/\\\$version[ ]?=[ ]?[0-9]{10};/";
+ $replace = "\$version = $version;";
+ $out .= preg_replace($find, $replace, $line);
+ }
+
+ rewind($h);
+
+ fwrite($h, $out);
+ fclose($h);
+ return true;
+}
+
+/**
+ * Shows the usage for the create_upgrade script and dies().
+ *
+ * @param string $msg Optional message to display
+ * @return void
+ */
+function elgg_create_upgrade_show_usage($msg = '') {
+ $text = <<<___MSG
+$msg
+
+Example:
+ php create_upgrade.php my_upgrade
+
+___MSG;
+
+ die($text);
+}
diff --git a/engine/lib/user_settings.php b/engine/lib/user_settings.php
new file mode 100644
index 000000000..0e36dc46d
--- /dev/null
+++ b/engine/lib/user_settings.php
@@ -0,0 +1,360 @@
+<?php
+/**
+ * Elgg user settings functions.
+ * Functions for adding and manipulating options on the user settings panel.
+ *
+ * @package Elgg.Core
+ * @subpackage Settings.User
+ */
+
+/**
+ * Saves user settings.
+ *
+ * @todo this assumes settings are coming in on a GET/POST request
+ *
+ * @note This is a handler for the 'usersettings:save', 'user' plugin hook
+ *
+ * @return void
+ * @access private
+ */
+function users_settings_save() {
+ elgg_set_user_language();
+ elgg_set_user_password();
+ elgg_set_user_default_access();
+ elgg_set_user_name();
+ elgg_set_user_email();
+}
+
+/**
+ * Set a user's password
+ *
+ * @return bool
+ * @since 1.8.0
+ * @access private
+ */
+function elgg_set_user_password() {
+ $current_password = get_input('current_password', null, false);
+ $password = get_input('password', null, false);
+ $password2 = get_input('password2', null, false);
+ $user_guid = get_input('guid');
+
+ if (!$user_guid) {
+ $user = elgg_get_logged_in_user_entity();
+ } else {
+ $user = get_entity($user_guid);
+ }
+
+ if ($user && $password) {
+ // let admin user change anyone's password without knowing it except his own.
+ if (!elgg_is_admin_logged_in() || elgg_is_admin_logged_in() && $user->guid == elgg_get_logged_in_user_guid()) {
+ $credentials = array(
+ 'username' => $user->username,
+ 'password' => $current_password
+ );
+
+ try {
+ pam_auth_userpass($credentials);
+ } catch (LoginException $e) {
+ register_error(elgg_echo('LoginException:ChangePasswordFailure'));
+ return false;
+ }
+ }
+
+ try {
+ $result = validate_password($password);
+ } catch (RegistrationException $e) {
+ register_error($e->getMessage());
+ return false;
+ }
+
+ if ($result) {
+ if ($password == $password2) {
+ $user->salt = generate_random_cleartext_password(); // Reset the salt
+ $user->password = generate_user_password($user, $password);
+ if ($user->save()) {
+ system_message(elgg_echo('user:password:success'));
+ return true;
+ } else {
+ register_error(elgg_echo('user:password:fail'));
+ }
+ } else {
+ register_error(elgg_echo('user:password:fail:notsame'));
+ }
+ } else {
+ register_error(elgg_echo('user:password:fail:tooshort'));
+ }
+ } else {
+ // no change
+ return null;
+ }
+
+ return false;
+}
+
+/**
+ * Set a user's display name
+ *
+ * @return bool
+ * @since 1.8.0
+ * @access private
+ */
+function elgg_set_user_name() {
+ $name = strip_tags(get_input('name'));
+ $user_id = get_input('guid');
+
+ if (!$user_id) {
+ $user = elgg_get_logged_in_user_entity();
+ } else {
+ $user = get_entity($user_id);
+ }
+
+ if (elgg_strlen($name) > 50) {
+ register_error(elgg_echo('user:name:fail'));
+ return false;
+ }
+
+ if (($user) && ($user->canEdit()) && ($name)) {
+ if ($name != $user->name) {
+ $user->name = $name;
+ if ($user->save()) {
+ system_message(elgg_echo('user:name:success'));
+ return true;
+ } else {
+ register_error(elgg_echo('user:name:fail'));
+ }
+ } else {
+ // no change
+ return null;
+ }
+ } else {
+ register_error(elgg_echo('user:name:fail'));
+ }
+ return false;
+}
+
+/**
+ * Set a user's language
+ *
+ * @return bool
+ * @since 1.8.0
+ * @access private
+ */
+function elgg_set_user_language() {
+ $language = get_input('language');
+ $user_id = get_input('guid');
+
+ if (!$user_id) {
+ $user = elgg_get_logged_in_user_entity();
+ } else {
+ $user = get_entity($user_id);
+ }
+
+ if (($user) && ($language)) {
+ if (strcmp($language, $user->language) != 0) {
+ $user->language = $language;
+ if ($user->save()) {
+ system_message(elgg_echo('user:language:success'));
+ return true;
+ } else {
+ register_error(elgg_echo('user:language:fail'));
+ }
+ } else {
+ // no change
+ return null;
+ }
+ } else {
+ register_error(elgg_echo('user:language:fail'));
+ }
+ return false;
+}
+
+/**
+ * Set a user's email address
+ *
+ * @return bool
+ * @since 1.8.0
+ * @access private
+ */
+function elgg_set_user_email() {
+ $email = get_input('email');
+ $user_id = get_input('guid');
+
+ if (!$user_id) {
+ $user = elgg_get_logged_in_user_entity();
+ } else {
+ $user = get_entity($user_id);
+ }
+
+ if (!is_email_address($email)) {
+ register_error(elgg_echo('email:save:fail'));
+ return false;
+ }
+
+ if ($user) {
+ if (strcmp($email, $user->email) != 0) {
+ if (!get_user_by_email($email)) {
+ if ($user->email != $email) {
+
+ $user->email = $email;
+ if ($user->save()) {
+ system_message(elgg_echo('email:save:success'));
+ return true;
+ } else {
+ register_error(elgg_echo('email:save:fail'));
+ }
+ }
+ } else {
+ register_error(elgg_echo('registration:dupeemail'));
+ }
+ } else {
+ // no change
+ return null;
+ }
+ } else {
+ register_error(elgg_echo('email:save:fail'));
+ }
+ return false;
+}
+
+/**
+ * Set a user's default access level
+ *
+ * @return bool
+ * @since 1.8.0
+ * @access private
+ */
+function elgg_set_user_default_access() {
+
+ if (!elgg_get_config('allow_user_default_access')) {
+ return false;
+ }
+
+ $default_access = get_input('default_access');
+ $user_id = get_input('guid');
+
+ if (!$user_id) {
+ $user = elgg_get_logged_in_user_entity();
+ } else {
+ $user = get_entity($user_id);
+ }
+
+ if ($user) {
+ $current_default_access = $user->getPrivateSetting('elgg_default_access');
+ if ($default_access !== $current_default_access) {
+ if ($user->setPrivateSetting('elgg_default_access', $default_access)) {
+ system_message(elgg_echo('user:default_access:success'));
+ return true;
+ } else {
+ register_error(elgg_echo('user:default_access:fail'));
+ }
+ } else {
+ // no change
+ return null;
+ }
+ } else {
+ register_error(elgg_echo('user:default_access:fail'));
+ }
+
+ return false;
+}
+
+/**
+ * Set up the menu for user settings
+ *
+ * @return void
+ * @access private
+ */
+function usersettings_pagesetup() {
+ $user = elgg_get_page_owner_entity();
+
+ if ($user && elgg_get_context() == "settings") {
+ $params = array(
+ 'name' => '1_account',
+ 'text' => elgg_echo('usersettings:user:opt:linktext'),
+ 'href' => "settings/user/{$user->username}",
+ );
+ elgg_register_menu_item('page', $params);
+ $params = array(
+ 'name' => '1_plugins',
+ 'text' => elgg_echo('usersettings:plugins:opt:linktext'),
+ 'href' => "settings/plugins/{$user->username}",
+ );
+ elgg_register_menu_item('page', $params);
+ $params = array(
+ 'name' => '1_statistics',
+ 'text' => elgg_echo('usersettings:statistics:opt:linktext'),
+ 'href' => "settings/statistics/{$user->username}",
+ );
+ elgg_register_menu_item('page', $params);
+ }
+}
+
+/**
+ * Page handler for user settings
+ *
+ * @param array $page Pages array
+ *
+ * @return bool
+ * @access private
+ */
+function usersettings_page_handler($page) {
+ global $CONFIG;
+
+ if (!isset($page[0])) {
+ $page[0] = 'user';
+ }
+
+ if (isset($page[1])) {
+ $user = get_user_by_username($page[1]);
+ elgg_set_page_owner_guid($user->guid);
+ } else {
+ $user = elgg_get_logged_in_user_entity();
+ elgg_set_page_owner_guid($user->guid);
+ }
+
+ elgg_push_breadcrumb(elgg_echo('settings'), "settings/user/$user->username");
+
+ switch ($page[0]) {
+ case 'statistics':
+ elgg_push_breadcrumb(elgg_echo('usersettings:statistics:opt:linktext'));
+ $path = $CONFIG->path . "pages/settings/statistics.php";
+ break;
+ case 'plugins':
+ elgg_push_breadcrumb(elgg_echo('usersettings:plugins:opt:linktext'));
+ $path = $CONFIG->path . "pages/settings/tools.php";
+ break;
+ case 'user':
+ $path = $CONFIG->path . "pages/settings/account.php";
+ break;
+ }
+
+ if (isset($path)) {
+ require $path;
+ return true;
+ }
+ return false;
+}
+
+/**
+ * Initialize the user settings library
+ *
+ * @return void
+ * @access private
+ */
+function usersettings_init() {
+ elgg_register_page_handler('settings', 'usersettings_page_handler');
+
+ elgg_register_plugin_hook_handler('usersettings:save', 'user', 'users_settings_save');
+
+ elgg_register_action("usersettings/save");
+
+ // extend the account settings form
+ elgg_extend_view('forms/account/settings', 'core/settings/account/name', 100);
+ elgg_extend_view('forms/account/settings', 'core/settings/account/password', 100);
+ elgg_extend_view('forms/account/settings', 'core/settings/account/email', 100);
+ elgg_extend_view('forms/account/settings', 'core/settings/account/language', 100);
+ elgg_extend_view('forms/account/settings', 'core/settings/account/default_access', 100);
+}
+
+elgg_register_event_handler('init', 'system', 'usersettings_init');
+elgg_register_event_handler('pagesetup', 'system', 'usersettings_pagesetup');
diff --git a/engine/lib/users.php b/engine/lib/users.php
index 9ed3d0863..a8fb9121c 100644
--- a/engine/lib/users.php
+++ b/engine/lib/users.php
@@ -8,9 +8,11 @@
*/
/// Map a username to a cached GUID
+global $USERNAME_TO_GUID_MAP_CACHE;
$USERNAME_TO_GUID_MAP_CACHE = array();
/// Map a user code to a cached GUID
+global $CODE_TO_GUID_MAP_CACHE;
$CODE_TO_GUID_MAP_CACHE = array();
/**
@@ -19,6 +21,7 @@ $CODE_TO_GUID_MAP_CACHE = array();
* @param int $guid The ElggUser guid
*
* @return mixed
+ * @access private
*/
function get_user_entity_as_row($guid) {
global $CONFIG;
@@ -28,7 +31,7 @@ function get_user_entity_as_row($guid) {
}
/**
- * Create or update the extras table for a given user.
+ * Create or update the entities table for a given user.
* Call create_entity first.
*
* @param int $guid The user's GUID
@@ -41,6 +44,7 @@ function get_user_entity_as_row($guid) {
* @param string $code A code
*
* @return bool
+ * @access private
*/
function create_user_entity($guid, $name, $username, $password, $salt, $email, $language, $code) {
global $CONFIG;
@@ -57,13 +61,12 @@ function create_user_entity($guid, $name, $username, $password, $salt, $email, $
$row = get_entity_as_row($guid);
if ($row) {
// Exists and you have access to it
-
$query = "SELECT guid from {$CONFIG->dbprefix}users_entity where guid = {$guid}";
if ($exists = get_data_row($query)) {
$query = "UPDATE {$CONFIG->dbprefix}users_entity
- set name='$name', username='$username', password='$password', salt='$salt',
- email='$email', language='$language', code='$code', last_action = "
- . time() . " where guid = {$guid}";
+ SET name='$name', username='$username', password='$password', salt='$salt',
+ email='$email', language='$language', code='$code'
+ WHERE guid = $guid";
$result = update_data($query);
if ($result != false) {
@@ -76,7 +79,7 @@ function create_user_entity($guid, $name, $username, $password, $salt, $email, $
}
}
} else {
- // Update failed, attempt an insert.
+ // Exists query failed, attempt an insert.
$query = "INSERT into {$CONFIG->dbprefix}users_entity
(guid, name, username, password, salt, email, language, code)
values ($guid, '$name', '$username', '$password', '$salt', '$email', '$language', '$code')";
@@ -87,7 +90,7 @@ function create_user_entity($guid, $name, $username, $password, $salt, $email, $
if (elgg_trigger_event('create', $entity->type, $entity)) {
return $guid;
} else {
- $entity->delete(); //delete_entity($guid);
+ $entity->delete();
}
}
}
@@ -134,7 +137,6 @@ function ban_user($user_guid, $reason = "") {
global $CONFIG;
$user_guid = (int)$user_guid;
- $reason = sanitise_string($reason);
$user = get_entity($user_guid);
@@ -235,7 +237,7 @@ function make_user_admin($user_guid) {
}
$r = update_data("UPDATE {$CONFIG->dbprefix}users_entity set admin='yes' where guid=$user_guid");
- invalidate_cache_for_entity($user_guid);
+ _elgg_invalidate_cache_for_entity($user_guid);
return $r;
}
@@ -271,7 +273,7 @@ function remove_user_admin($user_guid) {
}
$r = update_data("UPDATE {$CONFIG->dbprefix}users_entity set admin='no' where guid=$user_guid");
- invalidate_cache_for_entity($user_guid);
+ _elgg_invalidate_cache_for_entity($user_guid);
return $r;
}
@@ -282,30 +284,13 @@ function remove_user_admin($user_guid) {
}
/**
- * THIS FUNCTION IS DEPRECATED.
- *
- * Delete a user's extra data.
- *
- * @todo remove
- *
- * @param int $guid User GUID
- *
- * @return 1
- */
-function delete_user_entity($guid) {
- system_message(elgg_echo('deprecatedfunction', array('delete_user_entity')));
-
- return 1; // Always return that we have deleted one row in order to not break existing code.
-}
-
-/**
* Get the sites this user is part of
*
* @param int $user_guid The user's GUID
* @param int $limit Number of results to return
* @param int $offset Any indexing offset
*
- * @return false|array On success, an array of ElggSites
+ * @return ElggSite[]|false On success, an array of ElggSites
*/
function get_user_sites($user_guid, $limit = 10, $offset = 0) {
$user_guid = (int)$user_guid;
@@ -313,13 +298,14 @@ function get_user_sites($user_guid, $limit = 10, $offset = 0) {
$offset = (int)$offset;
return elgg_get_entities_from_relationship(array(
+ 'site_guids' => ELGG_ENTITIES_ANY_VALUE,
'relationship' => 'member_of_site',
'relationship_guid' => $user_guid,
'inverse_relationship' => FALSE,
- 'types' => 'site',
+ 'type' => 'site',
'limit' => $limit,
- 'offset' => $offset)
- );
+ 'offset' => $offset,
+ ));
}
/**
@@ -357,8 +343,6 @@ function user_add_friend($user_guid, $friend_guid) {
* @return bool Depending on success
*/
function user_remove_friend($user_guid, $friend_guid) {
- global $CONFIG;
-
$user_guid = (int) $user_guid;
$friend_guid = (int) $friend_guid;
@@ -382,7 +366,7 @@ function user_remove_friend($user_guid, $friend_guid) {
* @return bool
*/
function user_is_friend($user_guid, $friend_guid) {
- return check_entity_relationship($user_guid, "friend", $friend_guid);
+ return check_entity_relationship($user_guid, "friend", $friend_guid) !== false;
}
/**
@@ -393,7 +377,7 @@ function user_is_friend($user_guid, $friend_guid) {
* @param int $limit Number of results to return (default 10)
* @param int $offset Indexing offset, if any
*
- * @return false|array Either an array of ElggUsers or false, depending on success
+ * @return ElggUser[]|false Either an array of ElggUsers or false, depending on success
*/
function get_user_friends($user_guid, $subtype = ELGG_ENTITIES_ANY_VALUE, $limit = 10,
$offset = 0) {
@@ -401,8 +385,8 @@ $offset = 0) {
return elgg_get_entities_from_relationship(array(
'relationship' => 'friend',
'relationship_guid' => $user_guid,
- 'types' => 'user',
- 'subtypes' => $subtype,
+ 'type' => 'user',
+ 'subtype' => $subtype,
'limit' => $limit,
'offset' => $offset
));
@@ -416,7 +400,7 @@ $offset = 0) {
* @param int $limit Number of results to return (default 10)
* @param int $offset Indexing offset, if any
*
- * @return false|array Either an array of ElggUsers or false, depending on success
+ * @return ElggUser[]|false Either an array of ElggUsers or false, depending on success
*/
function get_user_friends_of($user_guid, $subtype = ELGG_ENTITIES_ANY_VALUE, $limit = 10,
$offset = 0) {
@@ -425,96 +409,11 @@ $offset = 0) {
'relationship' => 'friend',
'relationship_guid' => $user_guid,
'inverse_relationship' => TRUE,
- 'types' => 'user',
- 'subtypes' => $subtype,
- 'limit' => $limit,
- 'offset' => $offset
- ));
-}
-
-/**
- * Obtains a list of objects owned by a user
- *
- * @param int $user_guid The GUID of the owning user
- * @param string $subtype Optionally, the subtype of objects
- * @param int $limit The number of results to return (default 10)
- * @param int $offset Indexing offset, if any
- * @param int $timelower The earliest time the entity can have been created. Default: all
- * @param int $timeupper The latest time the entity can have been created. Default: all
- *
- * @return false|array An array of ElggObjects or false, depending on success
- * @deprecated 1.8 Use elgg_get_entities() instead
- */
-function get_user_objects($user_guid, $subtype = ELGG_ENTITIES_ANY_VALUE, $limit = 10,
-$offset = 0, $timelower = 0, $timeupper = 0) {
- elgg_deprecated_notice("get_user_objects() was deprecated in favor of elgg_get_entities()", 1.8);
- $ntt = elgg_get_entities(array(
- 'type' => 'object',
+ 'type' => 'user',
'subtype' => $subtype,
- 'owner_guid' => $user_guid,
'limit' => $limit,
- 'offset' => $offset,
- 'container_guid' => $user_guid,
- 'created_time_lower' => $timelower,
- 'created_time_upper' => $timeupper
- ));
- return $ntt;
-}
-
-/**
- * Counts the objects (optionally of a particular subtype) owned by a user
- *
- * @param int $user_guid The GUID of the owning user
- * @param string $subtype Optionally, the subtype of objects
- * @param int $timelower The earliest time the entity can have been created. Default: all
- * @param int $timeupper The latest time the entity can have been created. Default: all
- *
- * @return int The number of objects the user owns (of this subtype)
- * @deprecated 1.8 Use elgg_get_entities() instead
- */
-function count_user_objects($user_guid, $subtype = ELGG_ENTITIES_ANY_VALUE, $timelower = 0,
-$timeupper = 0) {
- elgg_deprecated_notice("count_user_objects() was deprecated in favor of elgg_get_entities()", 1.8);
- $total = elgg_get_entities(array(
- 'type' => 'object',
- 'subtype' => $subtype,
- 'owner_guid' => $user_guid,
- 'count' => TRUE,
- 'container_guid' => $user_guid,
- 'created_time_lower' => $timelower,
- 'created_time_upper' => $timeupper
+ 'offset' => $offset
));
- return $total;
-}
-
-/**
- * Displays a list of user objects of a particular subtype, with navigation.
- *
- * @see elgg_view_entity_list
- *
- * @param int $user_guid The GUID of the user
- * @param string $subtype The object subtype
- * @param int $limit The number of entities to display on a page
- * @param bool $fullview Whether or not to display the full view (default: true)
- * @param bool $listtypetoggle Whether or not to allow gallery view (default: true)
- * @param bool $pagination Whether to display pagination (default: true)
- * @param int $timelower The earliest time the entity can have been created. Default: all
- * @param int $timeupper The latest time the entity can have been created. Default: all
- *
- * @return string The list in a form suitable to display
- * @deprecated 1.8 Use elgg_list_entities() instead
- */
-function list_user_objects($user_guid, $subtype = ELGG_ENTITIES_ANY_VALUE, $limit = 10,
-$fullview = true, $listtypetoggle = true, $pagination = true, $timelower = 0, $timeupper = 0) {
- elgg_deprecated_notice("list_user_objects() was deprecated in favor of elgg_list_entities()", 1.8);
-
- $offset = (int) get_input('offset');
- $limit = (int) $limit;
- $count = (int) count_user_objects($user_guid, $subtype, $timelower, $timeupper);
- $entities = get_user_objects($user_guid, $subtype, $limit, $offset, $timelower, $timeupper);
-
- return elgg_view_entity_list($entities, $count, $offset, $limit, $fullview, $listtypetoggle,
- $pagination);
}
/**
@@ -527,7 +426,7 @@ $fullview = true, $listtypetoggle = true, $pagination = true, $timelower = 0, $t
* @param int $timelower The earliest time the entity can have been created. Default: all
* @param int $timeupper The latest time the entity can have been created. Default: all
*
- * @return false|array An array of ElggObjects or false, depending on success
+ * @return ElggObject[]|false An array of ElggObjects or false, depending on success
*/
function get_user_friends_objects($user_guid, $subtype = ELGG_ENTITIES_ANY_VALUE, $limit = 10,
$offset = 0, $timelower = 0, $timeupper = 0) {
@@ -590,45 +489,32 @@ $timelower = 0, $timeupper = 0) {
* @param int $user_guid The GUID of the user
* @param string $subtype The object subtype
* @param int $limit The number of entities to display on a page
- * @param bool $fullview Whether or not to display the full view (default: true)
+ * @param bool $full_view Whether or not to display the full view (default: true)
* @param bool $listtypetoggle Whether or not to allow you to flip to gallery mode (default: true)
* @param bool $pagination Whether to display pagination (default: true)
* @param int $timelower The earliest time the entity can have been created. Default: all
* @param int $timeupper The latest time the entity can have been created. Default: all
*
- * @return string The list in a form suitable to display
+ * @return string
*/
-function list_user_friends_objects($user_guid, $subtype = "", $limit = 10, $fullview = true,
+function list_user_friends_objects($user_guid, $subtype = "", $limit = 10, $full_view = true,
$listtypetoggle = true, $pagination = true, $timelower = 0, $timeupper = 0) {
- $offset = (int) get_input('offset');
- $limit = (int) $limit;
- $count = (int) count_user_friends_objects($user_guid, $subtype, $timelower, $timeupper);
+ $offset = (int)get_input('offset');
+ $limit = (int)$limit;
+ $count = (int)count_user_friends_objects($user_guid, $subtype, $timelower, $timeupper);
$entities = get_user_friends_objects($user_guid, $subtype, $limit, $offset,
$timelower, $timeupper);
- return elgg_view_entity_list($entities, $count, $offset, $limit, $fullview,
- $listtypetoggle, $pagination);
-}
-
-/**
- * Get user objects by an array of metadata
- *
- * @param int $user_guid The GUID of the owning user
- * @param string $subtype Optionally, the subtype of objects
- * @param array $metadata An array of metadata
- * @param int $limit The number of results to return (default 10)
- * @param int $offset Indexing offset, if any
- *
- * @return false|array An array of ElggObjects or false, depending on success
- * @deprecated 1.8 Use elgg_get_entities_from_metadata() instead
- */
-function get_user_objects_by_metadata($user_guid, $subtype = "", $metadata = array(),
-$limit = 0, $offset = 0) {
- elgg_deprecated_notice("get_user_objects_by_metadata() was deprecated in favor of elgg_get_entities_from_metadata()", 1.8);
- return get_entities_from_metadata_multi($metadata, "object", $subtype, $user_guid,
- $limit, $offset);
+ return elgg_view_entity_list($entities, array(
+ 'count' => $count,
+ 'offset' => $offset,
+ 'limit' => $limit,
+ 'full_view' => $full_view,
+ 'list_type_toggle' => $listtypetoggle,
+ 'pagination' => $pagination,
+ ));
}
/**
@@ -667,26 +553,32 @@ function get_user($guid) {
function get_user_by_username($username) {
global $CONFIG, $USERNAME_TO_GUID_MAP_CACHE;
+ // Fixes #6052. Username is frequently sniffed from the path info, which,
+ // unlike $_GET, is not URL decoded. If the username was not URL encoded,
+ // this is harmless.
+ $username = rawurldecode($username);
+
$username = sanitise_string($username);
$access = get_access_sql_suffix('e');
// Caching
if ((isset($USERNAME_TO_GUID_MAP_CACHE[$username]))
- && (retrieve_cached_entity($USERNAME_TO_GUID_MAP_CACHE[$username]))) {
- return retrieve_cached_entity($USERNAME_TO_GUID_MAP_CACHE[$username]);
+ && (_elgg_retrieve_cached_entity($USERNAME_TO_GUID_MAP_CACHE[$username]))) {
+ return _elgg_retrieve_cached_entity($USERNAME_TO_GUID_MAP_CACHE[$username]);
}
$query = "SELECT e.* from {$CONFIG->dbprefix}users_entity u
join {$CONFIG->dbprefix}entities e on e.guid=u.guid
where u.username='$username' and $access ";
- $row = get_data_row($query);
- if ($row) {
- $USERNAME_TO_GUID_MAP_CACHE[$username] = $row->guid;
- return new ElggUser($row);
+ $entity = get_data_row($query, 'entity_row_to_elggstar');
+ if ($entity) {
+ $USERNAME_TO_GUID_MAP_CACHE[$username] = $entity->guid;
+ } else {
+ $entity = false;
}
- return false;
+ return $entity;
}
/**
@@ -705,30 +597,29 @@ function get_user_by_code($code) {
// Caching
if ((isset($CODE_TO_GUID_MAP_CACHE[$code]))
- && (retrieve_cached_entity($CODE_TO_GUID_MAP_CACHE[$code]))) {
+ && (_elgg_retrieve_cached_entity($CODE_TO_GUID_MAP_CACHE[$code]))) {
- return retrieve_cached_entity($CODE_TO_GUID_MAP_CACHE[$code]);
+ return _elgg_retrieve_cached_entity($CODE_TO_GUID_MAP_CACHE[$code]);
}
$query = "SELECT e.* from {$CONFIG->dbprefix}users_entity u
join {$CONFIG->dbprefix}entities e on e.guid=u.guid
where u.code='$code' and $access";
- $row = get_data_row($query);
- if ($row) {
- $CODE_TO_GUID_MAP_CACHE[$code] = $row->guid;
- return new ElggUser($row);
+ $entity = get_data_row($query, 'entity_row_to_elggstar');
+ if ($entity) {
+ $CODE_TO_GUID_MAP_CACHE[$code] = $entity->guid;
}
- return false;
+ return $entity;
}
/**
- * Get an array of users from their
+ * Get an array of users from an email address
*
* @param string $email Email address.
*
- * @return Array of users
+ * @return array
*/
function get_user_by_email($email) {
global $CONFIG;
@@ -745,103 +636,38 @@ function get_user_by_email($email) {
}
/**
- * Searches for a user based on a complete or partial name or username.
- *
- * @param string $criteria The partial or full name or username.
- * @param int $limit Limit of the search.
- * @param int $offset Offset.
- * @param string $order_by The order.
- * @param boolean $count Whether to return the count of results or just the results.
- *
- * @return mixed
- * @deprecated 1.7
- */
-function search_for_user($criteria, $limit = 10, $offset = 0, $order_by = "", $count = false) {
- elgg_deprecated_notice('search_for_user() was deprecated by new search.', 1.7);
- global $CONFIG;
-
- $criteria = sanitise_string($criteria);
- $limit = (int)$limit;
- $offset = (int)$offset;
- $order_by = sanitise_string($order_by);
-
- $access = get_access_sql_suffix("e");
-
- if ($order_by == "") {
- $order_by = "e.time_created desc";
- }
-
- if ($count) {
- $query = "SELECT count(e.guid) as total ";
- } else {
- $query = "SELECT e.* ";
- }
- $query .= "from {$CONFIG->dbprefix}entities e
- join {$CONFIG->dbprefix}users_entity u on e.guid=u.guid where ";
-
- $query .= "(u.name like \"%{$criteria}%\" or u.username like \"%{$criteria}%\")";
- $query .= " and $access";
-
- if (!$count) {
- $query .= " order by $order_by limit $offset, $limit";
- return get_data($query, "entity_row_to_elggstar");
- } else {
- if ($count = get_data_row($query)) {
- return $count->total;
- }
- }
- return false;
-}
-
-/**
- * Displays a list of user objects that have been searched for.
- *
- * @see elgg_view_entity_list
- *
- * @param string $tag Search criteria
- * @param int $limit The number of entities to display on a page
- *
- * @return string The list in a form suitable to display
- *
- * @deprecated 1.7
- */
-function list_user_search($tag, $limit = 10) {
- elgg_deprecated_notice('list_user_search() deprecated by new search', 1.7);
- $offset = (int) get_input('offset');
- $limit = (int) $limit;
- $count = (int) search_for_user($tag, 10, 0, '', true);
- $entities = search_for_user($tag, $limit, $offset);
-
- return elgg_view_entity_list($entities, $count, $offset, $limit, $fullview, false);
-}
-
-/**
* A function that returns a maximum of $limit users who have done something within the last
- * $seconds seconds.
+ * $seconds seconds or the total count of active users.
*
- * @param int $seconds Number of seconds (default 600 = 10min)
- * @param int $limit Limit, default 10.
- * @param int $offset Offset, defualt 0.
+ * @param int $seconds Number of seconds (default 600 = 10min)
+ * @param int $limit Limit, default 10.
+ * @param int $offset Offset, default 0.
+ * @param bool $count Count, default false.
*
* @return mixed
*/
-function find_active_users($seconds = 600, $limit = 10, $offset = 0) {
- global $CONFIG;
-
+function find_active_users($seconds = 600, $limit = 10, $offset = 0, $count = false) {
$seconds = (int)$seconds;
$limit = (int)$limit;
$offset = (int)$offset;
+ $params = array('seconds' => $seconds, 'limit' => $limit, 'offset' => $offset, 'count' => $count);
+ $data = elgg_trigger_plugin_hook('find_active_users', 'system', $params, NULL);
+ if (!$data) {
+ global $CONFIG;
- $time = time() - $seconds;
-
- $access = get_access_sql_suffix("e");
-
- $query = "SELECT distinct e.* from {$CONFIG->dbprefix}entities e
- join {$CONFIG->dbprefix}users_entity u on e.guid = u.guid
- where u.last_action >= {$time} and $access
- order by u.last_action desc limit {$offset}, {$limit}";
+ $time = time() - $seconds;
- return get_data($query, "entity_row_to_elggstar");
+ $data = elgg_get_entities(array(
+ 'type' => 'user',
+ 'limit' => $limit,
+ 'offset' => $offset,
+ 'count' => $count,
+ 'joins' => array("join {$CONFIG->dbprefix}users_entity u on e.guid = u.guid"),
+ 'wheres' => array("u.last_action >= {$time}"),
+ 'order_by' => "u.last_action desc"
+ ));
+ }
+ return $data;
}
/**
@@ -852,25 +678,22 @@ function find_active_users($seconds = 600, $limit = 10, $offset = 0) {
* @return bool
*/
function send_new_password_request($user_guid) {
- global $CONFIG;
-
$user_guid = (int)$user_guid;
$user = get_entity($user_guid);
- if ($user) {
+ if ($user instanceof ElggUser) {
// generate code
$code = generate_random_cleartext_password();
- //create_metadata($user_guid, 'conf_code', $code, '', 0, ACCESS_PRIVATE);
- set_private_setting($user_guid, 'passwd_conf_code', $code);
+ $user->setPrivateSetting('passwd_conf_code', $code);
// generate link
- $link = $CONFIG->site->url . "pg/resetpassword?u=$user_guid&c=$code";
+ $link = elgg_get_site_url() . "resetpassword?u=$user_guid&c=$code";
// generate email
$email = elgg_echo('email:resetreq:body', array($user->name, $_SERVER['REMOTE_ADDR'], $link));
- return notify_user($user->guid, $CONFIG->site->guid,
- elgg_echo('email:resetreq:subject'), $email, NULL, 'email');
+ return notify_user($user->guid, elgg_get_site_entity()->guid,
+ elgg_echo('email:resetreq:subject'), $email, array(), 'email');
}
return false;
@@ -887,19 +710,18 @@ function send_new_password_request($user_guid) {
* @return bool
*/
function force_user_password_reset($user_guid, $password) {
- global $CONFIG;
-
$user = get_entity($user_guid);
+ if ($user instanceof ElggUser) {
+ $ia = elgg_set_ignore_access();
- if ($user) {
- $salt = generate_random_cleartext_password(); // Reset the salt
- $user->salt = $salt;
+ $user->salt = generate_random_cleartext_password();
+ $hash = generate_user_password($user, $password);
+ $user->password = $hash;
+ $result = (bool)$user->save();
- $hash = generate_user_password($user, $password);
+ elgg_set_ignore_access($ia);
- $query = "UPDATE {$CONFIG->dbprefix}users_entity
- set password='$hash', salt='$salt' where guid=$user_guid";
- return update_data($query);
+ return $result;
}
return false;
@@ -919,18 +741,22 @@ function execute_new_password_request($user_guid, $conf_code) {
$user_guid = (int)$user_guid;
$user = get_entity($user_guid);
- $saved_code = get_private_setting($user_guid, 'passwd_conf_code');
-
- if ($user && $saved_code && $saved_code == $conf_code) {
- $password = generate_random_cleartext_password();
+ if ($user instanceof ElggUser) {
+ $saved_code = $user->getPrivateSetting('passwd_conf_code');
- if (force_user_password_reset($user_guid, $password)) {
- remove_private_setting($user_guid, 'passwd_conf_code');
+ if ($saved_code && $saved_code == $conf_code) {
+ $password = generate_random_cleartext_password();
- $email = elgg_echo('email:resetpassword:body', array($user->name, $password));
+ if (force_user_password_reset($user_guid, $password)) {
+ remove_private_setting($user_guid, 'passwd_conf_code');
+ // clean the logins failures
+ reset_login_failure_count($user_guid);
+
+ $email = elgg_echo('email:resetpassword:body', array($user->name, $password));
- return notify_user($user->guid, $CONFIG->site->guid,
- elgg_echo('email:resetpassword:subject'), $email, NULL, 'email');
+ return notify_user($user->guid, $CONFIG->site->guid,
+ elgg_echo('email:resetpassword:subject'), $email, array(), 'email');
+ }
}
}
@@ -938,54 +764,6 @@ function execute_new_password_request($user_guid, $conf_code) {
}
/**
- * Handles pages for password reset requests.
- *
- * @param array $page Pages array
- *
- * @return void
- */
-function elgg_user_resetpassword_page_handler($page) {
- global $CONFIG;
-
- $user_guid = get_input('u');
- $code = get_input('c');
-
- $user = get_entity($user_guid);
-
- // don't check code here to avoid automated attacks
- if (!$user instanceof ElggUser) {
- register_error(elgg_echo('user:passwordreset:unknown_user'));
- forward();
- }
-
- $form_body = elgg_echo('user:resetpassword:reset_password_confirm') . "<br />";
-
- $form_body .= elgg_view('input/hidden', array(
- 'internalname' => 'u',
- 'value' => $user_guid
- ));
-
- $form_body .= elgg_view('input/hidden', array(
- 'internalname' => 'c',
- 'value' => $code
- ));
-
- $form_body .= elgg_view('input/submit', array(
- 'value' => elgg_echo('resetpassword')
- ));
-
- $form .= elgg_view('input/form', array(
- 'body' => $form_body,
- 'action' => 'action/user/passwordreset'
- ));
-
- $title = elgg_echo('resetpassword');
- $content = elgg_view_title(elgg_echo('resetpassword')) . $form;
-
- echo elgg_view_page($title, elgg_view_layout('one_column', array('content' => $content)));
-}
-
-/**
* Simple function that will generate a random clear text password
* suitable for feeding into generate_user_password().
*
@@ -1028,7 +806,14 @@ function validate_username($username) {
}
if (strlen($username) < $CONFIG->minusername) {
- throw new RegistrationException(elgg_echo('registration:usernametooshort'));
+ $msg = elgg_echo('registration:usernametooshort', array($CONFIG->minusername));
+ throw new RegistrationException($msg);
+ }
+
+ // username in the database has a limit of 128 characters
+ if (strlen($username) > 128) {
+ $msg = elgg_echo('registration:usernametoolong', array(128));
+ throw new RegistrationException($msg);
}
// Blacklist for bad characters (partially nicked from mediawiki)
@@ -1044,6 +829,7 @@ function validate_username($username) {
if (
preg_match($blacklist, $username)
) {
+ // @todo error message needs work
throw new RegistrationException(elgg_echo('registration:invalidchars'));
}
@@ -1054,6 +840,7 @@ function validate_username($username) {
for ($n = 0; $n < strlen($blacklist2); $n++) {
if (strpos($username, $blacklist2[$n]) !== false) {
$msg = elgg_echo('registration:invalidchars', array($blacklist2[$n], $blacklist2));
+ $msg = htmlspecialchars($msg, ENT_QUOTES, 'UTF-8');
throw new RegistrationException($msg);
}
}
@@ -1074,8 +861,13 @@ function validate_username($username) {
function validate_password($password) {
global $CONFIG;
+ if (!isset($CONFIG->min_password_length)) {
+ $CONFIG->min_password_length = 6;
+ }
+
if (strlen($password) < $CONFIG->min_password_length) {
- throw new RegistrationException(elgg_echo('registration:passwordtooshort'));
+ $msg = elgg_echo('registration:passwordtooshort', array($CONFIG->min_password_length));
+ throw new RegistrationException($msg);
}
$result = true;
@@ -1115,13 +907,11 @@ function validate_email_address($address) {
* @param string $invitecode An invite code from a friend
*
* @return int|false The new user's GUID; false on failure
+ * @throws RegistrationException
*/
function register_user($username, $password, $name, $email,
$allow_multiple_emails = false, $friend_guid = 0, $invitecode = '') {
- // Load the configuration
- global $CONFIG;
-
// no need to trim password.
$username = trim($username);
$name = trim(strip_tags($name));
@@ -1171,6 +961,7 @@ $allow_multiple_emails = false, $friend_guid = 0, $invitecode = '') {
$user->password = generate_user_password($user, $password);
$user->owner_guid = 0; // Users aren't owned by anyone, even if they are admin created.
$user->container_guid = 0; // Users aren't contained by anyone, even if they are admin created.
+ $user->language = get_current_language();
$user->save();
// If $friend_guid has been set, make mutual friends
@@ -1181,28 +972,12 @@ $allow_multiple_emails = false, $friend_guid = 0, $invitecode = '') {
$friend_user->addFriend($user->guid);
// @todo Should this be in addFriend?
- add_to_river('friends/river/create', 'friend', $user->getGUID(), $friend_guid);
- add_to_river('friends/river/create', 'friend', $friend_guid, $user->getGUID());
+ add_to_river('river/relationship/friend/create', 'friend', $user->getGUID(), $friend_guid);
+ add_to_river('river/relationship/friend/create', 'friend', $friend_guid, $user->getGUID());
}
}
}
- // Check to see if we've registered the first admin yet.
- // If not, this is the first admin user!
- $have_admin = datalist_get('admin_registered');
-
- if (!$have_admin) {
- // makeAdmin() calls ElggUser::canEdit().
- // right now no one is logged in and so canEdit() returns false.
- // instead of making an override for this one instance that is called on every
- // canEdit() call, just override the access system to set the first admin user.
- // @todo remove this when Cash merges in the new installer
- $ia = elgg_set_ignore_access(TRUE);
- $user->makeAdmin();
- datalist_set('admin_registered', 1);
- elgg_set_ignore_access($ia);
- }
-
// Turn on email notifications by default
set_user_notification_setting($user->getGUID(), 'email', true);
@@ -1248,12 +1023,15 @@ function elgg_set_user_validation_status($user_guid, $status, $method = '') {
* @since 1.8.0
*/
function elgg_get_user_validation_status($user_guid) {
- $md = get_metadata_byname($user_guid, 'validated');
+ $md = elgg_get_metadata(array(
+ 'guid' => $user_guid,
+ 'metadata_name' => 'validated'
+ ));
if ($md == false) {
- return;
+ return null;
}
- if ($md->value) {
+ if ($md[0]->value) {
return true;
}
@@ -1261,89 +1039,52 @@ function elgg_get_user_validation_status($user_guid) {
}
/**
- * Set the validation status for a user.
- *
- * @param bool $status Validated (true) or false
- * @param string $method Optional method to say how a user was validated
- * @return bool
- * @deprecated 1.8
- */
-function set_user_validation_status($user_guid, $status, $method = '') {
- elgg_deprecated_notice("set_user_validation_status() is deprecated", 1.8);
- return elgg_set_user_validation_status($user_guid, $status, $method);
-}
-
-/**
- * Trigger an event requesting that a user guid be validated somehow - either by email address or some other way.
- *
- * This function invalidates any existing validation value.
- *
- * @param int $user_guid User's GUID
- * @deprecated 1.8
- */
-function request_user_validation($user_guid) {
- elgg_deprecated_notice("request_user_validation() is deprecated.
- Plugins should register for the 'register, user' plugin hook", 1.8);
- $user = get_entity($user_guid);
-
- if (($user) && ($user instanceof ElggUser)) {
- // invalidate any existing validations
- set_user_validation_status($user_guid, false);
-
- // request validation
- trigger_elgg_event('validate', 'user', $user);
- }
-}
-
-/**
* Adds collection submenu items
*
* @return void
+ * @access private
*/
function collections_submenu_items() {
- global $CONFIG;
- $user = get_loggedin_user();
- add_submenu_item(elgg_echo('friends:collections'),
- $CONFIG->wwwroot . "pg/collections/" . $user->username);
+ $user = elgg_get_logged_in_user_entity();
- add_submenu_item(elgg_echo('friends:collections:add'), $CONFIG->wwwroot . "pg/collections/add");
+ elgg_register_menu_item('page', array(
+ 'name' => 'friends:view:collections',
+ 'text' => elgg_echo('friends:collections'),
+ 'href' => "collections/$user->username",
+ ));
}
/**
- * Page handler for friends
+ * Page handler for friends-related pages
*
- * @param array $page_elements Page elements
+ * @param array $segments URL segments
+ * @param string $handler The first segment in URL used for routing
*
- * @return void
+ * @return bool
+ * @access private
*/
-function friends_page_handler($page_elements) {
- if (isset($page_elements[0]) && $user = get_user_by_username($page_elements[0])) {
- set_page_owner($user->getGUID());
+function friends_page_handler($segments, $handler) {
+ elgg_set_context('friends');
+
+ if (isset($segments[0]) && $user = get_user_by_username($segments[0])) {
+ elgg_set_page_owner_guid($user->getGUID());
}
- if (get_loggedin_userid() == elgg_get_page_owner_guid()) {
- // disabled for now as we no longer use friends collections (replaced by shared access)
- // collections_submenu_items();
+ if (elgg_get_logged_in_user_guid() == elgg_get_page_owner_guid()) {
+ collections_submenu_items();
}
- require_once(dirname(dirname(dirname(__FILE__))) . "/pages/friends/index.php");
-}
-/**
- * Page handler for friends of
- *
- * @param array $page_elements Page elements
- *
- * @return void
- */
-function friends_of_page_handler($page_elements) {
- if (isset($page_elements[0]) && $user = get_user_by_username($page_elements[0])) {
- set_page_owner($user->getGUID());
+ switch ($handler) {
+ case 'friends':
+ require_once(dirname(dirname(dirname(__FILE__))) . "/pages/friends/index.php");
+ break;
+ case 'friendsof':
+ require_once(dirname(dirname(dirname(__FILE__))) . "/pages/friends/of.php");
+ break;
+ default:
+ return false;
}
- if (get_loggedin_userid() == elgg_get_page_owner_guid()) {
- // disabled for now as we no longer use friends collections (replaced by shared access)
- // collections_submenu_items();
- }
- require_once(dirname(dirname(dirname(__FILE__))) . "/pages/friends/of.php");
+ return true;
}
/**
@@ -1351,62 +1092,63 @@ function friends_of_page_handler($page_elements) {
*
* @param array $page_elements Page elements
*
- * @return void
+ * @return bool
+ * @access private
*/
function collections_page_handler($page_elements) {
+ gatekeeper();
+ elgg_set_context('friends');
+ $base = elgg_get_config('path');
if (isset($page_elements[0])) {
if ($page_elements[0] == "add") {
- set_page_owner(get_loggedin_userid());
+ elgg_set_page_owner_guid(elgg_get_logged_in_user_guid());
collections_submenu_items();
- require_once(dirname(dirname(dirname(__FILE__))) . "/pages/friends/add.php");
+ require_once "{$base}pages/friends/collections/add.php";
+ return true;
} else {
- if ($user = get_user_by_username($page_elements[0])) {
- set_page_owner($user->getGUID());
- if (get_loggedin_userid() == elgg_get_page_owner_guid()) {
+ $user = get_user_by_username($page_elements[0]);
+ if ($user) {
+ elgg_set_page_owner_guid($user->getGUID());
+ if (elgg_get_logged_in_user_guid() == elgg_get_page_owner_guid()) {
collections_submenu_items();
}
- require_once(dirname(dirname(dirname(__FILE__))) . "/pages/friends/collections.php");
+ require_once "{$base}pages/friends/collections/view.php";
+ return true;
}
}
}
+ return false;
}
/**
- * Page handler for dashboard
- *
- * @param array $page_elements Page elements
- *
- * @return void
- */
-function dashboard_page_handler($page_elements) {
- require_once(dirname(dirname(dirname(__FILE__))) . "/pages/dashboard/index.php");
-}
-
-
-/**
- * Page handler for registration
- *
- * @param array $page_elements Page elements
- *
- * @return void
- */
-function registration_page_handler($page_elements) {
- require_once(dirname(dirname(dirname(__FILE__))) . "/pages/account/register.php");
-}
-
-/**
- * Display a login box.
+ * Page handler for account related pages
*
- * This is a fallback for non-JS users who click on the
- * dropdown login link.
+ * @param array $page_elements Page elements
+ * @param string $handler The handler string
*
- * @return void
- * @todo finish
+ * @return bool
+ * @access private
*/
-function elgg_user_login_page_handler() {
- $login_box = elgg_view('account/login_box');
- $content = elgg_view_layout('one_column', array('content' => $login_box));
- echo elgg_view_page(elgg_echo('login'), $content);
+function elgg_user_account_page_handler($page_elements, $handler) {
+
+ $base_dir = elgg_get_root_path() . 'pages/account';
+ switch ($handler) {
+ case 'login':
+ require_once("$base_dir/login.php");
+ break;
+ case 'forgotpassword':
+ require_once("$base_dir/forgotten_password.php");
+ break;
+ case 'resetpassword':
+ require_once("$base_dir/reset_password.php");
+ break;
+ case 'register':
+ require_once("$base_dir/register.php");
+ break;
+ default:
+ return false;
+ }
+ return true;
}
/**
@@ -1433,7 +1175,7 @@ function set_last_action($user_guid) {
*
* @param int $user_guid The user GUID
*
- * @return boid
+ * @return void
*/
function set_last_login($user_guid) {
$user_guid = (int) $user_guid;
@@ -1453,168 +1195,409 @@ function set_last_login($user_guid) {
* @param string $object_type user
* @param ElggUser $object User object
*
- * @return bool
+ * @return void
+ * @access private
*/
function user_create_hook_add_site_relationship($event, $object_type, $object) {
- global $CONFIG;
-
- add_entity_relationship($object->getGUID(), 'member_of_site', $CONFIG->site->getGUID());
+ add_entity_relationship($object->getGUID(), 'member_of_site', elgg_get_site_entity()->guid);
}
/**
- * Sets up user-related menu items
+ * Serves the user's avatar
*
- * @return void
+ * @param string $hook
+ * @param string $entity_type
+ * @param string $returnvalue
+ * @param array $params
+ * @return string
+ * @access private
*/
-function users_pagesetup() {
- // Load config
- global $CONFIG;
+function user_avatar_hook($hook, $entity_type, $returnvalue, $params) {
+ $user = $params['entity'];
+ $size = $params['size'];
+
+ if (isset($user->icontime)) {
+ return "avatar/view/$user->username/$size/$user->icontime";
+ } else {
+ return "_graphics/icons/user/default{$size}.gif";
+ }
+}
+
+/**
+ * Setup the default user hover menu
+ * @access private
+ */
+function elgg_user_hover_menu($hook, $type, $return, $params) {
+ $user = $params['entity'];
+ /* @var ElggUser $user */
+
+ if (elgg_is_logged_in()) {
+ if (elgg_get_logged_in_user_guid() != $user->guid) {
+ if ($user->isFriend()) {
+ $url = "action/friends/remove?friend={$user->guid}";
+ $text = elgg_echo('friend:remove');
+ $name = 'remove_friend';
+ } else {
+ $url = "action/friends/add?friend={$user->guid}";
+ $text = elgg_echo('friend:add');
+ $name = 'add_friend';
+ }
+ $url = elgg_add_action_tokens_to_url($url);
+ $item = new ElggMenuItem($name, $text, $url);
+ $item->setSection('action');
+ $return[] = $item;
+ } else {
+ $url = "profile/$user->username/edit";
+ $item = new ElggMenuItem('profile:edit', elgg_echo('profile:edit'), $url);
+ $item->setSection('action');
+ $return[] = $item;
+
+ $url = "avatar/edit/$user->username";
+ $item = new ElggMenuItem('avatar:edit', elgg_echo('avatar:edit'), $url);
+ $item->setSection('action');
+ $return[] = $item;
+ }
+ }
- //add submenu options
- if (elgg_get_context() == "friends" || elgg_get_context() == "friendsof") {
- // || elgg_get_context() == "collections") { - disabled as we no longer use collections
+ // prevent admins from banning or deleting themselves
+ if (elgg_get_logged_in_user_guid() == $user->guid) {
+ return $return;
+ }
- add_submenu_item(elgg_echo('friends'), $CONFIG->wwwroot . "pg/friends/"
- . elgg_get_page_owner()->username);
+ if (elgg_is_admin_logged_in()) {
+ $actions = array();
+ if (!$user->isBanned()) {
+ $actions[] = 'ban';
+ } else {
+ $actions[] = 'unban';
+ }
+ $actions[] = 'delete';
+ $actions[] = 'resetpassword';
+ if (!$user->isAdmin()) {
+ $actions[] = 'makeadmin';
+ } else {
+ $actions[] = 'removeadmin';
+ }
- add_submenu_item(elgg_echo('friends:of'), $CONFIG->wwwroot . "pg/friendsof/"
- . elgg_get_page_owner()->username);
+ foreach ($actions as $action) {
+ $url = "action/admin/user/$action?guid={$user->guid}";
+ $url = elgg_add_action_tokens_to_url($url);
+ $item = new ElggMenuItem($action, elgg_echo($action), $url);
+ $item->setSection('admin');
+ $item->setLinkClass('elgg-requires-confirmation');
- if (is_plugin_enabled('members')) {
- add_submenu_item(elgg_echo('members:browse'), $CONFIG->wwwroot . "mod/members/index.php");
+ $return[] = $item;
}
+
+ $url = "profile/$user->username/edit";
+ $item = new ElggMenuItem('profile:edit', elgg_echo('profile:edit'), $url);
+ $item->setSection('admin');
+ $return[] = $item;
+
+ $url = "settings/user/$user->username";
+ $item = new ElggMenuItem('settings:edit', elgg_echo('settings:edit'), $url);
+ $item->setSection('admin');
+ $return[] = $item;
}
+
+ return $return;
}
/**
- * Users initialisation function, which establishes the page handler
+ * Setup the menu shown with an entity
*
- * @return void
+ * @param string $hook
+ * @param string $type
+ * @param array $return
+ * @param array $params
+ * @return array
+ *
+ * @access private
*/
-function users_init() {
- // Load config
- global $CONFIG;
+function elgg_users_setup_entity_menu($hook, $type, $return, $params) {
+ if (elgg_in_context('widgets')) {
+ return $return;
+ }
- // add Friends to tools menu - if profile mod is running
- // now added to toolbar
- /*
- if ( isloggedin() && is_plugin_enabled('profile') ) {
- $user = get_loggedin_user();
- add_menu(elgg_echo('friends'), $CONFIG->wwwroot .
- "pg/friends/" . $user->username, array(), 'core:friends');
+ $entity = $params['entity'];
+ if (!elgg_instanceof($entity, 'user')) {
+ return $return;
+ }
+ /* @var ElggUser $entity */
+
+ if ($entity->isBanned()) {
+ $banned = elgg_echo('banned');
+ $options = array(
+ 'name' => 'banned',
+ 'text' => "<span>$banned</span>",
+ 'href' => false,
+ 'priority' => 0,
+ );
+ $return = array(ElggMenuItem::factory($options));
+ } else {
+ $return = array();
+ if (isset($entity->location)) {
+ $location = htmlspecialchars($entity->location, ENT_QUOTES, 'UTF-8', false);
+ $options = array(
+ 'name' => 'location',
+ 'text' => "<span>$location</span>",
+ 'href' => false,
+ 'priority' => 150,
+ );
+ $return[] = ElggMenuItem::factory($options);
+ }
}
- */
- register_page_handler('friends', 'friends_page_handler');
- register_page_handler('friendsof', 'friends_of_page_handler');
- register_page_handler('dashboard', 'dashboard_page_handler');
- register_page_handler('register', 'registration_page_handler');
- register_page_handler('resetpassword', 'elgg_user_resetpassword_page_handler');
- register_page_handler('login', 'elgg_user_login_page_handler');
+ return $return;
+}
- register_action("register", true);
- register_action("useradd", true);
- register_action("friends/add");
- register_action("friends/remove");
- //register_action('friends/addcollection');
- //register_action('friends/deletecollection');
- //register_action('friends/editcollection');
- //register_action("user/spotlight");
+/**
+ * This function loads a set of default fields into the profile, then triggers a hook letting other plugins to edit
+ * add and delete fields.
+ *
+ * Note: This is a secondary system:init call and is run at a super low priority to guarantee that it is called after all
+ * other plugins have initialised.
+ * @access private
+ */
+function elgg_profile_fields_setup() {
+ global $CONFIG;
- register_action("usersettings/save");
+ $profile_defaults = array (
+ 'description' => 'longtext',
+ 'briefdescription' => 'text',
+ 'location' => 'location',
+ 'interests' => 'tags',
+ 'skills' => 'tags',
+ 'contactemail' => 'email',
+ 'phone' => 'text',
+ 'mobile' => 'text',
+ 'website' => 'url',
+ 'twitter' => 'text'
+ );
- register_action("user/passwordreset", TRUE);
- register_action("user/requestnewpassword", TRUE);
+ $loaded_defaults = array();
+ if ($fieldlist = elgg_get_config('profile_custom_fields')) {
+ if (!empty($fieldlist)) {
+ $fieldlistarray = explode(',', $fieldlist);
+ foreach ($fieldlistarray as $listitem) {
+ if ($translation = elgg_get_config("admin_defined_profile_{$listitem}")) {
+ $type = elgg_get_config("admin_defined_profile_type_{$listitem}");
+ $loaded_defaults["admin_defined_profile_{$listitem}"] = $type;
+ add_translation(get_current_language(), array("profile:admin_defined_profile_{$listitem}" => $translation));
+ }
+ }
+ }
+ }
- // User name change
- extend_elgg_settings_page('user/settings/name', 'usersettings/user', 1);
- //register_action("user/name");
+ if (count($loaded_defaults)) {
+ $CONFIG->profile_using_custom = true;
+ $profile_defaults = $loaded_defaults;
+ }
- // User password change
- extend_elgg_settings_page('user/settings/password', 'usersettings/user', 1);
- //register_action("user/password");
+ $CONFIG->profile_fields = elgg_trigger_plugin_hook('profile:fields', 'profile', NULL, $profile_defaults);
- // Add email settings
- extend_elgg_settings_page('user/settings/email', 'usersettings/user', 1);
- //register_action("email/save");
+ // register any tag metadata names
+ foreach ($CONFIG->profile_fields as $name => $type) {
+ if ($type == 'tags' || $type == 'location' || $type == 'tag') {
+ elgg_register_tag_metadata_name($name);
+ // register a tag name translation
+ add_translation(get_current_language(), array("tag_names:$name" => elgg_echo("profile:$name")));
+ }
+ }
+}
- // Add language settings
- extend_elgg_settings_page('user/settings/language', 'usersettings/user', 1);
+/**
+ * Avatar page handler
+ *
+ * /avatar/edit/<username>
+ * /avatar/view/<username>/<size>/<icontime>
+ *
+ * @param array $page
+ * @return bool
+ * @access private
+ */
+function elgg_avatar_page_handler($page) {
+ global $CONFIG;
- // Add default access settings
- extend_elgg_settings_page('user/settings/default_access', 'usersettings/user', 1);
+ $user = get_user_by_username($page[1]);
+ if ($user) {
+ elgg_set_page_owner_guid($user->getGUID());
+ }
- //register_action("user/language");
+ if ($page[0] == 'edit') {
+ require_once("{$CONFIG->path}pages/avatar/edit.php");
+ return true;
+ } else {
+ set_input('size', $page[2]);
+ require_once("{$CONFIG->path}pages/avatar/view.php");
+ return true;
+ }
+ return false;
+}
- // Register the user type
- register_entity_type('user', '');
+/**
+ * Profile page handler
+ *
+ * @param array $page
+ * @return bool
+ * @access private
+ */
+function elgg_profile_page_handler($page) {
+ global $CONFIG;
- elgg_register_plugin_hook_handler('usersettings:save', 'user', 'users_settings_save');
+ $user = get_user_by_username($page[0]);
+ elgg_set_page_owner_guid($user->guid);
- elgg_register_event_handler('create', 'user', 'user_create_hook_add_site_relationship');
+ if ($page[1] == 'edit') {
+ require_once("{$CONFIG->path}pages/profile/edit.php");
+ return true;
+ }
+ return false;
}
/**
- * Returns a formatted list of users suitable for injecting into search.
- *
- * @deprecated 1.7
- *
- * @param string $hook Hook name
- * @param string $user User?
- * @param mixed $returnvalue Previous hook's return value
- * @param mixed $tag Tag to search against
+ * Sets up user-related menu items
*
* @return void
+ * @access private
*/
-function search_list_users_by_name($hook, $user, $returnvalue, $tag) {
- elgg_deprecated_notice('search_list_users_by_name() was deprecated by new search', 1.7);
- // Change this to set the number of users that display on the search page
- $threshold = 4;
+function users_pagesetup() {
+
+ $owner = elgg_get_page_owner_entity();
+ $viewer = elgg_get_logged_in_user_entity();
+
+ if ($owner) {
+ $params = array(
+ 'name' => 'friends',
+ 'text' => elgg_echo('friends'),
+ 'href' => 'friends/' . $owner->username,
+ 'contexts' => array('friends')
+ );
+ elgg_register_menu_item('page', $params);
+
+ $params = array(
+ 'name' => 'friends:of',
+ 'text' => elgg_echo('friends:of'),
+ 'href' => 'friendsof/' . $owner->username,
+ 'contexts' => array('friends')
+ );
+ elgg_register_menu_item('page', $params);
+
+ elgg_register_menu_item('page', array(
+ 'name' => 'edit_avatar',
+ 'href' => "avatar/edit/{$owner->username}",
+ 'text' => elgg_echo('avatar:edit'),
+ 'contexts' => array('profile_edit'),
+ ));
- $object = get_input('object');
+ elgg_register_menu_item('page', array(
+ 'name' => 'edit_profile',
+ 'href' => "profile/{$owner->username}/edit",
+ 'text' => elgg_echo('profile:edit'),
+ 'contexts' => array('profile_edit'),
+ ));
+ }
- if (!get_input('offset') && (empty($object) || $object == 'user')) {
- if ($users = search_for_user($tag, $threshold)) {
- $countusers = search_for_user($tag, 0, 0, "", true);
+ // topbar
+ if ($viewer) {
+ elgg_register_menu_item('topbar', array(
+ 'name' => 'profile',
+ 'href' => $viewer->getURL(),
+ 'text' => elgg_view('output/img', array(
+ 'src' => $viewer->getIconURL('topbar'),
+ 'alt' => $viewer->name,
+ 'title' => elgg_echo('profile'),
+ 'class' => 'elgg-border-plain elgg-transition',
+ )),
+ 'priority' => 100,
+ 'link_class' => 'elgg-topbar-avatar',
+ ));
- $return = elgg_view('user/search/startblurb', array('count' => $countusers, 'tag' => $tag));
- foreach ($users as $user) {
- $return .= elgg_view_entity($user);
- }
+ elgg_register_menu_item('topbar', array(
+ 'name' => 'friends',
+ 'href' => "friends/{$viewer->username}",
+ 'text' => elgg_view_icon('users'),
+ 'title' => elgg_echo('friends'),
+ 'priority' => 300,
+ ));
- $vars = array('count' => $countusers, 'threshold' => $threshold, 'tag' => $tag);
- $return .= elgg_view('user/search/finishblurb', $vars);
- return $return;
+ elgg_register_menu_item('topbar', array(
+ 'name' => 'usersettings',
+ 'href' => "settings/user/{$viewer->username}",
+ 'text' => elgg_view_icon('settings') . elgg_echo('settings'),
+ 'priority' => 500,
+ 'section' => 'alt',
+ ));
- }
+ elgg_register_menu_item('topbar', array(
+ 'name' => 'logout',
+ 'href' => "action/logout",
+ 'text' => elgg_echo('logout'),
+ 'is_action' => TRUE,
+ 'priority' => 1000,
+ 'section' => 'alt',
+ ));
}
}
/**
- * Saves user settings by directly including actions.
- *
- * @todo this is dirty.
+ * Users initialisation function, which establishes the page handler
*
* @return void
+ * @access private
*/
-function users_settings_save() {
- global $CONFIG;
- include($CONFIG->path . "actions/user/name.php");
- include($CONFIG->path . "actions/user/password.php");
- include($CONFIG->path . "actions/email/save.php");
- include($CONFIG->path . "actions/user/language.php");
- include($CONFIG->path . "actions/user/default_access.php");
+function users_init() {
+
+ elgg_register_page_handler('friends', 'friends_page_handler');
+ elgg_register_page_handler('friendsof', 'friends_page_handler');
+ elgg_register_page_handler('register', 'elgg_user_account_page_handler');
+ elgg_register_page_handler('forgotpassword', 'elgg_user_account_page_handler');
+ elgg_register_page_handler('resetpassword', 'elgg_user_account_page_handler');
+ elgg_register_page_handler('login', 'elgg_user_account_page_handler');
+ elgg_register_page_handler('avatar', 'elgg_avatar_page_handler');
+ elgg_register_page_handler('profile', 'elgg_profile_page_handler');
+ elgg_register_page_handler('collections', 'collections_page_handler');
+
+ elgg_register_plugin_hook_handler('register', 'menu:user_hover', 'elgg_user_hover_menu');
+
+ elgg_register_action('register', '', 'public');
+ elgg_register_action('useradd', '', 'admin');
+ elgg_register_action('friends/add');
+ elgg_register_action('friends/remove');
+ elgg_register_action('avatar/upload');
+ elgg_register_action('avatar/crop');
+ elgg_register_action('avatar/remove');
+ elgg_register_action('profile/edit');
+
+ elgg_register_action('friends/collections/add');
+ elgg_register_action('friends/collections/delete');
+ elgg_register_action('friends/collections/edit');
+
+ elgg_register_plugin_hook_handler('entity:icon:url', 'user', 'user_avatar_hook');
+
+ elgg_register_action('user/passwordreset', '', 'public');
+ elgg_register_action('user/requestnewpassword', '', 'public');
+
+ elgg_register_widget_type('friends', elgg_echo('friends'), elgg_echo('friends:widget:description'));
+
+ // Register the user type
+ elgg_register_entity_type('user', '');
+
+ elgg_register_plugin_hook_handler('register', 'menu:entity', 'elgg_users_setup_entity_menu', 501);
+
+ elgg_register_event_handler('create', 'user', 'user_create_hook_add_site_relationship');
}
/**
* Runs unit tests for ElggObject
*
- * @param sting $hook unit_test
+ * @param string $hook unit_test
* @param string $type system
* @param mixed $value Array of tests
* @param mixed $params Params
*
* @return array
+ * @access private
*/
function users_test($hook, $type, $value, $params) {
global $CONFIG;
@@ -1623,5 +1606,6 @@ function users_test($hook, $type, $value, $params) {
}
elgg_register_event_handler('init', 'system', 'users_init', 0);
+elgg_register_event_handler('init', 'system', 'elgg_profile_fields_setup', 10000); // Ensure this runs after other plugins
elgg_register_event_handler('pagesetup', 'system', 'users_pagesetup', 0);
-elgg_register_plugin_hook_handler('unit_test', 'system', 'users_test'); \ No newline at end of file
+elgg_register_plugin_hook_handler('unit_test', 'system', 'users_test');
diff --git a/engine/lib/usersettings.php b/engine/lib/usersettings.php
deleted file mode 100644
index 1696dd1cd..000000000
--- a/engine/lib/usersettings.php
+++ /dev/null
@@ -1,104 +0,0 @@
-<?php
-/**
- * Elgg user settings functions.
- * Functions for adding and manipulating options on the user settings panel.
- *
- * @package Elgg.Core
- * @subpackage Settings.User
- */
-
-/**
- * Register a user settings page with the admin panel.
- * This function extends the view "usersettings/main" with the provided view.
- * This view should provide a description and either a control or a link to.
- *
- * Usage:
- * - To add a control to the main admin panel then extend usersettings/main
- * - To add a control to a new page create a page which renders a view
- * usersettings/subpage (where subpage is your new page -
- * nb. some pages already exist that you can extend), extend the main view
- * to point to it, and add controls to your new view.
- *
- * At the moment this is essentially a wrapper around elgg_extend_view().
- *
- * @param string $new_settings_view The view associated with the control you're adding
- * @param string $view The view to extend, by default this is 'usersettings/main'.
- * @param int $priority Optional priority to govern the appearance in the list.
- *
- * @return bool
- */
-function extend_elgg_settings_page($new_settings_view, $view = 'usersettings/main',
-$priority = 500) {
-
- return elgg_extend_view($view, $new_settings_view, $priority);
-}
-
-/**
- * Set up the page for user settings
- *
- * @return void
- */
-function usersettings_pagesetup() {
- // Get config
- global $CONFIG;
-
- // Menu options
- if (elgg_get_context() == "settings") {
- $user = get_loggedin_user();
- add_submenu_item(elgg_echo('usersettings:user:opt:linktext'),
- $CONFIG->wwwroot . "pg/settings/user/{$user->username}/");
-
- add_submenu_item(elgg_echo('usersettings:plugins:opt:linktext'),
- $CONFIG->wwwroot . "pg/settings/plugins/{$user->username}/");
-
- add_submenu_item(elgg_echo('usersettings:statistics:opt:linktext'),
- $CONFIG->wwwroot . "pg/settings/statistics/{$user->username}/");
- }
-}
-
-/**
- * Page handler for user settings
- *
- * @param array $page Pages array
- *
- * @return void
- */
-function usersettings_page_handler($page) {
- global $CONFIG;
-
- $path = $CONFIG->path . "pages/settings/index.php";
-
- if ($page[0]) {
- switch ($page[0]) {
- case 'user':
- $path = $CONFIG->path . "pages/settings/user.php";
- break;
- case 'statistics':
- $path = $CONFIG->path . "pages/settings/statistics.php";
- break;
- case 'plugins':
- $path = $CONFIG->path . "pages/settings/plugins.php";
- break;
- }
- }
-
- if ($page[1]) {
- set_input('username', $page[1]);
- }
-
- require($path);
-}
-
-/**
- * Initialise the admin page.
- *
- * @return void
- */
-function usersettings_init() {
- // Page handler
- register_page_handler('settings', 'usersettings_page_handler');
-}
-
-/// Register init function
-elgg_register_event_handler('init', 'system', 'usersettings_init');
-elgg_register_event_handler('pagesetup', 'system', 'usersettings_pagesetup'); \ No newline at end of file
diff --git a/engine/lib/version.php b/engine/lib/version.php
deleted file mode 100644
index 4ffe85bc5..000000000
--- a/engine/lib/version.php
+++ /dev/null
@@ -1,136 +0,0 @@
-<?php
-/**
- * Elgg version library.
- * Contains code for handling versioning and upgrades.
- *
- * @package Elgg.Core
- * @subpackage Version
- */
-
-/**
- * Run any php upgrade scripts which are required
- *
- * @param int $version Version upgrading from.
- * @param bool $quiet Suppress errors. Don't use this.
- *
- * @return bool
- */
-function upgrade_code($version, $quiet = FALSE) {
- global $CONFIG;
-
- $version = (int) $version;
-
- if ($handle = opendir($CONFIG->path . 'engine/lib/upgrades/')) {
- $upgrades = array();
-
- while ($updatefile = readdir($handle)) {
- // Look for upgrades and add to upgrades list
- if (!is_dir($CONFIG->path . 'engine/lib/upgrades/' . $updatefile)) {
- if (preg_match('/^([0-9]{10})\.(php)$/', $updatefile, $matches)) {
- $core_version = (int) $matches[1];
- if ($core_version > $version) {
- $upgrades[] = $updatefile;
- }
- }
- }
- }
-
- // Sort and execute
- asort($upgrades);
-
- if (sizeof($upgrades) > 0) {
- foreach ($upgrades as $upgrade) {
- // hide all errors.
- if ($quiet) {
- // hide include errors as well as any exceptions that might happen
- try {
- if (!@include($CONFIG->path . 'engine/lib/upgrades/' . $upgrade)) {
- error_log($e->getmessage());
- }
- } catch (Exception $e) {
- error_log($e->getmessage());
- }
- } else {
- include($CONFIG->path . 'engine/lib/upgrades/' . $upgrade);
- }
- }
- }
-
- return TRUE;
- }
-
- return FALSE;
-}
-
-/**
- * Get the current version information
- *
- * @param bool $humanreadable Whether to return a human readable version (default: false)
- *
- * @return string|false Depending on success
- */
-function get_version($humanreadable = false) {
- global $CONFIG;
-
- if (isset($CONFIG->path)) {
- if (include($CONFIG->path . "version.php")) {
- return (!$humanreadable) ? $version : $release;
- }
- }
-
- return FALSE;
-}
-
-/**
- * Determines whether or not the database needs to be upgraded.
- *
- * @return true|false Depending on whether or not the db version matches the code version
- */
-function version_upgrade_check() {
- $dbversion = (int) datalist_get('version');
- $version = get_version();
-
- if ($version > $dbversion) {
- return TRUE;
- }
-
- return FALSE;
-}
-
-/**
- * Upgrades Elgg Database and code
- *
- * @return bool
- *
- */
-function version_upgrade() {
- // It's possible large upgrades could exceed the max execution time.
- set_time_limit(0);
-
- $dbversion = (int) datalist_get('version');
-
- // No version number? Oh snap...this is an upgrade from a clean installation < 1.7.
- // Run all upgrades without error reporting and hope for the best.
- // See http://trac.elgg.org/elgg/ticket/1432 for more.
- $quiet = !$dbversion;
-
- // Upgrade database
- if (db_upgrade($dbversion, '', $quiet)) {
- system_message(elgg_echo('upgrade:db'));
- }
-
- // Upgrade core
- if (upgrade_code($dbversion, $quiet)) {
- system_message(elgg_echo('upgrade:core'));
- }
-
- // Now we trigger an event to give the option for plugins to do something
- $upgrade_details = new stdClass;
- $upgrade_details->from = $dbversion;
- $upgrade_details->to = get_version();
-
- elgg_trigger_event('upgrade', 'upgrade', $upgrade_details);
-
- // Update the version
- datalist_set('version', get_version());
-}
diff --git a/engine/lib/views.php b/engine/lib/views.php
index f20eabfbf..1142461fe 100644
--- a/engine/lib/views.php
+++ b/engine/lib/views.php
@@ -40,7 +40,7 @@
* are called, so the init order doesn't affect views.
*
* @internal The file that determines the output of the view is the last
- * registered by {@link set_view_location()}.
+ * registered by {@link elgg_set_view_location()}.
*
* @package Elgg.Core
* @subpackage Views
@@ -53,6 +53,7 @@
* @global string $CURRENT_SYSTEM_VIEWTYPE
* @see elgg_set_viewtype()
*/
+global $CURRENT_SYSTEM_VIEWTYPE;
$CURRENT_SYSTEM_VIEWTYPE = "";
/**
@@ -100,12 +101,15 @@ function elgg_get_viewtype() {
return $CURRENT_SYSTEM_VIEWTYPE;
}
- $viewtype = get_input('view', NULL);
- if ($viewtype) {
- return $viewtype;
+ $viewtype = get_input('view', '', false);
+ if (is_string($viewtype) && $viewtype !== '') {
+ // only word characters allowed.
+ if (!preg_match('/\W/', $viewtype)) {
+ return $viewtype;
+ }
}
- if (isset($CONFIG->view) && !empty($CONFIG->view)) {
+ if (!empty($CONFIG->view)) {
return $CONFIG->view;
}
@@ -113,6 +117,45 @@ function elgg_get_viewtype() {
}
/**
+ * Register a view type as valid.
+ *
+ * @param string $view_type The view type to register
+ * @return bool
+ */
+function elgg_register_viewtype($view_type) {
+ global $CONFIG;
+
+ if (!isset($CONFIG->view_types) || !is_array($CONFIG->view_types)) {
+ $CONFIG->view_types = array();
+ }
+
+ if (!in_array($view_type, $CONFIG->view_types)) {
+ $CONFIG->view_types[] = $view_type;
+ }
+
+ return true;
+}
+
+/**
+ * Checks if $view_type is valid on this installation.
+ *
+ * @param string $view_type View type
+ *
+ * @return bool
+ * @since 1.7.2
+ * @access private
+ */
+function elgg_is_valid_view_type($view_type) {
+ global $CONFIG;
+
+ if (!isset($CONFIG->view_types) || !is_array($CONFIG->view_types)) {
+ return FALSE;
+ }
+
+ return in_array($view_type, $CONFIG->view_types);
+}
+
+/**
* Register a viewtype to fall back to a default view if a view isn't
* found for that viewtype.
*
@@ -156,6 +199,37 @@ function elgg_does_viewtype_fallback($viewtype) {
return FALSE;
}
+/**
+ * Register a view to be available for ajax calls
+ *
+ * @param string $view The view name
+ * @return void
+ * @since 1.8.3
+ */
+function elgg_register_ajax_view($view) {
+ global $CONFIG;
+
+ if (!isset($CONFIG->allowed_ajax_views)) {
+ $CONFIG->allowed_ajax_views = array();
+ }
+
+ $CONFIG->allowed_ajax_views[$view] = true;
+}
+
+/**
+ * Unregister a view for ajax calls
+ *
+ * @param string $view The view name
+ * @return void
+ * @since 1.8.3
+ */
+function elgg_unregister_ajax_view($view) {
+ global $CONFIG;
+
+ if (isset($CONFIG->allowed_ajax_views[$view])) {
+ unset($CONFIG->allowed_ajax_views[$view]);
+ }
+}
/**
* Returns the file location for a view.
@@ -184,6 +258,94 @@ function elgg_get_view_location($view, $viewtype = '') {
} else {
return $CONFIG->views->locations[$viewtype][$view];
}
+}
+
+/**
+ * Set an alternative base location for a view.
+ *
+ * Views are expected to be in plugin_name/views/. This function can
+ * be used to change that location.
+ *
+ * @internal Core view locations are stored in $CONFIG->viewpath.
+ *
+ * @tip This is useful to optionally register views in a plugin.
+ *
+ * @param string $view The name of the view
+ * @param string $location The base location path
+ * @param string $viewtype The view type
+ *
+ * @return void
+ */
+function elgg_set_view_location($view, $location, $viewtype = '') {
+ global $CONFIG;
+
+ if (empty($viewtype)) {
+ $viewtype = 'default';
+ }
+
+ if (!isset($CONFIG->views)) {
+ $CONFIG->views = new stdClass;
+ }
+
+ if (!isset($CONFIG->views->locations)) {
+ $CONFIG->views->locations = array($viewtype => array($view => $location));
+
+ } else if (!isset($CONFIG->views->locations[$viewtype])) {
+ $CONFIG->views->locations[$viewtype] = array($view => $location);
+
+ } else {
+ $CONFIG->views->locations[$viewtype][$view] = $location;
+ }
+}
+
+/**
+ * Returns whether the specified view exists
+ *
+ * @note If $recurse is true, also checks if a view exists only as an extension.
+ *
+ * @param string $view The view name
+ * @param string $viewtype If set, forces the viewtype
+ * @param bool $recurse If false, do not check extensions
+ *
+ * @return bool
+ */
+function elgg_view_exists($view, $viewtype = '', $recurse = true) {
+ global $CONFIG;
+
+ // Detect view type
+ if (empty($viewtype)) {
+ $viewtype = elgg_get_viewtype();
+ }
+
+ if (!isset($CONFIG->views->locations[$viewtype][$view])) {
+ if (!isset($CONFIG->viewpath)) {
+ $location = dirname(dirname(dirname(__FILE__))) . "/views/";
+ } else {
+ $location = $CONFIG->viewpath;
+ }
+ } else {
+ $location = $CONFIG->views->locations[$viewtype][$view];
+ }
+
+ if (file_exists("{$location}{$viewtype}/{$view}.php")) {
+ return true;
+ }
+
+ // If we got here then check whether this exists as an extension
+ // We optionally recursively check whether the extended view exists also for the viewtype
+ if ($recurse && isset($CONFIG->views->extensions[$view])) {
+ foreach ($CONFIG->views->extensions[$view] as $view_extension) {
+ // do not recursively check to stay away from infinite loops
+ if (elgg_view_exists($view_extension, $viewtype, false)) {
+ return true;
+ }
+ }
+ }
+
+ // Now check if the default view exists if the view is registered as a fallback
+ if ($viewtype != 'default' && elgg_does_viewtype_fallback($viewtype)) {
+ return elgg_view_exists($view, 'default');
+ }
return false;
}
@@ -194,11 +356,12 @@ function elgg_get_view_location($view, $viewtype = '') {
* Views are rendered by a template handler and returned as strings.
*
* Views are called with a special $vars variable set,
- * which includes any variables passed as the second parameter,
- * as well as some defaults:
- * - All $_SESSION vars merged to $vars array.
- * - $vars['config'] The $CONFIG global. (Use {@link get_config()} instead).
- * - $vars['url'] The site URL.
+ * which includes any variables passed as the second parameter.
+ * For backward compatbility, the following variables are also set but we
+ * recommend that you do not use them:
+ * - $vars['config'] The $CONFIG global. (Use {@link elgg_get_config()} instead).
+ * - $vars['url'] The site URL. (use {@link elgg_get_site_url()} instead).
+ * - $vars['user'] The logged in user. (use {@link elgg_get_logged_in_user_entity()} instead).
*
* Custom template handlers can be set with {@link set_template_handler()}.
*
@@ -206,14 +369,14 @@ function elgg_get_view_location($view, $viewtype = '') {
* view, $view_name plugin hook.
*
* @warning Any variables in $_SESSION will override passed vars
- * upon name collision. See {@trac #2124}.
+ * upon name collision. See https://github.com/Elgg/Elgg/issues/2124
*
* @param string $view The name and location of the view to use
* @param array $vars Variables to pass to the view.
* @param boolean $bypass If set to true, elgg_view will bypass any specified
* alternative template handler; by default, it will
* hand off to this if requested (see set_template_handler)
- * @param boolean $debug If set to true, the viewer will complain if it can't find a view
+ * @param boolean $ignored This argument is ignored and will be removed eventually
* @param string $viewtype If set, forces the viewtype for the elgg_view call to be
* this value (default: standard detection)
*
@@ -221,69 +384,94 @@ function elgg_get_view_location($view, $viewtype = '') {
* @see set_template_handler()
* @example views/elgg_view.php
* @link http://docs.elgg.org/View
- * @todo $debug isn't used.
- * @todo $usercache is redundant.
*/
-function elgg_view($view, $vars = array(), $bypass = false, $debug = false, $viewtype = '') {
+function elgg_view($view, $vars = array(), $bypass = false, $ignored = false, $viewtype = '') {
global $CONFIG;
- static $usercache;
-
- $view = (string)$view;
+ if (!is_string($view) || !is_string($viewtype)) {
+ elgg_log("View and Viewtype in views must be a strings: $view", 'NOTICE');
+ return '';
+ }
// basic checking for bad paths
if (strpos($view, '..') !== false) {
- return false;
+ return '';
+ }
+
+ if (!is_array($vars)) {
+ elgg_log("Vars in views must be an array: $view", 'ERROR');
+ $vars = array();
+ }
+
+ // Get the current viewtype
+ if ($viewtype === '') {
+ $viewtype = elgg_get_viewtype();
+ } elseif (preg_match('/\W/', $viewtype)) {
+ // Viewtypes can only be alphanumeric
+ return '';
}
$view_orig = $view;
// Trigger the pagesetup event
- if (!isset($CONFIG->pagesetupdone)) {
- elgg_trigger_event('pagesetup', 'system');
+ if (!isset($CONFIG->pagesetupdone) && $CONFIG->boot_complete) {
$CONFIG->pagesetupdone = true;
+ elgg_trigger_event('pagesetup', 'system');
}
- if (!is_array($usercache)) {
- $usercache = array();
+ // @warning - plugin authors: do not expect user, config, and url to be
+ // set by elgg_view() in the future. Instead, use elgg_get_logged_in_user_entity(),
+ // elgg_get_config(), and elgg_get_site_url() in your views.
+ if (!isset($vars['user'])) {
+ $vars['user'] = elgg_get_logged_in_user_entity();
}
-
- if (!is_array($vars)) {
- elgg_log('Vars in views must be an array!', 'ERROR');
- $vars = array();
+ if (!isset($vars['config'])) {
+ $vars['config'] = $CONFIG;
}
-
- if (empty($vars)) {
- $vars = array();
+ if (!isset($vars['url'])) {
+ $vars['url'] = elgg_get_site_url();
}
- $vars['user'] = get_loggedin_user();
-
- $vars['config'] = array();
+ // full_view is the new preferred key for full view on entities @see elgg_view_entity()
+ // check if full_view is set because that means we've already rewritten it and this is
+ // coming from another view passing $vars directly.
+ if (isset($vars['full']) && !isset($vars['full_view'])) {
+ elgg_deprecated_notice("Use \$vars['full_view'] instead of \$vars['full']", 1.8, 2);
+ $vars['full_view'] = $vars['full'];
+ }
+ if (isset($vars['full_view'])) {
+ $vars['full'] = $vars['full_view'];
+ }
- if (!empty($CONFIG)) {
- $vars['config'] = $CONFIG;
+ // internalname => name (1.8)
+ if (isset($vars['internalname']) && !isset($vars['__ignoreInternalname']) && !isset($vars['name'])) {
+ elgg_deprecated_notice('You should pass $vars[\'name\'] now instead of $vars[\'internalname\']', 1.8, 2);
+ $vars['name'] = $vars['internalname'];
+ } elseif (isset($vars['name'])) {
+ if (!isset($vars['internalname'])) {
+ $vars['__ignoreInternalname'] = '';
+ }
+ $vars['internalname'] = $vars['name'];
}
- $vars['url'] = elgg_get_site_url();
+ // internalid => id (1.8)
+ if (isset($vars['internalid']) && !isset($vars['__ignoreInternalid']) && !isset($vars['name'])) {
+ elgg_deprecated_notice('You should pass $vars[\'id\'] now instead of $vars[\'internalid\']', 1.8, 2);
+ $vars['id'] = $vars['internalid'];
+ } elseif (isset($vars['id'])) {
+ if (!isset($vars['internalid'])) {
+ $vars['__ignoreInternalid'] = '';
+ }
+ $vars['internalid'] = $vars['id'];
+ }
// If it's been requested, pass off to a template handler instead
if ($bypass == false && isset($CONFIG->template_handler) && !empty($CONFIG->template_handler)) {
$template_handler = $CONFIG->template_handler;
if (is_callable($template_handler)) {
- return $template_handler($view, $vars);
+ return call_user_func($template_handler, $view, $vars);
}
}
- // Get the current viewtype
- if (empty($viewtype)) {
- $viewtype = elgg_get_viewtype();
- }
-
- // Viewtypes can only be alphanumeric
- if (preg_match('[\W]', $viewtype)) {
- return '';
- }
-
// Set up any extensions to the requested view
if (isset($CONFIG->views->extensions[$view])) {
$viewlist = $CONFIG->views->extensions[$view];
@@ -295,19 +483,21 @@ function elgg_view($view, $vars = array(), $bypass = false, $debug = false, $vie
ob_start();
foreach ($viewlist as $priority => $view) {
+
$view_location = elgg_get_view_location($view, $viewtype);
$view_file = "$view_location$viewtype/$view.php";
- $default_location = elgg_get_view_location($view, 'default');
- $default_view_file = "{$default_location}default/$view.php";
-
// try to include view
if (!file_exists($view_file) || !include($view_file)) {
// requested view does not exist
$error = "$viewtype/$view view does not exist.";
// attempt to load default view
- if ($viewtype != 'default' && elgg_does_viewtype_fallback($viewtype)) {
+ if ($viewtype !== 'default' && elgg_does_viewtype_fallback($viewtype)) {
+
+ $default_location = elgg_get_view_location($view, 'default');
+ $default_view_file = "{$default_location}default/$view.php";
+
if (file_exists($default_view_file) && include($default_view_file)) {
// default view found
$error .= " Using default/$view instead.";
@@ -332,7 +522,7 @@ function elgg_view($view, $vars = array(), $bypass = false, $debug = false, $vie
// backward compatibility with less granular hook will be gone in 2.0
$content_tmp = elgg_trigger_plugin_hook('display', 'view', $params, $content);
- if ($content_tmp != $content) {
+ if ($content_tmp !== $content) {
$content = $content_tmp;
elgg_deprecated_notice('The display:view plugin hook is deprecated by view:view_name', 1.8);
}
@@ -341,320 +531,253 @@ function elgg_view($view, $vars = array(), $bypass = false, $debug = false, $vie
}
/**
- * Returns whether the specified view exists
+ * Extends a view with another view.
*
- * @note If $recurse is strue, also checks if a view exists only as an extension.
+ * The output of any view can be prepended or appended to any other view.
*
- * @param string $view The view name
- * @param string $viewtype If set, forces the viewtype
- * @param bool $recurse If false, do not check extensions
+ * The default action is to append a view. If the priority is less than 500,
+ * the output of the extended view will be appended to the original view.
*
- * @return bool
+ * Priority can be specified and affects the order in which extensions
+ * are appended or prepended.
+ *
+ * @internal View extensions are stored in
+ * $CONFIG->views->extensions[$view][$priority] = $view_extension
+ *
+ * @param string $view The view to extend.
+ * @param string $view_extension This view is added to $view
+ * @param int $priority The priority, from 0 to 1000,
+ * to add at (lowest numbers displayed first)
+ *
+ * @return void
+ * @since 1.7.0
+ * @link http://docs.elgg.org/Views/Extend
+ * @example views/extend.php
*/
-function elgg_view_exists($view, $viewtype = '', $recurse = true) {
+function elgg_extend_view($view, $view_extension, $priority = 501) {
global $CONFIG;
- // Detect view type
- if (empty($viewtype)) {
- $viewtype = elgg_get_viewtype();
- }
-
- if (!isset($CONFIG->views->locations[$viewtype][$view])) {
- if (!isset($CONFIG->viewpath)) {
- $location = dirname(dirname(dirname(__FILE__))) . "/views/";
- } else {
- $location = $CONFIG->viewpath;
- }
+ if (!isset($CONFIG->views)) {
+ $CONFIG->views = (object) array(
+ 'extensions' => array(),
+ );
+ $CONFIG->views->extensions[$view][500] = (string)$view;
} else {
- $location = $CONFIG->views->locations[$viewtype][$view];
- }
-
- if (file_exists($location . "{$viewtype}/{$view}.php")) {
- return true;
+ if (!isset($CONFIG->views->extensions[$view])) {
+ $CONFIG->views->extensions[$view][500] = (string)$view;
+ }
}
- // If we got here then check whether this exists as an extension
- // We optionally recursively check whether the extended view exists also for the viewtype
- if ($recurse && isset($CONFIG->views->extensions[$view])) {
- foreach ($CONFIG->views->extensions[$view] as $view_extension) {
- // do not recursively check to stay away from infinite loops
- if (elgg_view_exists($view_extension, $viewtype, false)) {
- return true;
- }
- }
+ // raise priority until it doesn't match one already registered
+ while (isset($CONFIG->views->extensions[$view][$priority])) {
+ $priority++;
}
- return false;
+ $CONFIG->views->extensions[$view][$priority] = (string)$view_extension;
+ ksort($CONFIG->views->extensions[$view]);
}
/**
- * Registers a view to simple cache.
- *
- * Simple cache is a caching mechanism that saves the output of
- * views and its extensions into a file. If the view is called
- * by the {@link simplecache/view.php} file, the Elgg framework will
- * not be loaded and the contents of the view will returned
- * from file.
- *
- * @warning Simple cached views must take no parameters and return
- * the same content no matter who is logged in.
- *
- * @note CSS and the basic JS views are automatically cached.
+ * Unextends a view.
*
- * @param string $viewname View name
+ * @param string $view The view that was extended.
+ * @param string $view_extension This view that was added to $view
*
- * @return void
- * @link http://docs.elgg.org/Views/Simplecache
- * @see elgg_view_regenerate_simplecache()
+ * @return bool
+ * @since 1.7.2
*/
-function elgg_view_register_simplecache($viewname) {
+function elgg_unextend_view($view, $view_extension) {
global $CONFIG;
- if (!isset($CONFIG->views)) {
- $CONFIG->views = new stdClass;
+ if (!isset($CONFIG->views->extensions[$view])) {
+ return FALSE;
}
- if (!isset($CONFIG->views->simplecache)) {
- $CONFIG->views->simplecache = array();
+ $priority = array_search($view_extension, $CONFIG->views->extensions[$view]);
+ if ($priority === FALSE) {
+ return FALSE;
}
- $CONFIG->views->simplecache[] = $viewname;
+ unset($CONFIG->views->extensions[$view][$priority]);
+
+ return TRUE;
}
/**
- * Regenerates the simple cache.
+ * Assembles and outputs a full page.
*
- * @warning This does not invalidate the cache, but actively resets it.
+ * A "page" in Elgg is determined by the current view type and
+ * can be HTML for a browser, RSS for a feed reader, or
+ * Javascript, PHP and a number of other formats.
*
- * @param string $viewtype Optional viewtype to regenerate
+ * @param string $title Title
+ * @param string $body Body
+ * @param string $page_shell Optional page shell to use. See page/shells view directory
+ * @param array $vars Optional vars array to pass to the page
+ * shell. Automatically adds title, body, and sysmessages
*
- * @return void
- * @see elgg_view_register_simplecache()
+ * @return string The contents of the page
+ * @since 1.8
*/
-function elgg_view_regenerate_simplecache($viewtype = NULL) {
- global $CONFIG;
-
- if (!isset($CONFIG->views->simplecache) || !is_array($CONFIG->views->simplecache)) {
- return;
- }
-
- $lastcached = time();
-
- // @todo elgg_view() checks if the page set is done (isset($CONFIG->pagesetupdone)) and
- // triggers an event if it's not. Calling elgg_view() here breaks submenus
- // (at least) because the page setup hook is called before any
- // contexts can be correctly set (since this is called before page_handler()).
- // To avoid this, lie about $CONFIG->pagehandlerdone to force
- // the trigger correctly when the first view is actually being output.
- $CONFIG->pagesetupdone = TRUE;
+function elgg_view_page($title, $body, $page_shell = 'default', $vars = array()) {
- if (!file_exists($CONFIG->dataroot . 'views_simplecache')) {
- mkdir($CONFIG->dataroot . 'views_simplecache');
+ $messages = null;
+ if (count_messages()) {
+ // get messages - try for errors first
+ $messages = system_messages(NULL, "error");
+ if (count($messages["error"]) == 0) {
+ // no errors so grab rest of messages
+ $messages = system_messages(null, "");
+ } else {
+ // we have errors - clear out remaining messages
+ system_messages(null, "");
+ }
}
- if (isset($viewtype)) {
- $viewtypes = array($viewtype);
+ $vars['title'] = $title;
+ $vars['body'] = $body;
+ $vars['sysmessages'] = $messages;
+
+ $vars = elgg_trigger_plugin_hook('output:before', 'page', null, $vars);
+
+ // check for deprecated view
+ if ($page_shell == 'default' && elgg_view_exists('pageshells/pageshell')) {
+ elgg_deprecated_notice("pageshells/pageshell is deprecated by page/$page_shell", 1.8);
+ $output = elgg_view('pageshells/pageshell', $vars);
} else {
- $viewtypes = $CONFIG->view_types;
- }
-
- $original_viewtype = elgg_get_viewtype();
-
- foreach ($viewtypes as $viewtype) {
- elgg_set_viewtype($viewtype);
- foreach ($CONFIG->views->simplecache as $view) {
- $viewcontents = elgg_view($view);
- $viewname = md5(elgg_get_viewtype() . $view);
- if ($handle = fopen($CONFIG->dataroot . 'views_simplecache/' . $viewname, 'w')) {
- fwrite($handle, $viewcontents);
- fclose($handle);
- }
- }
-
- datalist_set("simplecache_lastupdate_$viewtype", $lastcached);
- datalist_set("simplecache_lastcached_$viewtype", $lastcached);
+ $output = elgg_view("page/$page_shell", $vars);
}
- elgg_set_viewtype($original_viewtype);
-
- // needs to be set for links in html head
- $CONFIG->lastcache = $lastcached;
+ $vars['page_shell'] = $page_shell;
- unset($CONFIG->pagesetupdone);
+ // Allow plugins to mod output
+ return elgg_trigger_plugin_hook('output', 'page', $vars, $output);
}
/**
- * Enables the simple cache.
+ * Displays a layout with optional parameters.
*
- * @access private
- * @see elgg_view_register_simplecache()
- * @return void
- */
-function elgg_view_enable_simplecache() {
- global $CONFIG;
-
- datalist_set('simplecache_enabled', 1);
- $CONFIG->simplecache_enabled = 1;
- elgg_view_regenerate_simplecache();
-}
-
-/**
- * Disables the simple cache.
+ * Layouts provide consistent organization of pages and other blocks of content.
+ * There are a few default layouts in core:
+ * - admin A special layout for the admin area.
+ * - one_column A single content column.
+ * - one_sidebar A content column with sidebar.
+ * - two_sidebar A content column with two sidebars.
+ * - widgets A widget canvas.
*
- * @warning Simplecache is also purged when disabled.
+ * The layout views take the form page/layouts/$layout_name
+ * See the individual layouts for what options are supported. The three most
+ * common layouts have these parameters:
+ * one_column
+ * content => string
+ * one_sidebar
+ * content => string
+ * sidebar => string (optional)
+ * content
+ * content => string
+ * sidebar => string (optional)
+ * buttons => string (override the default add button)
+ * title => string (override the default title)
+ * filter_context => string (selected content filter)
+ * See the content layout view for more parameters
*
- * @access private
- * @see elgg_view_register_simplecache()
- * @return void
- */
-function elgg_view_disable_simplecache() {
- global $CONFIG;
- if ($CONFIG->simplecache_enabled) {
- datalist_set('simplecache_enabled', 0);
- $CONFIG->simplecache_enabled = 0;
-
- // purge simple cache
- if ($handle = opendir($CONFIG->dataroot . 'views_simplecache')) {
- while (false !== ($file = readdir($handle))) {
- if ($file != "." && $file != "..") {
- unlink($CONFIG->dataroot . 'views_simplecache/' . $file);
- }
- }
- closedir($handle);
- }
- }
-}
-
-/**
- * Invalidates all cached views in the simplecache
+ * @param string $layout_name The name of the view in page/layouts/.
+ * @param array $vars Associative array of parameters for the layout view
*
- * @return bool
- * @since 1.7.4
+ * @return string The layout
*/
-function elgg_invalidate_simplecache() {
- global $CONFIG;
-
- $return = TRUE;
+function elgg_view_layout($layout_name, $vars = array()) {
- if ($handle = opendir($CONFIG->dataroot . 'views_simplecache')) {
- while (false !== ($file = readdir($handle))) {
- if ($file != "." && $file != "..") {
- $return = $return && unlink($CONFIG->dataroot . 'views_simplecache/' . $file);
- }
+ if (is_string($vars) || $vars === null) {
+ elgg_deprecated_notice("The use of unlimited optional string arguments in elgg_view_layout() was deprecated in favor of an options array", 1.8);
+ $arg = 1;
+ $param_array = array();
+ while ($arg < func_num_args()) {
+ $param_array['area' . $arg] = func_get_arg($arg);
+ $arg++;
}
- closedir($handle);
} else {
- $return = FALSE;
+ $param_array = $vars;
}
- return $return;
-}
+ $params = elgg_trigger_plugin_hook('output:before', 'layout', null, $param_array);
-/**
- * Returns the name of views for in a directory.
- *
- * Use this to get all namespaced views under the first element.
- *
- * @param string $dir The main directory that holds the views. (mod/profile/views/)
- * @param string $base The root name of the view to use, without the viewtype. (profile)
- *
- * @return array
- * @since 1.7.0
- * @todo Why isn't this used anywhere else but in elgg_view_tree()?
- * Seems like a useful function for autodiscovery.
- */
-function elgg_get_views($dir, $base) {
- $return = array();
- if (file_exists($dir) && is_dir($dir)) {
- if ($handle = opendir($dir)) {
- while ($view = readdir($handle)) {
- if (!in_array($view, array('.', '..', '.svn', 'CVS'))) {
- if (is_dir($dir . '/' . $view)) {
- if ($val = elgg_get_views($dir . '/' . $view, $base . '/' . $view)) {
- $return = array_merge($return, $val);
- }
- } else {
- $view = str_replace('.php', '', $view);
- $return[] = $base . '/' . $view;
- }
- }
- }
- }
+ // check deprecated location
+ if (elgg_view_exists("canvas/layouts/$layout_name")) {
+ elgg_deprecated_notice("canvas/layouts/$layout_name is deprecated by page/layouts/$layout_name", 1.8);
+ $output = elgg_view("canvas/layouts/$layout_name", $params);
+ } elseif (elgg_view_exists("page/layouts/$layout_name")) {
+ $output = elgg_view("page/layouts/$layout_name", $params);
+ } else {
+ $output = elgg_view("page/layouts/default", $params);
}
- return $return;
+ return elgg_trigger_plugin_hook('output:after', 'layout', $params, $output);
}
/**
- * Get views in a dir
+ * Render a menu
+ *
+ * @see elgg_register_menu_item() for documentation on adding menu items and
+ * navigation.php for information on the different menus available.
+ *
+ * This function triggers a 'register', 'menu:<menu name>' plugin hook that enables
+ * plugins to add menu items just before a menu is rendered. This is used by
+ * dynamic menus (menus that change based on some input such as the user hover
+ * menu). Using elgg_register_menu_item() in response to the hook can cause
+ * incorrect links to show up. See the blog plugin's blog_owner_block_menu()
+ * for an example of using this plugin hook.
+ *
+ * An additional hook is the 'prepare', 'menu:<menu name>' which enables plugins
+ * to modify the structure of the menu (sort it, remove items, set variables on
+ * the menu items).
+ *
+ * elgg_view_menu() uses views in navigation/menu
+ *
+ * @param string $menu_name The name of the menu
+ * @param array $vars An associative array of display options for the menu.
+ * Options include:
+ * sort_by => string or php callback
+ * string options: 'name', 'priority', 'title' (default),
+ * 'register' (registration order) or a
+ * php callback (a compare function for usort)
+ * handler: string the page handler to build action URLs
+ * entity: ElggEntity to use to build action URLs
+ * class: string the class for the entire menu.
+ * show_section_headers: bool show headers before menu sections.
*
- * @deprecated 1.7. Use elgg_get_views().
- *
- * @param string $dir Dir
- * @param string $base Base view
- *
- * @return array
- */
-function get_views($dir, $base) {
- elgg_deprecated_notice('get_views() was deprecated by elgg_get_views()!', 1.7);
- elgg_get_views($dir, $base);
-}
-
-/**
- * Returns all views below a partial view.
- *
- * Settings $view_root = 'profile' will show all available views under
- * the "profile" namespace.
- *
- * @param string $view_root The root view
- * @param string $viewtype Optionally specify a view type
- * other than the current one.
- *
- * @return array A list of view names underneath that root view
- * @todo This is used once in the deprecated get_activity_stream_data() function.
+ * @return string
+ * @since 1.8.0
*/
-function elgg_view_tree($view_root, $viewtype = "") {
+function elgg_view_menu($menu_name, array $vars = array()) {
global $CONFIG;
- static $treecache;
- // Get viewtype
- if (!$viewtype) {
- $viewtype = elgg_get_viewtype();
- }
+ $vars['name'] = $menu_name;
- // Has the treecache been initialised?
- if (!isset($treecache)) {
- $treecache = array();
- }
- // A little light internal caching
- if (!empty($treecache[$view_root])) {
- return $treecache[$view_root];
- }
+ $sort_by = elgg_extract('sort_by', $vars, 'text');
- // Examine $CONFIG->views->locations
- if (isset($CONFIG->views->locations[$viewtype])) {
- foreach ($CONFIG->views->locations[$viewtype] as $view => $path) {
- $pos = strpos($view, $view_root);
- if ($pos === 0) {
- $treecache[$view_root][] = $view;
- }
- }
+ if (isset($CONFIG->menus[$menu_name])) {
+ $menu = $CONFIG->menus[$menu_name];
+ } else {
+ $menu = array();
}
- // Now examine core
- $location = $CONFIG->viewpath;
- $viewtype = elgg_get_viewtype();
- $root = $location . $viewtype . '/' . $view_root;
+ // Give plugins a chance to add menu items just before creation.
+ // This supports dynamic menus (example: user_hover).
+ $menu = elgg_trigger_plugin_hook('register', "menu:$menu_name", $vars, $menu);
- if (file_exists($root) && is_dir($root)) {
- $val = elgg_get_views($root, $view_root);
- if (!is_array($treecache[$view_root])) {
- $treecache[$view_root] = array();
- }
- $treecache[$view_root] = array_merge($treecache[$view_root], $val);
- }
+ $builder = new ElggMenuBuilder($menu);
+ $vars['menu'] = $builder->getMenu($sort_by);
+ $vars['selected_item'] = $builder->getSelected();
- return $treecache[$view_root];
+ // Let plugins modify the menu
+ $vars['menu'] = elgg_trigger_plugin_hook('prepare', "menu:$menu_name", $vars, $vars['menu']);
+
+ if (elgg_view_exists("navigation/menu/$menu_name")) {
+ return elgg_view("navigation/menu/$menu_name", $vars);
+ } else {
+ return elgg_view("navigation/menu/default", $vars);
+ }
}
/**
@@ -667,14 +790,17 @@ function elgg_view_tree($view_root, $viewtype = "") {
*
* The entity view is called with the following in $vars:
* - ElggEntity 'entity' The entity being viewed
- * - bool 'full' Whether to show a full or condensed view.
+ *
+ * Other common view $vars paramters:
+ * - bool 'full_view' Whether to show a full or condensed view.
*
* @tip This function can automatically appends annotations to entities if in full
- * view and a handler is registered for the entity:annotate. See {@trac 964} and
+ * view and a handler is registered for the entity:annotate. See https://github.com/Elgg/Elgg/issues/964 and
* {@link elgg_view_entity_annotations()}.
*
* @param ElggEntity $entity The entity to display
- * @param boolean $full Passed to entity view to decide how much information to show.
+ * @param array $vars Array of variables to pass to the entity view.
+ * In Elgg 1.7 and earlier it was the boolean $full_view
* @param boolean $bypass If false, will not pass to a custom template handler.
* {@see set_template_handler()}
* @param boolean $debug Complain if views are missing
@@ -684,51 +810,56 @@ function elgg_view_tree($view_root, $viewtype = "") {
* @link http://docs.elgg.org/Entities
* @todo The annotation hook might be better as a generic plugin hook to append content.
*/
-function elgg_view_entity(ElggEntity $entity, $full = false, $bypass = true, $debug = false) {
- global $autofeed;
- $autofeed = true;
+function elgg_view_entity(ElggEntity $entity, $vars = array(), $bypass = true, $debug = false) {
// No point continuing if entity is null
- if (!$entity) {
- return '';
+ if (!$entity || !($entity instanceof ElggEntity)) {
+ return false;
}
- if (!($entity instanceof ElggEntity)) {
- return false;
+ global $autofeed;
+ $autofeed = true;
+
+ $defaults = array(
+ 'full_view' => false,
+ );
+
+ if (is_array($vars)) {
+ $vars = array_merge($defaults, $vars);
+ } else {
+ elgg_deprecated_notice("Update your use of elgg_view_entity()", 1.8);
+ $vars = array(
+ 'full_view' => $vars,
+ );
}
+ $vars['entity'] = $entity;
+
+
// if this entity has a view defined, use it
$view = $entity->view;
if (is_string($view)) {
- return elgg_view($view,
- array('entity' => $entity, 'full' => $full),
- $bypass,
- $debug);
+ return elgg_view($view, $vars, $bypass, $debug);
}
$entity_type = $entity->getType();
$subtype = $entity->getSubtype();
if (empty($subtype)) {
- $subtype = $entity_type;
+ $subtype = 'default';
}
$contents = '';
- if (elgg_view_exists("{$entity_type}/{$subtype}")) {
- $contents = elgg_view("{$entity_type}/{$subtype}", array(
- 'entity' => $entity,
- 'full' => $full
- ), $bypass, $debug);
+ if (elgg_view_exists("$entity_type/$subtype")) {
+ $contents = elgg_view("$entity_type/$subtype", $vars, $bypass, $debug);
}
if (empty($contents)) {
- $contents = elgg_view("{$entity_type}/default", array(
- 'entity' => $entity,
- 'full' => $full
- ), $bypass, $debug);
+ $contents = elgg_view("$entity_type/default", $vars, $bypass, $debug);
}
+
// Marcus Povey 20090616 : Speculative and low impact approach for fixing #964
- if ($full) {
- $annotations = elgg_view_entity_annotations($entity, $full);
+ if ($vars['full_view']) {
+ $annotations = elgg_view_entity_annotations($entity, $vars['full_view']);
if ($annotations) {
$contents .= $annotations;
@@ -738,6 +869,52 @@ function elgg_view_entity(ElggEntity $entity, $full = false, $bypass = true, $de
}
/**
+ * View the icon of an entity
+ *
+ * Entity views are determined by having a view named after the entity $type/$subtype.
+ * Entities that do not have a defined icon/$type/$subtype view will fall back to using
+ * the icon/$type/default view.
+ *
+ * @param ElggEntity $entity The entity to display
+ * @param string $size The size: tiny, small, medium, large
+ * @param array $vars An array of variables to pass to the view. Some possible
+ * variables are img_class and link_class. See the
+ * specific icon view for more parameters.
+ *
+ * @return string HTML to display or false
+ */
+function elgg_view_entity_icon(ElggEntity $entity, $size = 'medium', $vars = array()) {
+
+ // No point continuing if entity is null
+ if (!$entity || !($entity instanceof ElggEntity)) {
+ return false;
+ }
+
+ $vars['entity'] = $entity;
+ $vars['size'] = $size;
+
+ $entity_type = $entity->getType();
+
+ $subtype = $entity->getSubtype();
+ if (empty($subtype)) {
+ $subtype = 'default';
+ }
+
+ $contents = '';
+ if (elgg_view_exists("icon/$entity_type/$subtype")) {
+ $contents = elgg_view("icon/$entity_type/$subtype", $vars);
+ }
+ if (empty($contents)) {
+ $contents = elgg_view("icon/$entity_type/default", $vars);
+ }
+ if (empty($contents)) {
+ $contents = elgg_view("icon/default", $vars);
+ }
+
+ return $contents;
+}
+
+/**
* Returns a string of a rendered annotation.
*
* Annotation views are expected to be in annotation/$annotation_name.
@@ -750,131 +927,157 @@ function elgg_view_entity(ElggEntity $entity, $full = false, $bypass = true, $de
* - ElggEntity 'annotation' The annotation being viewed.
*
* @param ElggAnnotation $annotation The annotation to display
- * @param boolean $bypass If false, will not pass to a custom
+ * @param array $vars Variable array for view.
+ * @param bool $bypass If false, will not pass to a custom
* template handler. {@see set_template_handler()}
- * @param boolean $debug Complain if views are missing
+ * @param bool $debug Complain if views are missing
*
- * @return string HTML (etc) to display
+ * @return string/false Rendered annotation
*/
-function elgg_view_annotation(ElggAnnotation $annotation, $bypass = true, $debug = false) {
+function elgg_view_annotation(ElggAnnotation $annotation, array $vars = array(), $bypass = true, $debug = false) {
global $autofeed;
$autofeed = true;
+ $defaults = array(
+ 'full_view' => true,
+ );
+
+ $vars = array_merge($defaults, $vars);
+ $vars['annotation'] = $annotation;
+
+ // @todo setting the view on an annotation is not advertised anywhere
+ // do we want to keep this?
$view = $annotation->view;
if (is_string($view)) {
- return elgg_view($view, array('annotation' => $annotation), $bypass, $debug);
+ return elgg_view($view, $vars, $bypass, $debug);
}
$name = $annotation->name;
- $intname = (int) $name;
- if ("{$intname}" == "{$name}") {
- $name = get_metastring($intname);
- }
if (empty($name)) {
- return "";
+ return false;
}
- if (elgg_view_exists("annotation/{$name}")) {
- return elgg_view("annotation/{$name}", array('annotation' => $annotation), $bypass, $debug);
+ if (elgg_view_exists("annotation/$name")) {
+ return elgg_view("annotation/$name", $vars, $bypass, $debug);
} else {
- return elgg_view("annotation/default", array('annotation' => $annotation), $bypass, $debug);
+ return elgg_view("annotation/default", $vars, $bypass, $debug);
}
}
-
/**
* Returns a rendered list of entities with pagination. This function should be
* called by wrapper functions.
*
- * @see list_entities()
- * @see list_user_objects()
+ * @see elgg_list_entities()
* @see list_user_friends_objects()
- * @see list_entities_from_metadata()
- * @see list_entities_from_metadata_multi()
- * @see list_entities_from_relationships()
- * @see list_site_members()
- *
- * @param array $entities List of entities
- * @param int $count The total number of entities across all pages
- * @param int $offset The current indexing offset
- * @param int $limit The number of entities to display per page
- * @param bool $fullview Whether or not to display the full view (default: true)
- * @param bool $listtypetoggle Whether or not to allow users to toggle to gallery view
- * @param bool $pagination Whether pagination is offered.
- *
- * @return string The list of entities
+ * @see elgg_list_entities_from_metadata()
+ * @see elgg_list_entities_from_relationships()
+ * @see elgg_list_entities_from_annotations()
+ *
+ * @param array $entities Array of entities
+ * @param array $vars Display variables
+ * 'count' The total number of entities across all pages
+ * 'offset' The current indexing offset
+ * 'limit' The number of entities to display per page
+ * 'full_view' Display the full view of the entities?
+ * 'list_class' CSS class applied to the list
+ * 'item_class' CSS class applied to the list items
+ * 'pagination' Display pagination?
+ * 'list_type' List type: 'list' (default), 'gallery'
+ * 'list_type_toggle' Display the list type toggle?
+ *
+ * @return string The rendered list of entities
* @access private
*/
-function elgg_view_entity_list($entities, $count, $offset, $limit, $fullview = true,
-$listtypetoggle = true, $pagination = true) {
-
- $count = (int) $count;
- $limit = (int) $limit;
+function elgg_view_entity_list($entities, $vars = array(), $offset = 0, $limit = 10, $full_view = true,
+$list_type_toggle = true, $pagination = true) {
+
+ if (!$vars["limit"] && !$vars["offset"]) {
+ // no need for pagination if listing is unlimited
+ $vars["pagination"] = false;
+ }
+
+ if (!is_int($offset)) {
+ $offset = (int)get_input('offset', 0);
+ }
+
+ // list type can be passed as request parameter
+ $list_type = get_input('list_type', 'list');
+ if (get_input('listtype')) {
+ elgg_deprecated_notice("'listtype' has been deprecated by 'list_type' for lists", 1.8);
+ $list_type = get_input('listtype');
+ }
+
+ if (is_array($vars)) {
+ // new function
+ $defaults = array(
+ 'items' => $entities,
+ 'list_class' => 'elgg-list-entity',
+ 'full_view' => true,
+ 'pagination' => true,
+ 'list_type' => $list_type,
+ 'list_type_toggle' => false,
+ 'offset' => $offset,
+ );
+
+ $vars = array_merge($defaults, $vars);
- // do not require views to explicitly pass in the offset
- if (!$offset = (int) $offset) {
- $offset = sanitise_int(get_input('offset', 0));
+ } else {
+ // old function parameters
+ elgg_deprecated_notice("Please update your use of elgg_view_entity_list()", 1.8);
+
+ $vars = array(
+ 'items' => $entities,
+ 'count' => (int) $vars, // the old count parameter
+ 'offset' => $offset,
+ 'limit' => (int) $limit,
+ 'full_view' => $full_view,
+ 'pagination' => $pagination,
+ 'list_type' => $list_type,
+ 'list_type_toggle' => $list_type_toggle,
+ 'list_class' => 'elgg-list-entity',
+ );
+ }
+
+ if ($vars['list_type'] != 'list') {
+ return elgg_view('page/components/gallery', $vars);
+ } else {
+ return elgg_view('page/components/list', $vars);
}
-
- $context = elgg_get_context();
-
- $html = elgg_view('entities/entity_list', array(
- 'entities' => $entities,
- 'count' => $count,
- 'offset' => $offset,
- 'limit' => $limit,
- 'baseurl' => $_SERVER['REQUEST_URI'],
- 'fullview' => $fullview,
- 'context' => $context,
- 'listtypetoggle' => $listtypetoggle,
- 'listtype' => get_input('listtype', 'list'),
- 'pagination' => $pagination
- ));
-
- return $html;
}
/**
* Returns a rendered list of annotations, plus pagination. This function
* should be called by wrapper functions.
*
- * @param array $annotations List of annotations
- * @param int $count The total number of annotations across all pages
- * @param int $offset The current indexing offset
- * @param int $limit The number of annotations to display per page
+ * @param array $annotations Array of annotations
+ * @param array $vars Display variables
+ * 'count' The total number of annotations across all pages
+ * 'offset' The current indexing offset
+ * 'limit' The number of annotations to display per page
+ * 'full_view' Display the full view of the annotation?
+ * 'list_class' CSS Class applied to the list
+ * 'offset_key' The url parameter key used for offset
*
* @return string The list of annotations
* @access private
*/
-function elgg_view_annotation_list($annotations, $count, $offset, $limit) {
- $count = (int) $count;
- $offset = (int) $offset;
- $limit = (int) $limit;
-
- $html = "";
-
- $nav = elgg_view('navigation/pagination', array(
- 'baseurl' => $_SERVER['REQUEST_URI'],
- 'offset' => $offset,
- 'count' => $count,
- 'limit' => $limit,
- 'word' => 'annoff',
- 'nonefound' => false,
- ));
-
- $html .= $nav;
-
- if (is_array($annotations) && sizeof($annotations) > 0) {
- foreach ($annotations as $annotation) {
- $html .= elgg_view_annotation($annotation, "", false);
- }
- }
-
- if ($count) {
- $html .= $nav;
+function elgg_view_annotation_list($annotations, array $vars = array()) {
+ $defaults = array(
+ 'items' => $annotations,
+ 'list_class' => 'elgg-list-annotation elgg-annotation-list', // @todo remove elgg-annotation-list in Elgg 1.9
+ 'full_view' => true,
+ 'offset_key' => 'annoff',
+ );
+
+ $vars = array_merge($defaults, $vars);
+
+ if (!$vars["limit"] && !$vars["offset"]) {
+ // no need for pagination if listing is unlimited
+ $vars["pagination"] = false;
}
- return $html;
+ return elgg_view('page/components/list', $vars);
}
/**
@@ -885,17 +1088,13 @@ function elgg_view_annotation_list($annotations, $count, $offset, $limit) {
*
* This is called automatically by the framework from {@link elgg_view_entity()}
*
- * @param ElggEntity $entity Entity
- * @param bool $full Full view?
+ * @param ElggEntity $entity Entity
+ * @param bool $full_view Display full view?
*
* @return mixed string or false on failure
* @todo Change the hook name.
*/
-function elgg_view_entity_annotations(ElggEntity $entity, $full = true) {
- if (!$entity) {
- return false;
- }
-
+function elgg_view_entity_annotations(ElggEntity $entity, $full_view = true) {
if (!($entity instanceof ElggEntity)) {
return false;
}
@@ -905,7 +1104,7 @@ function elgg_view_entity_annotations(ElggEntity $entity, $full = true) {
$annotations = elgg_trigger_plugin_hook('entity:annotate', $entity_type,
array(
'entity' => $entity,
- 'full' => $full,
+ 'full_view' => $full_view,
)
);
@@ -913,64 +1112,24 @@ function elgg_view_entity_annotations(ElggEntity $entity, $full = true) {
}
/**
- * Displays a layout with optional parameters.
+ * Renders a title.
*
- * Layouts provide consistent organization of pages and other blocks of content.
- * There are a few default layouts in core:
- * - administration A special layout for the admin area.
- * - one_column A single content column.
- * - one_column_with_sidebar A content column with sidebar.
- * - widgets A widget canvas.
+ * This is a shortcut for {@elgg_view page/elements/title}.
*
- * The layout views take the form canvas/layouts/$layout_name
- * See the individual layouts for what options are supported. The two most
- * common layouts have these parameters:
- * one_column
- * content => string
- * one_column_with_sidebar
- * content => string
- * sidebar => string (optional)
- *
- * @param string $layout The name of the view in canvas/layouts/.
- * @param array $vars Associative array of parameters for the layout view
+ * @param string $title The page title
+ * @param array $vars View variables (was submenu be displayed? (deprecated))
*
- * @return string The layout
+ * @return string The HTML (etc)
*/
-function elgg_view_layout($layout_name, $vars = array()) {
-
- if (is_string($vars)) {
- elgg_deprecated_notice("The use of unlimited optional string arguments in elgg_view_layout() was deprecated in favor of an options array", 1.8);
- $arg = 1;
- $param_array = array();
- while ($arg < func_num_args()) {
- $param_array['area' . $arg] = func_get_arg($arg);
- $arg++;
- }
- } else {
- $param_array = $vars;
- }
-
- if (elgg_view_exists("layouts/{$layout_name}")) {
- return elgg_view("layouts/{$layout_name}", $param_array);
- } else {
- return elgg_view("layouts/default", $param_array);
+function elgg_view_title($title, $vars = array()) {
+ if (!is_array($vars)) {
+ elgg_deprecated_notice('setting $submenu in elgg_view_title() is deprecated', 1.8);
+ $vars = array('submenu' => $vars);
}
-}
-/**
- * Returns a rendered title.
- *
- * This is a shortcut for {@elgg_view page_elements/title}.
- *
- * @param string $title The page title
- * @param string $submenu Should a submenu be displayed? (default false, use not recommended)
- *
- * @return string The HTML (etc)
- */
-function elgg_view_title($title, $submenu = false) {
- $title = elgg_view('page_elements/title', array('title' => $title, 'submenu' => $submenu));
+ $vars['title'] = $title;
- return $title;
+ return elgg_view('page/elements/title', $vars);
}
/**
@@ -993,214 +1152,353 @@ function elgg_view_friendly_time($time) {
*
* @tip Plugins can override the output by registering a handler
* for the comments, $entity_type hook. The handler is responsible
- * for formatting the comments and add comment form.
+ * for formatting the comments and the add comment form.
*
* @param ElggEntity $entity The entity to view comments of
- * @param bool $add_comment Include a form to add comments
+ * @param bool $add_comment Include a form to add comments?
+ * @param array $vars Variables to pass to comment view
*
- * @return string|false The HTML (etc) for the comments, or false on failure
+ * @return string|false Rendered comments or false on failure
* @link http://docs.elgg.org/Entities/Comments
* @link http://docs.elgg.org/Annotations/Comments
*/
-function elgg_view_comments($entity, $add_comment = true) {
+function elgg_view_comments($entity, $add_comment = true, array $vars = array()) {
if (!($entity instanceof ElggEntity)) {
return false;
}
- $comments = elgg_trigger_plugin_hook('comments', $entity->getType(), array('entity' => $entity), false);
- if ($comemnts) {
- return $comments;
- } else {
- $comments = list_annotations($entity->getGUID(), 'generic_comment');
-
- //display the new comment form if required
- if ($add_comment) {
- $comments .= elgg_view('comments/forms/edit', array('entity' => $entity));
- }
+ $vars['entity'] = $entity;
+ $vars['show_add_form'] = $add_comment;
+ $vars['class'] = elgg_extract('class', $vars, "{$entity->getSubtype()}-comments");
- return $comments;
+ $output = elgg_trigger_plugin_hook('comments', $entity->getType(), $vars, false);
+ if ($output) {
+ return $output;
+ } else {
+ return elgg_view('page/elements/comments', $vars);
}
}
-
/**
- * Wrapper function to display search listings.
+ * Wrapper function for the image block display pattern.
+ *
+ * Fixed width media on the side (image, icon, flash, etc.).
+ * Descriptive content filling the rest of the column.
*
- * @param string $icon The icon for the listing
- * @param string $info Any information that needs to be displayed.
+ * This is a shortcut for {@elgg_view page/components/image_block}.
*
- * @return string The HTML (etc) representing the listing
+ * @param string $image The icon and other information
+ * @param string $body Description content
+ * @param array $vars Additional parameters for the view
+ *
+ * @return string
+ * @since 1.8.0
*/
-function elgg_view_listing($icon, $info) {
- return elgg_view('entities/entity_listing', array('icon' => $icon, 'info' => $info));
+function elgg_view_image_block($image, $body, $vars = array()) {
+ $vars['image'] = $image;
+ $vars['body'] = $body;
+ return elgg_view('page/components/image_block', $vars);
}
/**
- * Registers a function to handle templates.
+ * Wrapper function for the module display pattern.
*
- * Alternative template handlers can be registered to handle
- * all output functions. By default, {@link elgg_view()} will
- * simply include the view file. If an alternate template handler
- * is registered, the view name and passed $vars will be passed to the
- * registered function, which is then responsible for generating and returning
- * output.
+ * Box with header, body, footer
*
- * Template handlers need to accept two arguments: string $view_name and array
- * $vars.
+ * This is a shortcut for {@elgg_view page/components/module}.
*
- * @warning This is experimental.
+ * @param string $type The type of module (main, info, popup, aside, etc.)
+ * @param string $title A title to put in the header
+ * @param string $body Content of the module
+ * @param array $vars Additional parameters for the module
*
- * @param string $function_name The name of the function to pass to.
+ * @return string
+ * @since 1.8.0
+ */
+function elgg_view_module($type, $title, $body, array $vars = array()) {
+ $vars['class'] = elgg_extract('class', $vars, '') . " elgg-module-$type";
+ $vars['title'] = $title;
+ $vars['body'] = $body;
+ return elgg_view('page/components/module', $vars);
+}
+
+/**
+ * Renders a human-readable representation of a river item
*
- * @return bool
- * @see elgg_view()
- * @link http://docs.elgg.org/Views/TemplateHandlers
+ * @param ElggRiverItem $item A river item object
+ * @param array $vars An array of variables for the view
+ *
+ * @return string returns empty string if could not be rendered
*/
-function set_template_handler($function_name) {
- global $CONFIG;
- if (!empty($function_name) && is_callable($function_name)) {
- $CONFIG->template_handler = $function_name;
- return true;
+function elgg_view_river_item($item, array $vars = array()) {
+ if (!($item instanceof ElggRiverItem)) {
+ return '';
}
- return false;
+ // checking default viewtype since some viewtypes do not have unique views per item (rss)
+ $view = $item->getView();
+ if (!$view || !elgg_view_exists($view, 'default')) {
+ return '';
+ }
+
+ $subject = $item->getSubjectEntity();
+ $object = $item->getObjectEntity();
+ if (!$subject || !$object) {
+ // subject is disabled or subject/object deleted
+ return '';
+ }
+
+ // @todo this needs to be cleaned up
+ // Don't hide objects in closed groups that a user can see.
+ // see https://github.com/elgg/elgg/issues/4789
+ // else {
+ // // hide based on object's container
+ // $visibility = ElggGroupItemVisibility::factory($object->container_guid);
+ // if ($visibility->shouldHideItems) {
+ // return '';
+ // }
+ // }
+
+ $vars['item'] = $item;
+
+ return elgg_view('river/item', $vars);
}
/**
- * Extends a view with another view.
+ * Convenience function for generating a form from a view in a standard location.
*
- * The output of any view can be prepended or appended to any other view.
+ * This function assumes that the body of the form is located at "forms/$action" and
+ * sets the action by default to "action/$action". Automatically wraps the forms/$action
+ * view with a <form> tag and inserts the anti-csrf security tokens.
*
- * The default action is to append a view. If the priority is less than 500,
- * the output of the extended view will be appended to the original view.
+ * @tip This automatically appends elgg-form-action-name to the form's class. It replaces any
+ * slashes with dashes (blog/save becomes elgg-form-blog-save)
*
- * Priority can be specified and affects the order in which extensions
- * are appended or prepended.
+ * @example
+ * <code>echo elgg_view_form('login');</code>
*
- * @internal View extensions are stored in
- * $CONFIG->views->extensions[$view][$priority] = $view_extension
+ * This would assume a "login" form body to be at "forms/login" and would set the action
+ * of the form to "http://yoursite.com/action/login".
*
- * @param string $view The view to extend.
- * @param string $view_extension This view is added to $view
- * @param int $priority The priority, from 0 to 1000,
- * to add at (lowest numbers displayed first)
- * @param string $viewtype Not used
+ * If elgg_view('forms/login') is:
+ * <input type="text" name="username" />
+ * <input type="password" name="password" />
*
- * @return void
- * @since 1.7.0
- * @link http://docs.elgg.org/Views/Ejxtend
- * @example views/extend.php
+ * Then elgg_view_form('login') generates:
+ * <form action="http://yoursite.com/action/login" method="post">
+ * ...security tokens...
+ * <input type="text" name="username" />
+ * <input type="password" name="password" />
+ * </form>
+ *
+ * @param string $action The name of the action. An action name does not include
+ * the leading "action/". For example, "login" is an action name.
+ * @param array $form_vars $vars environment passed to the "input/form" view
+ * @param array $body_vars $vars environment passed to the "forms/$action" view
+ *
+ * @return string The complete form
*/
-function elgg_extend_view($view, $view_extension, $priority = 501, $viewtype = '') {
+function elgg_view_form($action, $form_vars = array(), $body_vars = array()) {
global $CONFIG;
- if (!isset($CONFIG->views)) {
- $CONFIG->views = new stdClass;
- }
-
- if (!isset($CONFIG->views->extensions)) {
- $CONFIG->views->extensions = array();
- }
+ $defaults = array(
+ 'action' => $CONFIG->wwwroot . "action/$action",
+ 'body' => elgg_view("forms/$action", $body_vars)
+ );
- if (!isset($CONFIG->views->extensions[$view])) {
- $CONFIG->views->extensions[$view][500] = "{$view}";
- }
+ $form_class = 'elgg-form-' . preg_replace('/[^a-z0-9]/i', '-', $action);
- while (isset($CONFIG->views->extensions[$view][$priority])) {
- $priority++;
+ // append elgg-form class to any class options set
+ if (isset($form_vars['class'])) {
+ $form_vars['class'] = $form_vars['class'] . " $form_class";
+ } else {
+ $form_vars['class'] = $form_class;
}
- $CONFIG->views->extensions[$view][$priority] = "{$view_extension}";
- ksort($CONFIG->views->extensions[$view]);
+ return elgg_view('input/form', array_merge($defaults, $form_vars));
}
/**
- * Unextends a view.
+ * View an item in a list
*
- * @param string $view The view that was extended.
- * @param string $view_extension This view that was added to $view
+ * @param ElggEntity|ElggAnnotation $item
+ * @param array $vars Additional parameters for the rendering
*
- * @return bool
- * @since 1.7.2
+ * @return string
+ * @since 1.8.0
+ * @access private
*/
-function elgg_unextend_view($view, $view_extension) {
+function elgg_view_list_item($item, array $vars = array()) {
global $CONFIG;
- if (!isset($CONFIG->views)) {
- return FALSE;
+ $type = $item->getType();
+ if (in_array($type, $CONFIG->entity_types)) {
+ return elgg_view_entity($item, $vars);
+ } else if ($type == 'annotation') {
+ return elgg_view_annotation($item, $vars);
+ } else if ($type == 'river') {
+ return elgg_view_river_item($item, $vars);
}
- if (!isset($CONFIG->views->extensions)) {
- return FALSE;
- }
+ return '';
+}
- if (!isset($CONFIG->views->extensions[$view])) {
- return FALSE;
+/**
+ * View one of the elgg sprite icons
+ *
+ * Shorthand for <span class="elgg-icon elgg-icon-$name"></span>
+ *
+ * @param string $name The specific icon to display
+ * @param string $class Additional class: float, float-alt, or custom class
+ *
+ * @return string The html for displaying an icon
+ */
+function elgg_view_icon($name, $class = '') {
+ // @todo deprecate boolean in Elgg 1.9
+ if ($class === true) {
+ $class = 'float';
}
+ return "<span class=\"elgg-icon elgg-icon-$name $class\"></span>";
+}
- $priority = array_search($view_extension, $CONFIG->views->extensions[$view]);
- if ($priority === FALSE) {
- return FALSE;
+/**
+ * Displays a user's access collections, using the core/friends/collections view
+ *
+ * @param int $owner_guid The GUID of the owning user
+ *
+ * @return string A formatted rendition of the collections
+ * @todo Move to the friends/collection.php page.
+ * @access private
+ */
+function elgg_view_access_collections($owner_guid) {
+ if ($collections = get_user_access_collections($owner_guid)) {
+ foreach ($collections as $key => $collection) {
+ $collections[$key]->members = get_members_of_access_collection($collection->id, true);
+ $collections[$key]->entities = get_user_friends($owner_guid, "", 9999);
+ }
}
- unset($CONFIG->views->extensions[$view][$priority]);
-
- return TRUE;
+ return elgg_view('core/friends/collections', array('collections' => $collections));
}
/**
- * Extend a view
+ * Registers a function to handle templates.
+ *
+ * Alternative template handlers can be registered to handle
+ * all output functions. By default, {@link elgg_view()} will
+ * simply include the view file. If an alternate template handler
+ * is registered, the view name and passed $vars will be passed to the
+ * registered function, which is then responsible for generating and returning
+ * output.
*
- * @deprecated 1.7. Use elgg_extend_view().
+ * Template handlers need to accept two arguments: string $view_name and array
+ * $vars.
*
- * @param string $view The view to extend.
- * @param string $view_name This view is added to $view
- * @param int $priority The priority, from 0 to 1000,
- * to add at (lowest numbers displayed first)
- * @param string $viewtype Not used
+ * @warning This is experimental.
*
- * @return void
+ * @param string $function_name The name of the function to pass to.
+ *
+ * @return bool
+ * @see elgg_view()
+ * @link http://docs.elgg.org/Views/TemplateHandlers
*/
-function extend_view($view, $view_name, $priority = 501, $viewtype = '') {
- elgg_deprecated_notice('extend_view() was deprecated by elgg_extend_view()!', 1.7);
- elgg_extend_view($view, $view_name, $priority, $viewtype);
+function set_template_handler($function_name) {
+ global $CONFIG;
+
+ if (is_callable($function_name)) {
+ $CONFIG->template_handler = $function_name;
+ return true;
+ }
+ return false;
}
/**
- * Set an alternative base location for a view.
+ * Returns the name of views for in a directory.
*
- * Views are expected to be in plugin_name/views/. This function can
- * be used to change that location.
+ * Use this to get all namespaced views under the first element.
*
- * @internal Core view locations are stored in $CONFIG->viewpath.
+ * @param string $dir The main directory that holds the views. (mod/profile/views/)
+ * @param string $base The root name of the view to use, without the viewtype. (profile)
*
- * @tip This is useful to optionally register views in a plugin.
+ * @return array
+ * @since 1.7.0
+ * @todo Why isn't this used anywhere else but in elgg_view_tree()?
+ * Seems like a useful function for autodiscovery.
+ * @access private
+ */
+function elgg_get_views($dir, $base) {
+ $return = array();
+ if (file_exists($dir) && is_dir($dir)) {
+ if ($handle = opendir($dir)) {
+ while ($view = readdir($handle)) {
+ if (!in_array($view, array('.', '..', '.svn', 'CVS'))) {
+ if (is_dir($dir . '/' . $view)) {
+ if ($val = elgg_get_views($dir . '/' . $view, $base . '/' . $view)) {
+ $return = array_merge($return, $val);
+ }
+ } else {
+ $view = str_replace('.php', '', $view);
+ $return[] = $base . '/' . $view;
+ }
+ }
+ }
+ }
+ }
+
+ return $return;
+}
+
+/**
+ * Returns all views below a partial view.
*
- * @param string $view The name of the view
- * @param string $location The base location path
- * @param string $viewtype The view type
+ * Settings $view_root = 'profile' will show all available views under
+ * the "profile" namespace.
*
- * @return void
+ * @param string $view_root The root view
+ * @param string $viewtype Optionally specify a view type
+ * other than the current one.
+ *
+ * @return array A list of view names underneath that root view
+ * @todo This is used once in the deprecated get_activity_stream_data() function.
+ * @access private
*/
-function set_view_location($view, $location, $viewtype = '') {
+function elgg_view_tree($view_root, $viewtype = "") {
global $CONFIG;
+ static $treecache = array();
- if (empty($viewtype)) {
- $viewtype = 'default';
+ // Get viewtype
+ if (!$viewtype) {
+ $viewtype = elgg_get_viewtype();
}
- if (!isset($CONFIG->views)) {
- $CONFIG->views = new stdClass;
+ // A little light internal caching
+ if (!empty($treecache[$view_root])) {
+ return $treecache[$view_root];
}
- if (!isset($CONFIG->views->locations)) {
- $CONFIG->views->locations = array($viewtype => array($view => $location));
+ // Examine $CONFIG->views->locations
+ if (isset($CONFIG->views->locations[$viewtype])) {
+ foreach ($CONFIG->views->locations[$viewtype] as $view => $path) {
+ $pos = strpos($view, $view_root);
+ if ($pos === 0) {
+ $treecache[$view_root][] = $view;
+ }
+ }
+ }
- } else if (!isset($CONFIG->views->locations[$viewtype])) {
- $CONFIG->views->locations[$viewtype] = array($view => $location);
+ // Now examine core
+ $location = $CONFIG->viewpath;
+ $viewtype = elgg_get_viewtype();
+ $root = $location . $viewtype . '/' . $view_root;
- } else {
- $CONFIG->views->locations[$viewtype][$view] = $location;
+ if (file_exists($root) && is_dir($root)) {
+ $val = elgg_get_views($root, $view_root);
+ if (!is_array($treecache[$view_root])) {
+ $treecache[$view_root] = array();
+ }
+ $treecache[$view_root] = array_merge($treecache[$view_root], $val);
}
+
+ return $treecache[$view_root];
}
/**
@@ -1212,19 +1510,16 @@ function set_view_location($view, $location, $viewtype = '') {
*
* @param string $view_base Optional The base of the view name without the view type.
* @param string $folder Required The folder to begin looking in
- * @param string $base_location_path The base views directory to use with set_view_location
+ * @param string $base_location_path The base views directory to use with elgg_set_view_location()
* @param string $viewtype The type of view we're looking at (default, rss, etc)
*
- * @return void
+ * @return bool returns false if folder can't be read
* @since 1.7.0
- * @see set_view_location()
+ * @see elgg_set_view_location()
* @todo This seems overly complicated.
+ * @access private
*/
function autoregister_views($view_base, $folder, $base_location_path, $viewtype) {
- if (!isset($i)) {
- $i = 0;
- }
-
if ($handle = opendir($folder)) {
while ($view = readdir($handle)) {
if (!in_array($view, array('.', '..', '.svn', 'CVS')) && !is_dir($folder . "/" . $view)) {
@@ -1237,7 +1532,7 @@ function autoregister_views($view_base, $folder, $base_location_path, $viewtype)
$view_base_new = "";
}
- set_view_location($view_base_new . str_replace('.php', '', $view),
+ elgg_set_view_location($view_base_new . str_replace('.php', '', $view),
$base_location_path, $viewtype);
}
} else if (!in_array($view, array('.', '..', '.svn', 'CVS')) && is_dir($folder . "/" . $view)) {
@@ -1257,91 +1552,42 @@ function autoregister_views($view_base, $folder, $base_location_path, $viewtype)
}
/**
- * Assembles and outputs a full page.
+ * Add the rss link to the extras when if needed
*
- * A "page" in Elgg is determined by the current view type and
- * can be HTML for a browser, RSS for a feed reader, or
- * Javascript, PHP and a number of other formats.
- *
- * @param string $title Title
- * @param string $body Body
- * @param string $page_shell Optional page shell to use. See page_shells view directory
- * @param array $vars Optional vars array to pass to the page
- * shell. Automatically adds title, body, and sysmessages
- *
- * @return string The contents of the page
- * @since 1.8
+ * @return void
+ * @access private
*/
-function elgg_view_page($title, $body, $page_shell = 'default', $vars = array()) {
- // get messages - try for errors first
- $sysmessages = system_messages(NULL, "errors");
+function elgg_views_add_rss_link() {
+ global $autofeed;
+ if (isset($autofeed) && $autofeed == true) {
+ $url = current_page_url();
+ if (substr_count($url, '?')) {
+ $url .= "&view=rss";
+ } else {
+ $url .= "?view=rss";
+ }
- if (count($sysmessages["errors"]) == 0) {
- // no errors so grab rest of messages
- $sysmessages = system_messages(null, "");
- } else {
- // we have errors - clear out remaining messages
- system_messages(null, "");
+ $url = elgg_format_url($url);
+ elgg_register_menu_item('extras', array(
+ 'name' => 'rss',
+ 'text' => elgg_view_icon('rss'),
+ 'href' => $url,
+ 'title' => elgg_echo('feed:rss'),
+ ));
}
-
- $vars['title'] = $title;
- $vars['body'] = $body;
- $vars['sysmessages'] = $sysmessages;
-
- // Draw the page
- $output = elgg_view("page_shells/$page_shell", $vars);
-
- $vars['page_shell'] = $page_shell;
-
- // Allow plugins to mod output
- return elgg_trigger_plugin_hook('output', 'page', $vars, $output);
}
/**
- * @deprecated 1.8 Use elgg_view_page()
- */
-function page_draw($title, $body, $sidebar = "") {
- elgg_deprecated_notice("page_draw() was deprecated in favor of elgg_view_page() in 1.8.", 1.8);
-
- $vars = array(
- 'sidebar' => $sidebar
- );
- echo elgg_view_page($title, $body, 'default', $vars);
-}
-
-/**
- * Checks if $view_type is valid on this installation.
- *
- * @param string $view_type View type
+ * Registers deprecated views to avoid making some pages from older plugins
+ * completely empty.
*
- * @return bool
- * @since 1.7.2
+ * @access private
*/
-function elgg_is_valid_view_type($view_type) {
- global $CONFIG;
-
- if (!isset($CONFIG->view_types) || !is_array($CONFIG->view_types)) {
- return FALSE;
+function elgg_views_handle_deprecated_views() {
+ $location = elgg_get_view_location('page_elements/contentwrapper');
+ if ($location === "/var/www/views/") {
+ elgg_extend_view('page_elements/contentwrapper', 'page/elements/wrapper');
}
-
- return in_array($view_type, $CONFIG->view_types);
-}
-
-/**
- * Add the core Elgg head elements that could be cached
- */
-function elgg_views_register_core_head_elements() {
- global $CONFIG;
-
- $base = elgg_get_site_url();
- $lastcache = $CONFIG->lastcache;
- $viewtype = elgg_get_viewtype();
-
- $url = "{$base}_css/js.php?lastcache=$lastcache&js=initialise_elgg&viewtype=$viewtype";
- elgg_register_js($url, 'initialise_elgg');
-
- $url = "{$base}_css/css.css?lastcache=$lastcache&viewtype=$viewtype";
- elgg_register_css($url, 'elgg');
}
/**
@@ -1355,29 +1601,65 @@ function elgg_views_register_core_head_elements() {
function elgg_views_boot() {
global $CONFIG;
- elgg_view_register_simplecache('css');
- elgg_view_register_simplecache('js/friendsPickerv1');
- elgg_view_register_simplecache('js/initialise_elgg');
+ elgg_register_simplecache_view('css/ie');
+ elgg_register_simplecache_view('css/ie6');
+ elgg_register_simplecache_view('css/ie7');
+
+ elgg_register_js('jquery', '/vendors/jquery/jquery-1.6.4.min.js', 'head');
+ elgg_register_js('jquery-ui', '/vendors/jquery/jquery-ui-1.8.16.min.js', 'head');
+ elgg_register_js('jquery.form', '/vendors/jquery/jquery.form.js');
+
+ elgg_register_simplecache_view('js/elgg');
+ $elgg_js_url = elgg_get_simplecache_url('js', 'elgg');
+ elgg_register_js('elgg', $elgg_js_url, 'head');
+
+ elgg_load_js('jquery');
+ elgg_load_js('jquery-ui');
+ elgg_load_js('elgg');
- $base = elgg_get_site_url();
- elgg_register_js("{$base}vendors/jquery/jquery-1.4.2.min.js", 'jquery');
- elgg_register_js("{$base}vendors/jquery/jquery-ui-1.7.2.min.js", 'jquery-ui');
- elgg_register_js("{$base}vendors/jquery/jquery.form.js", 'jquery.form');
+ elgg_register_simplecache_view('js/lightbox');
+ $lightbox_js_url = elgg_get_simplecache_url('js', 'lightbox');
+ elgg_register_js('lightbox', $lightbox_js_url);
- elgg_register_event_handler('pagesetup', 'system', 'elgg_views_register_core_head_elements');
+ elgg_register_simplecache_view('css/lightbox');
+ $lightbox_css_url = elgg_get_simplecache_url('css', 'lightbox');
+ elgg_register_css('lightbox', $lightbox_css_url);
+
+ elgg_register_simplecache_view('css/elgg');
+ $elgg_css_url = elgg_get_simplecache_url('css', 'elgg');
+ elgg_register_css('elgg', $elgg_css_url);
+
+ elgg_load_css('elgg');
+
+ elgg_register_ajax_view('js/languages');
+
+ elgg_register_plugin_hook_handler('output:before', 'layout', 'elgg_views_add_rss_link');
// discover the built-in view types
- // @todo cache this
+ // @todo the cache is loaded in load_plugins() but we need to know view_types earlier
$view_path = $CONFIG->viewpath;
- $CONFIG->view_types = array();
$views = scandir($view_path);
foreach ($views as $view) {
- if ('.' !== substr($view, 0, 1) && is_dir($view_path . $view)) {
- $CONFIG->view_types[] = $view;
+ if ($view[0] !== '.' && is_dir($view_path . $view)) {
+ elgg_register_viewtype($view);
}
}
+
+ // set default icon sizes - can be overridden in settings.php or with plugin
+ if (!isset($CONFIG->icon_sizes)) {
+ $icon_sizes = array(
+ 'topbar' => array('w' => 16, 'h' => 16, 'square' => TRUE, 'upscale' => TRUE),
+ 'tiny' => array('w' => 25, 'h' => 25, 'square' => TRUE, 'upscale' => TRUE),
+ 'small' => array('w' => 40, 'h' => 40, 'square' => TRUE, 'upscale' => TRUE),
+ 'medium' => array('w' => 100, 'h' => 100, 'square' => TRUE, 'upscale' => TRUE),
+ 'large' => array('w' => 200, 'h' => 200, 'square' => FALSE, 'upscale' => FALSE),
+ 'master' => array('w' => 550, 'h' => 550, 'square' => FALSE, 'upscale' => FALSE),
+ );
+ elgg_set_config('icon_sizes', $icon_sizes);
+ }
}
-elgg_register_event_handler('boot', 'system', 'elgg_views_boot', 1000); \ No newline at end of file
+elgg_register_event_handler('boot', 'system', 'elgg_views_boot');
+elgg_register_event_handler('init', 'system', 'elgg_views_handle_deprecated_views');
diff --git a/engine/lib/web_services.php b/engine/lib/web_services.php
new file mode 100644
index 000000000..51cad6f39
--- /dev/null
+++ b/engine/lib/web_services.php
@@ -0,0 +1,1454 @@
+<?php
+/**
+ * Elgg web services API
+ * Functions and objects for exposing custom web services.
+ *
+ * @package Elgg.Core
+ * @subpackage WebServicesAPI
+ */
+
+// Primary Services API Server functions
+
+/**
+ * A global array holding API methods.
+ * The structure of this is
+ * $API_METHODS = array (
+ * $method => array (
+ * "description" => "Some human readable description"
+ * "function" = 'my_function_callback'
+ * "parameters" = array (
+ * "variable" = array ( // the order should be the same as the function callback
+ * type => 'int' | 'bool' | 'float' | 'string'
+ * required => true (default) | false
+ * default => value // optional
+ * )
+ * )
+ * "call_method" = 'GET' | 'POST'
+ * "require_api_auth" => true | false (default)
+ * "require_user_auth" => true | false (default)
+ * )
+ * )
+ */
+global $API_METHODS;
+$API_METHODS = array();
+
+/**
+ * Expose a function as a services api call.
+ *
+ * Limitations: Currently cannot expose functions which expect objects.
+ * It also cannot handle arrays of bools or arrays of arrays.
+ * Also, input will be filtered to protect against XSS attacks through the API.
+ *
+ * @param string $method The api name to expose - for example "myapi.dosomething"
+ * @param string $function Your function callback.
+ * @param array $parameters (optional) List of parameters in the same order as in
+ * your function. Default values may be set for parameters which
+ * allow REST api users flexibility in what parameters are passed.
+ * Generally, optional parameters should be after required
+ * parameters.
+ *
+ * This array should be in the format
+ * "variable" = array (
+ * type => 'int' | 'bool' | 'float' | 'string' | 'array'
+ * required => true (default) | false
+ * default => value (optional)
+ * )
+ * @param string $description (optional) human readable description of the function.
+ * @param string $call_method (optional) Define what http method must be used for
+ * this function. Default: GET
+ * @param bool $require_api_auth (optional) (default is false) Does this method
+ * require API authorization? (example: API key)
+ * @param bool $require_user_auth (optional) (default is false) Does this method
+ * require user authorization?
+ *
+ * @return bool
+ */
+function expose_function($method, $function, array $parameters = NULL, $description = "",
+$call_method = "GET", $require_api_auth = false, $require_user_auth = false) {
+
+ global $API_METHODS;
+
+ if (($method == "") || ($function == "")) {
+ $msg = elgg_echo('InvalidParameterException:APIMethodOrFunctionNotSet');
+ throw new InvalidParameterException($msg);
+ }
+
+ // does not check whether this method has already been exposed - good idea?
+ $API_METHODS[$method] = array();
+
+ $API_METHODS[$method]["description"] = $description;
+
+ // does not check whether callable - done in execute_method()
+ $API_METHODS[$method]["function"] = $function;
+
+ if ($parameters != NULL) {
+ if (!is_array($parameters)) {
+ $msg = elgg_echo('InvalidParameterException:APIParametersArrayStructure', array($method));
+ throw new InvalidParameterException($msg);
+ }
+
+ // catch common mistake of not setting up param array correctly
+ $first = current($parameters);
+ if (!is_array($first)) {
+ $msg = elgg_echo('InvalidParameterException:APIParametersArrayStructure', array($method));
+ throw new InvalidParameterException($msg);
+ }
+ }
+
+ if ($parameters != NULL) {
+ // ensure the required flag is set correctly in default case for each parameter
+ foreach ($parameters as $key => $value) {
+ // check if 'required' was specified - if not, make it true
+ if (!array_key_exists('required', $value)) {
+ $parameters[$key]['required'] = true;
+ }
+ }
+
+ $API_METHODS[$method]["parameters"] = $parameters;
+ }
+
+ $call_method = strtoupper($call_method);
+ switch ($call_method) {
+ case 'POST' :
+ $API_METHODS[$method]["call_method"] = 'POST';
+ break;
+ case 'GET' :
+ $API_METHODS[$method]["call_method"] = 'GET';
+ break;
+ default :
+ $msg = elgg_echo('InvalidParameterException:UnrecognisedHttpMethod',
+ array($call_method, $method));
+
+ throw new InvalidParameterException($msg);
+ }
+
+ $API_METHODS[$method]["require_api_auth"] = $require_api_auth;
+
+ $API_METHODS[$method]["require_user_auth"] = $require_user_auth;
+
+ return true;
+}
+
+/**
+ * Unregister an API method
+ *
+ * @param string $method The api name that was exposed
+ *
+ * @since 1.7.0
+ *
+ * @return void
+ */
+function unexpose_function($method) {
+ global $API_METHODS;
+
+ if (isset($API_METHODS[$method])) {
+ unset($API_METHODS[$method]);
+ }
+}
+
+/**
+ * Check that the method call has the proper API and user authentication
+ *
+ * @param string $method The api name that was exposed
+ *
+ * @return true or throws an exception
+ * @throws APIException
+ * @since 1.7.0
+ * @access private
+ */
+function authenticate_method($method) {
+ global $API_METHODS;
+
+ // method must be exposed
+ if (!isset($API_METHODS[$method])) {
+ throw new APIException(elgg_echo('APIException:MethodCallNotImplemented', array($method)));
+ }
+
+ // check API authentication if required
+ if ($API_METHODS[$method]["require_api_auth"] == true) {
+ $api_pam = new ElggPAM('api');
+ if ($api_pam->authenticate() !== true) {
+ throw new APIException(elgg_echo('APIException:APIAuthenticationFailed'));
+ }
+ }
+
+ $user_pam = new ElggPAM('user');
+ $user_auth_result = $user_pam->authenticate(array());
+
+ // check if user authentication is required
+ if ($API_METHODS[$method]["require_user_auth"] == true) {
+ if ($user_auth_result == false) {
+ throw new APIException($user_pam->getFailureMessage(), ErrorResult::$RESULT_FAIL_AUTHTOKEN);
+ }
+ }
+
+ return true;
+}
+
+/**
+ * Executes a method.
+ * A method is a function which you have previously exposed using expose_function.
+ *
+ * @param string $method Method, e.g. "foo.bar"
+ *
+ * @return GenericResult The result of the execution.
+ * @throws APIException, CallException
+ * @access private
+ */
+function execute_method($method) {
+ global $API_METHODS, $CONFIG;
+
+ // method must be exposed
+ if (!isset($API_METHODS[$method])) {
+ $msg = elgg_echo('APIException:MethodCallNotImplemented', array($method));
+ throw new APIException($msg);
+ }
+
+ // function must be callable
+ if (!(isset($API_METHODS[$method]["function"]))
+ || !(is_callable($API_METHODS[$method]["function"]))) {
+
+ $msg = elgg_echo('APIException:FunctionDoesNotExist', array($method));
+ throw new APIException($msg);
+ }
+
+ // check http call method
+ if (strcmp(get_call_method(), $API_METHODS[$method]["call_method"]) != 0) {
+ $msg = elgg_echo('CallException:InvalidCallMethod', array($method,
+ $API_METHODS[$method]["call_method"]));
+
+ throw new CallException($msg);
+ }
+
+ $parameters = get_parameters_for_method($method);
+
+ if (verify_parameters($method, $parameters) == false) {
+ // if verify_parameters fails, it throws exception which is not caught here
+ }
+
+ $serialised_parameters = serialise_parameters($method, $parameters);
+
+ // Execute function: Construct function and calling parameters
+ $function = $API_METHODS[$method]["function"];
+ $serialised_parameters = trim($serialised_parameters, ", ");
+
+ // @todo document why we cannot use call_user_func_array here
+ $result = eval("return $function($serialised_parameters);");
+
+ // Sanity check result
+ // If this function returns an api result itself, just return it
+ if ($result instanceof GenericResult) {
+ return $result;
+ }
+
+ if ($result === false) {
+ $msg = elgg_echo('APIException:FunctionParseError', array($function, $serialised_parameters));
+ throw new APIException($msg);
+ }
+
+ if ($result === NULL) {
+ // If no value
+ $msg = elgg_echo('APIException:FunctionNoReturn', array($function, $serialised_parameters));
+ throw new APIException($msg);
+ }
+
+ // Otherwise assume that the call was successful and return it as a success object.
+ return SuccessResult::getInstance($result);
+}
+
+/**
+ * Get the request method.
+ *
+ * @return string HTTP request method
+ * @access private
+ */
+function get_call_method() {
+ return $_SERVER['REQUEST_METHOD'];
+}
+
+/**
+ * This function analyses all expected parameters for a given method
+ *
+ * This function sanitizes the input parameters and returns them in
+ * an associated array.
+ *
+ * @param string $method The method
+ *
+ * @return array containing parameters as key => value
+ * @access private
+ */
+function get_parameters_for_method($method) {
+ global $API_METHODS;
+
+ $sanitised = array();
+
+ // if there are parameters, sanitize them
+ if (isset($API_METHODS[$method]['parameters'])) {
+ foreach ($API_METHODS[$method]['parameters'] as $k => $v) {
+ $param = get_input($k); // Make things go through the sanitiser
+ if ($param !== '' && $param !== null) {
+ $sanitised[$k] = $param;
+ } else {
+ // parameter wasn't passed so check for default
+ if (isset($v['default'])) {
+ $sanitised[$k] = $v['default'];
+ }
+ }
+ }
+ }
+
+ return $sanitised;
+}
+
+/**
+ * Get POST data
+ * Since this is called through a handler, we need to manually get the post data
+ *
+ * @return POST data as string encoded as multipart/form-data
+ * @access private
+ */
+function get_post_data() {
+
+ $postdata = file_get_contents('php://input');
+
+ return $postdata;
+}
+
+/**
+ * Verify that the required parameters are present
+ *
+ * @param string $method Method name
+ * @param array $parameters List of expected parameters
+ *
+ * @return true on success or exception
+ * @throws APIException
+ * @since 1.7.0
+ * @access private
+ */
+function verify_parameters($method, $parameters) {
+ global $API_METHODS;
+
+ // are there any parameters for this method
+ if (!(isset($API_METHODS[$method]["parameters"]))) {
+ return true; // no so return
+ }
+
+ // check that the parameters were registered correctly and all required ones are there
+ foreach ($API_METHODS[$method]['parameters'] as $key => $value) {
+ // this tests the expose structure: must be array to describe parameter and type must be defined
+ if (!is_array($value) || !isset($value['type'])) {
+
+ $msg = elgg_echo('APIException:InvalidParameter', array($key, $method));
+ throw new APIException($msg);
+ }
+
+ // Check that the variable is present in the request if required
+ if ($value['required'] && !array_key_exists($key, $parameters)) {
+ $msg = elgg_echo('APIException:MissingParameterInMethod', array($key, $method));
+ throw new APIException($msg);
+ }
+ }
+
+ return true;
+}
+
+/**
+ * Serialize an array of parameters for an API method call
+ *
+ * @param string $method API method name
+ * @param array $parameters Array of parameters
+ *
+ * @return string or exception
+ * @throws APIException
+ * @since 1.7.0
+ * @access private
+ */
+function serialise_parameters($method, $parameters) {
+ global $API_METHODS;
+
+ // are there any parameters for this method
+ if (!(isset($API_METHODS[$method]["parameters"]))) {
+ return ''; // if not, return
+ }
+
+ $serialised_parameters = "";
+ foreach ($API_METHODS[$method]['parameters'] as $key => $value) {
+
+ // avoid warning on parameters that are not required and not present
+ if (!isset($parameters[$key])) {
+ continue;
+ }
+
+ // Set variables casting to type.
+ switch (strtolower($value['type']))
+ {
+ case 'int':
+ case 'integer' :
+ $serialised_parameters .= "," . (int)trim($parameters[$key]);
+ break;
+ case 'bool':
+ case 'boolean':
+ // change word false to boolean false
+ if (strcasecmp(trim($parameters[$key]), "false") == 0) {
+ $serialised_parameters .= ',false';
+ } else if ($parameters[$key] == 0) {
+ $serialised_parameters .= ',false';
+ } else {
+ $serialised_parameters .= ',true';
+ }
+
+ break;
+ case 'string':
+ $serialised_parameters .= ",'" . addcslashes(trim($parameters[$key]), "'") . "'";
+ break;
+ case 'float':
+ $serialised_parameters .= "," . (float)trim($parameters[$key]);
+ break;
+ case 'array':
+ // we can handle an array of strings, maybe ints, definitely not booleans or other arrays
+ if (!is_array($parameters[$key])) {
+ $msg = elgg_echo('APIException:ParameterNotArray', array($key));
+ throw new APIException($msg);
+ }
+
+ $array = "array(";
+
+ foreach ($parameters[$key] as $k => $v) {
+ $k = sanitise_string($k);
+ $v = sanitise_string($v);
+
+ $array .= "'$k'=>'$v',";
+ }
+
+ $array = trim($array, ",");
+
+ $array .= ")";
+ $array = ",$array";
+
+ $serialised_parameters .= $array;
+ break;
+ default:
+ $msg = elgg_echo('APIException:UnrecognisedTypeCast', array($value['type'], $key, $method));
+ throw new APIException($msg);
+ }
+ }
+
+ return $serialised_parameters;
+}
+
+// API authorization handlers /////////////////////////////////////////////////////////////////////
+
+/**
+ * PAM: Confirm that the call includes a valid API key
+ *
+ * @return true if good API key - otherwise throws exception
+ *
+ * @return mixed
+ * @throws APIException
+ * @since 1.7.0
+ * @access private
+ */
+function api_auth_key() {
+ global $CONFIG;
+
+ // check that an API key is present
+ $api_key = get_input('api_key');
+ if ($api_key == "") {
+ throw new APIException(elgg_echo('APIException:MissingAPIKey'));
+ }
+
+ // check that it is active
+ $api_user = get_api_user($CONFIG->site_id, $api_key);
+ if (!$api_user) {
+ // key is not active or does not exist
+ throw new APIException(elgg_echo('APIException:BadAPIKey'));
+ }
+
+ // can be used for keeping stats
+ // plugin can also return false to fail this authentication method
+ return elgg_trigger_plugin_hook('api_key', 'use', $api_key, true);
+}
+
+
+/**
+ * PAM: Confirm the HMAC signature
+ *
+ * @return true if success - otherwise throws exception
+ *
+ * @throws SecurityException
+ * @since 1.7.0
+ * @access private
+ */
+function api_auth_hmac() {
+ global $CONFIG;
+
+ // Get api header
+ $api_header = get_and_validate_api_headers();
+
+ // Pull API user details
+ $api_user = get_api_user($CONFIG->site_id, $api_header->api_key);
+
+ if (!$api_user) {
+ throw new SecurityException(elgg_echo('SecurityException:InvalidAPIKey'),
+ ErrorResult::$RESULT_FAIL_APIKEY_INVALID);
+ }
+
+ // Get the secret key
+ $secret_key = $api_user->secret;
+
+ // get the query string
+ $query = substr($_SERVER['REQUEST_URI'], strpos($_SERVER['REQUEST_URI'], '?') + 1);
+
+ // calculate expected HMAC
+ $hmac = calculate_hmac( $api_header->hmac_algo,
+ $api_header->time,
+ $api_header->nonce,
+ $api_header->api_key,
+ $secret_key,
+ $query,
+ $api_header->method == 'POST' ? $api_header->posthash : "");
+
+
+ if ($api_header->hmac !== $hmac) {
+ throw new SecurityException("HMAC is invalid. {$api_header->hmac} != [calc]$hmac");
+ }
+
+ // Now make sure this is not a replay
+ if (cache_hmac_check_replay($hmac)) {
+ throw new SecurityException(elgg_echo('SecurityException:DupePacket'));
+ }
+
+ // Validate post data
+ if ($api_header->method == "POST") {
+ $postdata = get_post_data();
+ $calculated_posthash = calculate_posthash($postdata, $api_header->posthash_algo);
+
+ if (strcmp($api_header->posthash, $calculated_posthash) != 0) {
+ $msg = elgg_echo('SecurityException:InvalidPostHash',
+ array($calculated_posthash, $api_header->posthash));
+
+ throw new SecurityException($msg);
+ }
+ }
+
+ return true;
+}
+
+// HMAC /////////////////////////////////////////////////////////////////////
+
+/**
+ * This function looks at the super-global variable $_SERVER and extracts the various
+ * header variables needed for the HMAC PAM
+ *
+ * @return stdClass Containing all the values.
+ * @throws APIException Detailing any error.
+ * @access private
+ */
+function get_and_validate_api_headers() {
+ $result = new stdClass;
+
+ $result->method = get_call_method();
+ // Only allow these methods
+ if (($result->method != "GET") && ($result->method != "POST")) {
+ throw new APIException(elgg_echo('APIException:NotGetOrPost'));
+ }
+
+ $result->api_key = $_SERVER['HTTP_X_ELGG_APIKEY'];
+ if ($result->api_key == "") {
+ throw new APIException(elgg_echo('APIException:MissingAPIKey'));
+ }
+
+ $result->hmac = $_SERVER['HTTP_X_ELGG_HMAC'];
+ if ($result->hmac == "") {
+ throw new APIException(elgg_echo('APIException:MissingHmac'));
+ }
+
+ $result->hmac_algo = $_SERVER['HTTP_X_ELGG_HMAC_ALGO'];
+ if ($result->hmac_algo == "") {
+ throw new APIException(elgg_echo('APIException:MissingHmacAlgo'));
+ }
+
+ $result->time = $_SERVER['HTTP_X_ELGG_TIME'];
+ if ($result->time == "") {
+ throw new APIException(elgg_echo('APIException:MissingTime'));
+ }
+
+ // Must have been sent within 25 hour period.
+ // 25 hours is more than enough to handle server clock drift.
+ // This values determines how long the HMAC cache needs to store previous
+ // signatures. Heavy use of HMAC is better handled with a shorter sig lifetime.
+ // See cache_hmac_check_replay()
+ if (($result->time < (time() - 90000)) || ($result->time > (time() + 90000))) {
+ throw new APIException(elgg_echo('APIException:TemporalDrift'));
+ }
+
+ $result->nonce = $_SERVER['HTTP_X_ELGG_NONCE'];
+ if ($result->nonce == "") {
+ throw new APIException(elgg_echo('APIException:MissingNonce'));
+ }
+
+ if ($result->method == "POST") {
+ $result->posthash = $_SERVER['HTTP_X_ELGG_POSTHASH'];
+ if ($result->posthash == "") {
+ throw new APIException(elgg_echo('APIException:MissingPOSTHash'));
+ }
+
+ $result->posthash_algo = $_SERVER['HTTP_X_ELGG_POSTHASH_ALGO'];
+ if ($result->posthash_algo == "") {
+ throw new APIException(elgg_echo('APIException:MissingPOSTAlgo'));
+ }
+
+ $result->content_type = $_SERVER['CONTENT_TYPE'];
+ if ($result->content_type == "") {
+ throw new APIException(elgg_echo('APIException:MissingContentType'));
+ }
+ }
+
+ return $result;
+}
+
+/**
+ * Map various algorithms to their PHP equivs.
+ * This also gives us an easy way to disable algorithms.
+ *
+ * @param string $algo The algorithm
+ *
+ * @return string The php algorithm
+ * @throws APIException if an algorithm is not supported.
+ * @access private
+ */
+function map_api_hash($algo) {
+ $algo = strtolower(sanitise_string($algo));
+ $supported_algos = array(
+ "md5" => "md5", // @todo Consider phasing this out
+ "sha" => "sha1", // alias for sha1
+ "sha1" => "sha1",
+ "sha256" => "sha256"
+ );
+
+ if (array_key_exists($algo, $supported_algos)) {
+ return $supported_algos[$algo];
+ }
+
+ throw new APIException(elgg_echo('APIException:AlgorithmNotSupported', array($algo)));
+}
+
+/**
+ * Calculate the HMAC for the http request.
+ * This function signs an api request using the information provided. The signature returned
+ * has been base64 encoded and then url encoded.
+ *
+ * @param string $algo The HMAC algorithm used
+ * @param string $time String representation of unix time
+ * @param string $nonce Nonce
+ * @param string $api_key Your api key
+ * @param string $secret_key Your private key
+ * @param string $get_variables URLEncoded string representation of the get variable parameters,
+ * eg "method=user&guid=2"
+ * @param string $post_hash Optional sha1 hash of the post data.
+ *
+ * @return string The HMAC signature
+ * @access private
+ */
+function calculate_hmac($algo, $time, $nonce, $api_key, $secret_key,
+$get_variables, $post_hash = "") {
+
+ global $CONFIG;
+
+ elgg_log("HMAC Parts: $algo, $time, $api_key, $secret_key, $get_variables, $post_hash");
+
+ $ctx = hash_init(map_api_hash($algo), HASH_HMAC, $secret_key);
+
+ hash_update($ctx, trim($time));
+ hash_update($ctx, trim($nonce));
+ hash_update($ctx, trim($api_key));
+ hash_update($ctx, trim($get_variables));
+ if (trim($post_hash) != "") {
+ hash_update($ctx, trim($post_hash));
+ }
+
+ return urlencode(base64_encode(hash_final($ctx, true)));
+}
+
+/**
+ * Calculate a hash for some post data.
+ *
+ * @todo Work out how to handle really large bits of data.
+ *
+ * @param string $postdata The post data.
+ * @param string $algo The algorithm used.
+ *
+ * @return string The hash.
+ * @access private
+ */
+function calculate_posthash($postdata, $algo) {
+ $ctx = hash_init(map_api_hash($algo));
+
+ hash_update($ctx, $postdata);
+
+ return hash_final($ctx);
+}
+
+/**
+ * This function will do two things. Firstly it verifies that a HMAC signature
+ * hasn't been seen before, and secondly it will add the given hmac to the cache.
+ *
+ * @param string $hmac The hmac string.
+ *
+ * @return bool True if replay detected, false if not.
+ * @access private
+ */
+function cache_hmac_check_replay($hmac) {
+ // cache lifetime is 25 hours (this should be related to the time drift
+ // allowed in get_and_validate_headers
+ $cache = new ElggHMACCache(90000);
+
+ if (!$cache->load($hmac)) {
+ $cache->save($hmac, $hmac);
+
+ return false;
+ }
+
+ return true;
+}
+
+// API key functions /////////////////////////////////////////////////////////////////////
+
+/**
+ * Generate a new API user for a site, returning a new keypair on success.
+ *
+ * @param int $site_guid The GUID of the site. (default is current site)
+ *
+ * @return stdClass object or false
+ */
+function create_api_user($site_guid) {
+ global $CONFIG;
+
+ if (!isset($site_guid)) {
+ $site_guid = $CONFIG->site_id;
+ }
+
+ $site_guid = (int)$site_guid;
+
+ $public = sha1(rand() . $site_guid . microtime());
+ $secret = sha1(rand() . $site_guid . microtime() . $public);
+
+ $insert = insert_data("INSERT into {$CONFIG->dbprefix}api_users
+ (site_guid, api_key, secret) values
+ ($site_guid, '$public', '$secret')");
+
+ if ($insert) {
+ return get_api_user($site_guid, $public);
+ }
+
+ return false;
+}
+
+/**
+ * Find an API User's details based on the provided public api key.
+ * These users are not users in the traditional sense.
+ *
+ * @param int $site_guid The GUID of the site.
+ * @param string $api_key The API Key
+ *
+ * @return mixed stdClass representing the database row or false.
+ */
+function get_api_user($site_guid, $api_key) {
+ global $CONFIG;
+
+ $api_key = sanitise_string($api_key);
+ $site_guid = (int)$site_guid;
+
+ $query = "SELECT * from {$CONFIG->dbprefix}api_users"
+ . " where api_key='$api_key' and site_guid=$site_guid and active=1";
+
+ return get_data_row($query);
+}
+
+/**
+ * Revoke an api user key.
+ *
+ * @param int $site_guid The GUID of the site.
+ * @param string $api_key The API Key (public).
+ *
+ * @return bool
+ */
+function remove_api_user($site_guid, $api_key) {
+ global $CONFIG;
+
+ $keypair = get_api_user($site_guid, $api_key);
+ if ($keypair) {
+ return delete_data("DELETE from {$CONFIG->dbprefix}api_users where id={$keypair->id}");
+ }
+
+ return false;
+}
+
+
+// User Authorization functions
+
+/**
+ * Check the user token
+ * This examines whether an authentication token is present and returns true if
+ * it is present and is valid. The user gets logged in so with the current
+ * session code of Elgg, that user will be logged out of all other sessions.
+ *
+ * @return bool
+ * @access private
+ */
+function pam_auth_usertoken() {
+ global $CONFIG;
+
+ $token = get_input('auth_token');
+ if (!$token) {
+ return false;
+ }
+
+ $validated_userid = validate_user_token($token, $CONFIG->site_id);
+
+ if ($validated_userid) {
+ $u = get_entity($validated_userid);
+
+ // Could we get the user?
+ if (!$u) {
+ return false;
+ }
+
+ // Not an elgg user
+ if ((!$u instanceof ElggUser)) {
+ return false;
+ }
+
+ // User is banned
+ if ($u->isBanned()) {
+ return false;
+ }
+
+ // Fail if we couldn't log the user in
+ if (!login($u)) {
+ return false;
+ }
+
+ return true;
+ }
+
+ return false;
+}
+
+/**
+ * See if the user has a valid login sesson
+ *
+ * @return bool
+ * @access private
+ */
+function pam_auth_session() {
+ return elgg_is_logged_in();
+}
+
+// user token functions
+
+/**
+ * Obtain a token for a user.
+ *
+ * @param string $username The username
+ * @param int $expire Minutes until token expires (default is 60 minutes)
+ *
+ * @return bool
+ */
+function create_user_token($username, $expire = 60) {
+ global $CONFIG;
+
+ $site_guid = $CONFIG->site_id;
+ $user = get_user_by_username($username);
+ $time = time();
+ $time += 60 * $expire;
+ $token = md5(rand() . microtime() . $username . $time . $site_guid);
+
+ if (!$user) {
+ return false;
+ }
+
+ if (insert_data("INSERT into {$CONFIG->dbprefix}users_apisessions
+ (user_guid, site_guid, token, expires) values
+ ({$user->guid}, $site_guid, '$token', '$time')
+ on duplicate key update token='$token', expires='$time'")) {
+ return $token;
+ }
+
+ return false;
+}
+
+/**
+ * Get all tokens attached to a user
+ *
+ * @param int $user_guid The user GUID
+ * @param int $site_guid The ID of the site (default is current site)
+ *
+ * @return false if none available or array of stdClass objects
+ * (see users_apisessions schema for available variables in objects)
+ * @since 1.7.0
+ */
+function get_user_tokens($user_guid, $site_guid) {
+ global $CONFIG;
+
+ if (!isset($site_guid)) {
+ $site_guid = $CONFIG->site_id;
+ }
+
+ $site_guid = (int)$site_guid;
+ $user_guid = (int)$user_guid;
+
+ $tokens = get_data("SELECT * from {$CONFIG->dbprefix}users_apisessions
+ where user_guid=$user_guid and site_guid=$site_guid");
+
+ return $tokens;
+}
+
+/**
+ * Validate a token against a given site.
+ *
+ * A token registered with one site can not be used from a
+ * different apikey(site), so be aware of this during development.
+ *
+ * @param string $token The Token.
+ * @param int $site_guid The ID of the site (default is current site)
+ *
+ * @return mixed The user id attached to the token if not expired or false.
+ */
+function validate_user_token($token, $site_guid) {
+ global $CONFIG;
+
+ if (!isset($site_guid)) {
+ $site_guid = $CONFIG->site_id;
+ }
+
+ $site_guid = (int)$site_guid;
+ $token = sanitise_string($token);
+
+ $time = time();
+
+ $user = get_data_row("SELECT * from {$CONFIG->dbprefix}users_apisessions
+ where token='$token' and site_guid=$site_guid and $time < expires");
+
+ if ($user) {
+ return $user->user_guid;
+ }
+
+ return false;
+}
+
+/**
+ * Remove user token
+ *
+ * @param string $token The toekn
+ * @param int $site_guid The ID of the site (default is current site)
+ *
+ * @return bool
+ * @since 1.7.0
+ */
+function remove_user_token($token, $site_guid) {
+ global $CONFIG;
+
+ if (!isset($site_guid)) {
+ $site_guid = $CONFIG->site_id;
+ }
+
+ $site_guid = (int)$site_guid;
+ $token = sanitise_string($token);
+
+ return delete_data("DELETE from {$CONFIG->dbprefix}users_apisessions
+ where site_guid=$site_guid and token='$token'");
+}
+
+/**
+ * Remove expired tokens
+ *
+ * @return bool
+ * @since 1.7.0
+ */
+function remove_expired_user_tokens() {
+ global $CONFIG;
+
+ $site_guid = $CONFIG->site_id;
+
+ $time = time();
+
+ return delete_data("DELETE from {$CONFIG->dbprefix}users_apisessions
+ where site_guid=$site_guid and expires < $time");
+}
+
+// Client api functions
+
+/**
+ * Utility function to serialise a header array into its text representation.
+ *
+ * @param array $headers The array of headers "key" => "value"
+ *
+ * @return string
+ * @access private
+ */
+function serialise_api_headers(array $headers) {
+ $headers_str = "";
+
+ foreach ($headers as $k => $v) {
+ $headers_str .= trim($k) . ": " . trim($v) . "\r\n";
+ }
+
+ return trim($headers_str);
+}
+
+/**
+ * Send a raw API call to an elgg api endpoint.
+ *
+ * @param array $keys The api keys.
+ * @param string $url URL of the endpoint.
+ * @param array $call Associated array of "variable" => "value"
+ * @param string $method GET or POST
+ * @param string $post_data The post data
+ * @param string $content_type The content type
+ *
+ * @return string
+ */
+function send_api_call(array $keys, $url, array $call, $method = 'GET', $post_data = '',
+$content_type = 'application/octet-stream') {
+
+ global $CONFIG;
+
+ $headers = array();
+ $encoded_params = array();
+
+ $method = strtoupper($method);
+ switch (strtoupper($method)) {
+ case 'GET' :
+ case 'POST' :
+ break;
+ default:
+ $msg = elgg_echo('NotImplementedException:CallMethodNotImplemented', array($method));
+ throw new NotImplementedException($msg);
+ }
+
+ // Time
+ $time = time();
+
+ // Nonce
+ $nonce = uniqid('');
+
+ // URL encode all the parameters
+ foreach ($call as $k => $v) {
+ $encoded_params[] = urlencode($k) . '=' . urlencode($v);
+ }
+
+ $params = implode('&', $encoded_params);
+
+ // Put together the query string
+ $url = $url . "?" . $params;
+
+ // Construct headers
+ $posthash = "";
+ if ($method == 'POST') {
+ $posthash = calculate_posthash($post_data, 'md5');
+ }
+
+ if ((isset($keys['public'])) && (isset($keys['private']))) {
+ $headers['X-Elgg-apikey'] = $keys['public'];
+ $headers['X-Elgg-time'] = $time;
+ $headers['X-Elgg-nonce'] = $nonce;
+ $headers['X-Elgg-hmac-algo'] = 'sha1';
+ $headers['X-Elgg-hmac'] = calculate_hmac('sha1',
+ $time,
+ $nonce,
+ $keys['public'],
+ $keys['private'],
+ $params,
+ $posthash
+ );
+ }
+ if ($method == 'POST') {
+ $headers['X-Elgg-posthash'] = $posthash;
+ $headers['X-Elgg-posthash-algo'] = 'md5';
+
+ $headers['Content-type'] = $content_type;
+ $headers['Content-Length'] = strlen($post_data);
+ }
+
+ // Opt array
+ $http_opts = array(
+ 'method' => $method,
+ 'header' => serialise_api_headers($headers)
+ );
+ if ($method == 'POST') {
+ $http_opts['content'] = $post_data;
+ }
+
+ $opts = array('http' => $http_opts);
+
+ // Send context
+ $context = stream_context_create($opts);
+
+ // Send the query and get the result and decode.
+ elgg_log("APICALL: $url");
+ $results = file_get_contents($url, false, $context);
+
+ return $results;
+}
+
+/**
+ * Send a GET call
+ *
+ * @param string $url URL of the endpoint.
+ * @param array $call Associated array of "variable" => "value"
+ * @param array $keys The keys dependant on chosen authentication method
+ *
+ * @return string
+ */
+function send_api_get_call($url, array $call, array $keys) {
+ return send_api_call($keys, $url, $call);
+}
+
+/**
+ * Send a GET call
+ *
+ * @param string $url URL of the endpoint.
+ * @param array $call Associated array of "variable" => "value"
+ * @param array $keys The keys dependant on chosen authentication method
+ * @param string $post_data The post data
+ * @param string $content_type The content type
+ *
+ * @return string
+ */
+function send_api_post_call($url, array $call, array $keys, $post_data,
+$content_type = 'application/octet-stream') {
+
+ return send_api_call($keys, $url, $call, 'POST', $post_data, $content_type);
+}
+
+/**
+ * Return a key array suitable for the API client using the standard
+ * authentication method based on api-keys and secret keys.
+ *
+ * @param string $secret_key Your secret key
+ * @param string $api_key Your api key
+ *
+ * @return array
+ */
+function get_standard_api_key_array($secret_key, $api_key) {
+ return array('public' => $api_key, 'private' => $secret_key);
+}
+
+// System functions
+
+/**
+ * Simple api to return a list of all api's installed on the system.
+ *
+ * @return array
+ * @access private
+ */
+function list_all_apis() {
+ global $API_METHODS;
+
+ // sort first
+ ksort($API_METHODS);
+
+ return $API_METHODS;
+}
+
+/**
+ * The auth.gettoken API.
+ * This API call lets a user log in, returning an authentication token which can be used
+ * to authenticate a user for a period of time. It is passed in future calls as the parameter
+ * auth_token.
+ *
+ * @param string $username Username
+ * @param string $password Clear text password
+ *
+ * @return string Token string or exception
+ * @throws SecurityException
+ * @access private
+ */
+function auth_gettoken($username, $password) {
+ // check if username is an email address
+ if (is_email_address($username)) {
+ $users = get_user_by_email($username);
+
+ // check if we have a unique user
+ if (is_array($users) && (count($users) == 1)) {
+ $username = $users[0]->username;
+ }
+ }
+
+ // validate username and password
+ if (true === elgg_authenticate($username, $password)) {
+ $token = create_user_token($username);
+ if ($token) {
+ return $token;
+ }
+ }
+
+ throw new SecurityException(elgg_echo('SecurityException:authenticationfailed'));
+}
+
+// Error handler functions
+
+/** Define a global array of errors */
+$ERRORS = array();
+
+/**
+ * API PHP Error handler function.
+ * This function acts as a wrapper to catch and report PHP error messages.
+ *
+ * @see http://uk3.php.net/set-error-handler
+ *
+ * @param int $errno Error number
+ * @param string $errmsg Human readable message
+ * @param string $filename Filename
+ * @param int $linenum Line number
+ * @param array $vars Vars
+ *
+ * @return void
+ * @access private
+ *
+ * @throws Exception
+ */
+function _php_api_error_handler($errno, $errmsg, $filename, $linenum, $vars) {
+ global $ERRORS;
+
+ $error = date("Y-m-d H:i:s (T)") . ": \"" . $errmsg . "\" in file "
+ . $filename . " (line " . $linenum . ")";
+
+ switch ($errno) {
+ case E_USER_ERROR:
+ error_log("ERROR: " . $error);
+ $ERRORS[] = "ERROR: " . $error;
+
+ // Since this is a fatal error, we want to stop any further execution but do so gracefully.
+ throw new Exception("ERROR: " . $error);
+ break;
+
+ case E_WARNING :
+ case E_USER_WARNING :
+ error_log("WARNING: " . $error);
+ $ERRORS[] = "WARNING: " . $error;
+ break;
+
+ default:
+ error_log("DEBUG: " . $error);
+ $ERRORS[] = "DEBUG: " . $error;
+ }
+}
+
+/**
+ * API PHP Exception handler.
+ * This is a generic exception handler for PHP exceptions. This will catch any
+ * uncaught exception, end API execution and return the result to the requestor
+ * as an ErrorResult in the requested format.
+ *
+ * @param Exception $exception Exception
+ *
+ * @return void
+ * @access private
+ */
+function _php_api_exception_handler($exception) {
+
+ error_log("*** FATAL EXCEPTION (API) *** : " . $exception);
+
+ $code = $exception->getCode() == 0 ? ErrorResult::$RESULT_FAIL : $exception->getCode();
+ $result = new ErrorResult($exception->getMessage(), $code, NULL);
+
+ echo elgg_view_page($exception->getMessage(), elgg_view("api/output", array("result" => $result)));
+}
+
+
+// Services handler
+
+/**
+ * Services handler - turns request over to the registered handler
+ * If no handler is found, this returns a 404 error
+ *
+ * @param string $handler Handler name
+ * @param array $request Request string
+ *
+ * @return void
+ * @access private
+ */
+function service_handler($handler, $request) {
+ global $CONFIG;
+
+ elgg_set_context('api');
+
+ $request = explode('/', $request);
+
+ // after the handler, the first identifier is response format
+ // ex) http://example.org/services/api/rest/json/?method=test
+ $response_format = array_shift($request);
+ // Which view - xml, json, ...
+ if ($response_format && elgg_is_valid_view_type($response_format)) {
+ elgg_set_viewtype($response_format);
+ } else {
+ // default to json
+ elgg_set_viewtype("json");
+ }
+
+ if (!isset($CONFIG->servicehandler) || empty($handler)) {
+ // no handlers set or bad url
+ header("HTTP/1.0 404 Not Found");
+ exit;
+ } else if (isset($CONFIG->servicehandler[$handler]) && is_callable($CONFIG->servicehandler[$handler])) {
+ $function = $CONFIG->servicehandler[$handler];
+ call_user_func($function, $request, $handler);
+ } else {
+ // no handler for this web service
+ header("HTTP/1.0 404 Not Found");
+ exit;
+ }
+}
+
+/**
+ * Registers a web services handler
+ *
+ * @param string $handler Web services type
+ * @param string $function Your function name
+ *
+ * @return bool Depending on success
+ * @since 1.7.0
+ */
+function register_service_handler($handler, $function) {
+ global $CONFIG;
+
+ if (!isset($CONFIG->servicehandler)) {
+ $CONFIG->servicehandler = array();
+ }
+ if (is_callable($function, true)) {
+ $CONFIG->servicehandler[$handler] = $function;
+ return true;
+ }
+
+ return false;
+}
+
+/**
+ * Remove a web service
+ * To replace a web service handler, register the desired handler over the old on
+ * with register_service_handler().
+ *
+ * @param string $handler web services type
+ *
+ * @return void
+ * @since 1.7.0
+ */
+function unregister_service_handler($handler) {
+ global $CONFIG;
+
+ if (isset($CONFIG->servicehandler, $CONFIG->servicehandler[$handler])) {
+ unset($CONFIG->servicehandler[$handler]);
+ }
+}
+
+/**
+ * REST API handler
+ *
+ * @return void
+ * @access private
+ *
+ * @throws SecurityException|APIException
+ */
+function rest_handler() {
+ global $CONFIG;
+
+ // Register the error handler
+ error_reporting(E_ALL);
+ set_error_handler('_php_api_error_handler');
+
+ // Register a default exception handler
+ set_exception_handler('_php_api_exception_handler');
+
+ // Check to see if the api is available
+ if ((isset($CONFIG->disable_api)) && ($CONFIG->disable_api == true)) {
+ throw new SecurityException(elgg_echo('SecurityException:APIAccessDenied'));
+ }
+
+ // plugins should return true to control what API and user authentication handlers are registered
+ if (elgg_trigger_plugin_hook('rest', 'init', null, false) == false) {
+ // for testing from a web browser, you can use the session PAM
+ // do not use for production sites!!
+ //register_pam_handler('pam_auth_session');
+
+ // user token can also be used for user authentication
+ register_pam_handler('pam_auth_usertoken');
+
+ // simple API key check
+ register_pam_handler('api_auth_key', "sufficient", "api");
+ // hmac
+ register_pam_handler('api_auth_hmac', "sufficient", "api");
+ }
+
+ // Get parameter variables
+ $method = get_input('method');
+ $result = null;
+
+ // this will throw an exception if authentication fails
+ authenticate_method($method);
+
+ $result = execute_method($method);
+
+
+ if (!($result instanceof GenericResult)) {
+ throw new APIException(elgg_echo('APIException:ApiResultUnknown'));
+ }
+
+ // Output the result
+ echo elgg_view_page($method, elgg_view("api/output", array("result" => $result)));
+}
+
+// Initialization
+
+/**
+ * Unit tests for API
+ *
+ * @param string $hook unit_test
+ * @param string $type system
+ * @param mixed $value Array of tests
+ * @param mixed $params Params
+ *
+ * @return array
+ * @access private
+ */
+function api_unit_test($hook, $type, $value, $params) {
+ global $CONFIG;
+
+ $value[] = $CONFIG->path . 'engine/tests/services/api.php';
+ return $value;
+}
+
+/**
+ * Initialise the API subsystem.
+ *
+ * @return void
+ * @access private
+ */
+function api_init() {
+ // Register a page handler, so we can have nice URLs
+ register_service_handler('rest', 'rest_handler');
+
+ elgg_register_plugin_hook_handler('unit_test', 'system', 'api_unit_test');
+
+ // expose the list of api methods
+ expose_function("system.api.list", "list_all_apis", NULL,
+ elgg_echo("system.api.list"), "GET", false, false);
+
+ // The authentication token api
+ expose_function(
+ "auth.gettoken",
+ "auth_gettoken",
+ array(
+ 'username' => array ('type' => 'string'),
+ 'password' => array ('type' => 'string'),
+ ),
+ elgg_echo('auth.gettoken'),
+ 'POST',
+ false,
+ false
+ );
+}
+
+
+elgg_register_event_handler('init', 'system', 'api_init');
diff --git a/engine/lib/widgets.php b/engine/lib/widgets.php
index 1646c18d4..699462a1b 100644
--- a/engine/lib/widgets.php
+++ b/engine/lib/widgets.php
@@ -11,12 +11,13 @@
* Get widgets for a particular context
*
* The widgets are ordered for display and grouped in columns.
- * $widgets = elgg_get_widgets(get_loggedin_userid(), 'dashboard');
+ * $widgets = elgg_get_widgets(elgg_get_logged_in_user_guid(), 'dashboard');
* $first_column_widgets = $widgets[1];
*
* @param int $user_guid The owner user GUID
* @param string $context The context (profile, dashboard, etc)
- * @return array|false An 2D array of ElggWidget objects or false
+ *
+ * @return array An 2D array of ElggWidget objects
* @since 1.8.0
*/
function elgg_get_widgets($user_guid, $context) {
@@ -25,19 +26,20 @@ function elgg_get_widgets($user_guid, $context) {
'subtype' => 'widget',
'owner_guid' => $user_guid,
'private_setting_name' => 'context',
- 'private_setting_value' => $context
+ 'private_setting_value' => $context,
+ 'limit' => 0
);
$widgets = elgg_get_entities_from_private_settings($options);
if (!$widgets) {
- return false;
+ return array();
}
$sorted_widgets = array();
foreach ($widgets as $widget) {
- if (!isset($sorted_widgets[$widget->column])) {
- $sorted_widgets[$widget->column] = array();
+ if (!isset($sorted_widgets[(int)$widget->column])) {
+ $sorted_widgets[(int)$widget->column] = array();
}
- $sorted_widgets[$widget->column][$widget->order] = $widget;
+ $sorted_widgets[(int)$widget->column][$widget->order] = $widget;
}
foreach ($sorted_widgets as $col => $widgets) {
@@ -50,13 +52,15 @@ function elgg_get_widgets($user_guid, $context) {
/**
* Create a new widget instance
*
- * @param int $entity_guid GUID of entity that owns this widget
- * @param string $handler The handler for this widget
- * @param int $access_id If not specified, it is set to the default access level
+ * @param int $owner_guid GUID of entity that owns this widget
+ * @param string $handler The handler for this widget
+ * @param string $context The context for this widget
+ * @param int $access_id If not specified, it is set to the default access level
+ *
* @return int|false Widget GUID or false on failure
- * @since 1.8
+ * @since 1.8.0
*/
-function elgg_create_widget($owner_guid, $handler, $access_id = null) {
+function elgg_create_widget($owner_guid, $handler, $context, $access_id = null) {
if (empty($owner_guid) || empty($handler) || !elgg_is_widget_type($handler)) {
return false;
}
@@ -81,70 +85,47 @@ function elgg_create_widget($owner_guid, $handler, $access_id = null) {
// private settings cannot be set until ElggWidget saved
$widget->handler = $handler;
+ $widget->context = $context;
return $widget->getGUID();
}
/**
- * Saves a widget's settings
- *
- * Plugins can override this save function by defining a function of the name
- * "elgg_save_{$widget->handler}_widget_settings" that takes the widget object
- * and the parameter array as arguments
+ * Can the user edit the widget layout
+ *
+ * Triggers a 'permissions_check', 'widget_layout' plugin hook
+ *
+ * @param string $context The widget context
+ * @param int $user_guid The GUID of the user (0 for logged in user)
*
- * @param int $guid The GUID of the widget
- * @param array $params An array of name => value parameters
* @return bool
* @since 1.8.0
*/
-function elgg_save_widget_settings($guid, $params) {
- $widget = get_entity($guid);
- if (!$widget || !$widget->canEdit()) {
- return false;
- }
+function elgg_can_edit_widget_layout($context, $user_guid = 0) {
- // check if a plugin is overriding the save function
- $function = "elgg_save_{$widget->handler}_widget_settings";
- if (is_callable($function)) {
- return $function($widget, $params);
- }
-
- if (is_array($params) && count($params) > 0) {
- foreach ($params as $name => $value) {
- if (is_array($value)) {
- // private settings cannot handle arrays
- return false;
- } else {
- $widget->$name = $value;
- }
- }
- $widget->save();
+ $user = get_entity((int)$user_guid);
+ if (!$user) {
+ $user = elgg_get_logged_in_user_entity();
}
- return true;
-}
-
-/**
- * Can the user edit the widgets
- *
- * @param int $user_guid The GUID of the user or 0 for logged in user
- * @return bool
- */
-function elgg_can_edit_widgets($user_guid = 0) {
$return = false;
- if (isadminloggedin()) {
+ if (elgg_is_admin_logged_in()) {
$return = true;
}
- if (elgg_get_page_owner_guid() == get_loggedin_userid()) {
+ if (elgg_get_page_owner_guid() == $user->guid) {
$return = true;
}
- // @todo add plugin hook
- return $return;
+ $params = array(
+ 'user' => $user,
+ 'context' => $context,
+ 'page_owner' => elgg_get_page_owner_entity()
+ );
+ return elgg_trigger_plugin_hook('permissions_check', 'widget_layout', $params, $return);
}
/**
- * Add a widget type
+ * Regsiter a widget type
*
* This should be called by plugins in their init function.
*
@@ -154,11 +135,12 @@ function elgg_can_edit_widgets($user_guid = 0) {
* @param string $context A comma-separated list of contexts where this
* widget is allowed (default: 'all')
* @param bool $multiple Whether or not multiple instances of this widget
- * are allowed on a single dashboard (default: false)
+ * are allowed in a single layout (default: false)
+ *
* @return bool
* @since 1.8.0
*/
-function elgg_add_widget_type($handler, $name, $description, $context = "all", $multiple = false) {
+function elgg_register_widget_type($handler, $name, $description, $context = "all", $multiple = false) {
if (!$handler || !$name) {
return false;
@@ -188,10 +170,11 @@ function elgg_add_widget_type($handler, $name, $description, $context = "all", $
* Remove a widget type
*
* @param string $handler The identifier for the widget
+ *
* @return void
* @since 1.8.0
*/
-function elgg_remove_widget_type($handler) {
+function elgg_unregister_widget_type($handler) {
global $CONFIG;
if (!isset($CONFIG->widgets)) {
@@ -208,10 +191,11 @@ function elgg_remove_widget_type($handler) {
}
/**
- * Determines whether or not widgets with the specified handler have been defined
+ * Has a widget type with the specified handler been registered
*
* @param string $handler The widget handler identifying string
- * @return bool Whether or not those widgets exist
+ *
+ * @return bool Whether or not that widget type exists
* @since 1.8.0
*/
function elgg_is_widget_type($handler) {
@@ -233,11 +217,13 @@ function elgg_is_widget_type($handler) {
*
* The widget types are stdClass objects.
*
- * @param string context The widget context or empty string for current context
+ * @param string $context The widget context or empty string for current context
+ * @param bool $exact Only return widgets registered for this context (false)
+ *
* @return array
* @since 1.8.0
*/
-function elgg_get_widget_types($context = "") {
+function elgg_get_widget_types($context = "", $exact = false) {
global $CONFIG;
if (empty($CONFIG->widgets) ||
@@ -253,8 +239,14 @@ function elgg_get_widget_types($context = "") {
$widgets = array();
foreach ($CONFIG->widgets->handlers as $key => $handler) {
- if (in_array('all', $handler->context) || in_array($context, $handler->context)) {
- $widgets[$key] = $handler;
+ if ($exact) {
+ if (in_array($context, $handler->context)) {
+ $widgets[$key] = $handler;
+ }
+ } else {
+ if (in_array('all', $handler->context) || in_array($context, $handler->context)) {
+ $widgets[$key] = $handler;
+ }
}
}
@@ -265,6 +257,7 @@ function elgg_get_widget_types($context = "") {
* Regsiter entity of object, widget as ElggWidget objects
*
* @return void
+ * @access private
*/
function elgg_widget_run_once() {
add_subtype("object", "widget", "ElggWidget");
@@ -274,443 +267,154 @@ function elgg_widget_run_once() {
* Function to initialize widgets functionality
*
* @return void
+ * @access private
*/
function elgg_widgets_init() {
- register_action('widgets/save');
- register_action('widgets/add');
- register_action('widgets/move');
- register_action('widgets/delete');
+ elgg_register_action('widgets/save');
+ elgg_register_action('widgets/add');
+ elgg_register_action('widgets/move');
+ elgg_register_action('widgets/delete');
+ elgg_register_action('widgets/upgrade', '', 'admin');
run_function_once("elgg_widget_run_once");
}
-elgg_register_event_handler('init', 'system', 'elgg_widgets_init');
-
-
-
/**
- * When given a widget entity and a new requested location, saves the new location
- * and also provides a sensible ordering for all widgets in that column
- *
- * @param ElggObject $widget The widget entity
- * @param int $order The order within the column
- * @param int $column The column (1, 2 or 3)
- *
- * @return bool Depending on success
- * @deprecated 1.8 use ElggWidget::move()
- */
-function save_widget_location(ElggObject $widget, $order, $column) {
- elgg_deprecated_notice('save_widget_location() is deprecated', 1.8);
- if ($widget instanceof ElggObject) {
- if ($widget->subtype == "widget") {
- // If you can't move the widget, don't save a new location
- if (!$widget->draggable) {
- return false;
- }
-
- // Sanitise the column value
- if ($column != 1 || $column != 2 || $column != 3) {
- $column = 1;
- }
-
- $widget->column = (int) $column;
-
- $ordertmp = array();
- $params = array(
- 'context' => $widget->context,
- 'column' => $column,
- );
-
- if ($entities = get_entities_from_metadata_multi($params, 'object', 'widget')) {
- foreach ($entities as $entity) {
- $entityorder = $entity->order;
- if ($entityorder < $order) {
- $ordertmp[$entityorder] = $entity;
- }
- if ($entityorder >= $order) {
- $ordertmp[$entityorder + 10000] = $entity;
- }
- }
- }
-
- $ordertmp[$order] = $widget;
- ksort($ordertmp);
-
- $orderticker = 10;
- foreach ($ordertmp as $orderval => $entity) {
- $entity->order = $orderticker;
- $orderticker += 10;
- }
-
- return true;
- } else {
- register_error($widget->subtype);
- }
-
- }
-
- return false;
-}
-
-/**
- * Get widgets for a particular context and column, in order of display
- *
- * @param int $user_guid The owner user GUID
- * @param string $context The context (profile, dashboard etc)
- * @param int $column The column (1 or 2)
- *
- * @return array|false An array of widget ElggObjects, or false
- * @deprecated 1.8 Use elgg_get_widgets()
- */
-function get_widgets($user_guid, $context, $column) {
- elgg_deprecated_notice('get_widgets is depecated for elgg_get_widgets', 1.8);
- $params = array(
- 'column' => $column,
- 'context' => $context
- );
- $widgets = get_entities_from_private_setting_multi($params, "object",
- "widget", $user_guid, "", 10000);
-
- if ($widgets) {
- $widgetorder = array();
- foreach ($widgets as $widget) {
- $order = $widget->order;
- while (isset($widgetorder[$order])) {
- $order++;
- }
- $widgetorder[$order] = $widget;
- }
-
- ksort($widgetorder);
-
- return $widgetorder;
- }
-
- return false;
-}
-
-/**
- * Add a new widget instance
- *
- * @param int $entity_guid GUID of entity that owns this widget
- * @param string $handler The handler for this widget
- * @param string $context The page context for this widget
- * @param int $order The order to display this widget in
- * @param int $column The column to display this widget in (1, 2 or 3)
- * @param int $access_id If not specified, it is set to the default access level
- *
- * @return int|false Widget GUID or false on failure
- * @deprecated 1.8 use elgg_create_widget()
- */
-function add_widget($entity_guid, $handler, $context, $order = 0, $column = 1, $access_id = null) {
- elgg_deprecated_notice('add_widget has been deprecated for elgg_create_widget', 1.8);
- if (empty($entity_guid) || empty($context) || empty($handler) || !widget_type_exists($handler)) {
- return false;
- }
-
- if ($entity = get_entity($entity_guid)) {
- $widget = new ElggWidget;
- $widget->owner_guid = $entity_guid;
- $widget->container_guid = $entity_guid;
- if (isset($access_id)) {
- $widget->access_id = $access_id;
- } else {
- $widget->access_id = get_default_access();
- }
-
- $guid = $widget->save();
-
- // private settings cannot be set until ElggWidget saved
- $widget->handler = $handler;
- $widget->context = $context;
- $widget->column = $column;
- $widget->order = $order;
-
- return $guid;
- }
-
- return false;
-}
-
-/**
- * Define a new widget type
- *
- * @param string $handler The identifier for the widget handler
- * @param string $name The name of the widget type
- * @param string $description A description for the widget type
- * @param string $context A comma-separated list of contexts where this
- * widget is allowed (default: 'all')
- * @param bool $multiple Whether or not multiple instances of this widget
- * are allowed on a single dashboard (default: false)
- * @param string $positions A comma-separated list of positions on the page
- * (side or main) where this widget is allowed (default: "side,main")
- *
- * @return bool Depending on success
- * @deprecated 1.8
- */
-function add_widget_type($handler, $name, $description, $context = "all",
-$multiple = false, $positions = "side,main") {
- elgg_deprecated_notice("add_widget_type deprecated for elgg_add_widget_type", 1.8);
-
- return elgg_add_widget_type($handler, $name, $description, $context, $multiple);
-}
-
-/**
- * Remove a widget type
- *
- * @param string $handler The identifier for the widget handler
+ * Gets a list of events to create default widgets for and
+ * register menu items for default widgets with the admin section.
+ *
+ * A plugin that wants to register a new context for default widgets should
+ * register for the plugin hook 'get_list', 'default_widgets'. The handler
+ * can register the new type of default widgets by adding an associate array to
+ * the return value array like this:
+ * array(
+ * 'name' => elgg_echo('profile'),
+ * 'widget_context' => 'profile',
+ * 'widget_columns' => 3,
+ *
+ * 'event' => 'create',
+ * 'entity_type' => 'user',
+ * 'entity_subtype' => ELGG_ENTITIES_ANY_VALUE,
+ * );
+ *
+ * The first set of keys define information about the new type of default
+ * widgets and the second set determine what event triggers the creation of the
+ * new widgets.
*
* @return void
- * @since 1.7.1
- * @deprecated 1.8
- */
-function remove_widget_type($handler) {
- elgg_deprecated_notice("remove_widget_type deprecated for elgg_remove_widget_type", 1.8);
- return elgg_remove_widget_type($handler);
-}
-
-/**
- * Determines whether or not widgets with the specified handler have been defined
- *
- * @param string $handler The widget handler identifying string
- *
- * @return bool Whether or not those widgets exist
- * @deprecated 1.8
- */
-function widget_type_exists($handler) {
- elgg_deprecated_notice("widget_type_exists deprecated for elgg_is_widget_type", 1.8);
- return elgg_is_widget_type($handler);
-}
-
-/**
- * Returns an array of stdClass objects representing the defined widget types
- *
- * @return array A list of types defined (if any)
- * @deprecated 1.8
+ * @access private
*/
-function get_widget_types() {
- elgg_deprecated_notice("get_widget_types deprecrated for elgg_get_widget_types", 1.8);
- return elgg_get_widget_types();
-}
+function elgg_default_widgets_init() {
+ global $CONFIG;
+ $default_widgets = elgg_trigger_plugin_hook('get_list', 'default_widgets', null, array());
-/**
- * Saves a widget's settings (by passing an array of
- * (name => value) pairs to save_{$handler}_widget)
- *
- * @param int $widget_guid The GUID of the widget we're saving to
- * @param array $params An array of name => value parameters
- *
- * @return bool
- * @deprecated 1.8
- */
-function save_widget_info($widget_guid, $params) {
- elgg_deprecated_notice("save_widget_info() is deprecated for elgg_save_widget_settings", 1.8);
- if ($widget = get_entity($widget_guid)) {
+ $CONFIG->default_widget_info = $default_widgets;
- $subtype = $widget->getSubtype();
+ if ($default_widgets) {
+ elgg_register_admin_menu_item('configure', 'default_widgets', 'appearance');
- if ($subtype != "widget") {
- return false;
- }
- $handler = $widget->handler;
- if (empty($handler) || !widget_type_exists($handler)) {
- return false;
- }
+ // override permissions for creating widget on logged out / just created entities
+ elgg_register_plugin_hook_handler('container_permissions_check', 'object', 'elgg_default_widgets_permissions_override');
- if (!$widget->canEdit()) {
- return false;
+ // only register the callback once per event
+ $events = array();
+ foreach ($default_widgets as $info) {
+ $events[$info['event'] . ',' . $info['entity_type']] = $info;
}
-
- // Save the params to the widget
- if (is_array($params) && sizeof($params) > 0) {
- foreach ($params as $name => $value) {
-
- if (!empty($name) && !in_array($name, array(
- 'guid', 'owner_guid', 'site_guid'
- ))) {
- if (is_array($value)) {
- // @todo Handle arrays securely
- $widget->setMetaData($name, $value, "", true);
- } else {
- $widget->$name = $value;
- }
- }
- }
- $widget->save();
- }
-
- $function = "save_{$handler}_widget";
- if (is_callable($function)) {
- return $function($params);
+ foreach ($events as $info) {
+ elgg_register_event_handler($info['event'], $info['entity_type'], 'elgg_create_default_widgets');
}
-
- return true;
}
-
- return false;
}
/**
- * Reorders the widgets from a widget panel
+ * Creates default widgets
*
- * @param string $panelstring1 String of guids of ElggWidget objects separated by ::
- * @param string $panelstring2 String of guids of ElggWidget objects separated by ::
- * @param string $panelstring3 String of guids of ElggWidget objects separated by ::
- * @param string $context Profile or dashboard
- * @param int $owner Owner guid
+ * This plugin hook handler is registered for events based on what kinds of
+ * default widgets have been registered. See elgg_default_widgets_init() for
+ * information on registering new default widget contexts.
*
+ * @param string $event The event
+ * @param string $type The type of object
+ * @param ElggEntity $entity The entity being created
* @return void
- * @deprecated 1.8
+ * @access private
*/
-function reorder_widgets_from_panel($panelstring1, $panelstring2, $panelstring3, $context, $owner) {
- elgg_deprecated_notice("reorder_widgets_from_panel() is deprecated", 1.8);
- $return = true;
-
- $mainwidgets = explode('::', $panelstring1);
- $sidewidgets = explode('::', $panelstring2);
- $rightwidgets = explode('::', $panelstring3);
-
- $handlers = array();
- $guids = array();
-
- if (is_array($mainwidgets) && sizeof($mainwidgets) > 0) {
- foreach ($mainwidgets as $widget) {
-
- $guid = (int) $widget;
+function elgg_create_default_widgets($event, $type, $entity) {
+ $default_widget_info = elgg_get_config('default_widget_info');
- if ("{$guid}" == "{$widget}") {
- $guids[1][] = $widget;
- } else {
- $handlers[1][] = $widget;
- }
- }
- }
- if (is_array($sidewidgets) && sizeof($sidewidgets) > 0) {
- foreach ($sidewidgets as $widget) {
-
- $guid = (int) $widget;
-
- if ("{$guid}" == "{$widget}") {
- $guids[2][] = $widget;
- } else {
- $handlers[2][] = $widget;
- }
-
- }
- }
- if (is_array($rightwidgets) && sizeof($rightwidgets) > 0) {
- foreach ($rightwidgets as $widget) {
-
- $guid = (int) $widget;
-
- if ("{$guid}" == "{$widget}") {
- $guids[3][] = $widget;
- } else {
- $handlers[3][] = $widget;
- }
-
- }
+ if (!$default_widget_info || !$entity) {
+ return;
}
- // Reorder existing widgets or delete ones that have vanished
- foreach (array(1, 2, 3) as $column) {
- if ($dbwidgets = get_widgets($owner, $context, $column)) {
-
- foreach ($dbwidgets as $dbwidget) {
- if (in_array($dbwidget->getGUID(), $guids[1])
- || in_array($dbwidget->getGUID(), $guids[2]) || in_array($dbwidget->getGUID(), $guids[3])) {
-
- if (in_array($dbwidget->getGUID(), $guids[1])) {
- $pos = array_search($dbwidget->getGUID(), $guids[1]);
- $col = 1;
- } else if (in_array($dbwidget->getGUID(), $guids[2])) {
- $pos = array_search($dbwidget->getGUID(), $guids[2]);
- $col = 2;
- } else {
- $pos = array_search($dbwidget->getGUID(), $guids[3]);
- $col = 3;
+ $type = $entity->getType();
+ $subtype = $entity->getSubtype();
+
+ // event is already guaranteed by the hook registration.
+ // need to check subtype and type.
+ foreach ($default_widget_info as $info) {
+ if ($info['entity_type'] == $type) {
+ if ($info['entity_subtype'] == ELGG_ENTITIES_ANY_VALUE || $info['entity_subtype'] == $subtype) {
+
+ // need to be able to access everything
+ $old_ia = elgg_set_ignore_access(true);
+ elgg_push_context('create_default_widgets');
+
+ // pull in by widget context with widget owners as the site
+ // not using elgg_get_widgets() because it sorts by columns and we don't care right now.
+ $options = array(
+ 'type' => 'object',
+ 'subtype' => 'widget',
+ 'owner_guid' => elgg_get_site_entity()->guid,
+ 'private_setting_name' => 'context',
+ 'private_setting_value' => $info['widget_context'],
+ 'limit' => 0
+ );
+
+ $widgets = elgg_get_entities_from_private_settings($options);
+ /* @var ElggWidget[] $widgets */
+
+ foreach ($widgets as $widget) {
+ // change the container and owner
+ $new_widget = clone $widget;
+ $new_widget->container_guid = $entity->guid;
+ $new_widget->owner_guid = $entity->guid;
+
+ // pull in settings
+ $settings = get_all_private_settings($widget->guid);
+
+ foreach ($settings as $name => $value) {
+ $new_widget->$name = $value;
}
- $pos = ($pos + 1) * 10;
- $dbwidget->column = $col;
- $dbwidget->order = $pos;
- } else {
- $dbguid = $dbwidget->getGUID();
- if (!$dbwidget->delete()) {
- $return = false;
- } else {
- // Remove state cookie
- setcookie('widget' + $dbguid, null);
- }
- }
- }
- }
- // Add new ones
- if (sizeof($guids[$column]) > 0) {
- foreach ($guids[$column] as $key => $guid) {
- if ($guid == 0) {
- $pos = ($key + 1) * 10;
- $handler = $handlers[$column][$key];
- if (!add_widget($owner, $handler, $context, $pos, $column)) {
- $return = false;
- }
+ $new_widget->save();
}
+
+ elgg_set_ignore_access($old_ia);
+ elgg_pop_context();
}
}
}
-
- return $return;
}
/**
- * Register a particular context for use with widgets.
- *
- * @param string $context The context we wish to enable context for
- *
- * @return void
- * @deprecated 1.8
+ * Overrides permissions checks when creating widgets for logged out users.
+ *
+ * @param string $hook The permissions hook.
+ * @param string $type The type of entity being created.
+ * @param string $return Value
+ * @param mixed $params Params
+ * @return true|null
+ * @access private
*/
-function use_widgets($context) {
- elgg_deprecated_notice("use_widgets is deprecated", 1.8);
- global $CONFIG;
-
- if (!isset($CONFIG->widgets)) {
- $CONFIG->widgets = new stdClass;
- }
-
- if (!isset($CONFIG->widgets->contexts)) {
- $CONFIG->widgets->contexts = array();
+function elgg_default_widgets_permissions_override($hook, $type, $return, $params) {
+ if ($type == 'object' && $params['subtype'] == 'widget') {
+ return elgg_in_context('create_default_widgets') ? true : null;
}
- if (!empty($context)) {
- $CONFIG->widgets->contexts[] = $context;
- }
+ return null;
}
-/**
- * Determines whether or not the current context is using widgets
- *
- * @return bool Depending on widget status
- * @deprecated 1.8
- */
-function using_widgets() {
- elgg_deprecated_notice("using_widgets is deprecated", 1.8);
- global $CONFIG;
-
- $context = elgg_get_context();
- if (isset($CONFIG->widgets->contexts) && is_array($CONFIG->widgets->contexts)) {
- if (in_array($context, $CONFIG->widgets->contexts)) {
- return true;
- }
- }
-
- return false;
-}
-
-/**
- * @deprecated 1.8
- */
-function display_widget(ElggObject $widget) {
- elgg_deprecated_notice("display_widget() was been deprecated. Use elgg_view_entity().", 1.8);
- return elgg_view_entity($widget);
-}
+elgg_register_event_handler('init', 'system', 'elgg_widgets_init');
+// register default widget hooks from plugins
+elgg_register_event_handler('ready', 'system', 'elgg_default_widgets_init');
diff --git a/engine/lib/xml-rpc.php b/engine/lib/xml-rpc.php
index 6c1084f70..bfe1a8645 100644
--- a/engine/lib/xml-rpc.php
+++ b/engine/lib/xml-rpc.php
@@ -15,6 +15,7 @@
* @param array $parameters An array of params
*
* @return array
+ * @access private
*/
function xmlrpc_parse_params($parameters) {
$result = array();
@@ -32,6 +33,7 @@ function xmlrpc_parse_params($parameters) {
* @param XMLObject $object And object
*
* @return mixed
+ * @access private
*/
function xmlrpc_scalar_value($object) {
if ($object->name == 'param') {
@@ -86,6 +88,7 @@ function xmlrpc_scalar_value($object) {
// Functions for adding handlers //////////////////////////////////////////////////////////
/** XML-RPC Handlers */
+global $XML_RPC_HANDLERS;
$XML_RPC_HANDLERS = array();
/**
@@ -109,6 +112,7 @@ function register_xmlrpc_handler($method, $handler) {
* @param XMLRPCCall $parameters The call and parameters.
*
* @return XMLRPCCall
+ * @access private
*/
function trigger_xmlrpc_handler(XMLRPCCall $parameters) {
global $XML_RPC_HANDLERS;
@@ -147,6 +151,7 @@ function trigger_xmlrpc_handler(XMLRPCCall $parameters) {
* @param array $vars Vars
*
* @return void
+ * @access private
*/
function _php_xmlrpc_error_handler($errno, $errmsg, $filename, $linenum, $vars) {
$error = date("Y-m-d H:i:s (T)") . ": \"" . $errmsg . "\" in file "
@@ -176,6 +181,7 @@ function _php_xmlrpc_error_handler($errno, $errmsg, $filename, $linenum, $vars)
* @param Exception $exception The exception
*
* @return void
+ * @access private
*/
function _php_xmlrpc_exception_handler($exception) {
diff --git a/engine/lib/xml.php b/engine/lib/xml.php
index 0d0d83da0..497459d83 100644
--- a/engine/lib/xml.php
+++ b/engine/lib/xml.php
@@ -101,47 +101,11 @@ function serialise_array_to_xml(array $data, $n = 0) {
/**
* Parse an XML file into an object.
- * Based on code from http://de.php.net/manual/en/function.xml-parse-into-struct.php by
- * efredricksen at gmail dot com
*
* @param string $xml The XML
*
- * @return object
+ * @return ElggXMLElement
*/
function xml_to_object($xml) {
- $parser = xml_parser_create();
-
- // Parse $xml into a structure
- xml_parser_set_option($parser, XML_OPTION_SKIP_WHITE, 1);
- xml_parser_set_option($parser, XML_OPTION_CASE_FOLDING, 0);
- xml_parse_into_struct($parser, $xml, $tags);
-
- xml_parser_free($parser);
-
- $elements = array();
- $stack = array();
-
- foreach ($tags as $tag) {
- $index = count($elements);
-
- if ($tag['type'] == "complete" || $tag['type'] == "open") {
- $elements[$index] = new XmlElement;
- $elements[$index]->name = $tag['tag'];
- $elements[$index]->attributes = $tag['attributes'];
- $elements[$index]->content = $tag['value'];
-
- if ($tag['type'] == "open") {
- $elements[$index]->children = array();
- $stack[count($stack)] = &$elements;
- $elements = &$elements[$index]->children;
- }
- }
-
- if ($tag['type'] == "close") {
- $elements = &$stack[count($stack) - 1];
- unset($stack[count($stack) - 1]);
- }
- }
-
- return $elements[0];
+ return new ElggXMLElement($xml);
}
diff --git a/engine/schema/mysql.sql b/engine/schema/mysql.sql
index 526cc8ce6..4714b71bb 100644
--- a/engine/schema/mysql.sql
+++ b/engine/schema/mysql.sql
@@ -94,7 +94,7 @@ CREATE TABLE `prefix_api_users` (
/*!40101 SET @saved_cs_client = @@character_set_client */;
/*!40101 SET character_set_client = utf8 */;
CREATE TABLE `prefix_config` (
- `name` varchar(32) NOT NULL,
+ `name` varchar(255) NOT NULL,
`value` text NOT NULL,
`site_guid` int(11) NOT NULL,
PRIMARY KEY (`name`,`site_guid`)
@@ -108,7 +108,7 @@ CREATE TABLE `prefix_config` (
/*!40101 SET @saved_cs_client = @@character_set_client */;
/*!40101 SET character_set_client = utf8 */;
CREATE TABLE `prefix_datalists` (
- `name` varchar(32) NOT NULL,
+ `name` varchar(255) NOT NULL,
`value` text NOT NULL,
PRIMARY KEY (`name`)
) ENGINE=MyISAM DEFAULT CHARSET=utf8;
@@ -361,6 +361,7 @@ CREATE TABLE `prefix_system_log` (
`access_id` int(11) NOT NULL,
`enabled` enum('yes','no') NOT NULL DEFAULT 'yes',
`time_created` int(11) NOT NULL,
+ `ip_address` varchar(46) NOT NULL,
PRIMARY KEY (`id`),
KEY `object_id` (`object_id`),
KEY `object_class` (`object_class`),
diff --git a/engine/settings.example.php b/engine/settings.example.php
index 07ca9b642..3b139d710 100644
--- a/engine/settings.example.php
+++ b/engine/settings.example.php
@@ -107,7 +107,7 @@ $CONFIG->broken_mta = FALSE;
*
* Elgg stores each query and its results in a query cache.
* On large sites or long-running scripts, this cache can grow to be
- * large. To disable query caching, set this to FALSE.
+ * large. To disable query caching, set this to TRUE.
*
* @global bool $CONFIG->db_disable_query_cache
*/
@@ -120,4 +120,4 @@ $CONFIG->db_disable_query_cache = FALSE;
*
* @global int $CONFIG->min_password_length
*/
-$CONFIG->min_password_length = 6; \ No newline at end of file
+$CONFIG->min_password_length = 6;
diff --git a/engine/start.php b/engine/start.php
index 0ae24aaf5..55b8ffa5b 100644
--- a/engine/start.php
+++ b/engine/start.php
@@ -1,12 +1,12 @@
<?php
/**
- * Bootstraps and starts the Elgg engine.
+ * Bootstraps the Elgg engine.
*
* This file loads the full Elgg engine, checks the installation
- * state, then emits a series of events to finish booting Elgg:
+ * state, and triggers a series of events to finish booting Elgg:
* - {@elgg_event boot system}
- * - {@elgg_event plugins_boot system}
* - {@elgg_event init system}
+ * - {@elgg_event ready system}
*
* If Elgg is fully uninstalled, the browser will be redirected to an
* installation page.
@@ -36,13 +36,12 @@ $START_MICROTIME = microtime(true);
* Configuration values.
*
* The $CONFIG global contains configuration values required
- * for running Elgg as defined in the settings.php file. The following
- * array keys are defined by core Elgg:
+ * for running Elgg as defined in the settings.php file.
*
- * Plugin authors are encouraged to use get_config() instead of accessing the
- * global directly.
+ * Plugin authors are encouraged to use elgg_get_config() instead of accessing
+ * the global directly.
*
- * @see get_config()
+ * @see elgg_get_config()
* @see engine/settings.php
* @global stdClass $CONFIG
*/
@@ -50,95 +49,73 @@ global $CONFIG;
if (!isset($CONFIG)) {
$CONFIG = new stdClass;
}
+$CONFIG->boot_complete = false;
$lib_dir = dirname(__FILE__) . '/lib/';
-/**
- * The minimum required libs to bootstrap an Elgg installation.
- *
- * @var array
- */
-$required_files = array(
- 'elgglib.php', 'views.php', 'access.php', 'system_log.php', 'export.php',
- 'sessions.php', 'languages.php', 'input.php', 'install.php', 'cache.php',
- 'output.php'
-);
-
-// include bootstraping libs
-foreach ($required_files as $file) {
- $path = $lib_dir . $file;
- if (!include($path)) {
- echo "Could not load file '$path'. "
- . 'Please check your Elgg installation for all required files.';
- exit;
- }
+// Load the bootstrapping library
+$path = $lib_dir . 'elgglib.php';
+if (!include_once($path)) {
+ echo "Could not load file '$path'. Please check your Elgg installation for all required files.";
+ exit;
}
-// Register the error handler
-set_error_handler('_elgg_php_error_handler');
-set_exception_handler('_elgg_php_exception_handler');
-
-/**
- * Load the system settings
- */
+// Load the system settings
if (!include_once(dirname(__FILE__) . "/settings.php")) {
- $msg = elgg_echo('InstallationException:CannotLoadSettings');
+ $msg = 'Elgg could not load the settings file. It does not exist or there is a file permissions issue.';
throw new InstallationException($msg);
}
// load the rest of the library files from engine/lib/
$lib_files = array(
- // these need to be loaded first.
- 'database.php', 'actions.php',
-
- 'admin.php', 'annotations.php', 'api.php', 'calendar.php',
- 'configuration.php', 'cron.php', 'entities.php', 'export.php',
- 'extender.php', 'filestore.php', 'group.php',
- 'location.php', 'mb_wrapper.php', 'memcache.php', 'metadata.php',
- 'metastrings.php', 'navigation.php', 'notification.php', 'objects.php',
- 'opendd.php', 'pagehandler.php', 'pageowner.php', 'pam.php', 'plugins.php',
- 'private_settings.php', 'relationships.php', 'river.php', 'sites.php',
- 'statistics.php', 'tags.php', 'usersettings.php', 'users.php',
- 'version.php', 'widgets.php', 'xml.php', 'xml-rpc.php'
+ 'access.php', 'actions.php', 'admin.php', 'annotations.php', 'cache.php',
+ 'calendar.php', 'configuration.php', 'cron.php', 'database.php',
+ 'entities.php', 'export.php', 'extender.php', 'filestore.php', 'group.php',
+ 'input.php', 'languages.php', 'location.php', 'mb_wrapper.php',
+ 'memcache.php', 'metadata.php', 'metastrings.php', 'navigation.php',
+ 'notification.php', 'objects.php', 'opendd.php', 'output.php',
+ 'pagehandler.php', 'pageowner.php', 'pam.php', 'plugins.php',
+ 'private_settings.php', 'relationships.php', 'river.php', 'sessions.php',
+ 'sites.php', 'statistics.php', 'system_log.php', 'tags.php',
+ 'user_settings.php', 'users.php', 'upgrade.php', 'views.php',
+ 'web_services.php', 'widgets.php', 'xml.php', 'xml-rpc.php',
+
+ // backward compatibility
+ 'deprecated-1.7.php', 'deprecated-1.8.php',
);
foreach ($lib_files as $file) {
$file = $lib_dir . $file;
elgg_log("Loading $file...");
if (!include_once($file)) {
- $msg = sprint(elgg_echo('InstallationException:MissingLibrary'), $file);
+ $msg = "Could not load $file";
throw new InstallationException($msg);
}
}
-// confirm that the installation completed successfully
-verify_installation();
-
-// Autodetect some default configuration settings
-set_default_config();
-
-// Trigger boot events for core. Plugins can't hook
-// into this because they haven't been loaded yet.
+// Connect to database, load language files, load configuration, init session
+// Plugins can't use this event because they haven't been loaded yet.
elgg_trigger_event('boot', 'system');
// Load the plugins that are active
-load_plugins();
+elgg_load_plugins();
+
+// @todo move loading plugins into a single boot function that replaces 'boot', 'system' event
+// and then move this code in there.
+// This validates the view type - first opportunity to do it is after plugins load.
+$view_type = elgg_get_viewtype();
+if (!elgg_is_valid_view_type($view_type)) {
+ elgg_set_viewtype('default');
+}
+
+// @todo deprecate as plugins can use 'init', 'system' event
elgg_trigger_event('plugins_boot', 'system');
-// Trigger system init event for plugins
+// Complete the boot process for both engine and plugins
elgg_trigger_event('init', 'system');
-// Regenerate the simple cache if expired.
-// Don't do it on upgrade because upgrade does it itself.
-// @todo - move into function and perhaps run off init system event
-if (!defined('UPGRADING')) {
- $view = get_input('view', 'default');
- $lastupdate = datalist_get("simplecache_lastupdate_$view");
- $lastcached = datalist_get("simplecache_lastcached_$view");
- if ($lastupdate == 0 || $lastcached < $lastupdate) {
- elgg_view_regenerate_simplecache($view);
- }
- // needs to be set for links in html head
- $CONFIG->lastcache = $lastcached;
-}
+$CONFIG->boot_complete = true;
+
+// System loaded and ready
+elgg_trigger_event('ready', 'system');
diff --git a/engine/tests/api/access_collections.php b/engine/tests/api/access_collections.php
new file mode 100644
index 000000000..4acfae596
--- /dev/null
+++ b/engine/tests/api/access_collections.php
@@ -0,0 +1,290 @@
+<?php
+/**
+ * Access Collections tests
+ *
+ * @package Elgg
+ * @subpackage Test
+ */
+class ElggCoreAccessCollectionsTest extends ElggCoreUnitTest {
+
+ /**
+ * Called before each test object.
+ */
+ public function __construct() {
+ parent::__construct();
+
+ $this->dbPrefix = get_config("dbprefix");
+
+ $user = new ElggUser();
+ $user->username = 'test_user_' . rand();
+ $user->email = 'fake_email@fake.com' . rand();
+ $user->name = 'fake user';
+ $user->access_id = ACCESS_PUBLIC;
+ $user->salt = generate_random_cleartext_password();
+ $user->password = generate_user_password($user, rand());
+ $user->owner_guid = 0;
+ $user->container_guid = 0;
+ $user->save();
+
+ $this->user = $user;
+ }
+
+ /**
+ * Called before each test method.
+ */
+ public function setUp() {
+
+ }
+
+ /**
+ * Called after each test method.
+ */
+ public function tearDown() {
+ // do not allow SimpleTest to interpret Elgg notices as exceptions
+ $this->swallowErrors();
+ }
+
+ /**
+ * Called after each test object.
+ */
+ public function __destruct() {
+ // all __destruct() code should go above here
+ $this->user->delete();
+ parent::__destruct();
+ }
+
+ public function testCreateGetDeleteACL() {
+
+ $acl_name = 'test access collection';
+ $acl_id = create_access_collection($acl_name);
+
+ $this->assertTrue(is_int($acl_id));
+
+ $q = "SELECT * FROM {$this->dbPrefix}access_collections WHERE id = $acl_id";
+ $acl = get_data_row($q);
+
+ $this->assertEqual($acl->id, $acl_id);
+
+ if ($acl) {
+ $this->assertEqual($acl->name, $acl_name);
+
+ $result = delete_access_collection($acl_id);
+ $this->assertTrue($result);
+
+ $q = "SELECT * FROM {$this->dbPrefix}access_collections WHERE id = $acl_id";
+ $data = get_data($q);
+ $this->assertIdentical(array(), $data);
+ }
+ }
+
+ public function testAddRemoveUserToACL() {
+ $acl_id = create_access_collection('test acl');
+
+ $result = add_user_to_access_collection($this->user->guid, $acl_id);
+ $this->assertTrue($result);
+
+ if ($result) {
+ $result = remove_user_from_access_collection($this->user->guid, $acl_id);
+ $this->assertIdentical(true, $result);
+ }
+
+ delete_access_collection($acl_id);
+ }
+
+ public function testUpdateACL() {
+ // another fake user to test with
+ $user = new ElggUser();
+ $user->username = 'test_user_' . rand();
+ $user->email = 'fake_email@fake.com' . rand();
+ $user->name = 'fake user';
+ $user->access_id = ACCESS_PUBLIC;
+ $user->salt = generate_random_cleartext_password();
+ $user->password = generate_user_password($user, rand());
+ $user->owner_guid = 0;
+ $user->container_guid = 0;
+ $user->save();
+
+ $acl_id = create_access_collection('test acl');
+
+ $member_lists = array(
+ // adding
+ array(
+ $this->user->guid,
+ $user->guid
+ ),
+ // removing one, keeping one.
+ array(
+ $user->guid
+ ),
+ // removing one, adding one
+ array(
+ $this->user->guid,
+ ),
+ // removing all.
+ array()
+ );
+
+ foreach ($member_lists as $members) {
+ $result = update_access_collection($acl_id, $members);
+ $this->assertTrue($result);
+
+ if ($result) {
+ $q = "SELECT * FROM {$this->dbPrefix}access_collection_membership
+ WHERE access_collection_id = $acl_id";
+ $data = get_data($q);
+
+ if (count($members) == 0) {
+ $this->assertFalse($data);
+ } else {
+ $this->assertEqual(count($members), count($data));
+ }
+ foreach ($data as $row) {
+ $this->assertTrue(in_array($row->user_guid, $members));
+ }
+ }
+ }
+
+ delete_access_collection($acl_id);
+ $user->delete();
+ }
+
+ public function testCanEditACL() {
+ $acl_id = create_access_collection('test acl', $this->user->guid);
+
+ // should be true since it's the owner
+ $result = can_edit_access_collection($acl_id, $this->user->guid);
+ $this->assertTrue($result);
+
+ // should be true since IA is on.
+ $ia = elgg_set_ignore_access(true);
+ $result = can_edit_access_collection($acl_id);
+ $this->assertTrue($result);
+ elgg_set_ignore_access($ia);
+
+ // should be false since IA is off
+ $ia = elgg_set_ignore_access(false);
+ $result = can_edit_access_collection($acl_id);
+ $this->assertFalse($result);
+ elgg_set_ignore_access($ia);
+
+ delete_access_collection($acl_id);
+ }
+
+ public function testCanEditACLHook() {
+ // if only we supported closures!
+ global $acl_test_info;
+
+ $acl_id = create_access_collection('test acl');
+
+ $acl_test_info = array(
+ 'acl_id' => $acl_id,
+ 'user' => $this->user
+ );
+
+ function test_acl_access_hook($hook, $type, $value, $params) {
+ global $acl_test_info;
+ if ($params['user_id'] == $acl_test_info['user']->guid) {
+ $acl = get_access_collection($acl_test_info['acl_id']);
+ $value[$acl->id] = $acl->name;
+ }
+
+ return $value;
+ }
+
+ elgg_register_plugin_hook_handler('access:collections:write', 'all', 'test_acl_access_hook');
+
+ // enable security since we usually run as admin
+ $ia = elgg_set_ignore_access(false);
+ $result = can_edit_access_collection($acl_id, $this->user->guid);
+ $this->assertTrue($result);
+ $ia = elgg_set_ignore_access($ia);
+
+ elgg_unregister_plugin_hook_handler('access:collections:write', 'all', 'test_acl_access_hook');
+
+ delete_access_collection($acl_id);
+ }
+
+ // groups interface
+ // only runs if the groups plugin is enabled because implementation is split between
+ // core and the plugin.
+ public function testCreateDeleteGroupACL() {
+ if (!elgg_is_active_plugin('groups')) {
+ return;
+ }
+
+ $group = new ElggGroup();
+ $group->name = 'Test group';
+ $group->save();
+ $acl = get_access_collection($group->group_acl);
+
+ // ACLs are owned by groups
+ $this->assertEqual($acl->owner_guid, $group->guid);
+
+ // removing group and acl
+ $this->assertTrue($group->delete());
+
+ $acl = get_access_collection($group->group_acl);
+ $this->assertFalse($acl);
+
+ $group->delete();
+ }
+
+ public function testJoinLeaveGroupACL() {
+ if (!elgg_is_active_plugin('groups')) {
+ return;
+ }
+
+ $group = new ElggGroup();
+ $group->name = 'Test group';
+ $group->save();
+
+ $result = $group->join($this->user);
+ $this->assertTrue($result);
+
+ // disable security since we run as admin
+ $ia = elgg_set_ignore_access(false);
+
+ // need to set the page owner to emulate being in a group context.
+ // this is kinda hacky.
+ elgg_set_page_owner_guid($group->getGUID());
+
+ if ($result) {
+ $can_edit = can_edit_access_collection($group->group_acl, $this->user->guid);
+ $this->assertTrue($can_edit);
+ }
+
+ $result = $group->leave($this->user);
+ $this->assertTrue($result);
+
+ if ($result) {
+ $can_edit = can_edit_access_collection($group->group_acl, $this->user->guid);
+ $this->assertFalse($can_edit);
+ }
+
+ elgg_set_ignore_access($ia);
+
+ $group->delete();
+ }
+
+ public function testAccessCaching() {
+ // create a new user to check against
+ $user = new ElggUser();
+ $user->username = 'access_test_user';
+ $user->save();
+
+ foreach (array('get_access_list', 'get_access_array') as $func) {
+ $cache = _elgg_get_access_cache();
+ $cache->clear();
+
+ // admin users run tests, so disable access
+ elgg_set_ignore_access(true);
+ $access = $func($user->getGUID());
+
+ elgg_set_ignore_access(false);
+ $access2 = $func($user->getGUID());
+ $this->assertNotEqual($access, $access2, "Access test for $func");
+ }
+
+ $user->delete();
+ }
+}
diff --git a/engine/tests/api/annotations.php b/engine/tests/api/annotations.php
new file mode 100644
index 000000000..c0b0687cc
--- /dev/null
+++ b/engine/tests/api/annotations.php
@@ -0,0 +1,150 @@
+<?php
+/**
+ * Elgg Test annotation api
+ *
+ * @package Elgg
+ * @subpackage Test
+ */
+class ElggCoreAnnotationAPITest extends ElggCoreUnitTest {
+ protected $metastrings;
+
+ /**
+ * Called before each test method.
+ */
+ public function setUp() {
+ $this->object = new ElggObject();
+ }
+
+ /**
+ * Called after each test method.
+ */
+ public function tearDown() {
+ // do not allow SimpleTest to interpret Elgg notices as exceptions
+ $this->swallowErrors();
+
+ unset($this->object);
+ }
+
+ public function testElggGetAnnotationsCount() {
+ $this->object->title = 'Annotation Unit Test';
+ $this->object->save();
+
+ $guid = $this->object->getGUID();
+ create_annotation($guid, 'tested', 'tested1', 'text', 0, ACCESS_PUBLIC);
+ create_annotation($guid, 'tested', 'tested2', 'text', 0, ACCESS_PUBLIC);
+
+ $count = (int)elgg_get_annotations(array(
+ 'annotation_names' => array('tested'),
+ 'guid' => $guid,
+ 'count' => true,
+ ));
+
+ $this->assertIdentical($count, 2);
+
+ $this->object->delete();
+ }
+
+ public function testElggDeleteAnnotations() {
+ $e = new ElggObject();
+ $e->save();
+
+ for ($i=0; $i<30; $i++) {
+ $e->annotate('test_annotation', rand(0,10000));
+ }
+
+ $options = array(
+ 'guid' => $e->getGUID(),
+ 'limit' => 0
+ );
+
+ $annotations = elgg_get_annotations($options);
+ $this->assertIdentical(30, count($annotations));
+
+ $this->assertTrue(elgg_delete_annotations($options));
+
+ $annotations = elgg_get_annotations($options);
+ $this->assertTrue(empty($annotations));
+
+ // nothing to delete so null returned
+ $this->assertNull(elgg_delete_annotations($options));
+
+ $this->assertTrue($e->delete());
+ }
+
+ public function testElggDisableAnnotations() {
+ $e = new ElggObject();
+ $e->save();
+
+ for ($i=0; $i<30; $i++) {
+ $e->annotate('test_annotation', rand(0,10000));
+ }
+
+ $options = array(
+ 'guid' => $e->getGUID(),
+ 'limit' => 0
+ );
+
+ $this->assertTrue(elgg_disable_annotations($options));
+
+ $annotations = elgg_get_annotations($options);
+ $this->assertTrue(empty($annotations));
+
+ access_show_hidden_entities(true);
+ $annotations = elgg_get_annotations($options);
+ $this->assertIdentical(30, count($annotations));
+ access_show_hidden_entities(false);
+
+ $this->assertTrue($e->delete());
+ }
+
+ public function testElggEnableAnnotations() {
+ $e = new ElggObject();
+ $e->save();
+
+ for ($i=0; $i<30; $i++) {
+ $e->annotate('test_annotation', rand(0,10000));
+ }
+
+ $options = array(
+ 'guid' => $e->getGUID(),
+ 'limit' => 0
+ );
+
+ $this->assertTrue(elgg_disable_annotations($options));
+
+ // cannot see any annotations so returns null
+ $this->assertNull(elgg_enable_annotations($options));
+
+ access_show_hidden_entities(true);
+ $this->assertTrue(elgg_enable_annotations($options));
+ access_show_hidden_entities(false);
+
+ $annotations = elgg_get_annotations($options);
+ $this->assertIdentical(30, count($annotations));
+
+ $this->assertTrue($e->delete());
+ }
+
+ public function testElggAnnotationExists() {
+ $e = new ElggObject();
+ $e->save();
+ $guid = $e->getGUID();
+
+ $this->assertFalse(elgg_annotation_exists($guid, 'test_annotation'));
+
+ $e->annotate('test_annotation', rand(0, 10000));
+ $this->assertTrue(elgg_annotation_exists($guid, 'test_annotation'));
+ // this metastring should always exist but an annotation of this name should not
+ $this->assertFalse(elgg_annotation_exists($guid, 'email'));
+
+ $options = array(
+ 'guid' => $guid,
+ 'limit' => 0
+ );
+ $this->assertTrue(elgg_disable_annotations($options));
+ $this->assertTrue(elgg_annotation_exists($guid, 'test_annotation'));
+
+ $this->assertTrue($e->delete());
+ $this->assertFalse(elgg_annotation_exists($guid, 'test_annotation'));
+ }
+}
diff --git a/engine/tests/api/entity_getter_functions.php b/engine/tests/api/entity_getter_functions.php
index 7a2943c0e..fef9dc0c5 100644
--- a/engine/tests/api/entity_getter_functions.php
+++ b/engine/tests/api/entity_getter_functions.php
@@ -10,7 +10,7 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
* Called before each test object.
*/
public function __construct() {
- elgg_set_ignore_access(TRUE);
+ elgg_set_ignore_access(TRUE);
$this->entities = array();
$this->subtypes = array(
'object' => array(),
@@ -175,9 +175,10 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
}
/**
+ * Get a mix of valid and invalid types
*
- * @param unknown_type $num
- * @return unknown_type
+ * @param int $num
+ * @return array
*/
public function getRandomMixedTypes($num = 2) {
$have_valid = $have_invalid = false;
@@ -196,8 +197,8 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
* Get random mix of valid and invalid subtypes for types given.
*
* @param array $types
- * @param unknown_type $num
- * @return unknown_type
+ * @param int $num
+ * @return array
*/
public function getRandomMixedSubtypes(array $types, $num = 2) {
$types_c = count($types);
@@ -227,6 +228,24 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
return $r;
}
+ /**
+ * Creates random annotations on $entity
+ *
+ * @param ElggEntity $entity
+ * @param int $max
+ */
+ public function createRandomAnnotations($entity, $max = 1) {
+ $annotations = array();
+ for ($i=0; $i<$max; $i++) {
+ $name = 'test_annotation_name_' . rand();
+ $value = rand();
+ $id = create_annotation($entity->getGUID(), $name, $value, 'integer', $entity->getGUID());
+ $annotations[] = elgg_get_annotation_from_id($id);
+ }
+
+ return $annotations;
+ }
+
/***********************************
* TYPE TESTS
@@ -545,7 +564,9 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
* TYPE_SUBTYPE_PAIRS
***************************/
-
+ /**
+ * Valid type, valid subtype pairs
+ */
public function testElggAPIGettersTSPValidTypeValidSubtype() {
$type_num = 1;
$subtype_num = 1;
@@ -568,6 +589,9 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
}
}
+ /**
+ * Valid type, multiple valid subtypes
+ */
public function testElggAPIGettersTSPValidTypeValidPluralSubtype() {
$type_num = 1;
$subtype_num = 3;
@@ -590,6 +614,9 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
}
}
+ /**
+ * Valid type, both valid and invalid subtypes
+ */
public function testElggAPIGettersTSPValidTypeMixedPluralSubtype() {
$type_num = 1;
$valid_subtype_num = 2;
@@ -617,9 +644,6 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
}
-
-
-
/****************************
* FALSE-RETURNING TESTS
****************************
@@ -634,8 +658,8 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
*/
- /*
- * Test invalid types.
+ /**
+ * Test invalid types with singular 'type'.
*/
public function testElggApiGettersInvalidTypeUsingType() {
$type_arr = $this->getRandomInvalids();
@@ -649,7 +673,9 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
$this->assertFalse($es);
}
-
+ /**
+ * Test invalid types with plural 'types'.
+ */
public function testElggApiGettersInvalidTypeUsingTypesAsString() {
$type_arr = $this->getRandomInvalids();
$type = $type_arr[0];
@@ -662,8 +688,11 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
$this->assertFalse($es);
}
+ /**
+ * Test invalid types with plural 'types' and an array of a single type
+ */
public function testElggApiGettersInvalidTypeUsingTypesAsArray() {
- $type_arr = $this->getRandomInvalids();
+ $type_arr = $this->getRandomInvalids(1);
$options = array(
'types' => $type_arr
@@ -673,6 +702,9 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
$this->assertFalse($es);
}
+ /**
+ * Test invalid types with plural 'types' and an array of a two types
+ */
public function testElggApiGettersInvalidTypes() {
$type_arr = $this->getRandomInvalids(2);
@@ -837,7 +869,7 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
public function testElggApiGettersEntityNoSubtype() {
// create an entity we can later delete.
- // order by time created and limit by 1 should == this entity.
+ // order by guid and limit by 1 should == this entity.
$e = new ElggObject();
$e->save();
@@ -1035,7 +1067,7 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
$entities = elgg_get_entities_from_metadata($options);
- $this->assertFalse($entities);
+ $this->assertIdentical(array(), $entities);
$e->delete();
}
@@ -1063,7 +1095,7 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
$entities = elgg_get_entities_from_metadata($options);
- $this->assertFalse($entities);
+ $this->assertIdentical(array(), $entities);
$e->delete();
}
@@ -1196,7 +1228,7 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
}
}
- function testElggApiGettersEntityMetadatavalueInvalidSingle() {
+ function testElggApiGettersEntityMetadataValueInvalidSingle() {
$subtypes = $this->getRandomValidSubtypes(array('object'), 1);
$subtype = $subtypes[0];
$md_name = 'test_metadata_name_' . rand();
@@ -1217,7 +1249,7 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
$entities = elgg_get_entities_from_metadata($options);
- $this->assertFalse($entities);
+ $this->assertIdentical(array(), $entities);
$e->delete();
}
@@ -1245,7 +1277,7 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
$entities = elgg_get_entities_from_metadata($options);
- $this->assertFalse($entities);
+ $this->assertIdentical(array(), $entities);
$e->delete();
}
@@ -1323,7 +1355,7 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
$invalid_md_name = 'test_metadata_name_' . rand();
$e = new ElggObject();
$e->subtype = $subtype;
- $e->$md_name = $invalid_md_value;
+ $e->$invalid_md_name = $md_value;
$e->save();
$guids[] = $e->getGUID();
@@ -1388,11 +1420,13 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
// make some bad ones
$invalid_md_name = 'test_metadata_name_' . rand();
+ $invalid_md_name2 = 'test_metadata_name_' . rand();
+ $invalid_md_name3 = 'test_metadata_name_' . rand();
$e = new ElggObject();
$e->subtype = $subtype;
- $e->$md_name = $invalid_md_value;
- $e->$md_name2 = $invalid_md_value;
- $e->$md_name3 = $invalid_md_value;
+ $e->$invalid_md_name = $md_value;
+ $e->$invalid_md_name2 = $md_value2;
+ $e->$invalid_md_name3 = $md_value3;
$e->save();
$guids[] = $e->getGUID();
@@ -1465,10 +1499,11 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
// make some bad ones
$invalid_md_name = 'test_metadata_name_' . rand();
+ $invalid_md_name2 = 'test_metadata_name_' . rand();
$e = new ElggObject();
$e->subtype = $subtype;
- $e->$md_name = $invalid_md_value;
- $e->$md_name2 = $invalid_md_value;
+ $e->$invalid_md_name = $md_value;
+ $e->$invalid_md_name2 = $md_value2;
$e->save();
$guids[] = $e->getGUID();
@@ -1515,7 +1550,8 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
}
}
- function testElggApiGettersEntityMetadataNVPValidNValidVEqualsStupid() {
+ // this keeps locking up my database...
+ function xtestElggApiGettersEntityMetadataNVPValidNValidVEqualsStupid() {
$subtypes = $this->getRandomValidSubtypes(array('object'), 1);
$subtype = $subtypes[0];
$md_name = 'test_metadata_name_' . rand();
@@ -1553,11 +1589,11 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
$invalid_md_name = 'test_metadata_name_' . rand();
$e = new ElggObject();
$e->subtype = $subtype;
- $e->$md_name = $invalid_md_value;
- $e->$md_name2 = $invalid_md_value;
- $e->$md_name3 = $invalid_md_value;
- $e->$md_name4 = $invalid_md_value;
- $e->$md_name5 = $invalid_md_value;
+ $e->$invalid_md_name = $md_value;
+ $e->$md_name2 = $md_value2;
+ $e->$md_name3 = $md_value3;
+ $e->$md_name4 = $md_value4;
+ $e->$md_name5 = $md_value5;
$e->save();
$guids[] = $e->getGUID();
@@ -1619,6 +1655,9 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
}
}
+ /**
+ * Name value pair with valid name and invalid value
+ */
function testElggApiGettersEntityMetadataNVPValidNInvalidV() {
$subtypes = $this->getRandomValidSubtypes(array('object'), 1);
$subtype = $subtypes[0];
@@ -1630,7 +1669,7 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
$invalid_md_name = 'test_metadata_name_' . rand();
$e = new ElggObject();
$e->subtype = $subtype;
- $e->$md_name = $invalid_md_value;
+ $e->$invalid_md_name = $md_value;
$e->save();
$guids[] = $e->getGUID();
@@ -1654,7 +1693,7 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
$entities = elgg_get_entities_from_metadata($options);
- $this->assertFalse($entities);
+ $this->assertIdentical(array(), $entities);
foreach ($guids as $guid) {
if ($e = get_entity($guid)) {
@@ -1663,7 +1702,9 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
}
}
-
+ /**
+ * Name value pair with invalid name and valid value
+ */
function testElggApiGettersEntityMetadataNVPInvalidNValidV() {
$subtypes = $this->getRandomValidSubtypes(array('object'), 1);
$subtype = $subtypes[0];
@@ -1675,7 +1716,7 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
$invalid_md_name = 'test_metadata_name_' . rand();
$e = new ElggObject();
$e->subtype = $subtype;
- $e->$md_name = $invalid_md_value;
+ $e->$invalid_md_name = $md_value;
$e->save();
$guids[] = $e->getGUID();
@@ -1699,7 +1740,7 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
$entities = elgg_get_entities_from_metadata($options);
- $this->assertFalse($entities);
+ $this->assertIdentical(array(), $entities);
foreach ($guids as $guid) {
if ($e = get_entity($guid)) {
@@ -1739,7 +1780,7 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
$invalid_md_name = 'test_metadata_name_' . rand();
$e = new ElggObject();
$e->subtype = $subtype;
- $e->$md_name = $invalid_md_value;
+ $e->$invalid_md_name = $md_value;
$e->save();
$guids[] = $e->getGUID();
@@ -1817,7 +1858,7 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
$invalid_md_name = 'test_metadata_name_' . rand();
$e = new ElggObject();
$e->subtype = $subtype;
- $e->$md_name = $invalid_md_value;
+ $e->$invalid_md_name = $md_value;
$e->save();
$guids[] = $e->getGUID();
@@ -1869,33 +1910,32 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
$subtypes = $this->getRandomValidSubtypes(array('object'), 1);
$subtype = $subtypes[0];
$md_name = 'test_metadata_name_' . rand();
- $md_value = 2;
$guids = array();
$valid_guids = array();
// our targets
$valid = new ElggObject();
$valid->subtype = $subtype;
- $valid->$md_name = $md_value;
+ $valid->$md_name = 1;
$valid->save();
$guids[] = $valid->getGUID();
$valid_guids[] = $valid->getGUID();
$valid2 = new ElggObject();
$valid2->subtype = $subtype;
- $valid2->$md_name = 3;
+ $valid2->$md_name = 2;
$valid2->save();
$guids[] = $valid->getGUID();
$valid_guids[] = $valid2->getGUID();
$valid3 = new ElggObject();
$valid3->subtype = $subtype;
- $valid3->$md_name = 1;
+ $valid3->$md_name = 3;
$valid3->save();
$guids[] = $valid->getGUID();
$valid_guids[] = $valid3->getGUID();
- $md_valid_values = array($md_value, $md_value2);
+ $md_valid_values = array(1, 2, 3);
$options = array(
'type' => 'object',
@@ -1928,33 +1968,32 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
$subtypes = $this->getRandomValidSubtypes(array('object'), 1);
$subtype = $subtypes[0];
$md_name = 'test_metadata_name_' . rand();
- $md_value = 'b';
$guids = array();
$valid_guids = array();
// our targets
$valid = new ElggObject();
$valid->subtype = $subtype;
- $valid->$md_name = $md_value;
+ $valid->$md_name = 'a';
$valid->save();
$guids[] = $valid->getGUID();
$valid_guids[] = $valid->getGUID();
$valid2 = new ElggObject();
$valid2->subtype = $subtype;
- $valid2->$md_name = 'c';
+ $valid2->$md_name = 'b';
$valid2->save();
$guids[] = $valid->getGUID();
$valid_guids[] = $valid2->getGUID();
$valid3 = new ElggObject();
$valid3->subtype = $subtype;
- $valid3->$md_name = 'a';
+ $valid3->$md_name = 'c';
$valid3->save();
$guids[] = $valid->getGUID();
$valid_guids[] = $valid3->getGUID();
- $md_valid_values = array($md_value, $md_value2);
+ $md_valid_values = array('a', 'b', 'c');
$options = array(
'type' => 'object',
@@ -2039,11 +2078,11 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
}
}
}
-
+
// Make sure metadata doesn't affect getting entities by relationship. See #2274
public function testElggApiGettersEntityRelationshipWithMetadata() {
$guids = array();
-
+
$obj1 = new ElggObject();
$obj1->test_md = 'test';
$obj1->save();
@@ -2055,29 +2094,29 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
$guids[] = $obj2->guid;
add_entity_relationship($guids[0], 'test', $guids[1]);
-
+
$options = array(
'relationship' => 'test',
'relationship_guid' => $guids[0]
);
-
+
$es = elgg_get_entities_from_relationship($options);
$this->assertTrue(is_array($es));
- $this->assertTrue(count($es), 1);
-
+ $this->assertIdentical(count($es), 1);
+
foreach ($es as $e) {
$this->assertEqual($guids[1], $e->guid);
}
-
+
foreach ($guids as $guid) {
$e = get_entity($guid);
$e->delete();
}
}
-
+
public function testElggApiGettersEntityRelationshipWithOutMetadata() {
$guids = array();
-
+
$obj1 = new ElggObject();
$obj1->save();
$guids[] = $obj1->guid;
@@ -2087,29 +2126,29 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
$guids[] = $obj2->guid;
add_entity_relationship($guids[0], 'test', $guids[1]);
-
+
$options = array(
'relationship' => 'test',
'relationship_guid' => $guids[0]
);
-
+
$es = elgg_get_entities_from_relationship($options);
$this->assertTrue(is_array($es));
- $this->assertTrue(count($es), 1);
-
+ $this->assertIdentical(count($es), 1);
+
foreach ($es as $e) {
$this->assertEqual($guids[1], $e->guid);
}
-
+
foreach ($guids as $guid) {
$e = get_entity($guid);
$e->delete();
}
}
-
+
public function testElggApiGettersEntityRelationshipWithMetadataIncludingRealMetadata() {
$guids = array();
-
+
$obj1 = new ElggObject();
$obj1->test_md = 'test';
$obj1->save();
@@ -2121,31 +2160,31 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
$guids[] = $obj2->guid;
add_entity_relationship($guids[0], 'test', $guids[1]);
-
+
$options = array(
'relationship' => 'test',
'relationship_guid' => $guids[0],
'metadata_name' => 'test_md',
'metadata_value' => 'test',
);
-
+
$es = elgg_get_entities_from_relationship($options);
$this->assertTrue(is_array($es));
- $this->assertTrue(count($es), 1);
-
+ $this->assertIdentical(count($es), 1);
+
foreach ($es as $e) {
$this->assertEqual($guids[1], $e->guid);
}
-
+
foreach ($guids as $guid) {
$e = get_entity($guid);
$e->delete();
}
}
-
+
public function testElggApiGettersEntityRelationshipWithMetadataIncludingFakeMetadata() {
$guids = array();
-
+
$obj1 = new ElggObject();
$obj1->test_md = 'test';
$obj1->save();
@@ -2157,14 +2196,14 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
$guids[] = $obj2->guid;
add_entity_relationship($guids[0], 'test', $guids[1]);
-
+
$options = array(
'relationship' => 'test',
'relationship_guid' => $guids[0],
'metadata_name' => 'test_md',
'metadata_value' => 'invalid',
);
-
+
$es = elgg_get_entities_from_relationship($options);
$this->assertTrue(empty($es));
@@ -2174,12 +2213,12 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
$e->delete();
}
}
-
+
public function testElggApiGettersEntitySiteSingular() {
global $CONFIG;
-
+
$guids = array();
-
+
$obj1 = new ElggObject();
$obj1->test_md = 'test';
// luckily this is never checked.
@@ -2193,28 +2232,28 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
$obj2->site_guid = $CONFIG->site->guid;
$obj2->save();
$guids[] = $obj2->guid;
-
+
$options = array(
'metadata_name' => 'test_md',
'metadata_value' => 'test',
'site_guid' => 2
);
-
+
$es = elgg_get_entities_from_metadata($options);
$this->assertTrue(is_array($es));
$this->assertEqual(1, count($es));
$this->assertEqual($right_guid, $es[0]->guid);
-
+
foreach ($guids as $guid) {
get_entity($guid)->delete();
}
}
-
+
public function testElggApiGettersEntitySiteSingularAny() {
global $CONFIG;
-
+
$guids = array();
-
+
$obj1 = new ElggObject();
$obj1->test_md = 'test';
// luckily this is never checked.
@@ -2227,7 +2266,7 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
$obj2->site_guid = $CONFIG->site->guid;
$obj2->save();
$guids[] = $obj2->guid;
-
+
$options = array(
'metadata_name' => 'test_md',
'metadata_value' => 'test',
@@ -2235,25 +2274,25 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
'limit' => 2,
'order_by' => 'e.guid DESC'
);
-
+
$es = elgg_get_entities_from_metadata($options);
$this->assertTrue(is_array($es));
$this->assertEqual(2, count($es));
-
+
foreach ($es as $e) {
$this->assertTrue(in_array($e->guid, $guids));
}
-
+
foreach ($guids as $guid) {
get_entity($guid)->delete();
}
}
-
+
public function testElggApiGettersEntitySitePlural() {
global $CONFIG;
-
+
$guids = array();
-
+
$obj1 = new ElggObject();
$obj1->test_md = 'test';
// luckily this is never checked.
@@ -2266,7 +2305,7 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
$obj2->site_guid = $CONFIG->site->guid;
$obj2->save();
$guids[] = $obj2->guid;
-
+
$options = array(
'metadata_name' => 'test_md',
'metadata_value' => 'test',
@@ -2274,25 +2313,25 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
'limit' => 2,
'order_by' => 'e.guid DESC'
);
-
+
$es = elgg_get_entities_from_metadata($options);
$this->assertTrue(is_array($es));
$this->assertEqual(2, count($es));
-
+
foreach ($es as $e) {
$this->assertTrue(in_array($e->guid, $guids));
}
-
+
foreach ($guids as $guid) {
get_entity($guid)->delete();
}
}
-
+
public function testElggApiGettersEntitySitePluralSomeInvalid() {
global $CONFIG;
-
+
$guids = array();
-
+
$obj1 = new ElggObject();
$obj1->test_md = 'test';
// luckily this is never checked.
@@ -2305,7 +2344,7 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
$obj2->save();
$guids[] = $obj2->guid;
$right_guid = $obj2->guid;
-
+
$options = array(
'metadata_name' => 'test_md',
'metadata_value' => 'test',
@@ -2314,23 +2353,23 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
'limit' => 2,
'order_by' => 'e.guid DESC'
);
-
+
$es = elgg_get_entities_from_metadata($options);
-
+
$this->assertTrue(is_array($es));
$this->assertEqual(1, count($es));
$this->assertEqual($es[0]->guid, $right_guid);
-
+
foreach ($guids as $guid) {
get_entity($guid)->delete();
}
}
-
+
public function testElggApiGettersEntitySitePluralAllInvalid() {
global $CONFIG;
-
+
$guids = array();
-
+
$obj1 = new ElggObject();
$obj1->test_md = 'test';
// luckily this is never checked.
@@ -2343,7 +2382,7 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
$obj2->save();
$guids[] = $obj2->guid;
$right_guid = $obj2->guid;
-
+
$options = array(
'metadata_name' => 'test_md',
'metadata_value' => 'test',
@@ -2352,11 +2391,11 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
'limit' => 2,
'order_by' => 'e.guid DESC'
);
-
+
$es = elgg_get_entities_from_metadata($options);
-
+
$this->assertTrue(empty($es));
-
+
foreach ($guids as $guid) {
get_entity($guid)->delete();
}
@@ -2372,7 +2411,7 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
$setting_value = rand(1000, 9999);
$setting_name2 = 'test_setting_name_' . rand();
$setting_value2 = rand(1000, 9999);
-
+
$subtypes = $this->getRandomValidSubtypes(array('object'), 1);
$subtype = $subtypes[0];
$guids = array();
@@ -2433,7 +2472,7 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
)
)
);
-
+
$entities = elgg_get_entities_from_private_settings($options);
$this->assertEqual(2, count($entities));
foreach ($entities as $entity) {
@@ -2509,4 +2548,337 @@ class ElggCoreEntityGetterFunctionsTest extends ElggCoreUnitTest {
}
}
}
+
+
+ public function testElggGetEntitiesFromRelationshipCount() {
+ $entities = $this->entities;
+ $relationships = array();
+ $count = count($entities);
+ $max = $count - 1;
+ $relationship_name = 'test_relationship_' . rand(0, 1000);
+
+ for ($i = 0; $i < $count; $i++) {
+ do {
+ $popular_entity = $entities[array_rand($entities)];
+ } while (array_key_exists($popular_entity->guid, $relationships));
+
+ $relationships[$popular_entity->guid] = array();
+
+ for ($c = 0; $c < $max; $c++) {
+ do {
+ $fan_entity = $entities[array_rand($entities)];
+ } while ($fan_entity->guid == $popular_entity->guid || in_array($fan_entity->guid, $relationships[$popular_entity->guid]));
+
+ $relationships[$popular_entity->guid][] = $fan_entity->guid;
+ add_entity_relationship($fan_entity->guid, $relationship_name, $popular_entity->guid);
+ }
+
+ $max--;
+ }
+
+ $options = array(
+ 'relationship' => $relationship_name,
+ 'limit' => $count
+ );
+
+ $entities = elgg_get_entities_from_relationship_count($options);
+
+ foreach ($entities as $e) {
+ $options = array(
+ 'relationship' => $relationship_name,
+ 'limit' => 100,
+ 'relationship_guid' => $e->guid,
+ 'inverse_relationship' => true
+ );
+
+ $fan_entities = elgg_get_entities_from_relationship($options);
+
+ $this->assertEqual(count($fan_entities), count($relationships[$e->guid]));
+
+ foreach ($fan_entities as $fan_entity) {
+ $this->assertTrue(in_array($fan_entity->guid, $relationships[$e->guid]));
+ $this->assertNotIdentical(false, check_entity_relationship($fan_entity->guid, $relationship_name, $e->guid));
+ }
+ }
+ }
+
+ public function testElggGetEntitiesByGuidSingular() {
+ foreach ($this->entities as $e) {
+ $options = array(
+ 'guid' => $e->guid
+ );
+ $es = elgg_get_entities($options);
+
+ $this->assertEqual(count($es), 1);
+ $this->assertEqual($es[0]->guid, $e->guid);
+ }
+ }
+
+ public function testElggGetEntitiesByGuidPlural() {
+ $guids = array();
+
+ foreach ($this->entities as $e) {
+ $guids[] = $e->guid;
+ }
+
+ $options = array(
+ 'guids' => $guids,
+ 'limit' => 100
+ );
+
+ $es = elgg_get_entities($options);
+
+ $this->assertEqual(count($es), count($this->entities));
+
+ foreach ($es as $e) {
+ $this->assertTrue(in_array($e->guid, $guids));
+ }
+ }
+
+ public function testElggGetEntitiesFromAnnotationsCalculateX() {
+ $types = array(
+ 'sum',
+ 'avg',
+ 'min',
+ 'max'
+ );
+
+ foreach ($types as $type) {
+ $subtypes = $this->getRandomValidSubtypes(array('object'), 5);
+ $name = 'test_annotation_' . rand(0, 9999);
+ $values = array();
+ $options = array(
+ 'type' => 'object',
+ 'subtypes' => $subtypes,
+ 'limit' => 5
+ );
+
+ $es = elgg_get_entities($options);
+
+ foreach ($es as $e) {
+ $value = rand(0,9999);
+ $e->annotate($name, $value);
+
+ $value2 = rand(0,9999);
+ $e->annotate($name, $value2);
+
+ switch ($type) {
+ case 'sum':
+ $calc_value = $value + $value2;
+ break;
+
+ case 'avg':
+ $calc_value = ($value + $value2) / 2;
+ break;
+
+ case 'min':
+ $calc_value = min(array($value, $value2));
+ break;
+
+ case 'max':
+ $calc_value = max(array($value, $value2));
+ break;
+ }
+
+ $values[$e->guid] = $calc_value;
+ }
+
+ arsort($values);
+ $order = array_keys($values);
+
+ $options = array(
+ 'type' => 'object',
+ 'subtypes' => $subtypes,
+ 'limit' => 5,
+ 'annotation_name' => $name,
+ 'calculation' => $type
+ );
+
+ $es = elgg_get_entities_from_annotation_calculation($options);
+
+ foreach ($es as $i => $e) {
+ $value = 0;
+ $as = $e->getAnnotations($name);
+ // should only ever be 2
+ $this->assertEqual(2, count($as));
+
+ $value = $as[0]->value;
+ $value2 = $as[1]->value;
+
+ switch ($type) {
+ case 'sum':
+ $calc_value = $value + $value2;
+ break;
+
+ case 'avg':
+ $calc_value = ($value + $value2) / 2;
+ break;
+
+ case 'min':
+ $calc_value = min(array($value, $value2));
+ break;
+
+ case 'max':
+ $calc_value = max(array($value, $value2));
+ break;
+ }
+
+ $this->assertEqual($e->guid, $order[$i]);
+ $this->assertEqual($values[$e->guid], $calc_value);
+ }
+ }
+ }
+
+ public function testElggGetEntitiesFromAnnotationCalculationCount() {
+ // add two annotations with a unique name to an entity
+ // then count the number of entities with that annotation name
+
+ $subtypes = $this->getRandomValidSubtypes(array('object'), 1);
+ $name = 'test_annotation_' . rand(0, 9999);
+ $values = array();
+ $options = array(
+ 'type' => 'object',
+ 'subtypes' => $subtypes,
+ 'limit' => 1
+ );
+ $es = elgg_get_entities($options);
+ $entity = $es[0];
+ $value = rand(0, 9999);
+ $entity->annotate($name, $value);
+ $value = rand(0, 9999);
+ $entity->annotate($name, $value);
+
+ $options = array(
+ 'type' => 'object',
+ 'subtypes' => $subtypes,
+ 'annotation_name' => $name,
+ 'calculation' => 'count',
+ 'count' => true,
+ );
+ $count = elgg_get_entities_from_annotation_calculation($options);
+ $this->assertEqual(1, $count);
+ }
+
+ public function testElggGetAnnotationsAnnotationNames() {
+ $options = array('annotation_names' => array());
+ $a_e_map = array();
+
+ // create test annotations on a few entities.
+ for ($i=0; $i<3; $i++) {
+ do {
+ $e = $this->entities[array_rand($this->entities)];
+ } while(in_array($e->guid, $a_e_map));
+ $annotations = $this->createRandomAnnotations($e);
+
+ foreach($annotations as $a) {
+ $options['annotation_names'][] = $a->name;
+ $a_e_map[$a->id] = $e->guid;
+ }
+ }
+
+ $as = elgg_get_annotations($options);
+
+ $this->assertEqual(count($a_e_map), count($as));
+
+ foreach ($as as $a) {
+ $this->assertEqual($a_e_map[$a->id], $a->entity_guid);
+ }
+ }
+
+ public function testElggGetAnnotationsAnnotationValues() {
+ $options = array('annotation_values' => array());
+ $a_e_map = array();
+
+ // create test annotations on a few entities.
+ for ($i=0; $i<3; $i++) {
+ do {
+ $e = $this->entities[array_rand($this->entities)];
+ } while(in_array($e->guid, $a_e_map));
+ $annotations = $this->createRandomAnnotations($e);
+
+ foreach($annotations as $a) {
+ $options['annotation_values'][] = $a->value;
+ $a_e_map[$a->id] = $e->guid;
+ }
+ }
+
+ $as = elgg_get_annotations($options);
+
+ $this->assertEqual(count($a_e_map), count($as));
+
+ foreach ($as as $a) {
+ $this->assertEqual($a_e_map[$a->id], $a->entity_guid);
+ }
+ }
+
+ public function testElggGetAnnotationsAnnotationOwnerGuids() {
+ $options = array('annotation_owner_guids' => array());
+ $a_e_map = array();
+
+ // create test annotations on a single entity
+ for ($i=0; $i<3; $i++) {
+ do {
+ $e = $this->entities[array_rand($this->entities)];
+ } while(in_array($e->guid, $a_e_map));
+
+ // remove annotations left over from previous tests.
+ elgg_delete_annotations(array('annotation_owner_guid' => $e->guid));
+ $annotations = $this->createRandomAnnotations($e);
+
+ foreach($annotations as $a) {
+ $options['annotation_owner_guids'][] = $e->guid;
+ $a_e_map[$a->id] = $e->guid;
+ }
+ }
+
+ $as = elgg_get_annotations($options);
+ $this->assertEqual(count($a_e_map), count($as));
+
+ foreach ($as as $a) {
+ $this->assertEqual($a_e_map[$a->id], $a->owner_guid);
+ }
+ }
+
+ public function testElggGetEntitiesBadWheres() {
+ $options = array(
+ 'container_guid' => 'abc'
+ );
+
+ $entities = elgg_get_entities($options);
+ $this->assertFalse($entities);
+ }
+
+ public function testEGEEmptySubtypePlurality() {
+ $options = array(
+ 'type' => 'user',
+ 'subtypes' => ''
+ );
+
+ $entities = elgg_get_entities($options);
+ $this->assertTrue(is_array($entities));
+
+ $options = array(
+ 'type' => 'user',
+ 'subtype' => ''
+ );
+
+ $entities = elgg_get_entities($options);
+ $this->assertTrue(is_array($entities));
+
+ $options = array(
+ 'type' => 'user',
+ 'subtype' => array('')
+ );
+
+ $entities = elgg_get_entities($options);
+ $this->assertTrue(is_array($entities));
+
+ $options = array(
+ 'type' => 'user',
+ 'subtypes' => array('')
+ );
+
+ $entities = elgg_get_entities($options);
+ $this->assertTrue(is_array($entities));
+ }
}
diff --git a/engine/tests/api/helpers.php b/engine/tests/api/helpers.php
index e969eb6c8..414fb4145 100644
--- a/engine/tests/api/helpers.php
+++ b/engine/tests/api/helpers.php
@@ -31,6 +31,7 @@ class ElggCoreHelpersTest extends ElggCoreUnitTest {
global $CONFIG;
unset($CONFIG->externals);
+ unset($CONFIG->externals_map);
}
/**
@@ -62,6 +63,8 @@ class ElggCoreHelpersTest extends ElggCoreUnitTest {
$this->assertFalse(elgg_instanceof($bad_entity));
$this->assertFalse(elgg_instanceof($bad_entity, 'object'));
$this->assertFalse(elgg_instanceof($bad_entity, 'object', 'test_subtype'));
+
+ remove_subtype('object', 'test_subtype');
}
/**
@@ -71,20 +74,26 @@ class ElggCoreHelpersTest extends ElggCoreUnitTest {
$conversions = array(
'http://example.com' => 'http://example.com',
'https://example.com' => 'https://example.com',
+ 'http://example-time.com' => 'http://example-time.com',
+
'//example.com' => '//example.com',
+ 'ftp://example.com/file' => 'ftp://example.com/file',
+ 'mailto:brett@elgg.org' => 'mailto:brett@elgg.org',
+ 'javascript:alert("test")' => 'javascript:alert("test")',
+ 'app://endpoint' => 'app://endpoint',
'example.com' => 'http://example.com',
'example.com/subpage' => 'http://example.com/subpage',
- 'pg/page/handler' => elgg_get_site_url() . 'pg/page/handler',
- 'pg/page/handler?p=v&p2=v2' => elgg_get_site_url() . 'pg/page/handler?p=v&p2=v2',
+ 'page/handler' => elgg_get_site_url() . 'page/handler',
+ 'page/handler?p=v&p2=v2' => elgg_get_site_url() . 'page/handler?p=v&p2=v2',
'mod/plugin/file.php' => elgg_get_site_url() . 'mod/plugin/file.php',
'mod/plugin/file.php?p=v&p2=v2' => elgg_get_site_url() . 'mod/plugin/file.php?p=v&p2=v2',
'rootfile.php' => elgg_get_site_url() . 'rootfile.php',
'rootfile.php?p=v&p2=v2' => elgg_get_site_url() . 'rootfile.php?p=v&p2=v2',
- '/pg/page/handler' => elgg_get_site_url() . 'pg/page/handler',
- '/pg/page/handler?p=v&p2=v2' => elgg_get_site_url() . 'pg/page/handler?p=v&p2=v2',
+ '/page/handler' => elgg_get_site_url() . 'page/handler',
+ '/page/handler?p=v&p2=v2' => elgg_get_site_url() . 'page/handler?p=v&p2=v2',
'/mod/plugin/file.php' => elgg_get_site_url() . 'mod/plugin/file.php',
'/mod/plugin/file.php?p=v&p2=v2' => elgg_get_site_url() . 'mod/plugin/file.php?p=v&p2=v2',
'/rootfile.php' => elgg_get_site_url() . 'rootfile.php',
@@ -103,18 +112,22 @@ class ElggCoreHelpersTest extends ElggCoreUnitTest {
public function testElggRegisterJS() {
global $CONFIG;
- // specify id
- $result = elgg_register_js('//test1.com', 'key', 'footer');
+ // specify name
+ $result = elgg_register_js('key', 'http://test1.com', 'footer');
$this->assertTrue($result);
- $this->assertIdentical('//test1.com', $CONFIG->externals['javascript']['footer']['key']);
+ $this->assertTrue(isset($CONFIG->externals_map['js']['key']));
- // let Elgg pick id
- $result = elgg_register_js('//test2.com');
- $this->assertTrue($result);
- $this->assertIdentical('//test2.com', $CONFIG->externals['javascript']['head'][0]);
+ $item = $CONFIG->externals_map['js']['key'];
+ $this->assertTrue($CONFIG->externals['js']->contains($item));
+
+ $priority = $CONFIG->externals['js']->getPriority($item);
+ $this->assertTrue($priority !== false);
+
+ $item = $CONFIG->externals['js']->getElement($priority);
+ $this->assertIdentical('http://test1.com', $item->url);
// send a bad url
- $result = elgg_register_js();
+ $result = elgg_register_js('bad', null);
$this->assertFalse($result);
}
@@ -123,20 +136,20 @@ class ElggCoreHelpersTest extends ElggCoreUnitTest {
*/
public function testElggRegisterCSS() {
global $CONFIG;
-
- // specify id
- $result = elgg_register_css('//test1.com', 'key');
+
+ // specify name
+ $result = elgg_register_css('key', 'http://test1.com');
$this->assertTrue($result);
- $this->assertIdentical('//test1.com', $CONFIG->externals['css']['head']['key']);
+ $this->assertTrue(isset($CONFIG->externals_map['css']['key']));
- // let Elgg pick id
- $result = elgg_register_css('//test2.com');
- $this->assertTrue($result);
- $this->assertIdentical('//test2.com', $CONFIG->externals['css']['head'][1]);
+ $item = $CONFIG->externals_map['css']['key'];
+ $this->assertTrue($CONFIG->externals['css']->contains($item));
- // send a bad url
- $result = elgg_register_js();
- $this->assertFalse($result);
+ $priority = $CONFIG->externals['css']->getPriority($item);
+ $this->assertTrue($priority !== false);
+
+ $item = $CONFIG->externals['css']->getElement($priority);
+ $this->assertIdentical('http://test1.com', $item->url);
}
/**
@@ -145,44 +158,548 @@ class ElggCoreHelpersTest extends ElggCoreUnitTest {
public function testElggUnregisterJS() {
global $CONFIG;
- $urls = array('id1' => '//url1.com', 'id2' => '//url2.com', 'id3' => '//url3.com');
+ $base = trim(elgg_get_site_url(), "/");
+
+ $urls = array('id1' => "$base/urla", 'id2' => "$base/urlb", 'id3' => "$base/urlc");
+
foreach ($urls as $id => $url) {
- elgg_register_js($url, $id);
+ elgg_register_js($id, $url);
}
$result = elgg_unregister_js('id1');
$this->assertTrue($result);
- $this->assertNULL($CONFIG->externals['javascript']['head']['id1']);
- $result = elgg_unregister_js('', '//url2.com');
- $this->assertTrue($result);
- $this->assertNULL($CONFIG->externals['javascript']['head']['id2']);
+ $js = $CONFIG->externals['js'];
+ $elements = $js->getElements();
+ $this->assertFalse(isset($CONFIG->externals_map['js']['id1']));
+
+ foreach ($elements as $element) {
+ if (isset($element->name)) {
+ $this->assertFalse($element->name == 'id1');
+ }
+ }
$result = elgg_unregister_js('id1');
$this->assertFalse($result);
- $result = elgg_unregister_js('', '//url2.com');
+
+ $result = elgg_unregister_js('', 'does_not_exist');
$this->assertFalse($result);
- $this->assertIdentical($urls['id3'], $CONFIG->externals['javascript']['head']['id3']);
+ $result = elgg_unregister_js('id2');
+ $elements = $js->getElements();
+
+ $this->assertFalse(isset($CONFIG->externals_map['js']['id2']));
+ foreach ($elements as $element) {
+ if (isset($element->name)) {
+ $this->assertFalse($element->name == 'id2');
+ }
+ }
+
+ $this->assertTrue(isset($CONFIG->externals_map['js']['id3']));
+
+ $priority = $CONFIG->externals['js']->getPriority($CONFIG->externals_map['js']['id3']);
+ $this->assertTrue($priority !== false);
+
+ $item = $CONFIG->externals['js']->getElement($priority);
+ $this->assertIdentical($urls['id3'], $item->url);
}
/**
- * Test elgg_get_js()
+ * Test elgg_load_js()
+ */
+ public function testElggLoadJS() {
+ global $CONFIG;
+
+ // load before register
+ elgg_load_js('key');
+ $result = elgg_register_js('key', 'http://test1.com', 'footer');
+ $this->assertTrue($result);
+
+ $js_urls = elgg_get_loaded_js('footer');
+ $this->assertIdentical(array(500 => 'http://test1.com'), $js_urls);
+ }
+
+ /**
+ * Test elgg_get_loaded_js()
*/
public function testElggGetJS() {
global $CONFIG;
- $urls = array('id1' => '//url1.com', 'id2' => '//url2.com', 'id3' => '//url3.com');
+ $base = trim(elgg_get_site_url(), "/");
+
+ $urls = array(
+ 'id1' => "$base/urla",
+ 'id2' => "$base/urlb",
+ 'id3' => "$base/urlc"
+ );
+
foreach ($urls as $id => $url) {
- elgg_register_js($url, $id);
+ elgg_register_js($id, $url);
+ elgg_load_js($id);
}
- $js_urls = elgg_get_js('head');
- $this->assertIdentical($js_urls[0], $urls['id1']);
- $this->assertIdentical($js_urls[1], $urls['id2']);
- $this->assertIdentical($js_urls[2], $urls['id3']);
+ $js_urls = elgg_get_loaded_js('head');
+
+ $this->assertIdentical($js_urls[500], $urls['id1']);
+ $this->assertIdentical($js_urls[501], $urls['id2']);
+ $this->assertIdentical($js_urls[502], $urls['id3']);
- $js_urls = elgg_get_js('footer');
+ $js_urls = elgg_get_loaded_js('footer');
$this->assertIdentical(array(), $js_urls);
}
-}
+
+ // test ElggPriorityList
+ public function testElggPriorityListAdd() {
+ $pl = new ElggPriorityList();
+ $elements = array(
+ 'Test value',
+ 'Test value 2',
+ 'Test value 3'
+ );
+
+ shuffle($elements);
+
+ foreach ($elements as $element) {
+ $this->assertTrue($pl->add($element) !== false);
+ }
+
+ $test_elements = $pl->getElements();
+
+ $this->assertTrue(is_array($test_elements));
+
+ foreach ($test_elements as $i => $element) {
+ // should be in the array
+ $this->assertTrue(in_array($element, $elements));
+
+ // should be the only element, so priority 0
+ $this->assertEqual($i, array_search($element, $elements));
+ }
+ }
+
+ public function testElggPriorityListAddWithPriority() {
+ $pl = new ElggPriorityList();
+
+ $elements = array(
+ 10 => 'Test Element 10',
+ 5 => 'Test Element 5',
+ 0 => 'Test Element 0',
+ 100 => 'Test Element 100',
+ -1 => 'Test Element -1',
+ -5 => 'Test Element -5'
+ );
+
+ foreach ($elements as $priority => $element) {
+ $pl->add($element, $priority);
+ }
+
+ $test_elements = $pl->getElements();
+
+ // should be sorted by priority
+ $elements_sorted = array(
+ -5 => 'Test Element -5',
+ -1 => 'Test Element -1',
+ 0 => 'Test Element 0',
+ 5 => 'Test Element 5',
+ 10 => 'Test Element 10',
+ 100 => 'Test Element 100',
+ );
+
+ $this->assertIdentical($elements_sorted, $test_elements);
+
+ foreach ($test_elements as $priority => $element) {
+ $this->assertIdentical($elements[$priority], $element);
+ }
+ }
+
+ public function testElggPriorityListGetNextPriority() {
+ $pl = new ElggPriorityList();
+
+ $elements = array(
+ 2 => 'Test Element',
+ 0 => 'Test Element 2',
+ -2 => 'Test Element 3',
+ );
+
+ foreach ($elements as $priority => $element) {
+ $pl->add($element, $priority);
+ }
+
+ // we're not specifying a priority so it should be the next consecutive to 0.
+ $this->assertEqual(1, $pl->getNextPriority());
+
+ // add another one at priority 1
+ $pl->add('Test Element 1');
+
+ // next consecutive to 0 is now 3.
+ $this->assertEqual(3, $pl->getNextPriority());
+ }
+
+ public function testElggPriorityListRemove() {
+ $pl = new ElggPriorityList();
+
+ $elements = array();
+ for ($i=0; $i<3; $i++) {
+ $element = new stdClass();
+ $element->name = "Test Element $i";
+ $element->someAttribute = rand(0, 9999);
+ $elements[] = $element;
+ $pl->add($element);
+ }
+
+ $pl->remove($elements[1]);
+
+ $test_elements = $pl->getElements();
+
+ // make sure it's gone.
+ $this->assertEqual(2, count($test_elements));
+ $this->assertIdentical($elements[0], $test_elements[0]);
+ $this->assertIdentical($elements[2], $test_elements[2]);
+ }
+
+ public function testElggPriorityListMove() {
+ $pl = new ElggPriorityList();
+
+ $elements = array(
+ -5 => 'Test Element -5',
+ 0 => 'Test Element 0',
+ 5 => 'Test Element 5',
+ );
+
+ foreach ($elements as $priority => $element) {
+ $pl->add($element, $priority);
+ }
+
+ $this->assertEqual($pl->move($elements[-5], 10), 10);
+
+ // check it's at the new place
+ $this->assertIdentical($elements[-5], $pl->getElement(10));
+
+ // check it's not at the old
+ $this->assertFalse($pl->getElement(-5));
+ }
+
+ public function testElggPriorityListConstructor() {
+ $elements = array(
+ 10 => 'Test Element 10',
+ 5 => 'Test Element 5',
+ 0 => 'Test Element 0',
+ 100 => 'Test Element 100',
+ -1 => 'Test Element -1',
+ -5 => 'Test Element -5'
+ );
+
+ $pl = new ElggPriorityList($elements);
+ $test_elements = $pl->getElements();
+
+ $elements_sorted = array(
+ -5 => 'Test Element -5',
+ -1 => 'Test Element -1',
+ 0 => 'Test Element 0',
+ 5 => 'Test Element 5',
+ 10 => 'Test Element 10',
+ 100 => 'Test Element 100',
+ );
+
+ $this->assertIdentical($elements_sorted, $test_elements);
+ }
+
+ public function testElggPriorityListGetPriority() {
+ $pl = new ElggPriorityList();
+
+ $elements = array(
+ 'Test element 0',
+ 'Test element 1',
+ 'Test element 2',
+ );
+
+ foreach ($elements as $element) {
+ $pl->add($element);
+ }
+
+ $this->assertIdentical(0, $pl->getPriority($elements[0]));
+ $this->assertIdentical(1, $pl->getPriority($elements[1]));
+ $this->assertIdentical(2, $pl->getPriority($elements[2]));
+ }
+
+ public function testElggPriorityListGetElement() {
+ $pl = new ElggPriorityList();
+ $priorities = array();
+
+ $elements = array(
+ 'Test element 0',
+ 'Test element 1',
+ 'Test element 2',
+ );
+
+ foreach ($elements as $element) {
+ $priorities[] = $pl->add($element);
+ }
+
+ $this->assertIdentical($elements[0], $pl->getElement($priorities[0]));
+ $this->assertIdentical($elements[1], $pl->getElement($priorities[1]));
+ $this->assertIdentical($elements[2], $pl->getElement($priorities[2]));
+ }
+
+ public function testElggPriorityListPriorityCollision() {
+ $pl = new ElggPriorityList();
+
+ $elements = array(
+ 5 => 'Test element 5',
+ 6 => 'Test element 6',
+ 0 => 'Test element 0',
+ );
+
+ foreach ($elements as $priority => $element) {
+ $pl->add($element, $priority);
+ }
+
+ // add at a colliding priority
+ $pl->add('Colliding element', 5);
+
+ // should float to the top closest to 5, so 7
+ $this->assertEqual(7, $pl->getPriority('Colliding element'));
+ }
+
+ public function testElggPriorityListIterator() {
+ $elements = array(
+ -5 => 'Test element -5',
+ 0 => 'Test element 0',
+ 5 => 'Test element 5'
+ );
+
+ $pl = new ElggPriorityList($elements);
+
+ foreach ($pl as $priority => $element) {
+ $this->assertIdentical($elements[$priority], $element);
+ }
+ }
+
+ public function testElggPriorityListCountable() {
+ $pl = new ElggPriorityList();
+
+ $this->assertEqual(0, count($pl));
+
+ $pl->add('Test element 0');
+ $this->assertEqual(1, count($pl));
+
+ $pl->add('Test element 1');
+ $this->assertEqual(2, count($pl));
+
+ $pl->add('Test element 2');
+ $this->assertEqual(3, count($pl));
+ }
+
+ public function testElggPriorityListUserSort() {
+ $elements = array(
+ 'A',
+ 'B',
+ 'C',
+ 'D',
+ 'E',
+ );
+
+ $elements_sorted_string = $elements;
+
+ shuffle($elements);
+ $pl = new ElggPriorityList($elements);
+
+ // will sort by priority
+ $test_elements = $pl->getElements();
+ $this->assertIdentical($elements, $test_elements);
+
+ function test_sort($elements) {
+ sort($elements, SORT_LOCALE_STRING);
+ return $elements;
+ }
+
+ // force a new sort using our function
+ $pl->sort('test_sort');
+ $test_elements = $pl->getElements();
+
+ $this->assertIdentical($elements_sorted_string, $test_elements);
+ }
+
+ // see https://github.com/elgg/elgg/issues/4288
+ public function testElggBatchIncOffset() {
+ // normal increment
+ $options = array(
+ 'offset' => 0,
+ 'limit' => 11
+ );
+ $batch = new ElggBatch(array('ElggCoreHelpersTest', 'elgg_batch_callback_test'), $options,
+ null, 5);
+ $j = 0;
+ foreach ($batch as $e) {
+ $offset = floor($j / 5) * 5;
+ $this->assertEqual($offset, $e['offset']);
+ $this->assertEqual($j + 1, $e['index']);
+ $j++;
+ }
+
+ $this->assertEqual(11, $j);
+
+ // no increment, 0 start
+ ElggCoreHelpersTest::elgg_batch_callback_test(array(), true);
+ $options = array(
+ 'offset' => 0,
+ 'limit' => 11
+ );
+ $batch = new ElggBatch(array('ElggCoreHelpersTest', 'elgg_batch_callback_test'), $options,
+ null, 5);
+ $batch->setIncrementOffset(false);
+
+ $j = 0;
+ foreach ($batch as $e) {
+ $this->assertEqual(0, $e['offset']);
+ // should always be the same 5
+ $this->assertEqual($e['index'], $j + 1 - (floor($j / 5) * 5));
+ $j++;
+ }
+ $this->assertEqual(11, $j);
+
+ // no increment, 3 start
+ ElggCoreHelpersTest::elgg_batch_callback_test(array(), true);
+ $options = array(
+ 'offset' => 3,
+ 'limit' => 11
+ );
+ $batch = new ElggBatch(array('ElggCoreHelpersTest', 'elgg_batch_callback_test'), $options,
+ null, 5);
+ $batch->setIncrementOffset(false);
+
+ $j = 0;
+ foreach ($batch as $e) {
+ $this->assertEqual(3, $e['offset']);
+ // same 5 results
+ $this->assertEqual($e['index'], $j + 4 - (floor($j / 5) * 5));
+ $j++;
+ }
+
+ $this->assertEqual(11, $j);
+ }
+
+ public function testElggBatchReadHandlesBrokenEntities() {
+ $num_test_entities = 8;
+ $guids = array();
+ for ($i = $num_test_entities; $i > 0; $i--) {
+ $entity = new ElggObject();
+ $entity->type = 'object';
+ $entity->subtype = 'test_5357_subtype';
+ $entity->access_id = ACCESS_PUBLIC;
+ $entity->save();
+ $guids[] = $entity->guid;
+ _elgg_invalidate_cache_for_entity($entity->guid);
+ }
+
+ // break entities such that the first fetch has one incomplete
+ // and the second and third fetches have only incompletes!
+ $db_prefix = elgg_get_config('dbprefix');
+ delete_data("
+ DELETE FROM {$db_prefix}objects_entity
+ WHERE guid IN ({$guids[1]}, {$guids[2]}, {$guids[3]}, {$guids[4]}, {$guids[5]})
+ ");
+
+ $options = array(
+ 'type' => 'object',
+ 'subtype' => 'test_5357_subtype',
+ 'order_by' => 'e.guid',
+ );
+
+ $entities_visited = array();
+ $batch = new ElggBatch('elgg_get_entities', $options, null, 2);
+ /* @var ElggEntity[] $batch */
+ foreach ($batch as $entity) {
+ $entities_visited[] = $entity->guid;
+ }
+
+ // The broken entities should not have been visited
+ $this->assertEqual($entities_visited, array($guids[0], $guids[6], $guids[7]));
+
+ // cleanup (including leftovers from previous tests)
+ $entity_rows = elgg_get_entities(array_merge($options, array(
+ 'callback' => '',
+ 'limit' => false,
+ )));
+ $guids = array();
+ foreach ($entity_rows as $row) {
+ $guids[] = $row->guid;
+ }
+ delete_data("DELETE FROM {$db_prefix}entities WHERE guid IN (" . implode(',', $guids) . ")");
+ delete_data("DELETE FROM {$db_prefix}objects_entity WHERE guid IN (" . implode(',', $guids) . ")");
+ }
+
+ public function testElggBatchDeleteHandlesBrokenEntities() {
+ $num_test_entities = 8;
+ $guids = array();
+ for ($i = $num_test_entities; $i > 0; $i--) {
+ $entity = new ElggObject();
+ $entity->type = 'object';
+ $entity->subtype = 'test_5357_subtype';
+ $entity->access_id = ACCESS_PUBLIC;
+ $entity->save();
+ $guids[] = $entity->guid;
+ _elgg_invalidate_cache_for_entity($entity->guid);
+ }
+
+ // break entities such that the first fetch has one incomplete
+ // and the second and third fetches have only incompletes!
+ $db_prefix = elgg_get_config('dbprefix');
+ delete_data("
+ DELETE FROM {$db_prefix}objects_entity
+ WHERE guid IN ({$guids[1]}, {$guids[2]}, {$guids[3]}, {$guids[4]}, {$guids[5]})
+ ");
+
+ $options = array(
+ 'type' => 'object',
+ 'subtype' => 'test_5357_subtype',
+ 'order_by' => 'e.guid',
+ );
+
+ $entities_visited = array();
+ $batch = new ElggBatch('elgg_get_entities', $options, null, 2, false);
+ /* @var ElggEntity[] $batch */
+ foreach ($batch as $entity) {
+ $entities_visited[] = $entity->guid;
+ $entity->delete();
+ }
+
+ // The broken entities should not have been visited
+ $this->assertEqual($entities_visited, array($guids[0], $guids[6], $guids[7]));
+
+ // cleanup (including leftovers from previous tests)
+ $entity_rows = elgg_get_entities(array_merge($options, array(
+ 'callback' => '',
+ 'limit' => false,
+ )));
+ $guids = array();
+ foreach ($entity_rows as $row) {
+ $guids[] = $row->guid;
+ }
+ delete_data("DELETE FROM {$db_prefix}entities WHERE guid IN (" . implode(',', $guids) . ")");
+ delete_data("DELETE FROM {$db_prefix}objects_entity WHERE guid IN (" . implode(',', $guids) . ")");
+ }
+
+ static function elgg_batch_callback_test($options, $reset = false) {
+ static $count = 1;
+
+ if ($reset) {
+ $count = 1;
+ return true;
+ }
+
+ if ($count > 20) {
+ return false;
+ }
+
+ for ($j = 0; ($options['limit'] < 5) ? $j < $options['limit'] : $j < 5; $j++) {
+ $return[] = array(
+ 'offset' => $options['offset'],
+ 'limit' => $options['limit'],
+ 'count' => $count++,
+ 'index' => 1 + $options['offset'] + $j
+ );
+ }
+
+ return $return;
+ }
+} \ No newline at end of file
diff --git a/engine/tests/api/metadata.php b/engine/tests/api/metadata.php
new file mode 100644
index 000000000..d23510c6a
--- /dev/null
+++ b/engine/tests/api/metadata.php
@@ -0,0 +1,230 @@
+<?php
+/**
+ * Elgg Test metadata API
+ *
+ * @package Elgg
+ * @subpackage Test
+ */
+class ElggCoreMetadataAPITest extends ElggCoreUnitTest {
+ protected $metastrings;
+
+ /**
+ * Called before each test method.
+ */
+ public function setUp() {
+ $this->metastrings = array();
+ $this->object = new ElggObject();
+ }
+
+ /**
+ * Called after each test method.
+ */
+ public function tearDown() {
+ // do not allow SimpleTest to interpret Elgg notices as exceptions
+ $this->swallowErrors();
+
+ unset($this->object);
+ }
+
+ public function testGetMetastringById() {
+ foreach (array('metaUnitTest', 'metaunittest', 'METAUNITTEST') as $string) {
+ // since there is no guarantee that metastrings are garbage collected
+ // between unit test runs, we delete before testing
+ $this->delete_metastrings($string);
+ $this->create_metastring($string);
+ }
+
+ // lookup metastring id
+ $cs_ids = get_metastring_id('metaUnitTest', TRUE);
+ $this->assertEqual($cs_ids, $this->metastrings['metaUnitTest']);
+
+ // lookup all metastrings, ignoring case
+ $cs_ids = get_metastring_id('metaUnitTest', FALSE);
+ $this->assertEqual(count($cs_ids), 3);
+ $this->assertEqual(count($cs_ids), count($this->metastrings));
+ foreach ($cs_ids as $string )
+ {
+ $this->assertTrue(in_array($string, $this->metastrings));
+ }
+ }
+
+ public function testElggGetEntitiesFromMetadata() {
+ global $CONFIG, $METASTRINGS_CACHE, $METASTRINGS_DEADNAME_CACHE;
+ $METASTRINGS_CACHE = $METASTRINGS_DEADNAME_CACHE = array();
+
+ $this->object->title = 'Meta Unit Test';
+ $this->object->save();
+ $this->create_metastring('metaUnitTest');
+ $this->create_metastring('tested');
+
+ // create_metadata returns id of metadata on success
+ $this->assertNotEqual(false, create_metadata($this->object->guid, 'metaUnitTest', 'tested'));
+
+ // check value with improper case
+ $options = array('metadata_names' => 'metaUnitTest', 'metadata_values' => 'Tested', 'limit' => 10, 'metadata_case_sensitive' => TRUE);
+ $this->assertIdentical(array(), elgg_get_entities_from_metadata($options));
+
+ // compare forced case with ignored case
+ $options = array('metadata_names' => 'metaUnitTest', 'metadata_values' => 'tested', 'limit' => 10, 'metadata_case_sensitive' => TRUE);
+ $case_true = elgg_get_entities_from_metadata($options);
+ $this->assertIsA($case_true, 'array');
+
+ $options = array('metadata_names' => 'metaUnitTest', 'metadata_values' => 'Tested', 'limit' => 10, 'metadata_case_sensitive' => FALSE);
+ $case_false = elgg_get_entities_from_metadata($options);
+ $this->assertIsA($case_false, 'array');
+
+ $this->assertIdentical($case_true, $case_false);
+
+ // clean up
+ $this->object->delete();
+ }
+
+ public function testElggGetMetadataCount() {
+ $this->object->title = 'Meta Unit Test';
+ $this->object->save();
+
+ $guid = $this->object->getGUID();
+ create_metadata($guid, 'tested', 'tested1', 'text', 0, ACCESS_PUBLIC, true);
+ create_metadata($guid, 'tested', 'tested2', 'text', 0, ACCESS_PUBLIC, true);
+
+ $count = (int)elgg_get_metadata(array(
+ 'metadata_names' => array('tested'),
+ 'guid' => $guid,
+ 'count' => true,
+ ));
+
+ $this->assertIdentical($count, 2);
+
+ $this->object->delete();
+ }
+
+ public function testElggDeleteMetadata() {
+ $e = new ElggObject();
+ $e->save();
+
+ for ($i = 0; $i < 30; $i++) {
+ $name = "test_metadata$i";
+ $e->$name = rand(0, 10000);
+ }
+
+ $options = array(
+ 'guid' => $e->getGUID(),
+ 'limit' => 0,
+ );
+
+ $md = elgg_get_metadata($options);
+ $this->assertIdentical(30, count($md));
+
+ $this->assertTrue(elgg_delete_metadata($options));
+
+ $md = elgg_get_metadata($options);
+ $this->assertTrue(empty($md));
+
+ $e->delete();
+ }
+
+ /**
+ * https://github.com/Elgg/Elgg/issues/4867
+ */
+ public function testElggGetEntityMetadataWhereSqlWithFalseValue() {
+ $pair = array('name' => 'test' , 'value' => false);
+ $result = elgg_get_entity_metadata_where_sql('e', 'metadata', null, null, $pair);
+ $where = preg_replace( '/\s+/', ' ', $result['wheres'][0]);
+ $this->assertTrue(strpos($where, "msn1.string = 'test' AND BINARY msv1.string = 0") > 0);
+
+ $result = elgg_get_entity_metadata_where_sql('e', 'metadata', array('test'), array(false));
+ $where = preg_replace( '/\s+/', ' ', $result['wheres'][0]);
+ $this->assertTrue(strpos($where, "msn.string IN ('test')) AND ( BINARY msv.string IN ('0')"));
+ }
+
+ // Make sure metadata with multiple values is correctly deleted when re-written
+ // by another user
+ // https://github.com/elgg/elgg/issues/2776
+ public function test_elgg_metadata_multiple_values() {
+ $u1 = new ElggUser();
+ $u1->username = rand();
+ $u1->save();
+
+ $u2 = new ElggUser();
+ $u2->username = rand();
+ $u2->save();
+
+ $obj = new ElggObject();
+ $obj->owner_guid = $u1->guid;
+ $obj->container_guid = $u1->guid;
+ $obj->access_id = ACCESS_PUBLIC;
+ $obj->save();
+
+ $md_values = array(
+ 'one',
+ 'two',
+ 'three'
+ );
+
+ // need to fake different logins.
+ // good times without mocking.
+ $original_user = elgg_get_logged_in_user_entity();
+ $_SESSION['user'] = $u1;
+
+ elgg_set_ignore_access(false);
+
+ // add metadata as one user
+ $obj->test = $md_values;
+
+ // check only these md exists
+ $db_prefix = elgg_get_config('dbprefix');
+ $q = "SELECT * FROM {$db_prefix}metadata WHERE entity_guid = $obj->guid";
+ $data = get_data($q);
+
+ $this->assertEqual(count($md_values), count($data));
+ foreach ($data as $md_row) {
+ $md = elgg_get_metadata_from_id($md_row->id);
+ $this->assertTrue(in_array($md->value, $md_values));
+ $this->assertEqual('test', $md->name);
+ }
+
+ // add md w/ same name as a different user
+ $_SESSION['user'] = $u2;
+ $md_values2 = array(
+ 'four',
+ 'five',
+ 'six',
+ 'seven'
+ );
+
+ $obj->test = $md_values2;
+
+ $q = "SELECT * FROM {$db_prefix}metadata WHERE entity_guid = $obj->guid";
+ $data = get_data($q);
+
+ $this->assertEqual(count($md_values2), count($data));
+ foreach ($data as $md_row) {
+ $md = elgg_get_metadata_from_id($md_row->id);
+ $this->assertTrue(in_array($md->value, $md_values2));
+ $this->assertEqual('test', $md->name);
+ }
+
+ $_SESSION['user'] = $original_user;
+
+ $obj->delete();
+ $u1->delete();
+ $u2->delete();
+ }
+
+ protected function delete_metastrings($string) {
+ global $CONFIG, $METASTRINGS_CACHE, $METASTRINGS_DEADNAME_CACHE;
+ $METASTRINGS_CACHE = $METASTRINGS_DEADNAME_CACHE = array();
+
+ $string = sanitise_string($string);
+ mysql_query("DELETE FROM {$CONFIG->dbprefix}metastrings WHERE string = BINARY '$string'");
+ }
+
+ protected function create_metastring($string) {
+ global $CONFIG, $METASTRINGS_CACHE, $METASTRINGS_DEADNAME_CACHE;
+ $METASTRINGS_CACHE = $METASTRINGS_DEADNAME_CACHE = array();
+
+ $string = sanitise_string($string);
+ mysql_query("INSERT INTO {$CONFIG->dbprefix}metastrings (string) VALUES ('$string')");
+ $this->metastrings[$string] = mysql_insert_id();
+ }
+}
diff --git a/engine/tests/api/metadata_cache.php b/engine/tests/api/metadata_cache.php
new file mode 100644
index 000000000..7fb328169
--- /dev/null
+++ b/engine/tests/api/metadata_cache.php
@@ -0,0 +1,176 @@
+<?php
+/**
+ * Elgg Test metadata cache
+ *
+ * @package Elgg
+ * @subpackage Test
+ */
+class ElggCoreMetadataCacheTest extends ElggCoreUnitTest {
+
+ /**
+ * @var ElggVolatileMetadataCache
+ */
+ protected $cache;
+
+ /**
+ * @var ElggObject
+ */
+ protected $obj1;
+
+ /**
+ * @var int
+ */
+ protected $guid1;
+
+ /**
+ * @var ElggObject
+ */
+ protected $obj2;
+
+ /**
+ * @var int
+ */
+ protected $guid2;
+
+ protected $name = 'test';
+ protected $value = 'test';
+ protected $ignoreAccess;
+
+ /**
+ * Called before each test method.
+ */
+ public function setUp() {
+ $this->ignoreAccess = elgg_set_ignore_access(false);
+
+ $this->cache = elgg_get_metadata_cache();
+
+ $this->obj1 = new ElggObject();
+ $this->obj1->save();
+ $this->guid1 = $this->obj1->guid;
+
+ $this->obj2 = new ElggObject();
+ $this->obj2->save();
+ $this->guid2 = $this->obj2->guid;
+ }
+
+ /**
+ * Called after each test method.
+ */
+ public function tearDown() {
+ $this->obj1->delete();
+ $this->obj2->delete();
+
+ elgg_set_ignore_access($this->ignoreAccess);
+ }
+
+ public function testBasicApi() {
+ // test de-coupled instance
+ $cache = new ElggVolatileMetadataCache();
+ $cache->setIgnoreAccess(false);
+ $guid = 1;
+
+ $this->assertFalse($cache->isKnown($guid, $this->name));
+
+ $cache->markEmpty($guid, $this->name);
+ $this->assertTrue($cache->isKnown($guid, $this->name));
+ $this->assertNull($cache->load($guid, $this->name));
+
+ $cache->markUnknown($guid, $this->name);
+ $this->assertFalse($cache->isKnown($guid, $this->name));
+
+ $cache->save($guid, $this->name, $this->value);
+ $this->assertIdentical($cache->load($guid, $this->name), $this->value);
+
+ $cache->save($guid, $this->name, 1, true);
+ $this->assertIdentical($cache->load($guid, $this->name), array($this->value, 1));
+
+ $cache->clear($guid);
+ $this->assertFalse($cache->isKnown($guid, $this->name));
+ }
+
+ public function testReadsAreCached() {
+ // test that reads fill cache
+ $this->obj1->setMetaData($this->name, $this->value);
+ $this->cache->flush();
+
+ $this->obj1->getMetaData($this->name);
+ $this->assertIdentical($this->cache->load($this->guid1, $this->name), $this->value);
+ }
+
+ public function testWritesAreCached() {
+ // delete should mark cache as known to be empty
+ $this->obj1->deleteMetadata($this->name);
+ $this->assertTrue($this->cache->isKnown($this->guid1, $this->name));
+ $this->assertNull($this->cache->load($this->guid1, $this->name));
+
+ // without name, delete should invalidate the entire entity
+ $this->cache->save($this->guid1, $this->name, $this->value);
+ elgg_delete_metadata(array(
+ 'guid' => $this->guid1,
+ ));
+ $this->assertFalse($this->cache->isKnown($this->guid1, $this->name));
+
+ // test set
+ $this->obj1->setMetaData($this->name, $this->value);
+ $this->assertIdentical($this->cache->load($this->guid1, $this->name), $this->value);
+
+ // test set multiple
+ $this->obj1->setMetaData($this->name, 1, 'integer', true);
+ $this->assertIdentical($this->cache->load($this->guid1, $this->name), array($this->value, 1));
+
+ // writes when access is ignore should invalidate
+ $tmp_ignore = elgg_set_ignore_access(true);
+ $this->obj1->setMetaData($this->name, $this->value);
+ $this->assertFalse($this->cache->isKnown($this->guid1, $this->name));
+ elgg_set_ignore_access($tmp_ignore);
+ }
+
+ public function testDisableAndEnable() {
+ // both should mark cache unknown
+ $this->obj1->setMetaData($this->name, $this->value);
+ $this->obj1->disableMetadata($this->name);
+ $this->assertFalse($this->cache->isKnown($this->guid1, $this->name));
+
+ $this->cache->save($this->guid1, $this->name, $this->value);
+ $this->obj1->enableMetadata($this->name);
+ $this->assertFalse($this->cache->isKnown($this->guid1, $this->name));
+ }
+
+ public function testPopulateFromEntities() {
+ // test populating cache from set of entities
+ $this->obj1->setMetaData($this->name, $this->value);
+ $this->obj1->setMetaData($this->name, 4, 'integer', true);
+ $this->obj1->setMetaData("{$this->name}-2", "{$this->value}-2");
+ $this->obj2->setMetaData($this->name, $this->value);
+
+ $this->cache->flush();
+ $this->cache->populateFromEntities(array($this->guid1, $this->guid2));
+
+ $expected = array();
+ $expected[$this->name][] = $this->value;
+ $expected[$this->name][] = 4;
+ $expected["{$this->name}-2"] = "{$this->value}-2";
+ $this->assertIdentical($this->cache->loadAll($this->guid1), $expected);
+
+ $expected = array();
+ $expected[$this->name] = $this->value;
+ $this->assertIdentical($this->cache->loadAll($this->guid2), $expected);
+ }
+
+ public function testFilterHeavyEntities() {
+ $big_str = str_repeat('-', 5000);
+ $this->obj2->setMetaData($this->name, array($big_str, $big_str));
+
+ $guids = array($this->guid1, $this->guid2);
+ $expected = array($this->guid1);
+ $actual = $this->cache->filterMetadataHeavyEntities($guids, 6000);
+ $this->assertIdentical($actual, $expected);
+ }
+
+ public function testCreateMetadataInvalidates() {
+ $this->obj1->foo = 1;
+ create_metadata($this->guid1, 'foo', 2, '', elgg_get_logged_in_user_guid(), ACCESS_FRIENDS);
+
+ $this->assertEqual($this->obj1->foo, 2);
+ }
+}
diff --git a/engine/tests/api/metastrings.php b/engine/tests/api/metastrings.php
new file mode 100644
index 000000000..5efdab972
--- /dev/null
+++ b/engine/tests/api/metastrings.php
@@ -0,0 +1,217 @@
+<?php
+/**
+ * Elgg Metastrings test
+ *
+ * @package Elgg.Core
+ * @subpackage Metastrings.Test
+ */
+class ElggCoreMetastringsTest extends ElggCoreUnitTest {
+
+ public $metastringTypes = array('metadata', 'annotations');
+
+ /**
+ * Called before each test object.
+ */
+ public function __construct() {
+ parent::__construct();
+
+ $this->metastrings = array();
+ $this->object = new ElggObject();
+ $this->object->save();
+ }
+
+ public function createAnnotations($max = 1) {
+ $annotations = array();
+ for ($i=0; $i<$max; $i++) {
+ $name = 'test_annotation_name' . rand();
+ $value = 'test_annotation_value' . rand();
+ $id = create_annotation($this->object->guid, $name, $value);
+ $annotations[] = $id;
+ }
+
+ return $annotations;
+ }
+
+ public function createMetadata($max = 1) {
+ $metadata = array();
+ for ($i=0; $i<$max; $i++) {
+ $name = 'test_metadata_name' . rand();
+ $value = 'test_metadata_value' . rand();
+ $id = create_metadata($this->object->guid, $name, $value);
+ $metadata[] = $id;
+ }
+
+ return $metadata;
+ }
+
+ /**
+ * Called before each test method.
+ */
+ public function setUp() {
+
+ }
+
+ /**
+ * Called after each test method.
+ */
+ public function tearDown() {
+ access_show_hidden_entities(true);
+ elgg_delete_annotations(array(
+ 'guid' => $this->object->guid,
+ ));
+ access_show_hidden_entities(false);
+ }
+
+ /**
+ * Called after each test object.
+ */
+ public function __destruct() {
+ $this->object->delete();
+
+ parent::__destruct();
+ }
+
+ public function testDeleteByID() {
+ $db_prefix = elgg_get_config('dbprefix');
+ $annotations = $this->createAnnotations(1);
+ $metadata = $this->createMetadata(1);
+
+ foreach ($this->metastringTypes as $type) {
+ $id = ${$type}[0];
+ $table = $db_prefix . $type;
+ $q = "SELECT * FROM $table WHERE id = $id";
+ $test = get_data($q);
+
+ $this->assertEqual($test[0]->id, $id);
+ $this->assertIdentical(true, elgg_delete_metastring_based_object_by_id($id, $type));
+ $this->assertIdentical(array(), get_data($q));
+ }
+ }
+
+ public function testGetMetastringObjectFromID() {
+ $db_prefix = elgg_get_config('dbprefix');
+ $annotations = $this->createAnnotations(1);
+ $metadata = $this->createMetadata(1);
+
+ foreach ($this->metastringTypes as $type) {
+ $id = ${$type}[0];
+ $test = elgg_get_metastring_based_object_from_id($id, $type);
+
+ $this->assertEqual($id, $test->id);
+ }
+ }
+
+ public function testGetMetastringObjectFromIDWithDisabledAnnotation() {
+ $name = 'test_annotation_name' . rand();
+ $value = 'test_annotation_value' . rand();
+ $id = create_annotation($this->object->guid, $name, $value);
+ $annotation = elgg_get_annotation_from_id($id);
+ $this->assertTrue($annotation->disable());
+
+ $test = elgg_get_metastring_based_object_from_id($id, 'annotation');
+ $this->assertEqual(false, $test);
+ }
+
+ public function testGetMetastringBasedObjectWithDisabledAnnotation() {
+ $name = 'test_annotation_name' . rand();
+ $value = 'test_annotation_value' . rand();
+ $id = create_annotation($this->object->guid, $name, $value);
+ $annotation = elgg_get_annotation_from_id($id);
+ $this->assertTrue($annotation->disable());
+
+ $test = elgg_get_metastring_based_objects(array(
+ 'metastring_type' => 'annotations',
+ 'guid' => $this->object->guid,
+ ));
+ $this->assertEqual(array(), $test);
+ }
+
+ public function testEnableDisableByID() {
+ $db_prefix = elgg_get_config('dbprefix');
+ $annotations = $this->createAnnotations(1);
+ $metadata = $this->createMetadata(1);
+
+ foreach ($this->metastringTypes as $type) {
+ $id = ${$type}[0];
+ $table = $db_prefix . $type;
+ $q = "SELECT * FROM $table WHERE id = $id";
+ $test = get_data($q);
+
+ // disable
+ $this->assertEqual($test[0]->enabled, 'yes');
+ $this->assertTrue(elgg_set_metastring_based_object_enabled_by_id($id, 'no', $type));
+
+ $test = get_data($q);
+ $this->assertEqual($test[0]->enabled, 'no');
+
+ // enable
+ $ashe = access_get_show_hidden_status();
+ access_show_hidden_entities(true);
+ $this->assertTrue(elgg_set_metastring_based_object_enabled_by_id($id, 'yes', $type));
+
+ $test = get_data($q);
+ $this->assertEqual($test[0]->enabled, 'yes');
+
+ access_show_hidden_entities($ashe);
+ }
+ }
+
+ public function testKeepMeFromDeletingEverything() {
+ foreach ($this->metastringTypes as $type) {
+ $required = array(
+ 'guid', 'guids'
+ );
+
+ switch ($type) {
+ case 'metadata':
+ $metadata_required = array(
+ 'metadata_owner_guid', 'metadata_owner_guids',
+ 'metadata_name', 'metadata_names',
+ 'metadata_value', 'metadata_values'
+ );
+
+ $required = array_merge($required, $metadata_required);
+ break;
+
+ case 'annotations':
+ $annotations_required = array(
+ 'annotation_owner_guid', 'annotation_owner_guids',
+ 'annotation_name', 'annotation_names',
+ 'annotation_value', 'annotation_values'
+ );
+
+ $required = array_merge($required, $annotations_required);
+ break;
+ }
+
+ $options = array();
+ $this->assertFalse(elgg_is_valid_options_for_batch_operation($options, $type));
+
+ // limit alone isn't valid:
+ $options = array('limit' => 10);
+ $this->assertFalse(elgg_is_valid_options_for_batch_operation($options, $type));
+
+ foreach ($required as $key) {
+ $options = array();
+
+ $options[$key] = ELGG_ENTITIES_ANY_VALUE;
+ $this->assertFalse(elgg_is_valid_options_for_batch_operation($options, $type), "Sent $key = ELGG_ENTITIES_ANY_VALUE");
+
+ $options[$key] = ELGG_ENTITIES_NO_VALUE;
+ $this->assertFalse(elgg_is_valid_options_for_batch_operation($options, $type), "Sent $key = ELGG_ENTITIES_NO_VALUE");
+
+ $options[$key] = false;
+ $this->assertFalse(elgg_is_valid_options_for_batch_operation($options, $type), "Sent $key = bool false");
+
+ $options[$key] = true;
+ $this->assertTrue(elgg_is_valid_options_for_batch_operation($options, $type), "Sent $key = bool true");
+
+ $options[$key] = 'test';
+ $this->assertTrue(elgg_is_valid_options_for_batch_operation($options, $type), "Sent $key = 'test'");
+
+ $options[$key] = array('test');
+ $this->assertTrue(elgg_is_valid_options_for_batch_operation($options, $type), "Sent $key = array('test')");
+ }
+ }
+ }
+}
diff --git a/engine/tests/api/output.php b/engine/tests/api/output.php
new file mode 100644
index 000000000..c3d5aa8c6
--- /dev/null
+++ b/engine/tests/api/output.php
@@ -0,0 +1,74 @@
+<?php
+/**
+ * Test case for ElggAutoP functionality.
+ */
+class ElggCoreOutputAutoPTest extends ElggCoreUnitTest {
+
+ /**
+ * @var ElggAutoP
+ */
+ protected $_autop;
+
+ public function setUp() {
+ $this->_autop = new ElggAutoP();
+ }
+
+ public function testDomRoundtrip() {
+ $d = dir(dirname(dirname(__FILE__)) . '/test_files/output/autop');
+ $in = file_get_contents($d->path . "/domdoc_in.html");
+ $exp = file_get_contents($d->path . "/domdoc_exp.html");
+ $exp = $this->flattenString($exp);
+
+ $doc = new DOMDocument();
+ libxml_use_internal_errors(true);
+ $doc->loadHTML("<html><meta http-equiv='content-type' content='text/html; charset=utf-8'><body>"
+ . $in . '</body></html>');
+ $serialized = $doc->saveHTML();
+ list(,$out) = explode('<body>', $serialized, 2);
+ list($out) = explode('</body>', $out, 2);
+ $out = $this->flattenString($out);
+
+ $this->assertEqual($exp, $out, "DOMDocument's parsing/serialization roundtrip");
+ }
+
+ public function testProcess() {
+ $data = $this->provider();
+ foreach ($data as $row) {
+ list($test, $in, $exp) = $row;
+ $exp = $this->flattenString($exp);
+ $out = $this->_autop->process($in);
+ $out = $this->flattenString($out);
+
+ $this->assertEqual($exp, $out, "Equality case {$test}");
+ }
+ }
+
+ public function provider() {
+ $d = dir(dirname(dirname(__FILE__)) . '/test_files/output/autop');
+ $tests = array();
+ while (false !== ($entry = $d->read())) {
+ if (preg_match('/^([a-z\\-]+)\.in\.html$/i', $entry, $m)) {
+ $tests[] = $m[1];
+ }
+ }
+
+ $data = array();
+ foreach ($tests as $test) {
+ $data[] = array(
+ $test,
+ file_get_contents($d->path . '/' . "{$test}.in.html"),
+ file_get_contents($d->path . '/' . "{$test}.exp.html"),
+ );
+ }
+ return $data;
+ }
+
+ /**
+ * Different versions of PHP return different whitespace between tags.
+ * Removing all line breaks normalizes that.
+ */
+ public function flattenString($string) {
+ $r = preg_replace('/[\n\r]+/', '', $string);
+ return $r;
+ }
+} \ No newline at end of file
diff --git a/engine/tests/api/plugins.php b/engine/tests/api/plugins.php
new file mode 100644
index 000000000..d0f111c48
--- /dev/null
+++ b/engine/tests/api/plugins.php
@@ -0,0 +1,299 @@
+<?php
+/**
+ * Elgg Plugins Test
+ *
+ * @package Elgg.Core
+ * @subpackage Plugins.Test
+ */
+class ElggCorePluginsAPITest extends ElggCoreUnitTest {
+ // 1.8 manifest object
+ var $manifest18;
+
+ // 1.8 package at test_files/plugin_18/
+ var $package18;
+
+ // 1.7 manifest object
+ var $manifest17;
+
+ // 1.7 package at test_files/plugin_17/
+ var $package17;
+
+ public function __construct() {
+ parent::__construct();
+
+ $this->manifest18 = new ElggPluginManifest(get_config('path') . 'engine/tests/test_files/plugin_18/manifest.xml', 'plugin_test_18');
+ $this->manifest17 = new ElggPluginManifest(get_config('path') . 'engine/tests/test_files/plugin_17/manifest.xml', 'plugin_test_17');
+
+ $this->package18 = new ElggPluginPackage(get_config('path') . 'engine/tests/test_files/plugin_18');
+ $this->package17 = new ElggPluginPackage(get_config('path') . 'engine/tests/test_files/plugin_17');
+ }
+
+ /**
+ * Called after each test method.
+ */
+ public function tearDown() {
+ // do not allow SimpleTest to interpret Elgg notices as exceptions
+ $this->swallowErrors();
+ }
+
+ // generic tests
+ public function testElggPluginManifestFromString() {
+ $manifest_file = file_get_contents(get_config('path') . 'engine/tests/test_files/plugin_17/manifest.xml');
+ $manifest = new ElggPluginManifest($manifest_file);
+
+ $this->assertIsA($manifest, 'ElggPluginManifest');
+ }
+
+ public function testElggPluginManifestFromFile() {
+ $file = get_config('path') . 'engine/tests/test_files/plugin_17/manifest.xml';
+ $manifest = new ElggPluginManifest($file);
+
+ $this->assertIsA($manifest, 'ElggPluginManifest');
+ }
+
+ public function testElggPluginManifestFromXMLEntity() {
+ $xml = xml_to_object($manifest_file = file_get_contents(get_config('path') . 'engine/tests/test_files/plugin_17/manifest.xml'));
+ $manifest = new ElggPluginManifest($xml);
+
+ $this->assertIsA($manifest, 'ElggPluginManifest');
+ }
+
+ // exact manifest values
+ // 1.8 interface
+ public function testElggPluginManifest18() {
+ $manifest_array = array(
+ 'name' => 'Test Manifest',
+ 'author' => 'Anyone',
+ 'version' => '1.0',
+ 'blurb' => 'A concise description.',
+ 'description' => 'A longer, more interesting description.',
+ 'website' => 'http://www.elgg.org/',
+ 'repository' => 'https://github.com/Elgg/Elgg',
+ 'bugtracker' => 'https://github.com/elgg/elgg/issues',
+ 'donations' => 'http://elgg.org/supporter.php',
+ 'copyright' => '(C) Elgg Foundation 2011',
+ 'license' => 'GNU General Public License version 2',
+
+ 'requires' => array(
+ array('type' => 'elgg_version', 'version' => '3009030802', 'comparison' => 'lt'),
+ array('type' => 'elgg_release', 'version' => '1.8-svn'),
+ array('type' => 'php_extension', 'name' => 'gd'),
+ array('type' => 'php_ini', 'name' => 'short_open_tag', 'value' => 'off'),
+ array('type' => 'php_extension', 'name' => 'made_up', 'version' => '1.0'),
+ array('type' => 'plugin', 'name' => 'fake_plugin', 'version' => '1.0'),
+ array('type' => 'plugin', 'name' => 'profile', 'version' => '1.0'),
+ array('type' => 'plugin', 'name' => 'profile_api', 'version' => '1.3', 'comparison' => 'lt'),
+ array('type' => 'priority', 'priority' => 'after', 'plugin' => 'profile'),
+ ),
+
+ 'screenshot' => array(
+ array('description' => 'Fun things to do 1', 'path' => 'graphics/plugin_ss1.png'),
+ array('description' => 'Fun things to do 2', 'path' => 'graphics/plugin_ss2.png'),
+ ),
+
+ 'category' => array(
+ 'Admin', 'ServiceAPI'
+ ),
+
+ 'conflicts' => array(
+ array('type' => 'plugin', 'name' => 'profile_api', 'version' => '1.0')
+ ),
+
+ 'provides' => array(
+ array('type' => 'plugin', 'name' => 'profile_api', 'version' => '1.3'),
+ array('type' => 'php_extension', 'name' => 'big_math', 'version' => '1.0')
+ ),
+
+ 'suggests' => array(
+ array('type' => 'plugin', 'name' => 'facebook_connect', 'version' => '1.0'),
+ ),
+
+ // string because we are reading from a file
+ 'activate_on_install' => 'true',
+ );
+
+ $this->assertIdentical($this->manifest18->getManifest(), $manifest_array);
+ }
+
+ public function testElggPluginManifest17() {
+ $manifest_array = array(
+ 'author' => 'Anyone',
+ 'version' => '1.0',
+ 'description' => 'A 1.7-style manifest.',
+ 'website' => 'http://www.elgg.org/',
+ 'copyright' => '(C) Elgg Foundation 2011',
+ 'license' => 'GNU General Public License version 2',
+ 'elgg_version' => '2009030702',
+ 'name' => 'Plugin Test 17',
+ );
+
+ $this->assertIdentical($this->manifest17->getManifest(), $manifest_array);
+ }
+
+
+ public function testElggPluginManifestGetApiVersion() {
+ $this->assertEqual($this->manifest18->getApiVersion(), 1.8);
+ $this->assertEqual($this->manifest17->getApiVersion(), 1.7);
+ }
+
+ public function testElggPluginManifestGetPluginID() {
+ $this->assertEqual($this->manifest18->getPluginID(), 'plugin_test_18');
+ $this->assertEqual($this->manifest17->getPluginID(), 'plugin_test_17');
+ }
+
+
+ // normalized attributes
+ public function testElggPluginManifestGetName() {
+ $this->assertEqual($this->manifest18->getName(), 'Test Manifest');
+ $this->assertEqual($this->manifest17->getName(), 'Plugin Test 17');
+ }
+
+ public function testElggPluginManifestGetAuthor() {
+ $this->assertEqual($this->manifest18->getAuthor(), 'Anyone');
+ $this->assertEqual($this->manifest17->getAuthor(), 'Anyone');
+ }
+
+ public function testElggPluginManifestGetVersion() {
+ $this->assertEqual($this->manifest18->getVersion(), 1.0);
+ $this->assertEqual($this->manifest17->getVersion(), 1.0);
+ }
+
+ public function testElggPluginManifestGetBlurb() {
+ $this->assertEqual($this->manifest18->getBlurb(), 'A concise description.');
+ $this->assertEqual($this->manifest17->getBlurb(), 'A 1.7-style manifest.');
+ }
+
+ public function testElggPluginManifestGetWebsite() {
+ $this->assertEqual($this->manifest18->getWebsite(), 'http://www.elgg.org/');
+ $this->assertEqual($this->manifest17->getWebsite(), 'http://www.elgg.org/');
+ }
+
+ public function testElggPluginManifestGetRepository() {
+ $this->assertEqual($this->manifest18->getRepositoryURL(), 'https://github.com/Elgg/Elgg');
+ $this->assertEqual($this->manifest17->getRepositoryURL(), '');
+ }
+
+ public function testElggPluginManifestGetBugtracker() {
+ $this->assertEqual($this->manifest18->getBugTrackerURL(), 'https://github.com/elgg/elgg/issues');
+ $this->assertEqual($this->manifest17->getBugTrackerURL(), '');
+ }
+
+ public function testElggPluginManifestGetDonationsPage() {
+ $this->assertEqual($this->manifest18->getDonationsPageURL(), 'http://elgg.org/supporter.php');
+ $this->assertEqual($this->manifest17->getDonationsPageURL(), '');
+ }
+
+ public function testElggPluginManifestGetCopyright() {
+ $this->assertEqual($this->manifest18->getCopyright(), '(C) Elgg Foundation 2011');
+ $this->assertEqual($this->manifest18->getCopyright(), '(C) Elgg Foundation 2011');
+ }
+
+ public function testElggPluginManifestGetLicense() {
+ $this->assertEqual($this->manifest18->getLicense(), 'GNU General Public License version 2');
+ $this->assertEqual($this->manifest17->getLicense(), 'GNU General Public License version 2');
+ }
+
+
+ public function testElggPluginManifestGetRequires() {
+ $requires = array(
+ array('type' => 'elgg_version', 'version' => '3009030802', 'comparison' => 'lt'),
+ array('type' => 'elgg_release', 'version' => '1.8-svn', 'comparison' => 'ge'),
+ array('type' => 'php_extension', 'name' => 'gd', 'version' => '', 'comparison' => '='),
+ array('type' => 'php_ini', 'name' => 'short_open_tag', 'value' => 0, 'comparison' => '='),
+ array('type' => 'php_extension', 'name' => 'made_up', 'version' => '1.0', 'comparison' => '='),
+ array('type' => 'plugin', 'name' => 'fake_plugin', 'version' => '1.0', 'comparison' => 'ge'),
+ array('type' => 'plugin', 'name' => 'profile', 'version' => '1.0', 'comparison' => 'ge'),
+ array('type' => 'plugin', 'name' => 'profile_api', 'version' => '1.3', 'comparison' => 'lt'),
+ array('type' => 'priority', 'priority' => 'after', 'plugin' => 'profile'),
+ );
+
+ $this->assertIdentical($this->package18->getManifest()->getRequires(), $requires);
+
+ $requires = array(
+ array('type' => 'elgg_version', 'version' => '2009030702', 'comparison' => 'ge')
+ );
+
+ $this->assertIdentical($this->package17->getManifest()->getRequires(), $requires);
+ }
+
+ public function testElggPluginManifestGetSuggests() {
+ $suggests = array(
+ array('type' => 'plugin', 'name' => 'facebook_connect', 'version' => '1.0', 'comparison' => 'ge'),
+ );
+
+ $this->assertIdentical($this->package18->getManifest()->getSuggests(), $suggests);
+
+ $suggests = array();
+
+ $this->assertIdentical($this->package17->getManifest()->getSuggests(), $suggests);
+ }
+
+ public function testElggPluginManifestGetDescription() {
+ $this->assertEqual($this->package18->getManifest()->getDescription(), 'A longer, more interesting description.');
+ $this->assertEqual($this->package17->getManifest()->getDescription(), 'A 1.7-style manifest.');
+ }
+
+ public function testElggPluginManifestGetCategories() {
+ $categories = array(
+ 'Admin', 'ServiceAPI'
+ );
+
+ $this->assertIdentical($this->package18->getManifest()->getCategories(), $categories);
+ $this->assertIdentical($this->package17->getManifest()->getCategories(), array());
+ }
+
+ public function testElggPluginManifestGetScreenshots() {
+ $screenshots = array(
+ array('description' => 'Fun things to do 1', 'path' => 'graphics/plugin_ss1.png'),
+ array('description' => 'Fun things to do 2', 'path' => 'graphics/plugin_ss2.png'),
+ );
+
+ $this->assertIdentical($this->package18->getManifest()->getScreenshots(), $screenshots);
+ $this->assertIdentical($this->package17->getManifest()->getScreenshots(), array());
+ }
+
+ public function testElggPluginManifestGetProvides() {
+ $provides = array(
+ array('type' => 'plugin', 'name' => 'profile_api', 'version' => '1.3'),
+ array('type' => 'php_extension', 'name' => 'big_math', 'version' => '1.0'),
+ array('type' => 'plugin', 'name' => 'plugin_18', 'version' => '1.0')
+ );
+
+ $this->assertIdentical($this->package18->getManifest()->getProvides(), $provides);
+
+
+ $provides = array(
+ array('type' => 'plugin', 'name' => 'plugin_17', 'version' => '1.0')
+ );
+
+ $this->assertIdentical($this->package17->getManifest()->getProvides(), $provides);
+ }
+
+ public function testElggPluginManifestGetConflicts() {
+ $conflicts = array(
+ array(
+ 'type' => 'plugin',
+ 'name' => 'profile_api',
+ 'version' => '1.0',
+ 'comparison' => '='
+ )
+ );
+
+ $this->assertIdentical($this->manifest18->getConflicts(), $conflicts);
+ $this->assertIdentical($this->manifest17->getConflicts(), array());
+ }
+
+ public function testElggPluginManifestGetActivateOnInstall() {
+ $this->assertIdentical($this->manifest18->getActivateOnInstall(), true);
+ }
+
+ // ElggPluginPackage
+ public function testElggPluginPackageDetectIDFromPath() {
+ $this->assertEqual($this->package18->getID(), 'plugin_18');
+ }
+
+ public function testElggPluginPackageDetectIDFromPluginID() {
+ $package = new ElggPluginPackage('profile');
+ $this->assertEqual($package->getID(), 'profile');
+ }
+}
diff --git a/engine/tests/api/river.php b/engine/tests/api/river.php
new file mode 100644
index 000000000..6931b9f41
--- /dev/null
+++ b/engine/tests/api/river.php
@@ -0,0 +1,21 @@
+<?php
+/**
+ * Elgg Test river api
+ *
+ * @package Elgg
+ * @subpackage Test
+ */
+class ElggCoreRiverAPITest extends ElggCoreUnitTest {
+
+ public function testElggTypeSubtypeWhereSQL() {
+ $types = array('object');
+ $subtypes = array('blog');
+ $result = elgg_get_river_type_subtype_where_sql('rv', $types, $subtypes, null);
+ $this->assertIdentical($result, "((rv.type = 'object') AND ((rv.subtype = 'blog')))");
+
+ $types = array('object');
+ $subtypes = array('blog', 'file');
+ $result = elgg_get_river_type_subtype_where_sql('rv', $types, $subtypes, null);
+ $this->assertIdentical($result, "((rv.type = 'object') AND ((rv.subtype = 'blog') OR (rv.subtype = 'file')))");
+ }
+}
diff --git a/engine/tests/objects/entities.php b/engine/tests/objects/entities.php
index 56c8f7947..bac72079e 100644
--- a/engine/tests/objects/entities.php
+++ b/engine/tests/objects/entities.php
@@ -29,8 +29,8 @@ class ElggCoreEntityTest extends ElggCoreUnitTest {
$test_attributes['guid'] = NULL;
$test_attributes['type'] = NULL;
$test_attributes['subtype'] = NULL;
- $test_attributes['owner_guid'] = get_loggedin_userid();
- $test_attributes['container_guid'] = get_loggedin_userid();
+ $test_attributes['owner_guid'] = elgg_get_logged_in_user_guid();
+ $test_attributes['container_guid'] = elgg_get_logged_in_user_guid();
$test_attributes['site_guid'] = NULL;
$test_attributes['access_id'] = ACCESS_PRIVATE;
$test_attributes['time_created'] = NULL;
@@ -89,25 +89,25 @@ class ElggCoreEntityTest extends ElggCoreUnitTest {
$this->assertFalse(isset($this->entity->non_existent));
// create metadata
- $this->assertTrue($this->entity->non_existent = 'testing');
+ $this->entity->existent = 'testing';
+ $this->assertIdentical($this->entity->existent, 'testing');
// check metadata set
- $this->assertTrue(isset($this->entity->non_existent));
- $this->assertIdentical($this->entity->non_existent, 'testing');
- $this->assertIdentical($this->entity->getMetaData('non_existent'), 'testing');
+ $this->assertTrue(isset($this->entity->existent));
+ $this->assertIdentical($this->entity->getMetaData('existent'), 'testing');
// check internal metadata array
$metadata = $this->entity->expose_metadata();
- $this->assertIdentical($metadata['non_existent'], 'testing');
+ $this->assertIdentical($metadata['existent'], array('testing'));
}
public function testElggEnityGetAndSetAnnotations() {
$this->assertFalse(array_key_exists('non_existent', $this->entity->expose_annotations()));
- $this->assertFalse($this->entity->getAnnotations('non_existent'));
+ $this->assertIdentical($this->entity->getAnnotations('non_existent'), array());
// set and check temp annotation
$this->assertTrue($this->entity->annotate('non_existent', 'testing'));
- $this->assertIdentical($this->entity->getAnnotations('non_existent'), 'testing');
+ $this->assertIdentical($this->entity->getAnnotations('non_existent'), array('testing'));
$this->assertTrue(array_key_exists('non_existent', $this->entity->expose_annotations()));
// save entity and check for annotation
@@ -118,22 +118,23 @@ class ElggCoreEntityTest extends ElggCoreUnitTest {
$this->assertIsA($annotations[0], 'ElggAnnotation');
$this->assertIdentical($annotations[0]->name, 'non_existent');
$this->assertEqual($this->entity->countAnnotations('non_existent'), 1);
-
- $this->assertIdentical($annotations, get_annotations($this->entity->getGUID()));
- $this->assertIdentical($annotations, get_annotations($this->entity->getGUID(), 'site'));
- $this->assertIdentical($annotations, get_annotations($this->entity->getGUID(), 'site', 'testing'));
- $this->assertIdentical(FALSE, get_annotations($this->entity->getGUID(), 'site', 'fail'));
+
+ $this->assertIdentical($annotations, elgg_get_annotations(array('guid' => $this->entity->getGUID())));
+ $this->assertIdentical($annotations, elgg_get_annotations(array('guid' => $this->entity->getGUID(), 'type' => 'site')));
+ $this->assertIdentical($annotations, elgg_get_annotations(array('guid' => $this->entity->getGUID(), 'type' => 'site', 'subtype' => 'testing')));
+ $this->assertIdentical(FALSE, elgg_get_annotations(array('guid' => $this->entity->getGUID(), 'type' => 'site', 'subtype' => 'fail')));
// clear annotation
- $this->assertTrue($this->entity->clearAnnotations());
+ $this->assertTrue($this->entity->deleteAnnotations());
$this->assertEqual($this->entity->countAnnotations('non_existent'), 0);
-
- $this->assertIdentical(FALSE, get_annotations($this->entity->getGUID()));
- $this->assertIdentical(FALSE, get_annotations($this->entity->getGUID(), 'site'));
- $this->assertIdentical(FALSE, get_annotations($this->entity->getGUID(), 'site', 'testing'));
+
+ $this->assertIdentical(array(), elgg_get_annotations(array('guid' => $this->entity->getGUID())));
+ $this->assertIdentical(array(), elgg_get_annotations(array('guid' => $this->entity->getGUID(), 'type' => 'site')));
+ $this->assertIdentical(array(), elgg_get_annotations(array('guid' => $this->entity->getGUID(), 'type' => 'site', 'subtype' => 'testing')));
// clean up
$this->assertTrue($this->entity->delete());
+ remove_subtype('site', 'testing');
}
public function testElggEntityCache() {
@@ -177,7 +178,7 @@ class ElggCoreEntityTest extends ElggCoreUnitTest {
$this->AssertEqual($this->entity->get('non_existent'), 'testing');
// clean up with delete
- $this->assertTrue($this->entity->delete());
+ $this->assertIdentical(true, $this->entity->delete());
}
public function testElggEntityDisableAndEnable() {
@@ -186,45 +187,103 @@ class ElggCoreEntityTest extends ElggCoreUnitTest {
// ensure enabled
$this->assertTrue($this->entity->isEnabled());
- // false on disable
+ // false on disable because it's not saved yet.
$this->assertFalse($this->entity->disable());
// save and disable
$this->save_entity();
+
+ // add annotations and metadata to check if they're disabled.
+ $annotation_id = create_annotation($this->entity->guid, 'test_annotation_' . rand(), 'test_value_' . rand());
+ $metadata_id = create_metadata($this->entity->guid, 'test_metadata_' . rand(), 'test_value_' . rand());
+
$this->assertTrue($this->entity->disable());
// ensure disabled by comparing directly with database
$entity = get_data_row("SELECT * FROM {$CONFIG->dbprefix}entities WHERE guid = '{$this->entity->guid}'");
$this->assertIdentical($entity->enabled, 'no');
+ $annotation = get_data_row("SELECT * FROM {$CONFIG->dbprefix}annotations WHERE id = '$annotation_id'");
+ $this->assertIdentical($annotation->enabled, 'no');
+
+ $metadata = get_data_row("SELECT * FROM {$CONFIG->dbprefix}metadata WHERE id = '$metadata_id'");
+ $this->assertIdentical($metadata->enabled, 'no');
+
// re-enable for deletion to work
$this->assertTrue($this->entity->enable());
+
+ // check enabled
+ // check annotations and metadata enabled.
+ $entity = get_data_row("SELECT * FROM {$CONFIG->dbprefix}entities WHERE guid = '{$this->entity->guid}'");
+ $this->assertIdentical($entity->enabled, 'yes');
+
+ $annotation = get_data_row("SELECT * FROM {$CONFIG->dbprefix}annotations WHERE id = '$annotation_id'");
+ $this->assertIdentical($annotation->enabled, 'yes');
+
+ $metadata = get_data_row("SELECT * FROM {$CONFIG->dbprefix}metadata WHERE id = '$metadata_id'");
+ $this->assertIdentical($metadata->enabled, 'yes');
+
$this->assertTrue($this->entity->delete());
}
-
+
+ public function testElggEntityRecursiveDisableAndEnable() {
+ global $CONFIG;
+
+ $this->save_entity();
+ $obj1 = new ElggObject();
+ $obj1->container_guid = $this->entity->getGUID();
+ $obj1->save();
+ $obj2 = new ElggObject();
+ $obj2->container_guid = $this->entity->getGUID();
+ $obj2->save();
+
+ // disable $obj2 before disabling the container
+ $this->assertTrue($obj2->disable());
+
+ // disable entities container by $this->entity
+ $this->assertTrue($this->entity->disable());
+ $entity = get_data_row("SELECT * FROM {$CONFIG->dbprefix}entities WHERE guid = '{$obj1->guid}'");
+ $this->assertIdentical($entity->enabled, 'no');
+
+ // enable entities that were disabled with the container (but not $obj2)
+ $this->assertTrue($this->entity->enable());
+ $entity = get_data_row("SELECT * FROM {$CONFIG->dbprefix}entities WHERE guid = '{$obj1->guid}'");
+ $this->assertIdentical($entity->enabled, 'yes');
+ $entity = get_data_row("SELECT * FROM {$CONFIG->dbprefix}entities WHERE guid = '{$obj2->guid}'");
+ $this->assertIdentical($entity->enabled, 'no');
+
+ // cleanup
+ $this->assertTrue($obj2->enable());
+ $this->assertTrue($obj2->delete());
+ $this->assertTrue($obj1->delete());
+ $this->assertTrue($this->entity->delete());
+ }
+
public function testElggEntityMetadata() {
- // let's delte a non-existent metadata
- $this->assertFalse($this->entity->clearMetaData('important'));
-
- // let's add the meatadata
- $this->assertTrue($this->entity->important = 'indeed!');
- $this->assertTrue($this->entity->less_important = 'true, too!');
+ // let's delete a non-existent metadata
+ $this->assertFalse($this->entity->deleteMetadata('important'));
+
+ // let's add the metadata
+ $this->entity->important = 'indeed!';
+ $this->assertIdentical('indeed!', $this->entity->important);
+ $this->entity->less_important = 'true, too!';
+ $this->assertIdentical('true, too!', $this->entity->less_important);
$this->save_entity();
-
+
// test deleting incorrectly
- // @link http://trac.elgg.org/ticket/2273
- $this->assertFalse($this->entity->clearMetaData('impotent'));
+ // @link https://github.com/elgg/elgg/issues/2273
+ $this->assertNull($this->entity->deleteMetadata('impotent'));
$this->assertEqual($this->entity->important, 'indeed!');
-
+
// get rid of one metadata
$this->assertEqual($this->entity->important, 'indeed!');
- $this->assertTrue($this->entity->clearMetaData('important'));
- $this->assertEqual($this->entity->important, '');
-
+ $this->assertTrue($this->entity->deleteMetadata('important'));
+ $this->assertNull($this->entity->important);
+
// get rid of all metadata
- $this->assertTrue($this->entity->clearMetaData());
- $this->assertEqual($this->entity->less_important, '');
-
+ $this->assertTrue($this->entity->deleteMetadata());
+ $this->assertNull($this->entity->less_important);
+
// clean up database
$this->assertTrue($this->entity->delete());
}
@@ -244,8 +303,101 @@ class ElggCoreEntityTest extends ElggCoreUnitTest {
$this->assertIdentical($exportables, $this->entity->getExportableValues());
}
- protected function save_entity($type='site')
- {
+ public function testElggEntityMultipleMetadata() {
+ foreach (array(false, true) as $save) {
+ if ($save) {
+ $this->save_entity();
+ }
+ $md = array('brett', 'bryan', 'brad');
+ $name = 'test_md_' . rand();
+
+ $this->entity->$name = $md;
+
+ $this->assertEqual($md, $this->entity->$name);
+
+ if ($save) {
+ $this->assertTrue($this->entity->delete());
+ }
+ }
+ }
+
+ public function testElggEntitySingleElementArrayMetadata() {
+ foreach (array(false, true) as $save) {
+ if ($save) {
+ $this->save_entity();
+ }
+ $md = array('test');
+ $name = 'test_md_' . rand();
+
+ $this->entity->$name = $md;
+
+ $this->assertEqual($md[0], $this->entity->$name);
+
+ if ($save) {
+ $this->assertTrue($this->entity->delete());
+ }
+ }
+ }
+
+ public function testElggEntityAppendMetadata() {
+ foreach (array(false, true) as $save) {
+ if ($save) {
+ $this->save_entity();
+ }
+ $md = 'test';
+ $name = 'test_md_' . rand();
+
+ $this->entity->$name = $md;
+ $this->entity->setMetaData($name, 'test2', '', true);
+
+ $this->assertEqual(array('test', 'test2'), $this->entity->$name);
+
+ if ($save) {
+ $this->assertTrue($this->entity->delete());
+ }
+ }
+ }
+
+ public function testElggEntitySingleElementArrayAppendMetadata() {
+ foreach (array(false, true) as $save) {
+ if ($save) {
+ $this->save_entity();
+ }
+ $md = 'test';
+ $name = 'test_md_' . rand();
+
+ $this->entity->$name = $md;
+ $this->entity->setMetaData($name, array('test2'), '', true);
+
+ $this->assertEqual(array('test', 'test2'), $this->entity->$name);
+
+ if ($save) {
+ $this->assertTrue($this->entity->delete());
+ }
+ }
+ }
+
+ public function testElggEntityArrayAppendMetadata() {
+ foreach (array(false, true) as $save) {
+ if ($save) {
+ $this->save_entity();
+ }
+ $md = array('brett', 'bryan', 'brad');
+ $md2 = array('test1', 'test2', 'test3');
+ $name = 'test_md_' . rand();
+
+ $this->entity->$name = $md;
+ $this->entity->setMetaData($name, $md2, '', true);
+
+ $this->assertEqual(array_merge($md, $md2), $this->entity->$name);
+
+ if ($save) {
+ $this->assertTrue($this->entity->delete());
+ }
+ }
+ }
+
+ protected function save_entity($type='site') {
$this->entity->type = $type;
$this->assertNotEqual($this->entity->save(), 0);
}
diff --git a/engine/tests/objects/metadata.php b/engine/tests/objects/metadata.php
deleted file mode 100644
index b5b9aba02..000000000
--- a/engine/tests/objects/metadata.php
+++ /dev/null
@@ -1,106 +0,0 @@
-<?php
-/**
- * Elgg Test ElggMetadata
- *
- * @package Elgg
- * @subpackage Test
- */
-class ElggCoreMetadataTest extends ElggCoreUnitTest {
- protected $metastrings;
-
- /**
- * Called before each test method.
- */
- public function setUp() {
- $this->metastrings = array();
- $this->object = new ElggObject();
- }
-
- /**
- * Called after each test method.
- */
- public function tearDown() {
- // do not allow SimpleTest to interpret Elgg notices as exceptions
- $this->swallowErrors();
-
- unset($this->object);
- }
-
- public function testGetMetastringById() {
- foreach (array('metaUnitTest', 'metaunittest', 'METAUNITTEST') as $string) {
- $this->create_metastring($string);
- }
-
- // lookup metastring id
- $cs_ids = get_metastring_id('metaUnitTest', TRUE);
- $this->assertEqual($cs_ids, $this->metastrings['metaUnitTest']);
-
- // lookup all metastrings, ignoring case
- $cs_ids = get_metastring_id('metaUnitTest', FALSE);
- $this->assertEqual(count($cs_ids), 3);
- $this->assertEqual(count($cs_ids), count($this->metastrings));
- foreach ($cs_ids as $string )
- {
- $this->assertTrue(in_array($string, $this->metastrings));
- }
-
- // clean up
- $this->delete_metastrings();
- }
-
- public function testElggGetEntitiesFromMetadata() {
- global $CONFIG, $METASTRINGS_CACHE, $METASTRINGS_DEADNAME_CACHE;
- $METASTRINGS_CACHE = $METASTRINGS_DEADNAME_CACHE = array();
-
- $this->object->title = 'Meta Unit Test';
- $this->object->save();
- $this->create_metastring('metaUnitTest');
- $this->create_metastring('tested');
-
- // create_metadata returns id of metadata on success
- $this->assertTrue(create_metadata($this->object->guid, 'metaUnitTest', 'tested'));
-
- // check value with improper case
- $options = array('metadata_names' => 'metaUnitTest', 'metadata_values' => 'Tested', 'limit' => 10, 'metadata_case_sensitive' => TRUE);
- $this->assertFalse(elgg_get_entities_from_metadata($options));
-
- // compare forced case with ignored case
- $options = array('metadata_names' => 'metaUnitTest', 'metadata_values' => 'tested', 'limit' => 10, 'metadata_case_sensitive' => TRUE);
- $case_true = elgg_get_entities_from_metadata($options);
- $this->assertIsA($case_true, 'array');
-
- $options = array('metadata_names' => 'metaUnitTest', 'metadata_values' => 'Tested', 'limit' => 10, 'metadata_case_sensitive' => FALSE);
- $case_false = elgg_get_entities_from_metadata($options);
- $this->assertIsA($case_false, 'array');
-
- $this->assertIdentical($case_true, $case_false);
-
- // check deprecated get_entities_from_metadata() function
- $deprecated = get_entities_from_metadata('metaUnitTest', 'tested', '', '', 0, 10, 0, '', 0, FALSE, TRUE);
- $this->assertIdentical($deprecated, $case_true);
-
- // check entity list
- //$this->dump(list_entities_from_metadata('metaUnitTest', 'Tested', '', '', 0, 10, TRUE, TRUE, TRUE, FALSE));
-
- // clean up
- $this->delete_metastrings();
- $this->object->delete();
- }
-
-
- protected function create_metastring($string) {
- global $CONFIG, $METASTRINGS_CACHE, $METASTRINGS_DEADNAME_CACHE;
- $METASTRINGS_CACHE = $METASTRINGS_DEADNAME_CACHE = array();
-
- mysql_query("INSERT INTO {$CONFIG->dbprefix}metastrings (string) VALUES ('$string')");
- $this->metastrings[$string] = mysql_insert_id();
- }
-
- protected function delete_metastrings() {
- global $CONFIG, $METASTRINGS_CACHE, $METASTRINGS_DEADNAME_CACHE;
- $METASTRINGS_CACHE = $METASTRINGS_DEADNAME_CACHE = array();
-
- $strings = implode(', ', $this->metastrings);
- mysql_query("DELETE FROM {$CONFIG->dbprefix}metastrings WHERE id IN ($strings)");
- }
-}
diff --git a/engine/tests/objects/objects.php b/engine/tests/objects/objects.php
index 55734be13..263ab2414 100644
--- a/engine/tests/objects/objects.php
+++ b/engine/tests/objects/objects.php
@@ -41,8 +41,8 @@ class ElggCoreObjectTest extends ElggCoreUnitTest {
$attributes['guid'] = NULL;
$attributes['type'] = 'object';
$attributes['subtype'] = NULL;
- $attributes['owner_guid'] = get_loggedin_userid();
- $attributes['container_guid'] = get_loggedin_userid();
+ $attributes['owner_guid'] = elgg_get_logged_in_user_guid();
+ $attributes['container_guid'] = elgg_get_logged_in_user_guid();
$attributes['site_guid'] = NULL;
$attributes['access_id'] = ACCESS_PRIVATE;
$attributes['time_created'] = NULL;
@@ -87,11 +87,11 @@ class ElggCoreObjectTest extends ElggCoreUnitTest {
public function testElggObjectLoad() {
// fail on wrong type
try {
- $error = new ElggObjectTest(get_loggedin_userid());
+ $error = new ElggObjectTest(elgg_get_logged_in_user_guid());
$this->assertTrue(FALSE);
} catch (Exception $e) {
$this->assertIsA($e, 'InvalidClassException');
- $message = sprintf(elgg_echo('InvalidClassException:NotValidElggStar'), get_loggedin_userid(), 'ElggObject');
+ $message = sprintf(elgg_echo('InvalidClassException:NotValidElggStar'), elgg_get_logged_in_user_guid(), 'ElggObject');
$this->assertIdentical($e->getMessage(), $message);
}
}
@@ -144,7 +144,7 @@ class ElggCoreObjectTest extends ElggCoreUnitTest {
}
public function testElggObjectContainer() {
- $this->assertEqual($this->entity->getContainerGUID(), get_loggedin_userid());
+ $this->assertEqual($this->entity->getContainerGUID(), elgg_get_logged_in_user_guid());
// create and save to group
$group = new ElggGroup();
@@ -194,7 +194,99 @@ class ElggCoreObjectTest extends ElggCoreUnitTest {
$old = elgg_set_ignore_access(true);
}
+ // see https://github.com/elgg/elgg/issues/1196
+ public function testElggEntityRecursiveDisableWhenLoggedOut() {
+ $e1 = new ElggObject();
+ $e1->access_id = ACCESS_PUBLIC;
+ $e1->owner_guid = 0;
+ $e1->container_guid = 0;
+ $e1->save();
+ $guid1 = $e1->getGUID();
+
+ $e2 = new ElggObject();
+ $e2->container_guid = $guid1;
+ $e2->access_id = ACCESS_PUBLIC;
+ $e2->owner_guid = 0;
+ $e2->save();
+ $guid2 = $e2->getGUID();
+
+ // fake being logged out
+ $user = $_SESSION['user'];
+ unset($_SESSION['user']);
+ $ia = elgg_set_ignore_access(true);
+
+ $this->assertTrue(disable_entity($guid1, null, true));
+
+ // "log in" original user
+ $_SESSION['user'] = $user;
+ elgg_set_ignore_access($ia);
+
+ $this->assertFalse(get_entity($guid1));
+ $this->assertFalse(get_entity($guid2));
+
+ $db_prefix = get_config('dbprefix');
+ $q = "SELECT * FROM {$db_prefix}entities WHERE guid = $guid1";
+ $r = get_data_row($q);
+ $this->assertEqual('no', $r->enabled);
+
+ $q = "SELECT * FROM {$db_prefix}entities WHERE guid = $guid2";
+ $r = get_data_row($q);
+ $this->assertEqual('no', $r->enabled);
+
+ access_show_hidden_entities(true);
+ delete_entity($guid1);
+ delete_entity($guid2);
+ access_show_hidden_entities(false);
+ }
+
+ public function testElggRecursiveDelete() {
+ $types = array('ElggGroup', 'ElggObject', 'ElggUser', 'ElggSite');
+ $db_prefix = elgg_get_config('dbprefix');
+
+ foreach ($types as $type) {
+ $parent = new $type();
+ $this->assertTrue($parent->save());
+
+ $child = new ElggObject();
+ $child->container_guid = $parent->guid;
+ $this->assertTrue($child->save());
+
+ $grandchild = new ElggObject();
+ $grandchild->container_guid = $child->guid;
+ $this->assertTrue($grandchild->save());
+
+ $this->assertTrue($parent->delete(true));
+
+ $q = "SELECT * FROM {$db_prefix}entities WHERE guid = $parent->guid";
+ $r = get_data($q);
+ $this->assertFalse($r);
+
+ $q = "SELECT * FROM {$db_prefix}entities WHERE guid = $child->guid";
+ $r = get_data($q);
+ $this->assertFalse($r);
+ $q = "SELECT * FROM {$db_prefix}entities WHERE guid = $grandchild->guid";
+ $r = get_data($q);
+ $this->assertFalse($r);
+ }
+
+ // object that owns itself
+ // can't check container_guid because of infinite loops in can_edit_entity()
+ $obj = new ElggObject();
+ $obj->save();
+ $obj->owner_guid = $obj->guid;
+ $obj->save();
+
+ $q = "SELECT * FROM {$db_prefix}entities WHERE guid = $obj->guid";
+ $r = get_data_row($q);
+ $this->assertEqual($obj->guid, $r->owner_guid);
+
+ $this->assertTrue($obj->delete(true));
+
+ $q = "SELECT * FROM {$db_prefix}entities WHERE guid = $obj->guid";
+ $r = get_data_row($q);
+ $this->assertFalse($r);
+ }
protected function get_object_row($guid) {
global $CONFIG;
diff --git a/engine/tests/objects/sites.php b/engine/tests/objects/sites.php
index 4d079c30f..a01a661e3 100644
--- a/engine/tests/objects/sites.php
+++ b/engine/tests/objects/sites.php
@@ -18,7 +18,7 @@ class ElggCoreSiteTest extends ElggCoreUnitTest {
* Called before each test method.
*/
public function setUp() {
- $this->site = new ElggSiteTest;
+ $this->site = new ElggSiteTest();
}
/**
@@ -36,16 +36,13 @@ class ElggCoreSiteTest extends ElggCoreUnitTest {
parent::__destruct();
}
- /**
- * A basic test that will be called and fail.
- */
public function testElggSiteConstructor() {
$attributes = array();
$attributes['guid'] = NULL;
$attributes['type'] = 'site';
$attributes['subtype'] = NULL;
- $attributes['owner_guid'] = get_loggedin_userid();
- $attributes['container_guid'] = get_loggedin_userid();
+ $attributes['owner_guid'] = elgg_get_logged_in_user_guid();
+ $attributes['container_guid'] = elgg_get_logged_in_user_guid();
$attributes['site_guid'] = NULL;
$attributes['access_id'] = ACCESS_PRIVATE;
$attributes['time_created'] = NULL;
@@ -66,8 +63,10 @@ class ElggCoreSiteTest extends ElggCoreUnitTest {
}
public function testElggSiteSaveAndDelete() {
- $this->assertTrue($this->site->save());
- $this->assertTrue($this->site->delete());
+ $guid = $this->site->save();
+ $this->assertIsA($guid, 'int');
+ $this->assertTrue($guid > 0);
+ $this->assertIdentical(true, $this->site->delete());
}
}
diff --git a/engine/tests/objects/users.php b/engine/tests/objects/users.php
index c0566c9b4..8a1033ac4 100644
--- a/engine/tests/objects/users.php
+++ b/engine/tests/objects/users.php
@@ -41,16 +41,13 @@ class ElggCoreUserTest extends ElggCoreUnitTest {
parent::__destruct();
}
- /**
- * A basic test that will be called and fail.
- */
public function testElggUserConstructor() {
$attributes = array();
$attributes['guid'] = NULL;
$attributes['type'] = 'user';
$attributes['subtype'] = NULL;
- $attributes['owner_guid'] = get_loggedin_userid();
- $attributes['container_guid'] = get_loggedin_userid();
+ $attributes['owner_guid'] = elgg_get_logged_in_user_guid();
+ $attributes['container_guid'] = elgg_get_logged_in_user_guid();
$attributes['site_guid'] = NULL;
$attributes['access_id'] = ACCESS_PRIVATE;
$attributes['time_created'] = NULL;
@@ -68,6 +65,9 @@ class ElggCoreUserTest extends ElggCoreUnitTest {
$attributes['code'] = NULL;
$attributes['banned'] = 'no';
$attributes['admin'] = 'no';
+ $attributes['prev_last_action'] = NULL;
+ $attributes['last_login'] = NULL;
+ $attributes['prev_last_login'] = NULL;
ksort($attributes);
$entity_attributes = $this->user->expose_attributes();
@@ -98,7 +98,7 @@ class ElggCoreUserTest extends ElggCoreUnitTest {
}
public function testElggUserConstructorByGuid() {
- $user = new ElggUser(get_loggedin_userid());
+ $user = new ElggUser(elgg_get_logged_in_user_guid());
$this->assertIdentical($user, $_SESSION['user']);
// fail with garbage
@@ -113,13 +113,13 @@ class ElggCoreUserTest extends ElggCoreUnitTest {
}
public function testElggUserConstructorByDbRow() {
- $row = $this->fetchUser(get_loggedin_userid());
+ $row = $this->fetchUser(elgg_get_logged_in_user_guid());
$user = new ElggUser($row);
$this->assertIdentical($user, $_SESSION['user']);
}
public function testElggUserConstructorByUsername() {
- $row = $this->fetchUser(get_loggedin_userid());
+ $row = $this->fetchUser(elgg_get_logged_in_user_guid());
$user = new ElggUser($row->username);
$this->assertIdentical($user, $_SESSION['user']);
}
@@ -138,14 +138,14 @@ class ElggCoreUserTest extends ElggCoreUnitTest {
$guid = $this->user->save();
// delete object
- $this->assertTrue($this->user->delete());
+ $this->assertIdentical(true, $this->user->delete());
// check GUID not in database
$this->assertFalse($this->fetchUser($guid));
}
public function testElggUserNameCache() {
- // Trac #1305
+ // issue https://github.com/elgg/elgg/issues/1305
// very unlikely a user would have this username
$name = (string)time();
@@ -159,6 +159,22 @@ class ElggCoreUserTest extends ElggCoreUnitTest {
$this->assertFalse($user);
}
+ public function testGetUserByUsernameAcceptsUrlEncoded() {
+ $username = (string)time();
+ $this->user->username = $username;
+ $guid = $this->user->save();
+
+ // percent encode first letter
+ $first_letter = $username[0];
+ $first_letter = str_pad('%' . dechex(ord($first_letter)), 2, '0', STR_PAD_LEFT);
+ $username = $first_letter . substr($username, 1);
+
+ $user = get_user_by_username($username);
+ $this->assertTrue((bool) $user);
+ $this->assertEqual($guid, $user->guid);
+
+ $this->user->delete();
+ }
public function testElggUserMakeAdmin() {
global $CONFIG;
@@ -220,29 +236,6 @@ class ElggCoreUserTest extends ElggCoreUnitTest {
$this->user->delete();
}
- // remove in 1.9
- public function testElggUserIsAdminLegacy() {
- $this->user->save();
- $this->user->makeAdmin();
-
- $this->assertTrue($this->user->admin);
- $this->assertTrue($this->user->siteadmin);
-
- $this->user->removeAdmin();
- $this->user->delete();
- }
-
- public function testElggUserIsNotAdminLegacy() {
- $this->user->save();
- $this->user->removeAdmin();
-
- $this->assertFalse($this->user->admin);
- $this->assertFalse($this->user->siteadmin);
-
- $this->user->removeAdmin();
- $this->user->delete();
- }
-
protected function fetchUser($guid) {
global $CONFIG;
diff --git a/engine/tests/regression/trac_bugs.php b/engine/tests/regression/trac_bugs.php
index 93831fd7e..689275661 100644
--- a/engine/tests/regression/trac_bugs.php
+++ b/engine/tests/regression/trac_bugs.php
@@ -1,7 +1,7 @@
<?php
/**
- * Elgg Regression Tests -- Trac Bugfixes
- * Any bugfixes from Trac that require testing belong here.
+ * Elgg Regression Tests -- GitHub Bugfixes
+ * Any bugfixes from GitHub that require testing belong here.
*
* @package Elgg
* @subpackage Test
@@ -14,7 +14,7 @@ class ElggCoreRegressionBugsTest extends ElggCoreUnitTest {
public function __construct() {
$this->ia = elgg_set_ignore_access(TRUE);
parent::__construct();
-
+
// all __construct() code should come after here
}
@@ -45,23 +45,23 @@ class ElggCoreRegressionBugsTest extends ElggCoreUnitTest {
/**
* #1558
*/
- public function testElggObjectClearAnnotations() {
+ public function testElggObjectDeleteAnnotations() {
$this->entity = new ElggObject();
$guid = $this->entity->save();
-
+
$this->entity->annotate('test', 'hello', ACCESS_PUBLIC);
-
- $this->entity->clearAnnotations('does not exist');
-
+
+ $this->entity->deleteAnnotations('does not exist');
+
$num = $this->entity->countAnnotations('test');
-
+
//$this->assertIdentical($num, 1);
$this->assertEqual($num, 1);
-
+
// clean up
$this->entity->delete();
}
-
+
/**
* #2063 - get_resized_image_from_existing_file() fails asked for image larger than selection and not scaling an image up
* Test get_image_resize_parameters().
@@ -69,47 +69,337 @@ class ElggCoreRegressionBugsTest extends ElggCoreUnitTest {
public function testElggResizeImage() {
$orig_width = 100;
$orig_height = 150;
-
+
// test against selection > max
$options = array(
'maxwidth' => 50,
'maxheight' => 50,
'square' => TRUE,
'upscale' => FALSE,
-
+
'x1' => 25,
'y1' => 75,
'x2' => 100,
'y2' => 150
);
-
- // should get back the same x/y offset == x1, y1 and an image of 50x50
+
+ // should get back the same x/y offset == x1, y1 and an image of 50x50
$params = get_image_resize_parameters($orig_width, $orig_height, $options);
-
+
$this->assertEqual($params['newwidth'], $options['maxwidth']);
$this->assertEqual($params['newheight'], $options['maxheight']);
$this->assertEqual($params['xoffset'], $options['x1']);
$this->assertEqual($params['yoffset'], $options['y1']);
-
+
// test against selection < max
$options = array(
'maxwidth' => 50,
'maxheight' => 50,
'square' => TRUE,
'upscale' => FALSE,
-
+
'x1' => 75,
'y1' => 125,
'x2' => 100,
'y2' => 150
);
- // should get back the same x/y offset == x1, y1 and an image of 25x25
+ // should get back the same x/y offset == x1, y1 and an image of 25x25 because no upscale
$params = get_image_resize_parameters($orig_width, $orig_height, $options);
-
+
$this->assertEqual($params['newwidth'], 25);
$this->assertEqual($params['newheight'], 25);
$this->assertEqual($params['xoffset'], $options['x1']);
$this->assertEqual($params['yoffset'], $options['y1']);
}
+
+ // #3722 Check canEdit() works for contains regardless of groups
+ function test_can_write_to_container() {
+ $user = new ElggUser();
+ $user->username = 'test_user_' . rand();
+ $user->name = 'test_user_name_' . rand();
+ $user->email = 'test@user.net';
+ $user->container_guid = 0;
+ $user->owner_guid = 0;
+ $user->save();
+
+ $object = new ElggObject();
+ $object->save();
+
+ $group = new ElggGroup();
+ $group->save();
+
+ // disable access overrides because we're admin.
+ $ia = elgg_set_ignore_access(false);
+
+ $this->assertFalse(can_write_to_container($user->guid, $object->guid));
+
+ global $elgg_test_user;
+ $elgg_test_user = $user;
+
+ // register hook to allow access
+ function can_write_to_container_test_hook($hook, $type, $value, $params) {
+ global $elgg_test_user;
+
+ if ($params['user']->getGUID() == $elgg_test_user->getGUID()) {
+ return true;
+ }
+ }
+
+ elgg_register_plugin_hook_handler('container_permissions_check', 'all', 'can_write_to_container_test_hook');
+ $this->assertTrue(can_write_to_container($user->guid, $object->guid));
+ elgg_unregister_plugin_hook_handler('container_permissions_check', 'all', 'can_write_to_container_test_hook');
+
+ $this->assertFalse(can_write_to_container($user->guid, $group->guid));
+ $group->join($user);
+ $this->assertTrue(can_write_to_container($user->guid, $group->guid));
+
+ elgg_set_ignore_access($ia);
+
+ $user->delete();
+ $object->delete();
+ $group->delete();
+ }
+
+ function test_db_shutdown_links() {
+ global $DB_DELAYED_QUERIES, $test_results;
+ $DB_DELAYED_QUERIES = array();
+
+ function test_delayed_results($results) {
+ global $test_results;
+ $test_results = $results;
+ }
+
+ $q = 'SELECT 1 as test';
+
+ $links = array('read', 'write', get_db_link('read'), get_db_link('write'));
+
+ foreach ($links as $link) {
+ $DB_DELAYED_QUERIES = array();
+
+ $result = execute_delayed_query($q, $link, 'test_delayed_results');
+
+ $this->assertTrue($result, "Failed with link = $link");
+ $this->assertEqual(count($DB_DELAYED_QUERIES), 1);
+ $this->assertEqual($DB_DELAYED_QUERIES[0]['q'], $q);
+ $this->assertEqual($DB_DELAYED_QUERIES[0]['l'], $link);
+ $this->assertEqual($DB_DELAYED_QUERIES[0]['h'], 'test_delayed_results');
+
+ db_delayedexecution_shutdown_hook();
+
+ $num_rows = mysql_num_rows($test_results);
+ $this->assertEqual($num_rows, 1);
+ $row = mysql_fetch_assoc($test_results);
+ $this->assertEqual($row['test'], 1);
+ }
+
+ // test bad case
+ $DB_DELAYED_QUERIES = array();
+ $result = execute_delayed_query($q, 'not_a_link', 'test_delayed_results');
+ $this->assertFalse($result);
+ $this->assertEqual(array(), $DB_DELAYED_QUERIES);
+ }
+
+ /**
+ * https://github.com/elgg/elgg/issues/3210 - Don't remove -s in friendly titles
+ * https://github.com/elgg/elgg/issues/2276 - improve char encoding
+ */
+ public function test_friendly_title() {
+ $cases = array(
+ // acid test
+ "B&N > Amazon, OK? <bold> 'hey!' $34"
+ => "bn-amazon-ok-bold-hey-34",
+
+ // hyphen, underscore and ASCII whitespace replaced by separator,
+ // other non-alphanumeric ASCII removed
+ "a-a_a a\na\ra\ta\va!a\"a#a\$a%aa'a(a)a*a+a,a.a/a:a;a=a?a@a[a\\a]a^a`a{a|a}a~a"
+ => "a-a-a-a-a-a-aaaaaaaaaaaaaaaaaaaaaaaaaaaaaa",
+
+ // separators trimmed
+ "-_ hello _-"
+ => "hello",
+
+ // accents removed, lower case, other multibyte chars are URL encoded
+ "I\xC3\xB1t\xC3\xABrn\xC3\xA2ti\xC3\xB4n\xC3\xA0liz\xC3\xA6ti\xC3\xB8n, AND \xE6\x97\xA5\xE6\x9C\xAC\xE8\xAA\x9E"
+ // I帽t毛rn芒ti么n脿liz忙ti酶n, AND 鏃ユ湰瑾
+ => 'internationalizaetion-and-%E6%97%A5%E6%9C%AC%E8%AA%9E',
+ );
+
+ // where available, string is converted to NFC before transliteration
+ if (ElggTranslit::hasNormalizerSupport()) {
+ $form_d = "A\xCC\x8A"; // A followed by 'COMBINING RING ABOVE' (U+030A)
+ $cases[$form_d] = "a";
+ }
+
+ foreach ($cases as $case => $expected) {
+ $friendly_title = elgg_get_friendly_title($case);
+ $this->assertIdentical($expected, $friendly_title);
+ }
+ }
+
+ /**
+ * Test #5369 -- parse_urls()
+ * https://github.com/Elgg/Elgg/issues/5369
+ */
+ public function test_parse_urls() {
+
+ $cases = array(
+ 'no.link.here' =>
+ 'no.link.here',
+ 'simple link http://example.org test' =>
+ 'simple link <a href="http://example.org" rel="nofollow">http:/<wbr />/<wbr />example.org</a> test',
+ 'non-ascii http://帽ew.org/ test' =>
+ 'non-ascii <a href="http://帽ew.org/" rel="nofollow">http:/<wbr />/<wbr />帽ew.org/<wbr /></a> test',
+
+ // section 2.1
+ 'percent encoded http://example.org/a%20b test' =>
+ 'percent encoded <a href="http://example.org/a%20b" rel="nofollow">http:/<wbr />/<wbr />example.org/<wbr />a%20b</a> test',
+ // section 2.2: skipping single quote and parenthese
+ 'reserved characters http://example.org/:/?#[]@!$&*+,;= test' =>
+ 'reserved characters <a href="http://example.org/:/?#[]@!$&*+,;=" rel="nofollow">http:/<wbr />/<wbr />example.org/<wbr />:/<wbr />?#[]@!$&*+,;=</a> test',
+ // section 2.3
+ 'unreserved characters http://example.org/a1-._~ test' =>
+ 'unreserved characters <a href="http://example.org/a1-._~" rel="nofollow">http:/<wbr />/<wbr />example.org/<wbr />a1-._~</a> test',
+
+ 'parameters http://example.org/?val[]=1&val[]=2 test' =>
+ 'parameters <a href="http://example.org/?val[]=1&val[]=2" rel="nofollow">http:/<wbr />/<wbr />example.org/<wbr />?val[]=1&val[]=2</a> test',
+ 'port http://example.org:80/ test' =>
+ 'port <a href="http://example.org:80/" rel="nofollow">http:/<wbr />/<wbr />example.org:80/<wbr /></a> test',
+
+ 'parentheses (http://www.google.com) test' =>
+ 'parentheses (<a href="http://www.google.com" rel="nofollow">http:/<wbr />/<wbr />www.google.com</a>) test',
+ 'comma http://elgg.org, test' =>
+ 'comma <a href="http://elgg.org" rel="nofollow">http:/<wbr />/<wbr />elgg.org</a>, test',
+ 'period http://elgg.org. test' =>
+ 'period <a href="http://elgg.org" rel="nofollow">http:/<wbr />/<wbr />elgg.org</a>. test',
+ 'exclamation http://elgg.org! test' =>
+ 'exclamation <a href="http://elgg.org" rel="nofollow">http:/<wbr />/<wbr />elgg.org</a>! test',
+
+ 'already anchor <a href="http://twitter.com/">twitter</a> test' =>
+ 'already anchor <a href="http://twitter.com/">twitter</a> test',
+
+ 'ssl https://example.org/ test' =>
+ 'ssl <a href="https://example.org/" rel="nofollow">https:/<wbr />/<wbr />example.org/<wbr /></a> test',
+ 'ftp ftp://example.org/ test' =>
+ 'ftp <a href="ftp://example.org/" rel="nofollow">ftp:/<wbr />/<wbr />example.org/<wbr /></a> test',
+
+ 'web archive anchor <a href="http://web.archive.org/web/20000229040250/http://www.google.com/">google</a>' =>
+ 'web archive anchor <a href="http://web.archive.org/web/20000229040250/http://www.google.com/">google</a>',
+
+ 'single quotes already anchor <a href=\'http://www.yahoo.com\'>yahoo</a>' =>
+ 'single quotes already anchor <a href=\'http://www.yahoo.com\'>yahoo</a>',
+
+ 'unquoted already anchor <a href=http://www.yahoo.com>yahoo</a>' =>
+ 'unquoted already anchor <a href=http://www.yahoo.com>yahoo</a>',
+
+ 'parens in uri http://thedailywtf.com/Articles/A-(Long-Overdue)-BuildMaster-Introduction.aspx' =>
+ 'parens in uri <a href="http://thedailywtf.com/Articles/A-(Long-Overdue)-BuildMaster-Introduction.aspx" rel="nofollow">http:/<wbr />/<wbr />thedailywtf.com/<wbr />Articles/<wbr />A-(Long-Overdue)-BuildMaster-Introduction.aspx</a>'
+ );
+ foreach ($cases as $input => $output) {
+ $this->assertEqual($output, parse_urls($input));
+ }
+ }
+
+ /**
+ * Ensure additional select columns do not end up in entity attributes.
+ *
+ * https://github.com/Elgg/Elgg/issues/5538
+ */
+ public function test_extra_columns_dont_appear_in_attributes() {
+ global $ENTITY_CACHE;
+
+ // may not have groups in DB - let's create one
+ $group = new ElggGroup();
+ $group->name = 'test_group';
+ $group->access_id = ACCESS_PUBLIC;
+ $this->assertTrue($group->save() !== false);
+
+ // entity cache interferes with our test
+ $ENTITY_CACHE = array();
+
+ foreach (array('site', 'user', 'group', 'object') as $type) {
+ $entities = elgg_get_entities(array(
+ 'type' => $type,
+ 'selects' => array('1 as _nonexistent_test_column'),
+ 'limit' => 1,
+ ));
+ if (!$this->assertTrue($entities, "Query for '$type' did not return an entity.")) {
+ continue;
+ }
+ $entity = $entities[0];
+ $this->assertNull($entity->_nonexistent_test_column, "Additional select columns are leaking to attributes for '$type'");
+ }
+
+ $group->delete();
+ }
+
+ /**
+ * Ensure that ElggBatch doesn't go into infinite loop when disabling annotations recursively when show hidden is enabled.
+ *
+ * https://github.com/Elgg/Elgg/issues/5952
+ */
+ public function test_disabling_annotations_infinite_loop() {
+
+ //let's have some entity
+ $group = new ElggGroup();
+ $group->name = 'test_group';
+ $group->access_id = ACCESS_PUBLIC;
+ $this->assertTrue($group->save() !== false);
+
+ $total = 51;
+ //add some annotations
+ for ($cnt = 0; $cnt < $total; $cnt++) {
+ $group->annotate('test_annotation', 'value_' . $total);
+ }
+
+ //disable them
+ $show_hidden = access_get_show_hidden_status();
+ access_show_hidden_entities(true);
+ $options = array(
+ 'guid' => $group->guid,
+ 'limit' => $total, //using strict limit to avoid real infinite loop and just see ElggBatch limiting on it before finishing the work
+ );
+ elgg_disable_annotations($options);
+ access_show_hidden_entities($show_hidden);
+
+ //confirm all being disabled
+ $annotations = $group->getAnnotations(array(
+ 'limit' => $total,
+ ));
+ foreach ($annotations as $annotation) {
+ $this->assertTrue($annotation->enabled == 'no');
+ }
+
+ //delete group and annotations
+ $group->delete();
+ }
+
+ public function test_ElggXMLElement_does_not_load_external_entities() {
+ $elLast = libxml_disable_entity_loader(false);
+
+ // build payload that should trigger loading of external entity
+ $payload = file_get_contents(dirname(dirname(__FILE__)) . '/test_files/xxe/request.xml');
+ $path = realpath(dirname(dirname(__FILE__)) . '/test_files/xxe/external_entity.txt');
+ $path = str_replace('\\', '/', $path);
+ if ($path[0] != '/') {
+ $path = '/' . $path;
+ }
+ $path = 'file://' . $path;
+ $payload = sprintf($payload, $path);
+
+ // make sure we can actually this in this environment
+ $element = new SimpleXMLElement($payload);
+ $can_load_entity = preg_match('/secret/', (string)$element->methodName);
+
+ $this->skipUnless($can_load_entity, "XXE vulnerability cannot be tested on this system");
+
+ if ($can_load_entity) {
+ $el = new ElggXMLElement($payload);
+ $chidren = $el->getChildren();
+ $content = $chidren[0]->getContent();
+ $this->assertNoPattern('/secret/', $content);
+ }
+
+ libxml_disable_entity_loader($elLast);
+ }
}
diff --git a/engine/tests/services/api.php b/engine/tests/services/api.php
index 39951da1c..3d07c0bbb 100644
--- a/engine/tests/services/api.php
+++ b/engine/tests/services/api.php
@@ -19,7 +19,7 @@ class ElggCoreServicesApiTest extends ElggCoreUnitTest {
// expose_function
public function testExposeFunctionNoMethod() {
try {
- expose_function();
+ @expose_function();
$this->assertTrue(FALSE);
} catch (Exception $e) {
$this->assertIsA($e, 'InvalidParameterException');
@@ -29,7 +29,7 @@ class ElggCoreServicesApiTest extends ElggCoreUnitTest {
public function testExposeFunctionNoFunction() {
try {
- expose_function('test');
+ @expose_function('test');
$this->assertTrue(FALSE);
} catch (Exception $e) {
$this->assertIsA($e, 'InvalidParameterException');
@@ -39,7 +39,7 @@ class ElggCoreServicesApiTest extends ElggCoreUnitTest {
public function testExposeFunctionBadParameters() {
try {
- expose_function('test', 'test', 'BAD');
+ @expose_function('test', 'test', 'BAD');
$this->assertTrue(FALSE);
} catch (Exception $e) {
$this->assertIsA($e, 'InvalidParameterException');
@@ -59,7 +59,7 @@ class ElggCoreServicesApiTest extends ElggCoreUnitTest {
public function testExposeFunctionBadHttpMethod() {
try {
- expose_function('test', 'test', null, '', 'BAD');
+ @expose_function('test', 'test', null, '', 'BAD');
$this->assertTrue(FALSE);
} catch (Exception $e) {
$this->assertIsA($e, 'InvalidParameterException');
diff --git a/engine/tests/suite.php b/engine/tests/suite.php
index de344ec17..4203bc5d6 100644
--- a/engine/tests/suite.php
+++ b/engine/tests/suite.php
@@ -9,6 +9,8 @@
require_once(dirname( __FILE__ ) . '/../start.php');
+admin_gatekeeper();
+
$vendor_path = "$CONFIG->path/vendors/simpletest";
$test_path = "$CONFIG->path/engine/tests";
@@ -47,5 +49,5 @@ if (TextReporter::inCli()) {
// Ensure that only logged-in users can see this page
//admin_gatekeeper();
$old = elgg_set_ignore_access(TRUE);
-$suite->Run(new HtmlReporter());
+$suite->Run(new HtmlReporter('utf-8'));
elgg_set_ignore_access($old);
diff --git a/engine/tests/test_files/output/autop/block-a.exp.norun.html b/engine/tests/test_files/output/autop/block-a.exp.norun.html
new file mode 100644
index 000000000..addf29dec
--- /dev/null
+++ b/engine/tests/test_files/output/autop/block-a.exp.norun.html
@@ -0,0 +1,6 @@
+
+<p>HTML5 allows A to contain block-level content</p>
+<a href="foo"><h3>A treated as block</h3>
+<p>Read more</p>
+</a>
+<p><a href="foo">A treated as<br /> inline</a></p>
diff --git a/engine/tests/test_files/output/autop/block-a.in.norun.html b/engine/tests/test_files/output/autop/block-a.in.norun.html
new file mode 100644
index 000000000..fc2dac43a
--- /dev/null
+++ b/engine/tests/test_files/output/autop/block-a.in.norun.html
@@ -0,0 +1,9 @@
+HTML5 allows A to contain block-level content
+<a href="foo">
+
+ <h3>A treated as block</h3>
+
+ Read more
+</a>
+<a href="foo">A treated as
+ inline</a>
diff --git a/engine/tests/test_files/output/autop/domdoc_exp.html b/engine/tests/test_files/output/autop/domdoc_exp.html
new file mode 100644
index 000000000..8480c1083
--- /dev/null
+++ b/engine/tests/test_files/output/autop/domdoc_exp.html
@@ -0,0 +1,46 @@
+鈥
+聽
+Vietnamese - Ti岷縩g Vi峄噒
+
+<h1>h1</h1>
+<p>Paragraph <a href="http://google.com/">link</a> <strong>Bold</strong> <em>italic</em> <em><strong>bolditalic</strong></em>聽<span style="background-color: #ffff00; "></span></p>
+<h2>h2</h2>
+<p>Paragraph <span style="font-size: xx-small;">size1</span> <span style="font-size: x-small;">size2</span> <span style="font-size: medium;">size4</span></p>
+<h3>h3</h3>
+<p>Paragraph <span style="text-decoration: underline;">underline</span> <span style="text-decoration: line-through;">strikethrough</span> <span style="color: #ff0000;">color</span> <span style="background-color: #ffff00; ">background</span></p>
+<blockquote>
+ <p>Blockquoted paragraph</p>
+</blockquote>
+<p>Paragraph following blockquote</p>
+<ul><li>Unordered</li>
+ <li>List</li>
+</ul><p>Paragraph between lists</p>
+<ol><li>Ordered</li>
+ <li>List</li>
+</ol><p>Paragraph between lists</p>
+<ul><li>OL list</li>
+ <li>nested<ol><li>inside a</li>
+ <li>UL list</li>
+ </ol></li>
+</ul><p>Paragraph between lists</p>
+<table border="0"><tbody><tr><td>Table with</td>
+ <td></td>
+ </tr><tr><td></td>
+ <td>border=0</td>
+ </tr></tbody></table><p>Paragraph</p>
+<ol><li>UL list</li>
+ <li>nested
+ <ul><li>inside a</li>
+ <li>OL list</li>
+ </ul></li>
+</ol><p>Paragraph between tables</p>
+<table border="1" cellpadding="5"><tbody><tr><td>Table with border=1</td>
+ <td></td>
+ </tr><tr><td></td>
+ <td>cellpadding = 5</td>
+ </tr></tbody></table><p>Paragraph between tables</p>
+<table border="2"><tbody><tr><td>Table with</td>
+ <td></td>
+ </tr><tr><td></td>
+ <td>border=2</td>
+ </tr></tbody></table> \ No newline at end of file
diff --git a/engine/tests/test_files/output/autop/domdoc_in.html b/engine/tests/test_files/output/autop/domdoc_in.html
new file mode 100644
index 000000000..4c465b435
--- /dev/null
+++ b/engine/tests/test_files/output/autop/domdoc_in.html
@@ -0,0 +1,80 @@
+&#8250;
+&nbsp;
+Vietnamese - Ti岷縩g Vi峄噒
+
+<h1>h1</h1>
+<p>Paragraph <a href="http://google.com/">link</a> <strong>Bold</strong> <em>italic</em> <em><strong>bolditalic</strong></em>&nbsp;<span style="background-color: #ffff00; "></span></p>
+<h2>h2</h2>
+<p>Paragraph <span style="font-size: xx-small;">size1</span> <span style="font-size: x-small;">size2</span> <span style="font-size: medium;">size4</span></p>
+<h3>h3</h3>
+<p>Paragraph <span style="text-decoration: underline;">underline</span> <span style="text-decoration: line-through;">strikethrough</span> <span style="color: #ff0000;">color</span> <span style="background-color: #ffff00; ">background</span></p>
+<blockquote>
+ <p>Blockquoted paragraph</p>
+</blockquote>
+<p>Paragraph following blockquote</p>
+<ul>
+ <li>Unordered</li>
+ <li>List</li>
+</ul>
+<p>Paragraph between lists</p>
+<ol>
+ <li>Ordered</li>
+ <li>List</li>
+</ol>
+<p>Paragraph between lists</p>
+<ul>
+ <li>OL list</li>
+ <li>nested<ol>
+ <li>inside a</li>
+ <li>UL list</li>
+ </ol></li>
+</ul>
+<p>Paragraph between lists</p>
+<table border="0">
+ <tbody>
+ <tr>
+ <td>Table with</td>
+ <td></td>
+ </tr>
+ <tr>
+ <td></td>
+ <td>border=0</td>
+ </tr>
+ </tbody>
+</table>
+<p>Paragraph</p>
+<ol>
+ <li>UL list</li>
+ <li>nested
+ <ul>
+ <li>inside a</li>
+ <li>OL list</li>
+ </ul>
+ </li>
+</ol>
+<p>Paragraph between tables</p>
+<table border="1" cellpadding="5">
+ <tbody>
+ <tr>
+ <td>Table with border=1</td>
+ <td></td>
+ </tr>
+ <tr>
+ <td></td>
+ <td>cellpadding = 5</td>
+ </tr>
+ </tbody>
+</table>
+<p>Paragraph between tables</p>
+<table border="2">
+ <tbody>
+ <tr>
+ <td>Table with</td>
+ <td></td>
+ </tr>
+ <tr>
+ <td></td>
+ <td>border=2</td>
+ </tr>
+ </tbody>
+</table> \ No newline at end of file
diff --git a/engine/tests/test_files/output/autop/typical-post.exp.html b/engine/tests/test_files/output/autop/typical-post.exp.html
new file mode 100644
index 000000000..f9d75a114
--- /dev/null
+++ b/engine/tests/test_files/output/autop/typical-post.exp.html
@@ -0,0 +1,84 @@
+<h2>Lorem ipsum dolor sit amet, consectetur adipiscing elit.</h2>
+<p><img class="alignright size-thumbnail wp-image-905" title="Surest Things mixing session in Adobe Audition" src="http://www.mrclay.org/wp-content/uploads/2010/09/surestThings_audition-150x150.png" alt="screenshot of Audition mixing session" width="150" height="150">Vivamus enim ante, <em>mattis eget imperdiet nec, pharetra vel velit.</em> Sed at euismod nibh. Praesent lacus tellus, <a href="http://google.com/">posuere et convallis</a> a, <strong>mollis et tellus. Suspendisse potenti</strong>. Phasellus tincidunt dignissim est eget mattis. Vestibulum lacinia <del>condimentum tellus, non vestibulum erat dapibus</del> quis. Aliquam arcu nibh, viverra adipiscing eleifend quis, pretium vitae ipsum.</p>
+
+<p>Curabitur turpis ante, <span style="color: #993300;">congue ac dapibus quis, vehicula ac orci.</span> Nunc luctus neque non massa porta sed pharetra ante accumsan. <a href="http://google.com/">Nam suscipit</a> risus quis libero convallis viverra. Ut at arcu enim, vel pharetra dolor.</p>
+<h3>Donec at massa ante, sagittis fermentum urna.</h3><blockquote>
+<p>Mauris volutpat est id massa volutpat lacinia. Class aptent taciti sociosqu ad litora torquent per conubia nostra, per inceptos himenaeos. In in nisl mauris. In aliquet pretium nisl, vel convallis neque cursus vitae. Curabitur id mauris in urna gravida ornare.</p>
+
+<p>[caption id="attachment_719" align="alignleft" width="150" caption="Ibanez AGB140 Bass"]<img class="size-thumbnail wp-image-719" title="Ibanez AGB140 Bass" src="http://www.mrclay.org/wp-content/uploads/2010/04/agb140-e1271773766573-150x150.jpg" alt="Ibanez AGB140 Bass" width="150" height="150">[/caption]</p>
+
+<p>Aenean <a href="http://google.com/">aliquet cursus purus sed gravida. Cras auctor euismod justo, ac dictum purus facilisis dignissim.</a> Quisque facilisis porta sem, ac suscipit quam molestie nec. Pellentesque quis hendrerit enim. Vivamus tempor erat diam. Sed eu felis nunc. Cras posuere lorem commodo turpis mollis sagittis. Mauris lobortis nunc felis.</p>
+
+<p>Maecenas elit lorem, varius sed condimentum ac, cursus et magna. Nam ut massa id augue consectetur porttitor eleifend in nunc. Curabitur cursus varius dictum. Vestibulum vel justo et neque tempus placerat a vel sapien.</p>
+</blockquote>
+<p>Lorem ipsum dolor sit amet, consectetur adipiscing elit. Vivamus enim ante, mattis eget imperdiet nec, <a href="http://google.com/">pharetra </a>vel velit. Sed at euismod nibh. Praesent lacus tellus, posuere et convallis a, mollis et tellus.</p>
+<pre><code>&lt;?php
+class DataTest extends PHPUnit_Framework_TestCase
+{
+ /**
+ * @dataProvider provider
+ */
+ public function testAdd($a, $b, $c)
+ {
+ $this-&gt;assertEquals($c, $a + $b);
+ }
+
+ public function provider()
+ {
+ return array(
+ array(0, 0, 0),
+ array(0, 1, 1),
+ array(1, 0, 1),
+ array(1, 1, 3)
+ );
+ }
+}</code></pre><ul><li>Suspendisse potenti. Phasellus tincidunt dignissim est eget mattis.</li>
+ <li>Vestibulum lacinia condimentum tellus, non vestibulum erat dapibus quis.</li>
+ <li>Aliquam arcu nibh, <a href="http://google.com/">viverra</a> adipiscing eleifend quis, pretium vitae ipsum.</li>
+ <li>Curabitur turpis ante, congue ac <a href="http://google.com/">dapibus quis</a>, vehicula ac orci.</li>
+</ul>
+<p>Nunc luctus neque non massa porta sed pharetra ante accumsan. Nam suscipit risus quis libero convallis viverra. Ut at arcu enim, vel pharetra dolor. Donec at massa ante, sagittis fermentum urna.</p>
+
+<p><object width="480" height="390"><param name="movie" value="http://www.youtube.com/v/zW9YOMaVTFI?fs=1&amp;hl=en_US"><param name="allowFullScreen" value="true"><param name="allowscriptaccess" value="always"><embed type="application/x-shockwave-flash" width="480" height="390" src="http://www.youtube.com/v/zW9YOMaVTFI?fs=1&amp;hl=en_US" allowfullscreen="true" allowscriptaccess="always"></embed></object></p>
+<h2>Lorem ipsum dolor sit amet, consectetur adipiscing elit.</h2>
+<p><img class="alignright size-thumbnail wp-image-905" title="Surest Things mixing session in Adobe Audition" src="http://www.mrclay.org/wp-content/uploads/2010/09/surestThings_audition-150x150.png" alt="screenshot of Audition mixing session" width="150" height="150">Vivamus enim ante, <em>mattis eget imperdiet nec, pharetra vel velit.</em> Sed at euismod nibh. Praesent lacus tellus, <a href="http://google.com/">posuere et convallis</a> a, <strong>mollis et tellus. Suspendisse potenti</strong>. Phasellus tincidunt dignissim est eget mattis. Vestibulum lacinia <del>condimentum tellus, non vestibulum erat dapibus</del> quis. Aliquam arcu nibh, viverra adipiscing eleifend quis, pretium vitae ipsum.</p>
+
+<p>Curabitur turpis ante, <span style="color: #993300;">congue ac dapibus quis, vehicula ac orci.</span> Nunc luctus neque non massa porta sed pharetra ante accumsan. <a href="http://google.com/">Nam suscipit</a> risus quis libero convallis viverra. Ut at arcu enim, vel pharetra dolor.</p>
+<h3>Donec at massa ante, sagittis fermentum urna.</h3><blockquote>
+<p>Mauris volutpat est id massa volutpat lacinia. Class aptent taciti sociosqu ad litora torquent per conubia nostra, per inceptos himenaeos. In in nisl mauris. In aliquet pretium nisl, vel convallis neque cursus vitae. Curabitur id mauris in urna gravida ornare.</p>
+
+<p>[caption id="attachment_719" align="alignleft" width="150" caption="Ibanez AGB140 Bass"]<img class="size-thumbnail wp-image-719" title="Ibanez AGB140 Bass" src="http://www.mrclay.org/wp-content/uploads/2010/04/agb140-e1271773766573-150x150.jpg" alt="Ibanez AGB140 Bass" width="150" height="150">[/caption]</p>
+
+<p>Aenean <a href="http://google.com/">aliquet cursus purus sed gravida. Cras auctor euismod justo, ac dictum purus facilisis dignissim.</a> Quisque facilisis porta sem, ac suscipit quam molestie nec. Pellentesque quis hendrerit enim. Vivamus tempor erat diam. Sed eu felis nunc. Cras posuere lorem commodo turpis mollis sagittis. Mauris lobortis nunc felis.</p>
+
+<p>Maecenas elit lorem, varius sed condimentum ac, cursus et magna. Nam ut massa id augue consectetur porttitor eleifend in nunc. Curabitur cursus varius dictum. Vestibulum vel justo et neque tempus placerat a vel sapien.</p>
+</blockquote>
+<p>Lorem ipsum dolor sit amet, consectetur adipiscing elit. Vivamus enim ante, mattis eget imperdiet nec, <a href="http://google.com/">pharetra </a>vel velit. Sed at euismod nibh. Praesent lacus tellus, posuere et convallis a, mollis et tellus.</p>
+<pre><code>&lt;?php
+class DataTest extends PHPUnit_Framework_TestCase
+{
+ /**
+ * @dataProvider provider
+ */
+ public function testAdd($a, $b, $c)
+ {
+ $this-&gt;assertEquals($c, $a + $b);
+ }
+
+ public function provider()
+ {
+ return array(
+ array(0, 0, 0),
+ array(0, 1, 1),
+ array(1, 0, 1),
+ array(1, 1, 3)
+ );
+ }
+}</code></pre><ul><li>Suspendisse potenti. Phasellus tincidunt dignissim est eget mattis.</li>
+ <li>Vestibulum lacinia condimentum tellus, non vestibulum erat dapibus quis.</li>
+ <li>Aliquam arcu nibh, <a href="http://google.com/">viverra</a> adipiscing eleifend quis, pretium vitae ipsum.</li>
+ <li>Curabitur turpis ante, congue ac <a href="http://google.com/">dapibus quis</a>, vehicula ac orci.</li>
+</ul>
+<p>Nunc luctus neque non massa porta sed pharetra ante accumsan. Nam suscipit risus quis libero convallis viverra. Ut at arcu enim, vel pharetra dolor. Donec at massa ante, sagittis fermentum urna.</p>
+
+<p><object width="480" height="390"><param name="movie" value="http://www.youtube.com/v/zW9YOMaVTFI?fs=1&amp;hl=en_US"><param name="allowFullScreen" value="true"><param name="allowscriptaccess" value="always"><embed type="application/x-shockwave-flash" width="480" height="390" src="http://www.youtube.com/v/zW9YOMaVTFI?fs=1&amp;hl=en_US" allowfullscreen="true" allowscriptaccess="always"></embed></object></p>
diff --git a/engine/tests/test_files/output/autop/typical-post.in.html b/engine/tests/test_files/output/autop/typical-post.in.html
new file mode 100644
index 000000000..6e4984cc4
--- /dev/null
+++ b/engine/tests/test_files/output/autop/typical-post.in.html
@@ -0,0 +1,89 @@
+<h2>Lorem ipsum dolor sit amet, consectetur adipiscing elit.</h2>
+<img class="alignright size-thumbnail wp-image-905" title="Surest Things mixing session in Adobe Audition" src="http://www.mrclay.org/wp-content/uploads/2010/09/surestThings_audition-150x150.png" alt="screenshot of Audition mixing session" width="150" height="150" />Vivamus enim ante, <em>mattis eget imperdiet nec, pharetra vel velit.</em> Sed at euismod nibh. Praesent lacus tellus, <a href="http://google.com/">posuere et convallis</a> a, <strong>mollis et tellus. Suspendisse potenti</strong>. Phasellus tincidunt dignissim est eget mattis. Vestibulum lacinia <del>condimentum tellus, non vestibulum erat dapibus</del> quis. Aliquam arcu nibh, viverra adipiscing eleifend quis, pretium vitae ipsum.
+
+Curabitur turpis ante, <span style="color: #993300;">congue ac dapibus quis, vehicula ac orci.</span> Nunc luctus neque non massa porta sed pharetra ante accumsan. <a href="http://google.com/">Nam suscipit</a> risus quis libero convallis viverra. Ut at arcu enim, vel pharetra dolor.
+<h3>Donec at massa ante, sagittis fermentum urna.</h3>
+<blockquote>Mauris volutpat est id massa volutpat lacinia. Class aptent taciti sociosqu ad litora torquent per conubia nostra, per inceptos himenaeos. In in nisl mauris. In aliquet pretium nisl, vel convallis neque cursus vitae. Curabitur id mauris in urna gravida ornare.
+
+[caption id="attachment_719" align="alignleft" width="150" caption="Ibanez AGB140 Bass"]<img class="size-thumbnail wp-image-719" title="Ibanez AGB140 Bass" src="http://www.mrclay.org/wp-content/uploads/2010/04/agb140-e1271773766573-150x150.jpg" alt="Ibanez AGB140 Bass" width="150" height="150" />[/caption]
+
+Aenean <a href="http://google.com/">aliquet cursus purus sed gravida. Cras auctor euismod justo, ac dictum purus facilisis dignissim.</a> Quisque facilisis porta sem, ac suscipit quam molestie nec. Pellentesque quis hendrerit enim. Vivamus tempor erat diam. Sed eu felis nunc. Cras posuere lorem commodo turpis mollis sagittis. Mauris lobortis nunc felis.
+
+Maecenas elit lorem, varius sed condimentum ac, cursus et magna. Nam ut massa id augue consectetur porttitor eleifend in nunc. Curabitur cursus varius dictum. Vestibulum vel justo et neque tempus placerat a vel sapien.</blockquote>
+Lorem ipsum dolor sit amet, consectetur adipiscing elit. Vivamus enim ante, mattis eget imperdiet nec, <a href="http://google.com/">pharetra </a>vel velit. Sed at euismod nibh. Praesent lacus tellus, posuere et convallis a, mollis et tellus.
+
+<pre><code>&lt;?php
+class DataTest extends PHPUnit_Framework_TestCase
+{
+ /**
+ * @dataProvider provider
+ */
+ public function testAdd($a, $b, $c)
+ {
+ $this-&gt;assertEquals($c, $a + $b);
+ }
+
+ public function provider()
+ {
+ return array(
+ array(0, 0, 0),
+ array(0, 1, 1),
+ array(1, 0, 1),
+ array(1, 1, 3)
+ );
+ }
+}</code></pre>
+<ul>
+ <li>Suspendisse potenti. Phasellus tincidunt dignissim est eget mattis.</li>
+ <li>Vestibulum lacinia condimentum tellus, non vestibulum erat dapibus quis.</li>
+ <li>Aliquam arcu nibh, <a href="http://google.com/">viverra</a> adipiscing eleifend quis, pretium vitae ipsum.</li>
+ <li>Curabitur turpis ante, congue ac <a href="http://google.com/">dapibus quis</a>, vehicula ac orci.</li>
+</ul>
+Nunc luctus neque non massa porta sed pharetra ante accumsan. Nam suscipit risus quis libero convallis viverra. Ut at arcu enim, vel pharetra dolor. Donec at massa ante, sagittis fermentum urna.
+
+<object width="480" height="390"><param name="movie" value="http://www.youtube.com/v/zW9YOMaVTFI?fs=1&amp;hl=en_US" /><param name="allowFullScreen" value="true" /><param name="allowscriptaccess" value="always" /><embed type="application/x-shockwave-flash" width="480" height="390" src="http://www.youtube.com/v/zW9YOMaVTFI?fs=1&amp;hl=en_US" allowfullscreen="true" allowscriptaccess="always"></embed></object>
+
+<h2>Lorem ipsum dolor sit amet, consectetur adipiscing elit.</h2>
+<img class="alignright size-thumbnail wp-image-905" title="Surest Things mixing session in Adobe Audition" src="http://www.mrclay.org/wp-content/uploads/2010/09/surestThings_audition-150x150.png" alt="screenshot of Audition mixing session" width="150" height="150" />Vivamus enim ante, <em>mattis eget imperdiet nec, pharetra vel velit.</em> Sed at euismod nibh. Praesent lacus tellus, <a href="http://google.com/">posuere et convallis</a> a, <strong>mollis et tellus. Suspendisse potenti</strong>. Phasellus tincidunt dignissim est eget mattis. Vestibulum lacinia <del>condimentum tellus, non vestibulum erat dapibus</del> quis. Aliquam arcu nibh, viverra adipiscing eleifend quis, pretium vitae ipsum.
+
+Curabitur turpis ante, <span style="color: #993300;">congue ac dapibus quis, vehicula ac orci.</span> Nunc luctus neque non massa porta sed pharetra ante accumsan. <a href="http://google.com/">Nam suscipit</a> risus quis libero convallis viverra. Ut at arcu enim, vel pharetra dolor.
+<h3>Donec at massa ante, sagittis fermentum urna.</h3>
+<blockquote>Mauris volutpat est id massa volutpat lacinia. Class aptent taciti sociosqu ad litora torquent per conubia nostra, per inceptos himenaeos. In in nisl mauris. In aliquet pretium nisl, vel convallis neque cursus vitae. Curabitur id mauris in urna gravida ornare.
+
+[caption id="attachment_719" align="alignleft" width="150" caption="Ibanez AGB140 Bass"]<img class="size-thumbnail wp-image-719" title="Ibanez AGB140 Bass" src="http://www.mrclay.org/wp-content/uploads/2010/04/agb140-e1271773766573-150x150.jpg" alt="Ibanez AGB140 Bass" width="150" height="150" />[/caption]
+
+Aenean <a href="http://google.com/">aliquet cursus purus sed gravida. Cras auctor euismod justo, ac dictum purus facilisis dignissim.</a> Quisque facilisis porta sem, ac suscipit quam molestie nec. Pellentesque quis hendrerit enim. Vivamus tempor erat diam. Sed eu felis nunc. Cras posuere lorem commodo turpis mollis sagittis. Mauris lobortis nunc felis.
+
+Maecenas elit lorem, varius sed condimentum ac, cursus et magna. Nam ut massa id augue consectetur porttitor eleifend in nunc. Curabitur cursus varius dictum. Vestibulum vel justo et neque tempus placerat a vel sapien.</blockquote>
+Lorem ipsum dolor sit amet, consectetur adipiscing elit. Vivamus enim ante, mattis eget imperdiet nec, <a href="http://google.com/">pharetra </a>vel velit. Sed at euismod nibh. Praesent lacus tellus, posuere et convallis a, mollis et tellus.
+
+<pre><code>&lt;?php
+class DataTest extends PHPUnit_Framework_TestCase
+{
+ /**
+ * @dataProvider provider
+ */
+ public function testAdd($a, $b, $c)
+ {
+ $this-&gt;assertEquals($c, $a + $b);
+ }
+
+ public function provider()
+ {
+ return array(
+ array(0, 0, 0),
+ array(0, 1, 1),
+ array(1, 0, 1),
+ array(1, 1, 3)
+ );
+ }
+}</code></pre>
+<ul>
+ <li>Suspendisse potenti. Phasellus tincidunt dignissim est eget mattis.</li>
+ <li>Vestibulum lacinia condimentum tellus, non vestibulum erat dapibus quis.</li>
+ <li>Aliquam arcu nibh, <a href="http://google.com/">viverra</a> adipiscing eleifend quis, pretium vitae ipsum.</li>
+ <li>Curabitur turpis ante, congue ac <a href="http://google.com/">dapibus quis</a>, vehicula ac orci.</li>
+</ul>
+Nunc luctus neque non massa porta sed pharetra ante accumsan. Nam suscipit risus quis libero convallis viverra. Ut at arcu enim, vel pharetra dolor. Donec at massa ante, sagittis fermentum urna.
+
+<object width="480" height="390"><param name="movie" value="http://www.youtube.com/v/zW9YOMaVTFI?fs=1&amp;hl=en_US" /><param name="allowFullScreen" value="true" /><param name="allowscriptaccess" value="always" /><embed type="application/x-shockwave-flash" width="480" height="390" src="http://www.youtube.com/v/zW9YOMaVTFI?fs=1&amp;hl=en_US" allowfullscreen="true" allowscriptaccess="always"></embed></object> \ No newline at end of file
diff --git a/engine/tests/test_files/output/autop/wp-welcome.exp.html b/engine/tests/test_files/output/autop/wp-welcome.exp.html
new file mode 100644
index 000000000..2f612e3dd
--- /dev/null
+++ b/engine/tests/test_files/output/autop/wp-welcome.exp.html
@@ -0,0 +1,22 @@
+
+<p>Welcome to WordPress! This post contains important information. After you read it, you can make it private to hide it from visitors but still have the information handy for future reference.</p>
+
+<p>First things first:</p>
+<ul><li><a href="%1%24s" title="Subscribe to the WordPress mailing list for Release Notifications">Subscribe to the WordPress mailing list for release notifications</a></li>
+</ul>
+<p>As a subscriber, you will receive an email every time an update is available (and only then). This will make it easier to keep your site up to date, and secure from evildoers.<br />When a new version is released, <a href="%2%24s" title="If you are already logged in, this will take you directly to the Dashboard">log in to the Dashboard</a> and follow the instructions.<br />Upgrading is a couple of clicks!</p>
+
+<p>Then you can start enjoying the WordPress experience:</p>
+<ul><li>Edit your personal information at <a href="%3%24s" title="Edit settings like your password, your display name and your contact information">Users &#8250; Your Profile</a></li>
+ <li>Start publishing at <a href="%4%24s" title="Create a new post">Posts &#8250; Add New</a> and at <a href="%5%24s" title="Create a new page">Pages &#8250; Add New</a></li>
+ <li>Browse and install plugins at <a href="%6%24s" title="Browse and install plugins at the official WordPress repository directly from your Dashboard">Plugins &#8250; Add New</a></li>
+ <li>Browse and install themes at <a href="%7%24s" title="Browse and install themes at the official WordPress repository directly from your Dashboard">Appearance &#8250; Add New Themes</a></li>
+ <li>Modify and prettify your website&#8217;s links at <a href="%8%24s" title="For example, select a link structure like: http://example.com/1999/12/post-name">Settings &#8250; Permalinks</a></li>
+ <li>Import content from another system or WordPress site at <a href="%9%24s" title="WordPress comes with importers for the most common publishing systems">Tools &#8250; Import</a></li>
+ <li>Find answers to your questions at the <a href="%10%24s" title="The official WordPress documentation, maintained by the WordPress community">WordPress Codex</a></li>
+</ul>
+<p>To keep this post for reference, <a href="%11%24s" title="Click to edit the content and settings of this post">click to edit it</a>, go to the Publish box and change its Visibility from Public to Private.</p>
+
+<p>Thank you for selecting WordPress. We wish you happy publishing!</p>
+
+<p>PS. Not yet subscribed for update notifications? <a href="%1%24s" title="Subscribe to the WordPress mailing list for Release Notifications">Do it now!</a></p>
diff --git a/engine/tests/test_files/output/autop/wp-welcome.in.html b/engine/tests/test_files/output/autop/wp-welcome.in.html
new file mode 100644
index 000000000..338ede73f
--- /dev/null
+++ b/engine/tests/test_files/output/autop/wp-welcome.in.html
@@ -0,0 +1,25 @@
+Welcome to WordPress! This post contains important information. After you read it, you can make it private to hide it from visitors but still have the information handy for future reference.
+
+First things first:
+<ul>
+ <li><a href="%1$s" title="Subscribe to the WordPress mailing list for Release Notifications">Subscribe to the WordPress mailing list for release notifications</a></li>
+</ul>
+As a subscriber, you will receive an email every time an update is available (and only then). This will make it easier to keep your site up to date, and secure from evildoers.
+When a new version is released, <a href="%2$s" title="If you are already logged in, this will take you directly to the Dashboard">log in to the Dashboard</a> and follow the instructions.
+Upgrading is a couple of clicks!
+
+Then you can start enjoying the WordPress experience:
+<ul>
+ <li>Edit your personal information at <a href="%3$s" title="Edit settings like your password, your display name and your contact information">Users &#8250; Your Profile</a></li>
+ <li>Start publishing at <a href="%4$s" title="Create a new post">Posts &#8250; Add New</a> and at <a href="%5$s" title="Create a new page">Pages &#8250; Add New</a></li>
+ <li>Browse and install plugins at <a href="%6$s" title="Browse and install plugins at the official WordPress repository directly from your Dashboard">Plugins &#8250; Add New</a></li>
+ <li>Browse and install themes at <a href="%7$s" title="Browse and install themes at the official WordPress repository directly from your Dashboard">Appearance &#8250; Add New Themes</a></li>
+ <li>Modify and prettify your website&#8217;s links at <a href="%8$s" title="For example, select a link structure like: http://example.com/1999/12/post-name">Settings &#8250; Permalinks</a></li>
+ <li>Import content from another system or WordPress site at <a href="%9$s" title="WordPress comes with importers for the most common publishing systems">Tools &#8250; Import</a></li>
+ <li>Find answers to your questions at the <a href="%10$s" title="The official WordPress documentation, maintained by the WordPress community">WordPress Codex</a></li>
+</ul>
+To keep this post for reference, <a href="%11$s" title="Click to edit the content and settings of this post">click to edit it</a>, go to the Publish box and change its Visibility from Public to Private.
+
+Thank you for selecting WordPress. We wish you happy publishing!
+
+PS. Not yet subscribed for update notifications? <a href="%1$s" title="Subscribe to the WordPress mailing list for Release Notifications">Do it now!</a>
diff --git a/engine/tests/test_files/output/autop/wpautop-fails.exp.html b/engine/tests/test_files/output/autop/wpautop-fails.exp.html
new file mode 100644
index 000000000..d018db4ff
--- /dev/null
+++ b/engine/tests/test_files/output/autop/wpautop-fails.exp.html
@@ -0,0 +1,31 @@
+
+<p>paragraph</p>
+
+<p>paragraph</p>
+<div class="whatever"><blockquote>
+<p>paragraph</p>
+</blockquote>
+<p>line</p>
+</div>
+<p>paragraph</p>
+<ul><li>line</li>
+<li>paragraph
+
+paragraph</li>
+</ul>
+<p>paragraph<br />line<br />line</p>
+<pre>Honor
+this whitespace
+</pre>
+<p>paragraph</p>
+<style><!--
+Do not alter!
+--></style>
+<p>paragraph <!-- do not alter --></p>
+<dl><dt>term</dt> <dd>paragraph
+
+<a href="xx"> <img src="yy"></a>
+
+paragraph</dd> </dl><div><a href="xx"> <img src="yy"></a></div>
+<p>Hello <a href="link"><br /><br />World</a></p>
+<p id="abc">Paragraph</p><div>Line</div> \ No newline at end of file
diff --git a/engine/tests/test_files/output/autop/wpautop-fails.in.html b/engine/tests/test_files/output/autop/wpautop-fails.in.html
new file mode 100644
index 000000000..9aa24be59
--- /dev/null
+++ b/engine/tests/test_files/output/autop/wpautop-fails.in.html
@@ -0,0 +1,41 @@
+
+paragraph
+
+paragraph <div class="whatever"><blockquote>
+ paragraph
+ </blockquote>
+ line
+</div>
+
+paragraph
+<ul>
+<li>line</li>
+<li>paragraph
+
+paragraph</li>
+</ul>
+paragraph
+line<br>
+ line
+<pre>Honor
+this whitespace
+</pre>
+paragraph
+<style><!--
+Do not alter!
+--></style>
+paragraph <!-- do not alter -->
+<dl> <dt>term</dt> <dd>paragraph
+
+<a href="xx"> <img src="yy" /> </a>
+
+paragraph</dd> </dl>
+<div><a href="xx"> <img src="yy" /> </a></div>
+
+Hello <a href="link">
+
+World</a>
+
+<p id="abc">Paragraph</p>
+
+<div>Line</div> \ No newline at end of file
diff --git a/engine/tests/test_files/output/autop/wysiwyg-test.exp.html b/engine/tests/test_files/output/autop/wysiwyg-test.exp.html
new file mode 100644
index 000000000..1f23d6154
--- /dev/null
+++ b/engine/tests/test_files/output/autop/wysiwyg-test.exp.html
@@ -0,0 +1,51 @@
+
+<p>&nbps;<br />&#8820;</p>
+<h1>h1</h1>
+<p>Paragraph <a href="http://google.com/">link</a> <strong>Bold</strong> <em>italic</em> <em><strong>bolditalic</strong></em>&nbsp;<span style="background-color: #ffff00; "></span></p>
+<h2>h2</h2>
+<p>Paragraph <span style="font-size: xx-small;">size1</span> <span style="font-size: x-small;">size2</span> <span style="font-size: medium;">size4</span></p>
+<h3>h3</h3>
+<p>Paragraph <span style="text-decoration: underline;">underline</span> <span style="text-decoration: line-through;">strikethrough</span> <span style="color: #ff0000;">color</span> <span style="background-color: #ffff00; ">background</span></p>
+<blockquote>
+<p>Blockquoted paragraph</p>
+</blockquote>
+<p>Paragraph following blockquote</p>
+<ul><li>Unordered</li>
+ <li>List</li>
+</ul>
+<p>Paragraph between lists</p>
+<ol><li>Ordered</li>
+ <li>List</li>
+</ol>
+<p>Paragraph between lists</p>
+<ul><li>OL list</li>
+ <li>nested
+ <ol><li>inside a</li>
+ <li>UL list</li>
+ </ol></li>
+</ul>
+<p>Paragraph between lists</p>
+<table border="0"><tbody><tr></tr><tr><td>Table with</td>
+ <td></td>
+ </tr><tr><td></td>
+ <td>border=0</td>
+ </tr></tbody></table>
+<p>Paragraph</p>
+<ol><li>UL list</li>
+ <li>nested
+ <ul><li>inside a</li>
+ <li>OL list</li>
+ </ul></li>
+</ol>
+<p>Paragraph between tables</p>
+<table border="1" cellpadding="5"><tbody><tr><td>Table with border=1</td>
+ <td></td>
+ </tr><tr><td></td>
+ <td>cellpadding = 5</td>
+ </tr></tbody></table>
+<p>Paragraph between tables</p>
+<table border="2"><tbody><tr><td>Table with</td>
+ <td></td>
+ </tr><tr><td></td>
+ <td>border=2</td>
+ </tr></tbody></table> \ No newline at end of file
diff --git a/engine/tests/test_files/output/autop/wysiwyg-test.in.html b/engine/tests/test_files/output/autop/wysiwyg-test.in.html
new file mode 100644
index 000000000..733b0e2ec
--- /dev/null
+++ b/engine/tests/test_files/output/autop/wysiwyg-test.in.html
@@ -0,0 +1,79 @@
+&nbps;
+&#8820;
+<h1>h1</h1>
+Paragraph <a href="http://google.com/">link</a> <strong>Bold</strong> <em>italic</em> <em><strong>bolditalic</strong></em>&nbsp;<span style="background-color: #ffff00; "></span>
+<h2>h2</h2>
+Paragraph <span style="font-size: xx-small;">size1</span> <span style="font-size: x-small;">size2</span> <span style="font-size: medium;">size4</span>
+<h3>h3</h3>
+Paragraph <span style="text-decoration: underline;">underline</span> <span style="text-decoration: line-through;">strikethrough</span> <span style="color: #ff0000;">color</span> <span style="background-color: #ffff00; ">background</span>
+<blockquote>Blockquoted paragraph</blockquote>
+Paragraph following blockquote
+<ul>
+ <li>Unordered</li>
+ <li>List</li>
+</ul>
+Paragraph between lists
+<ol>
+ <li>Ordered</li>
+ <li>List</li>
+</ol>
+Paragraph between lists
+<ul>
+ <li>OL list</li>
+ <li>nested
+ <ol>
+ <li>inside a</li>
+ <li>UL list</li>
+ </ol></li>
+</ul>
+Paragraph between lists
+<table border="0">
+ <tbody>
+ <tr>
+ </tr>
+ <tr>
+ <td>Table with</td>
+ <td></td>
+ </tr>
+ <tr>
+ <td></td>
+ <td>border=0</td>
+ </tr>
+ </tbody>
+</table>
+Paragraph
+<ol>
+ <li>UL list</li>
+ <li>nested
+ <ul>
+ <li>inside a</li>
+ <li>OL list</li>
+ </ul>
+ </li>
+</ol>
+Paragraph between tables
+<table border="1" cellpadding="5">
+ <tbody>
+ <tr>
+ <td>Table with border=1</td>
+ <td></td>
+ </tr>
+ <tr>
+ <td></td>
+ <td>cellpadding = 5</td>
+ </tr>
+ </tbody>
+</table>
+Paragraph between tables
+<table border="2">
+ <tbody>
+ <tr>
+ <td>Table with</td>
+ <td></td>
+ </tr>
+ <tr>
+ <td></td>
+ <td>border=2</td>
+ </tr>
+ </tbody>
+</table> \ No newline at end of file
diff --git a/engine/tests/test_files/plugin_17/manifest.xml b/engine/tests/test_files/plugin_17/manifest.xml
new file mode 100644
index 000000000..706734265
--- /dev/null
+++ b/engine/tests/test_files/plugin_17/manifest.xml
@@ -0,0 +1,10 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<plugin_manifest>
+ <field key="author" value="Anyone" />
+ <field key="version" value="1.0" />
+ <field key="description" value="A 1.7-style manifest." />
+ <field key="website" value="http://www.elgg.org/" />
+ <field key="copyright" value="(C) Elgg Foundation 2011" />
+ <field key="license" value="GNU General Public License version 2" />
+ <field key="elgg_version" value="2009030702" />
+</plugin_manifest> \ No newline at end of file
diff --git a/engine/tests/test_files/plugin_17/start.php b/engine/tests/test_files/plugin_17/start.php
new file mode 100644
index 000000000..e69de29bb
--- /dev/null
+++ b/engine/tests/test_files/plugin_17/start.php
diff --git a/engine/tests/test_files/plugin_18/manifest.xml b/engine/tests/test_files/plugin_18/manifest.xml
new file mode 100644
index 000000000..c8b407511
--- /dev/null
+++ b/engine/tests/test_files/plugin_18/manifest.xml
@@ -0,0 +1,108 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<plugin_manifest xmlns="http://www.elgg.org/plugin_manifest/1.8">
+ <name>Test Manifest</name>
+ <author>Anyone</author>
+ <version>1.0</version>
+ <blurb>A concise description.</blurb>
+ <description>A longer, more interesting description.</description>
+ <website>http://www.elgg.org/</website>
+ <repository>https://github.com/Elgg/Elgg</repository>
+ <bugtracker>https://github.com/elgg/elgg/issues</bugtracker>
+ <donations>http://elgg.org/supporter.php</donations>
+ <copyright>(C) Elgg Foundation 2011</copyright>
+ <license>GNU General Public License version 2</license>
+
+ <requires>
+ <type>elgg_version</type>
+ <version>3009030802</version>
+ <comparison>lt</comparison>
+ </requires>
+
+ <requires>
+ <type>elgg_release</type>
+ <version>1.8-svn</version>
+ </requires>
+
+ <screenshot>
+ <description>Fun things to do 1</description>
+ <path>graphics/plugin_ss1.png</path>
+ </screenshot>
+
+ <screenshot>
+ <description>Fun things to do 2</description>
+ <path>graphics/plugin_ss2.png</path>
+ </screenshot>
+
+ <category>Admin</category>
+
+ <category>ServiceAPI</category>
+
+ <requires>
+ <type>php_extension</type>
+ <name>gd</name>
+ </requires>
+
+ <requires>
+ <type>php_ini</type>
+ <name>short_open_tag</name>
+ <value>off</value>
+ </requires>
+
+ <requires>
+ <type>php_extension</type>
+ <name>made_up</name>
+ <version>1.0</version>
+ </requires>
+
+ <requires>
+ <type>plugin</type>
+ <name>fake_plugin</name>
+ <version>1.0</version>
+ </requires>
+
+ <requires>
+ <type>plugin</type>
+ <name>profile</name>
+ <version>1.0</version>
+ </requires>
+
+ <requires>
+ <type>plugin</type>
+ <name>profile_api</name>
+ <version>1.3</version>
+ <comparison>lt</comparison>
+ </requires>
+
+ <requires>
+ <type>priority</type>
+ <priority>after</priority>
+ <plugin>profile</plugin>
+ </requires>
+
+ <conflicts>
+ <type>plugin</type>
+ <name>profile_api</name>
+ <version>1.0</version>
+ </conflicts>
+
+ <provides>
+ <type>plugin</type>
+ <name>profile_api</name>
+ <version>1.3</version>
+ </provides>
+
+ <provides>
+ <type>php_extension</type>
+ <name>big_math</name>
+ <version>1.0</version>
+ </provides>
+
+ <suggests>
+ <type>plugin</type>
+ <name>facebook_connect</name>
+ <version>1.0</version>
+ </suggests>
+
+ <activate_on_install>true</activate_on_install>
+
+</plugin_manifest>
diff --git a/engine/tests/test_files/plugin_18/start.php b/engine/tests/test_files/plugin_18/start.php
new file mode 100644
index 000000000..e69de29bb
--- /dev/null
+++ b/engine/tests/test_files/plugin_18/start.php
diff --git a/engine/tests/test_files/xxe/external_entity.txt b/engine/tests/test_files/xxe/external_entity.txt
new file mode 100644
index 000000000..536aca34d
--- /dev/null
+++ b/engine/tests/test_files/xxe/external_entity.txt
@@ -0,0 +1 @@
+secret \ No newline at end of file
diff --git a/engine/tests/test_files/xxe/request.xml b/engine/tests/test_files/xxe/request.xml
new file mode 100644
index 000000000..4390f9db2
--- /dev/null
+++ b/engine/tests/test_files/xxe/request.xml
@@ -0,0 +1,8 @@
+<?xml version="1.0"?>
+<!DOCTYPE foo [
+<!ELEMENT methodName ANY >
+<!ENTITY xxe SYSTEM "%s" >
+]>
+<methodCall>
+ <methodName>test&xxe;test</methodName>
+</methodCall>
diff --git a/engine/tests/test_skeleton.php b/engine/tests/test_skeleton.php
index e5ff557e5..5a5de89bb 100644
--- a/engine/tests/test_skeleton.php
+++ b/engine/tests/test_skeleton.php
@@ -49,9 +49,6 @@ class ElggCoreSkeletonTest extends ElggCoreUnitTest {
parent::__destruct();
}
- /**
- * A basic test that will be called and fail.
- */
public function testFailure() {
$this->assertTrue(FALSE);
}
diff --git a/engine/tests/ui/submenu.php b/engine/tests/ui/submenu.php
deleted file mode 100644
index d5d188194..000000000
--- a/engine/tests/ui/submenu.php
+++ /dev/null
@@ -1,97 +0,0 @@
-<?php
-/**
- * 1.8 submenu test.
- *
- * Submenu needs to be able to support being added out of order.
- * Children can be added before parents.
- * Children of parents never defined are never shown.
- *
- * Test against:
- * different contexts
- * different groups
- * old add_submenu_item() wrapper.
- *
- */
-
-require_once('../../start.php');
-
-$url = "engine/tests/ui/submenu.php";
-
-$items = array(
- array(
- 'text' => 'Upper level 1',
- 'href' => "$url?upper_level_1",
- 'id' => 'ul1'
- ),
- array(
- 'text' => 'CD (No link)',
- 'parent_id' => 'cup',
- 'id' => 'cd',
- ),
- array(
- 'text' => 'Sub CD',
- 'href' => "$url?sub_cd",
- 'parent_id' => 'cd'
- ),
- array(
- 'text' => 'Cup',
- 'href' => "$url?cup",
- 'id' => 'cup'
- ),
- array(
- 'text' => 'Phone',
- 'href' => "$url?phone",
- 'id' => 'phone',
- 'parent_id' => 'cup'
- ),
- array(
- 'text' => 'Wallet',
- 'href' => "$url?wallet",
- 'id' => 'wallet',
- 'parent_id' => 'phone'
- ),
- array(
- 'text' => 'Upper level',
- 'href' => "$url?upper_level",
- 'id' => 'ul'
- ),
- array(
- 'text' => 'Sub Upper level',
- 'href' => "$url?sub_upper_level",
- 'parent_id' => 'ul'
- ),
- array(
- 'text' => 'Root',
- 'href' => $url,
- ),
-
- array(
- 'text' => 'I am an orphan',
- 'href' => 'http://google.com',
- 'parent_id' => 'missing_parent'
- ),
-
- array(
- 'text' => 'JS Test',
- 'href' => 'http://elgg.org',
- 'vars' => array('js' => 'onclick="alert(\'Link to \' + $(this).attr(\'href\') + \'!\'); return false;"')
- )
-);
-
-foreach ($items as $item) {
- elgg_add_submenu_item($item, 'main');
-}
-
-add_submenu_item('Old Onclick Test', 'http://elgg.com', NULL, TRUE);
-add_submenu_item('Old Selected Test', 'http://elgg.com', NULL, '', TRUE);
-
-
-elgg_add_submenu_item(array('text' => 'Not Main Test', 'href' => "$url?not_main_test"), 'not_main', 'new_menu');
-elgg_add_submenu_item(array('text' => 'Not Main C Test', 'href' => "$url?not_main_c_test"), 'not_main', 'new_menu');
-
-elgg_add_submenu_item(array('text' => 'All test', 'href' => "$url?all"), 'all');
-
-//elgg_set_context('not_main');
-
-$body = elgg_view_layout('one_column_with_sidebar', array('content' => 'Look right.'));
-echo elgg_view_page('Submenu Test', $body);
diff --git a/gpl-plugins b/gpl-plugins
new file mode 100644
index 000000000..925ca6ec2
--- /dev/null
+++ b/gpl-plugins
@@ -0,0 +1,20 @@
+videolist
+dokuwiki
+friendrequest
+opensearch
+identica
+languages
+registrationterms
+custom_index_widgets
+river_privacy
+habitorio_theme
+lightpics
+colorbox
+event_calendar
+openid_client
+openid_server
+openid_api
+beechat
+simplepie
+profile_widgets
+html5
diff --git a/htaccess_dist b/htaccess_dist
index fa0714cf1..44d129475 100644
--- a/htaccess_dist
+++ b/htaccess_dist
@@ -1,14 +1,11 @@
# Elgg htaccess directives
-# Copyright Curverider Ltd 2008-2009
-# License http://www.gnu.org/licenses/old-licenses/gpl-2.0.html GNU Public License version 2
-# Link http://elgg.org/
<Files "htaccess_dist">
order allow,deny
deny from all
</Files>
-# Don't listing directory
+# Don't allow listing directories
Options -Indexes
# Follow symbolic links
@@ -17,26 +14,45 @@ Options +FollowSymLinks
# Default handler
DirectoryIndex index.php
-# Turn on expiry
+
+############################
+# BROWSER CACHING
+
+# The expires module controls the Expires and Cache-Control headers. Elgg sets
+# these for dynamically generated files so this is just for static files.
<IfModule mod_expires.c>
ExpiresActive On
- ExpiresDefault "access plus 10 years"
+ ExpiresDefault "access plus 1 year"
</IfModule>
-# php 5, apache 1 and 2
+# Conditional requests are controlled through Last-Modified and ETag headers.
+# Elgg sets these on dynamically generated cacheable files so this is just for
+# static files. Note: Apache sends Last-Modified by default on static files so
+# I don't think we need to be sending ETag for these files.
+<FilesMatch "\.(jpg|jpeg|gif|png|mp3|flv|mov|avi|3pg|html|htm|swf|js|css|ico)$">
+ FileETag MTime Size
+</FilesMatch>
+
+
+############################
+# PHP SETTINGS
<IfModule mod_php5.c>
- # default memory limit to 64Mb
+ # limit the maximum memory consumed by the php script to 64 MB
php_value memory_limit 64M
- # to make sure register global is off
+ # register_globals is deprecated as of PHP 5.3.0 - disable it for security reasons.
php_value register_globals 0
- # max post size to 8Mb
+ # post_max_size is the maximum size of ALL the data that is POST'ed to php at a time (8 MB)
php_value post_max_size 8388608
- # upload size limit to 5Mb
+ # upload_max_filesize is the maximum size of a single uploaded file (5 MB)
php_value upload_max_filesize 5242880
- # hide errors, enable only if debug enabled
+ # on development servers, set to 1 to display errors. Set to 0 on production servers.
php_value display_errors 0
</IfModule>
+
+############################
+# COMPRESSION
+
# Turn on mod_gzip if available
<IfModule mod_gzip.c>
mod_gzip_on yes
@@ -75,10 +91,9 @@ DirectoryIndex index.php
</IfModule>
-# Configure ETags
-<FilesMatch "\.(jpg|jpeg|gif|png|mp3|flv|mov|avi|3pg|html|htm|swf)$">
- FileETag MTime Size
-</FilesMatch>
+
+############################
+# REWRITE RULES
<IfModule mod_rewrite.c>
@@ -97,25 +112,44 @@ RewriteEngine on
#
#RewriteBase /
-RewriteRule ^action\/([A-Za-z0-9\_\-\/]+)$ engine/handlers/action_handler.php?action=$1&%{QUERY_STRING}
-RewriteRule ^services\/api\/([A-Za-z0-9\_\-]+)\/(.*)$ engine/handlers/service_handler.php?handler=$1&request=$2&%{QUERY_STRING}
+# If your users receive the message "Sorry, logging in from a different domain is not permitted"
+# you must make sure your login form is served from the same hostname as your site pages.
+# See http://docs.elgg.org/wiki/Login_token_mismatch_error for more info.
+#
+# If you must add RewriteRules to change hostname, add them directly below (above all the others)
+
+
+# In for backwards compatibility
+RewriteRule ^pg\/([A-Za-z0-9\_\-]+)$ engine/handlers/page_handler.php?handler=$1&%{QUERY_STRING} [L]
+RewriteRule ^pg\/([A-Za-z0-9\_\-]+)\/(.*)$ engine/handlers/page_handler.php?handler=$1&page=$2&%{QUERY_STRING} [L]
+RewriteRule ^tag\/(.+)\/?$ engine/handlers/page_handler.php?handler=search&page=$1 [L]
+
-RewriteRule ^export\/([A-Za-z]+)\/([0-9]+)$ services/export/handler.php?view=$1&guid=$2
-RewriteRule ^export\/([A-Za-z]+)\/([0-9]+)\/$ services/export/handler.php?view=$1&guid=$2
-RewriteRule ^export\/([A-Za-z]+)\/([0-9]+)\/([A-Za-z]+)\/([A-Za-z0-9\_]+)\/$ services/export/handler.php?view=$1&guid=$2&type=$3&idname=$4
+RewriteRule ^action\/([A-Za-z0-9\_\-\/]+)$ engine/handlers/action_handler.php?action=$1&%{QUERY_STRING} [L]
-RewriteRule ^\_css\/css\.css$ _css/css.php
+RewriteRule ^cache\/(.*)$ engine/handlers/cache_handler.php?request=$1&%{QUERY_STRING} [L]
-RewriteRule ^pg\/([A-Za-z0-9\_\-]+)\/(.*)$ engine/handlers/pagehandler.php?handler=$1&page=$2&%{QUERY_STRING}
-RewriteRule ^pg\/([A-Za-z0-9\_\-]+)$ engine/handlers/pagehandler.php?handler=$1&%{QUERY_STRING}
+RewriteRule ^services\/api\/([A-Za-z0-9\_\-]+)\/(.*)$ engine/handlers/service_handler.php?handler=$1&request=$2&%{QUERY_STRING} [L]
-RewriteRule xml-rpc.php engine/handlers/xml-rpc_handler.php
-RewriteRule mt/mt-xmlrpc.cgi engine/handlers/xml-rpc_handler.php
+RewriteRule ^export\/([A-Za-z]+)\/([0-9]+)\/?$ engine/handlers/export_handler.php?view=$1&guid=$2 [L]
+RewriteRule ^export\/([A-Za-z]+)\/([0-9]+)\/([A-Za-z]+)\/([A-Za-z0-9\_]+)\/$ engine/handlers/export_handler.php?view=$1&guid=$2&type=$3&idname=$4 [L]
+
+RewriteRule xml-rpc.php engine/handlers/xml-rpc_handler.php [L]
+RewriteRule mt/mt-xmlrpc.cgi engine/handlers/xml-rpc_handler.php [L]
-RewriteRule ^tag/(.+)/?$ engine/handlers/pagehandler.php?handler=search&page=$1
# rule for rewrite module test during install - can be removed after installation
-RewriteRule ^rewrite.php$ install.php
+RewriteRule ^rewrite.php$ install.php [L]
+
+# Everything else that isn't a file gets routed through the page handler
+RewriteCond %{REQUEST_FILENAME} !-d
+RewriteCond %{REQUEST_FILENAME} !-f
+RewriteRule ^([A-Za-z0-9\_\-]+)$ engine/handlers/page_handler.php?handler=$1 [QSA,L]
+
+RewriteCond %{REQUEST_FILENAME} !-d
+RewriteCond %{REQUEST_FILENAME} !-f
+RewriteRule ^([A-Za-z0-9\_\-]+)\/(.*)$ engine/handlers/page_handler.php?handler=$1&page=$2 [QSA,L]
+
</IfModule>
diff --git a/index.php b/index.php
index 309225698..708e38ec9 100644
--- a/index.php
+++ b/index.php
@@ -11,52 +11,26 @@
*/
require_once(dirname(__FILE__) . "/engine/start.php");
-if (!elgg_trigger_plugin_hook('index', 'system', null, FALSE)) {
- if (isloggedin()) {
- forward('pg/dashboard/');
- }
-
- /*
- River dashboard should respond to the index:system plugin hook instead of
- being hard-coded here.
- if(is_plugin_enabled('riverdashboard')){
- $title = elgg_view_title(elgg_echo('content:latest'));
- elgg_set_context('search');
- $content = elgg_list_registered_entities(array('limit' => 10, '
- full_view' => FALSE, 'allowed_types' => array('object','group')));
- elgg_set_context('main');
- }
- */
-
- //Load the front page
- $title = elgg_view_title(elgg_echo('content:latest'));
- elgg_set_context('search');
- $offset = (int)get_input('offset', 0);
- if (is_plugin_enabled('riverdashboard')) {
- $activity = elgg_view_river_items(0, 0, '', '', '', '', 10, 0, 0, true, false);
- } else {
- $options = array(
- 'limit' => 10,
- 'offset' => $offset,
- 'full_view' => FALSE,
- 'allowed_types' => array('object','group')
- );
-
- $activity = elgg_list_registered_entities($options);
- }
- elgg_set_context('main');
-
- global $autofeed;
- $autofeed = FALSE;
-
- // if drop-down login in header option not selected
- $login_box = elgg_view('account/login_box');
-
- $content = $title . $activity;
- $params = array(
+elgg_set_context('main');
+
+// allow plugins to override the front page (return true to stop this front page code)
+if (elgg_trigger_plugin_hook('index', 'system', null, FALSE) != FALSE) {
+ exit;
+}
+
+if (elgg_is_logged_in()) {
+ forward('activity');
+}
+
+
+$content = elgg_view_title(elgg_echo('content:latest'));
+$content .= elgg_list_river();
+
+$login_box = elgg_view('core/account/login_box');
+
+$params = array(
'content' => $content,
'sidebar' => $login_box
- );
- $body = elgg_view_layout('one_column_with_sidebar', $params);
- echo elgg_view_page(null, $body);
-}
+);
+$body = elgg_view_layout('one_sidebar', $params);
+echo elgg_view_page(null, $body);
diff --git a/install/ElggInstaller.php b/install/ElggInstaller.php
index 060480791..78cdde90f 100644
--- a/install/ElggInstaller.php
+++ b/install/ElggInstaller.php
@@ -2,7 +2,27 @@
/**
* Elgg Installer.
- * Controller for installing Elgg.
+ * Controller for installing Elgg. Supports both web-based on CLI installation.
+ *
+ * This controller steps the user through the install process. The method for
+ * each step handles both the GET and POST requests. There is no XSS/CSRF protection
+ * on the POST processing since the installer is only run once by the administrator.
+ *
+ * The installation process can be resumed by hitting the first page. The installer
+ * will try to figure out where to pick up again.
+ *
+ * All the logic for the installation process is in this class, but it depends on
+ * the core libraries. To do this, we selectively load a subset of the core libraries
+ * for the first few steps and then load the entire engine once the database and
+ * site settings are configured. In addition, this controller does its own session
+ * handling until the database is setup.
+ *
+ * There is an aborted attempt in the code at creating the data directory for
+ * users as a subdirectory of Elgg's root. The idea was to protect this directory
+ * through a .htaccess file. The problem is that a malicious user can upload a
+ * .htaccess of his own that overrides the protection for his user directory. The
+ * best solution is server level configuration that turns off AllowOverride for the
+ * data directory. See ticket #3453 for discussion on this.
*
* @package Elgg.Core
* @subpackage Installer
@@ -19,6 +39,7 @@ class ElggInstaller {
);
protected $status = array(
+ 'config' => FALSE,
'database' => FALSE,
'settings' => FALSE,
'admin' => FALSE,
@@ -26,12 +47,15 @@ class ElggInstaller {
protected $isAction = FALSE;
- protected $autoLogin = FALSE;
+ protected $autoLogin = TRUE;
/**
* Constructor bootstraps the Elgg engine
*/
public function __construct() {
+ // load ElggRewriteTester as we depend on it
+ require_once(dirname(__FILE__) . "/ElggRewriteTester.php");
+
$this->isAction = $_SERVER['REQUEST_METHOD'] === 'POST';
$this->bootstrapConfig();
@@ -96,6 +120,9 @@ class ElggInstaller {
* account. If it fails, an exception is thrown. It does not check any of
* the requirements as the multiple step web installer does.
*
+ * If the settings.php file exists, it will use that rather than the parameters
+ * passed to this function.
+ *
* @param array $params Array of key value pairs
* @param bool $createHtaccess Should .htaccess be created
*
@@ -130,7 +157,7 @@ class ElggInstaller {
'password',
);
foreach ($requiredParams as $key) {
- if (!array_key_exists($key, $params)) {
+ if (empty($params[$key])) {
$msg = elgg_echo('install:error:requiredfield', array($key));
throw new InstallationException($msg);
}
@@ -140,22 +167,28 @@ class ElggInstaller {
$params['password1'] = $params['password2'] = $params['password'];
if ($createHtaccess) {
- require_once(dirname(__FILE__) . "/ElggRewriteTester.php");
$rewriteTester = new ElggRewriteTester();
if (!$rewriteTester->createHtaccess($CONFIG->path)) {
throw new InstallationException(elgg_echo('install:error:htaccess'));
}
}
- if (!$this->createSettingsFile($params)) {
- throw new InstallationException(elgg_echo('install:error:settings'));
+ $this->setInstallStatus();
+
+ if (!$this->status['config']) {
+ if (!$this->createSettingsFile($params)) {
+ throw new InstallationException(elgg_echo('install:error:settings'));
+ }
}
if (!$this->connectToDatabase()) {
throw new InstallationException(elgg_echo('install:error:databasesettings'));
}
- if (!$this->installDatabase()) {
- throw new InstallationException(elgg_echo('install:error:cannotloadtables'));
+
+ if (!$this->status['database']) {
+ if (!$this->installDatabase()) {
+ throw new InstallationException(elgg_echo('install:error:cannotloadtables'));
+ }
}
// load remaining core libraries
@@ -278,7 +311,7 @@ class ElggInstaller {
'dbpassword' => array(
'type' => 'password',
'value' => '',
- 'required' => TRUE,
+ 'required' => FALSE,
),
'dbname' => array(
'type' => 'text',
@@ -355,11 +388,10 @@ class ElggInstaller {
protected function settings($submissionVars) {
global $CONFIG;
- $languages = get_installed_translations();
$formVars = array(
'sitename' => array(
'type' => 'text',
- 'value' => 'New Elgg site',
+ 'value' => 'My New Community',
'required' => TRUE,
),
'siteemail' => array(
@@ -382,12 +414,6 @@ class ElggInstaller {
'value' => '',
'required' => TRUE,
),
- 'language' => array(
- 'type' => 'pulldown',
- 'value' => 'en',
- 'options_values' => $languages,
- 'required' => TRUE,
- ),
'siteaccess' => array(
'type' => 'access',
'value' => ACCESS_PUBLIC,
@@ -395,8 +421,19 @@ class ElggInstaller {
),
);
+ // if Apache, we give user option of having Elgg create data directory
+ //if (ElggRewriteTester::guessWebServer() == 'apache') {
+ // $formVars['dataroot']['type'] = 'combo';
+ // $CONFIG->translations['en']['install:settings:help:dataroot'] =
+ // $CONFIG->translations['en']['install:settings:help:dataroot:apache'];
+ //}
+
if ($this->isAction) {
do {
+ //if (!$this->createDataDirectory($submissionVars, $formVars)) {
+ // break;
+ //}
+
if (!$this->validateSettingsVars($submissionVars, $formVars)) {
break;
}
@@ -454,7 +491,7 @@ class ElggInstaller {
'required' => TRUE,
),
);
-
+
if ($this->isAction) {
do {
if (!$this->validateAdminVars($submissionVars, $formVars)) {
@@ -493,11 +530,7 @@ class ElggInstaller {
$params = array();
if ($this->autoLogin) {
- // remind users to enable / disable desired tools
- $msg = elgg_echo('firstadminlogininstructions');
- elgg_add_admin_notice('first_installation_plugin_reminder', $msg);
-
- $params['destination'] = 'pg/admin/plugins/simple';
+ $params['destination'] = 'admin';
} else {
$params['destination'] = 'index.php';
}
@@ -538,7 +571,12 @@ class ElggInstaller {
* @return string
*/
protected function getNextStep($currentStep) {
- return $this->steps[1 + array_search($currentStep, $this->steps)];
+ $index = 1 + array_search($currentStep, $this->steps);
+ if (isset($this->steps[$index])) {
+ return $this->steps[$index];
+ } else {
+ return null;
+ }
}
/**
@@ -551,7 +589,7 @@ class ElggInstaller {
protected function getNextStepUrl($currentStep) {
global $CONFIG;
$nextStep = $this->getNextStep($currentStep);
- return elgg_get_site_url()."install.php?step=$nextStep";
+ return elgg_get_site_url() . "install.php?step=$nextStep";
}
/**
@@ -568,6 +606,8 @@ class ElggInstaller {
$this->loadSettingsFile();
+ $this->status['config'] = TRUE;
+
// must be able to connect to database to jump install steps
$dbSettingsPass = $this->checkDatabaseSettings(
$CONFIG->dbuser,
@@ -686,8 +726,9 @@ class ElggInstaller {
// bootstrapping with required files in a required order
$required_files = array(
- 'elgglib.php', 'views.php', 'access.php', 'system_log.php', 'export.php',
- 'sessions.php', 'languages.php', 'input.php', 'install.php', 'cache.php', 'output.php'
+ 'elgglib.php', 'views.php', 'access.php', 'system_log.php', 'export.php',
+ 'configuration.php', 'sessions.php', 'languages.php', 'pageowner.php',
+ 'input.php', 'cache.php', 'output.php',
);
foreach ($required_files as $file) {
@@ -712,11 +753,16 @@ class ElggInstaller {
$dbIndex = array_search('database', $this->getSteps());
$settingsIndex = array_search('settings', $this->getSteps());
+ $adminIndex = array_search('admin', $this->getSteps());
+ $completeIndex = array_search('complete', $this->getSteps());
$stepIndex = array_search($step, $this->getSteps());
- if ($stepIndex <= $settingsIndex) {
- // install has its own session handling before the db created and set up
- session_name('Elgg');
+ // To log in the user, we need to use the Elgg core session handling.
+ // Otherwise, use default php session handling
+ $useElggSession = ($stepIndex == $adminIndex && $this->isAction) ||
+ $stepIndex == $completeIndex;
+ if (!$useElggSession) {
+ session_name('Elgg_install');
session_start();
elgg_unregister_event_handler('boot', 'system', 'session_init');
}
@@ -732,17 +778,19 @@ class ElggInstaller {
// these want to be loaded first apparently?
'database.php', 'actions.php',
- 'admin.php', 'annotations.php', 'api.php',
- 'calendar.php', 'configuration.php', 'cron.php', 'entities.php',
+ 'admin.php', 'annotations.php',
+ 'calendar.php', 'cron.php', 'entities.php',
'extender.php', 'filestore.php', 'group.php',
'location.php', 'mb_wrapper.php',
'memcache.php', 'metadata.php', 'metastrings.php',
'navigation.php', 'notification.php',
'objects.php', 'opendd.php', 'pagehandler.php',
- 'pageowner.php', 'pam.php', 'plugins.php',
+ 'pam.php', 'plugins.php',
'private_settings.php', 'relationships.php', 'river.php',
- 'sites.php', 'statistics.php', 'tags.php', 'usersettings.php',
- 'users.php', 'version.php', 'widgets.php', 'xml.php', 'xml-rpc.php'
+ 'sites.php', 'statistics.php', 'tags.php', 'user_settings.php',
+ 'users.php', 'upgrade.php', 'web_services.php',
+ 'widgets.php', 'xml.php', 'xml-rpc.php',
+ 'deprecated-1.7.php', 'deprecated-1.8.php',
);
foreach ($lib_files as $file) {
@@ -753,11 +801,17 @@ class ElggInstaller {
}
}
- $this->initGlobals();
-
- set_default_config();
+ setup_db_connections();
+ register_translations(dirname(dirname(__FILE__)) . "/languages/");
+
+ if ($stepIndex > $settingsIndex) {
+ $CONFIG->site_guid = (int) datalist_get('default_site');
+ $CONFIG->site_id = $CONFIG->site_guid;
+ $CONFIG->site = get_entity($CONFIG->site_guid);
+ $CONFIG->dataroot = datalist_get('dataroot');
+ _elgg_session_boot(NULL, NULL, NULL);
+ }
- elgg_trigger_event('boot', 'system');
elgg_trigger_event('init', 'system');
}
}
@@ -776,6 +830,10 @@ class ElggInstaller {
$CONFIG->wwwroot = $this->getBaseUrl();
$CONFIG->url = $CONFIG->wwwroot;
$CONFIG->path = dirname(dirname(__FILE__)) . '/';
+ $CONFIG->viewpath = $CONFIG->path . 'views/';
+ $CONFIG->pluginspath = $CONFIG->path . 'mod/';
+ $CONFIG->context = array();
+ $CONFIG->entity_types = array('group', 'object', 'site', 'user');
}
/**
@@ -1014,6 +1072,13 @@ class ElggInstaller {
'message' => elgg_echo("install:check:php:register_globals")
);
}
+
+ if (ini_get('session.auto_start')) {
+ $phpReport[] = array(
+ 'severity' => 'failure',
+ 'message' => elgg_echo("install:check:php:session.auto_start")
+ );
+ }
}
/**
@@ -1026,10 +1091,8 @@ class ElggInstaller {
protected function checkRewriteRules(&$report) {
global $CONFIG;
- require_once(dirname(__FILE__) . "/ElggRewriteTester.php");
-
$tester = new ElggRewriteTester();
- $url = elgg_get_site_url()."rewrite.php";
+ $url = elgg_get_site_url() . "rewrite.php";
$report['rewrite'] = array($tester->run($url, $CONFIG->path));
}
@@ -1085,11 +1148,21 @@ class ElggInstaller {
foreach ($formVars as $field => $info) {
if ($info['required'] == TRUE && !$submissionVars[$field]) {
$name = elgg_echo("install:database:label:$field");
- register_error("$name is required");
+ register_error(elgg_echo('install:error:requiredfield', array($name)));
return FALSE;
}
}
+ // according to postgres documentation: SQL identifiers and key words must
+ // begin with a letter (a-z, but also letters with diacritical marks and
+ // non-Latin letters) or an underscore (_). Subsequent characters in an
+ // identifier or key word can be letters, underscores, digits (0-9), or dollar signs ($).
+ // Refs #4994
+ if (!preg_match("/^[a-zA-Z_][\w]*$/", $submissionVars['dbprefix'])) {
+ register_error(elgg_echo('install:error:database_prefix'));
+ return FALSE;
+ }
+
return $this->checkDatabaseSettings(
$submissionVars['dbuser'],
$submissionVars['dbpassword'],
@@ -1222,6 +1295,39 @@ class ElggInstaller {
*/
/**
+ * Create the data directory if requested
+ *
+ * @param array $submissionVars Submitted vars
+ * @param array $formVars Variables in the form
+ * @return bool
+ */
+ protected function createDataDirectory(&$submissionVars, $formVars) {
+ // did the user have option of Elgg creating the data directory
+ if ($formVars['dataroot']['type'] != 'combo') {
+ return TRUE;
+ }
+
+ // did the user select the option
+ if ($submissionVars['dataroot'] != 'dataroot-checkbox') {
+ return TRUE;
+ }
+
+ $dir = sanitise_filepath($submissionVars['path']) . 'data';
+ if (file_exists($dir) || mkdir($dir, 0700)) {
+ $submissionVars['dataroot'] = $dir;
+ if (!file_exists("$dir/.htaccess")) {
+ $htaccess = "Order Deny,Allow\nDeny from All\n";
+ if (!file_put_contents("$dir/.htaccess", $htaccess)) {
+ return FALSE;
+ }
+ }
+ return TRUE;
+ }
+
+ return FALSE;
+ }
+
+ /**
* Validate the site settings form variables
*
* @param array $submissionVars Submitted vars
@@ -1230,15 +1336,39 @@ class ElggInstaller {
* @return bool
*/
protected function validateSettingsVars($submissionVars, $formVars) {
+ global $CONFIG;
foreach ($formVars as $field => $info) {
- if ($info['required'] == TRUE && !$submissionVars[$field]) {
+ $submissionVars[$field] = trim($submissionVars[$field]);
+ if ($info['required'] == TRUE && $submissionVars[$field] === '') {
$name = elgg_echo("install:settings:label:$field");
register_error(elgg_echo('install:error:requiredfield', array($name)));
return FALSE;
}
}
+ // check that data root is absolute path
+ if (stripos(PHP_OS, 'win') === 0) {
+ if (strpos($submissionVars['dataroot'], ':') !== 1) {
+ $msg = elgg_echo('install:error:relative_path', array($submissionVars['dataroot']));
+ register_error($msg);
+ return FALSE;
+ }
+ } else {
+ if (strpos($submissionVars['dataroot'], '/') !== 0) {
+ $msg = elgg_echo('install:error:relative_path', array($submissionVars['dataroot']));
+ register_error($msg);
+ return FALSE;
+ }
+ }
+
+ // check that data root exists
+ if (!file_exists($submissionVars['dataroot'])) {
+ $msg = elgg_echo('install:error:datadirectoryexists', array($submissionVars['dataroot']));
+ register_error($msg);
+ return FALSE;
+ }
+
// check that data root is writable
if (!is_writable($submissionVars['dataroot'])) {
$msg = elgg_echo('install:error:writedatadirectory', array($submissionVars['dataroot']));
@@ -1246,11 +1376,13 @@ class ElggInstaller {
return FALSE;
}
- // check that data root is not subdirectory of Elgg root
- if (stripos($submissionVars['dataroot'], $submissionVars['path']) !== FALSE) {
- $msg = elgg_echo('install:error:locationdatadirectory', array($submissionVars['dataroot']));
- register_error($msg);
- return FALSE;
+ if (!isset($CONFIG->data_dir_override) || !$CONFIG->data_dir_override) {
+ // check that data root is not subdirectory of Elgg root
+ if (stripos($submissionVars['dataroot'], $submissionVars['path']) === 0) {
+ $msg = elgg_echo('install:error:locationdatadirectory', array($submissionVars['dataroot']));
+ register_error($msg);
+ return FALSE;
+ }
}
// check that email address is email address
@@ -1282,11 +1414,11 @@ class ElggInstaller {
$submissionVars['wwwroot'] = sanitise_filepath($submissionVars['wwwroot']);
$site = new ElggSite();
- $site->name = $submissionVars['sitename'];
- $site->url = $submissionVars['wwwroot'];
+ $site->name = strip_tags($submissionVars['sitename']);
+ $site->url = $submissionVars['wwwroot'];
$site->access_id = ACCESS_PUBLIC;
- $site->email = $submissionVars['siteemail'];
- $guid = $site->save();
+ $site->email = $submissionVars['siteemail'];
+ $guid = $site->save();
if (!$guid) {
register_error(elgg_echo('install:error:createsite'));
@@ -1302,20 +1434,22 @@ class ElggInstaller {
datalist_set('dataroot', $submissionVars['dataroot']);
datalist_set('default_site', $site->getGUID());
datalist_set('version', get_version());
+ datalist_set('simplecache_enabled', 1);
+ datalist_set('system_cache_enabled', 1);
+
+ // new installations have run all the upgrades
+ $upgrades = elgg_get_upgrade_files($submissionVars['path'] . 'engine/lib/upgrades/');
+ datalist_set('processed_upgrades', serialize($upgrades));
set_config('view', 'default', $site->getGUID());
- set_config('language', $submissionVars['language'], $site->getGUID());
+ set_config('language', 'en', $site->getGUID());
set_config('default_access', $submissionVars['siteaccess'], $site->getGUID());
set_config('allow_registration', TRUE, $site->getGUID());
set_config('walled_garden', FALSE, $site->getGUID());
+ set_config('allow_user_default_access', '', $site->getGUID());
$this->enablePlugins();
- // reset the views path in case of installing over an old data dir.
- $dataroot = datalist_get('dataroot');
- $cache = new ElggFileCache($dataroot);
- $cache->delete('view_paths');
-
return TRUE;
}
@@ -1325,12 +1459,12 @@ class ElggInstaller {
* @return void
*/
protected function enablePlugins() {
- // activate plugins with manifest.xml: elgg_install_state = enabled
- $plugins = get_plugin_list();
+ elgg_generate_plugin_entities();
+ $plugins = elgg_get_plugins('any');
foreach ($plugins as $plugin) {
- if ($manifest = load_plugin_manifest($plugin)) {
- if (isset($manifest['elgg_install_state']) && $manifest['elgg_install_state'] == 'enabled') {
- enable_plugin($plugin);
+ if ($plugin->getManifest()) {
+ if ($plugin->getManifest()->getActivateOnInstall()) {
+ $plugin->activate();
}
}
}
@@ -1395,29 +1529,36 @@ class ElggInstaller {
protected function createAdminAccount($submissionVars, $login = FALSE) {
global $CONFIG;
- $guid = register_user(
- $submissionVars['username'],
- $submissionVars['password1'],
- $submissionVars['displayname'],
- $submissionVars['email']
- );
+ try {
+ $guid = register_user(
+ $submissionVars['username'],
+ $submissionVars['password1'],
+ $submissionVars['displayname'],
+ $submissionVars['email']
+ );
+ } catch (Exception $e) {
+ register_error($e->getMessage());
+ return false;
+ }
if (!$guid) {
register_error(elgg_echo('install:admin:cannot_create'));
- return FALSE;
+ return false;
}
$user = get_entity($guid);
if (!$user) {
register_error(elgg_echo('install:error:loadadmin'));
- return FALSE;
+ return false;
}
elgg_set_ignore_access(TRUE);
if ($user->makeAdmin() == FALSE) {
register_error(elgg_echo('install:error:adminaccess'));
+ } else {
+ datalist_set('admin_registered', 1);
}
- elgg_set_ignore_access(FALSE);
+ elgg_set_ignore_access(false);
// add validation data to satisfy user validation plugins
create_metadata($guid, 'validated', TRUE, '', 0, ACCESS_PUBLIC);
@@ -1431,19 +1572,4 @@ class ElggInstaller {
return TRUE;
}
-
- /**
- * Init globals because engine loaded within a function
- *
- * @return void
- */
- protected function initGlobals() {
- global $DB_QUERY_CACHE, $DB_DELAYED_QUERIES;
- $DB_QUERY_CACHE = array();
- $DB_DELAYED_QUERIES = array();
-
- global $METASTRINGS_CACHE, $METASTRINGS_DEADNAME_CACHE;
- $METASTRINGS_CACHE = array();
- $METASTRINGS_DEADNAME_CACHE = array();
- }
}
diff --git a/install/ElggRewriteTester.php b/install/ElggRewriteTester.php
index 64785da1a..ab68da2b7 100644
--- a/install/ElggRewriteTester.php
+++ b/install/ElggRewriteTester.php
@@ -30,7 +30,7 @@ class ElggRewriteTester {
*/
public function run($url, $path) {
- $this->guessWebServer();
+ $this->webserver = ElggRewriteTester::guessWebServer();
$this->rewriteTestPassed = $this->runRewriteTest($url);
@@ -48,17 +48,17 @@ class ElggRewriteTester {
/**
* Guess the web server from $_SERVER['SERVER_SOFTWARE']
*
- * @return void
+ * @return string
*/
- protected function guessWebServer() {
+ public static function guessWebServer() {
$serverString = strtolower($_SERVER['SERVER_SOFTWARE']);
$possibleServers = array('apache', 'nginx', 'lighttpd', 'iis');
foreach ($possibleServers as $server) {
if (strpos($serverString, $server) !== FALSE) {
- $this->webserver = $server;
- return;
+ return $server;
}
}
+ return 'unknown';
}
/**
@@ -78,6 +78,7 @@ class ElggRewriteTester {
curl_setopt($ch, CURLOPT_URL, $url);
curl_setopt($ch, CURLOPT_RETURNTRANSFER, true);
curl_setopt($ch, CURLOPT_TIMEOUT, 10);
+ curl_setopt($ch, CURLOPT_SSL_VERIFYPEER, false);
$response = curl_exec($ch);
curl_close($ch);
return $response === 'success';
@@ -153,6 +154,8 @@ class ElggRewriteTester {
if ($this->serverSupportsRemoteRead == FALSE) {
$msg = elgg_echo('install:warning:rewrite:unknown', array($url));
+ $msg .= elgg_view('install/js_rewrite_check', array('url' => $url));
+
return array(
'severity' => 'warning',
'message' => $msg,
@@ -164,6 +167,8 @@ class ElggRewriteTester {
$msg = "$serverString\n\n";
if (!isset($this->htaccessIssue)) {
$msg .= elgg_echo('install:error:rewrite:allowoverride');
+ $msg .= elgg_view('install/js_rewrite_check', array('url' => $url));
+
return array(
'severity' => 'failure',
'message' => $msg,
diff --git a/install/cli/sample_installer.php b/install/cli/sample_installer.php
index 10838b562..a51f9aae4 100644
--- a/install/cli/sample_installer.php
+++ b/install/cli/sample_installer.php
@@ -1,12 +1,13 @@
<?php
+
/**
* Sample cli installer script
*/
-require_once(dirname(dirname(__FILE__)) . "/ElggInstaller.php");
-
-$installer = new ElggInstaller();
+// change to true to run this script. Change back to false when done.
+$enabled = false;
+// none of the following may be empty
$params = array(
// database parameters
'dbuser' => '',
@@ -15,6 +16,7 @@ $params = array(
// site settings
'sitename' => '',
+ 'siteemail' => '',
'wwwroot' => '',
'dataroot' => '',
@@ -25,5 +27,41 @@ $params = array(
'password' => '',
);
+
+// Do not edit below this line. //////////////////////////////
+
+
+if (!$enabled) {
+ echo "To enable this script, change \$enabled to true.\n";
+ echo "You *must* disable this script after a successful installation.\n";
+ exit;
+}
+
+if (PHP_SAPI !== 'cli') {
+ echo "You must use the command line to run this script.";
+ exit;
+}
+
+require_once(dirname(dirname(__FILE__)) . "/ElggInstaller.php");
+
+$installer = new ElggInstaller();
+
// install and create the .htaccess file
$installer->batchInstall($params, TRUE);
+
+// at this point installation has completed (otherwise an exception halted execution).
+// try to rewrite the script to disable it.
+if (is_writable(__FILE__)) {
+ $code = file_get_contents(__FILE__);
+ if (preg_match('~\\$enabled\\s*=\\s*(true|1)\\s*;~i', $code)) {
+ // looks safe to rewrite
+ $code = preg_replace('~\\$enabled\\s*=\\s*(true|1)\\s*;~i', '$enabled = false;', $code);
+ file_put_contents(__FILE__, $code);
+
+ echo "\nNote: This script has been disabled for your safety.\n";
+ exit;
+ }
+}
+
+echo "\nWarning: You *must* disable this script by setting \$enabled = false;.\n";
+echo "Leaving this script enabled could endanger your installation.\n";
diff --git a/install/css/install.css b/install/css/install.css
index fede97bef..e7290c130 100644
--- a/install/css/install.css
+++ b/install/css/install.css
@@ -62,37 +62,44 @@ ul {
list-style: none;
}
-.clearfloat {
- clear:both;
- height:0;
- font-size: 1px;
- line-height: 0px;
-}
-
-#elgg_wrapper {
- background: white;
- width: 800px;
+.elgg-page {
+ width: 880px;
margin: auto;
- padding: 5px 40px;
margin-top: 20px;
+}
+.elgg-page-header {
border-right: 1px solid #666666;
+ padding: 15px 50px 10px;
+ background: white;
+}
+.elgg-page-body {
border-bottom: 1px solid #666666;
+ border-right: 1px solid #666666;
+ padding: 0 40px 5px;
+ background: white;
}
-#elgg_header {
- margin: 10px 10px;
+
+.elgg-page-body:after,
+.elgg-page-header:after {
+ display: block;
+ content: '.';
+ line-height: 0;
+ height: 0;
+ visibility: hidden;
+ clear: both;
}
-#elgg_sidebar {
+
+.elgg-sidebar {
float: left;
width: 250px;
}
-#elgg_content {
- float: left;
- width: 550px;
+.elgg-body {
+ overflow: hidden;
min-height: 320px;
- padding-bottom: 60px;
+ padding-bottom: 10px;
position: relative;
}
-#elgg_footer {
+.elgg-page-footer {
width: 800px;
height: 20px;
clear: both;
@@ -101,28 +108,28 @@ ul {
margin-bottom: 40px;
}
-.install_nav {
+.elgg-install-nav {
width: 100%;
text-align: right;
position: absolute;
bottom: 0px;
}
-#elgg_footer a {
+.elgg-page-footer a {
color: white;
}
-#elgg_footer li {
+.elgg-page-footer li {
float: left;
list-style: none;
margin-right: 20px;
}
-#elgg_sidebar ol {
+.elgg-sidebar ol {
padding-left: 30px;
}
-#elgg_sidebar li {
+.elgg-sidebar li {
font-size: 1.2em;
margin-bottom: 5px;
}
@@ -142,105 +149,121 @@ h3 {
margin: 15px 0 5px;
}
+form > div {
+ margin-bottom: 15px;
+}
label {
font-weight: bold;
- color:#333333;
+ color: #333333;
font-size: 140%;
}
+.elgg-combo-label {
+ font-size: 120%;
+}
input[type="text"],
input[type="password"] {
font: 120% Arial, Helvetica, sans-serif;
padding: 5px;
border: 1px solid #cccccc;
- color:#666666;
- width:566px;
+ color: #666666;
+ width: 96%;
}
-.database_settings input[type="text"],
-.database_settings input[type="password"] {
- width:220px;
+.database-settings input[type="text"],
+.database-settings input[type="password"] {
+ width: 220px;
}
-textarea {
- width: 100%;
- height: 100%;
- font: 120% Arial, Helvetica, sans-serif;
- border: solid 1px #cccccc;
- padding: 5px;
- color:#666666;
-}
-textarea:focus, input[type="password"]:focus, input[type="text"]:focus {
+input[type="password"]:focus, input[type="text"]:focus {
border: solid 1px #4690d6;
background: #e4ecf5;
- color:#333333;
+ color: #333333;
}
input[type="submit"] {
font-family: Arial, Helvetica, sans-serif;
font-size: 16px;
font-weight: bold;
- color: #ffffff;
+ color: #fff;
background: #4690d6;
border: 4px solid #4690d6;
+
-webkit-border-radius: 4px;
-moz-border-radius: 4px;
+ border-radius: 4px;
+
width: auto;
height: 35px;
- padding: 2px 6px 2px 6px;
- margin: 10px 0 10px 0;
+ padding: 2px 6px;
+ margin: 10px 0;
cursor: pointer;
float: right;
}
-
input[type="submit"]:hover {
background: #0054a7;
border: 4px solid #0054a7;
}
-
select {
display: block;
padding: 5px;
}
-.install_nav a {
+.install-help {
+ font-size: 90%;
+}
+
+.elgg-require-database {
+ padding-bottom: 50px;
+}
+
+.elgg-install-nav a {
font-family: Arial, Helvetica, sans-serif;
font-size: 16px;
font-weight: bold;
color: #ffffff;
background: #4690d6;
border: 4px solid #4690d6;
+
-webkit-border-radius: 4px;
-moz-border-radius: 4px;
- padding: 2px 9px 2px 9px;
+ border-radius: 4px;
+
+ padding: 2px 9px;
margin: 10px;
cursor: pointer;
float: right;
}
-.install_nav a:hover {
+.elgg-install-nav a:hover {
text-decoration: none;
background: #0054a7;
border: 4px solid #0054a7;
}
-.install_nav .disabled, .install_nav .disabled:hover {
+.elgg-install-nav .elgg-state-disabled,
+.elgg-install-nav .elgg-state-disabled:hover {
background: #555555;
border-color: #555555;
cursor: default;
}
-#elgg_system_message {
+.elgg-system-messages li {
padding: 3px 10px 3px 10px;
margin-bottom: 20px;
}
-.success {
+.elgg-state-success {
border: 1px solid #00cc00;
background: #ccffcc;
}
-.error {
+.elgg-state-error {
border: 1px solid #D3322A;
background: #F7DAD8;
}
-#elgg_content li {
+.elgg-state-warning {
+ border: 1px solid #ded0a9;
+ background: #FEF5AA;
+}
+
+.elgg-body li {
margin-top: 5px;
padding: 5px;
}
diff --git a/install/js/install.js b/install/js/install.js
new file mode 100644
index 000000000..37e5b0dc3
--- /dev/null
+++ b/install/js/install.js
@@ -0,0 +1,42 @@
+
+$(function() {
+ // prevent double-submission of forms
+ $('form').submit(function() {
+ if ($(this).data('submitted')) {
+ return false;
+ }
+ $(this).data('submitted', true);
+ return true;
+ });
+
+ // toggle the disable attribute of text box based on checkbox
+ $('.elgg-combo-checkbox').click(function() {
+ if ($(this).is(':checked')) {
+ $(this).prev().attr('disabled', true);
+ $(this).prev().val('');
+ } else {
+ $(this).prev().attr('disabled', false);
+ }
+ });
+});
+
+elgg = {
+ installer: {}
+};
+
+/**
+ * Check the rewrite address for "success" and then allows the installation to proceed.
+ */
+elgg.installer.rewriteTest = function(url, success_msg, nextURL) {
+ $.ajax(url, {
+ success: function(data, status, xhr) {
+ if (data == 'success') {
+ $('.elgg-require-rewrite li').attr('class', 'pass');
+ $('.elgg-require-rewrite li').html('<p>' + success_msg + '</p>');
+ $('.elgg-install-nav a.elgg-state-disabled')
+ .removeClass('elgg-state-disabled')
+ .attr('href', nextURL);
+ }
+ }
+ });
+}
diff --git a/install/languages/en.php b/install/languages/en.php
index ed42aa685..531379b1e 100644
--- a/install/languages/en.php
+++ b/install/languages/en.php
@@ -15,6 +15,9 @@ $english = array(
'install:admin' => 'Create admin account',
'install:complete' => 'Finished',
+ 'install:next' => 'Next',
+ 'install:refresh' => 'Refresh',
+
'install:welcome:instructions' => "Installing Elgg has 6 simple steps and reading this welcome is the first one!
If you haven't already, read through the installation instructions included with Elgg (or click the instructions link at the bottom of the page).
@@ -42,6 +45,7 @@ If you are ready to proceed, click the Next button.",
'install:check:php:safe_mode' => 'Running PHP in safe mode is not recommened and may cause problems with Elgg.',
'install:check:php:arg_separator' => 'arg_separator.output must be & for Elgg to work and your server\'s value is %s',
'install:check:php:register_globals' => 'Register globals must be turned off.',
+ 'install:check:php:session.auto_start' => "session.auto_start must be off for Elgg to work. Either change the configuration of your server or add this directive to Elgg's .htaccess file.",
'install:check:enginedir' => 'Your web server does not have permission to create the settings.php file in the engine directory. You have two choices:
@@ -63,13 +67,13 @@ If you are ready to proceed, click the Next button.",
'install:database:label:dbhost' => 'Database Host',
'install:database:label:dbprefix' => 'Database Table Prefix',
- 'install:database:help:dbuser' => 'User that has full priviledges to the MySQL database that you created for Elgg',
+ 'install:database:help:dbuser' => 'User that has full privileges to the MySQL database that you created for Elgg',
'install:database:help:dbpassword' => 'Password for the above database user account',
'install:database:help:dbname' => 'Name of the Elgg database',
'install:database:help:dbhost' => 'Hostname of the MySQL server (usually localhost)',
'install:database:help:dbprefix' => "The prefix given to all of Elgg's tables (usually elgg_)",
- 'install:settings:instructions' => "We need some information about the site as we configure Elgg. If you haven't created a data directory for Elgg, please do so before completing this step.",
+ 'install:settings:instructions' => 'We need some information about the site as we configure Elgg. If you haven\'t <a href="http://docs.elgg.org/wiki/Data_directory" target="_blank">created a data directory</a> for Elgg, you need to do so now.',
'install:settings:label:sitename' => 'Site Name',
'install:settings:label:siteemail' => 'Site Email Address',
@@ -78,12 +82,14 @@ If you are ready to proceed, click the Next button.",
'install:settings:label:dataroot' => 'Data Directory',
'install:settings:label:language' => 'Site Language',
'install:settings:label:siteaccess' => 'Default Site Access',
+ 'install:label:combo:dataroot' => 'Elgg creates data directory',
'install:settings:help:sitename' => 'The name of your new Elgg site',
'install:settings:help:siteemail' => 'Email address used by Elgg for communication with users',
'install:settings:help:wwwroot' => 'The address of the site (Elgg usually guesses this correctly)',
'install:settings:help:path' => 'The directory where you put the Elgg code (Elgg usually guesses this correctly)',
- 'install:settings:help:dataroot' => 'The directory that you created for Elgg to save files (the permissions on this directory are checked when you click Next)',
+ 'install:settings:help:dataroot' => 'The directory that you created for Elgg to save files (the permissions on this directory are checked when you click Next). It must be an absolute path.',
+ 'install:settings:help:dataroot:apache' => 'You have the option of Elgg creating the data directory or entering the directory that you already created for storing user files (the permissions on this directory are checked when you click Next)',
'install:settings:help:language' => 'The default language for the site',
'install:settings:help:siteaccess' => 'The default access level for new user created content',
@@ -118,6 +124,7 @@ If you are ready to proceed, click the Next button.",
'install:error:htaccess' => 'Unable to create an .htaccess',
'install:error:settings' => 'Unable to create the settings file',
'install:error:databasesettings' => 'Unable to connect to the database with these settings.',
+ 'install:error:database_prefix' => 'Invalid characters in database prefix',
'install:error:oldmysql' => 'MySQL must be version 5.0 or above. Your server is using %s.',
'install:error:nodatabase' => 'Unable to use database %s. It may not exist.',
'install:error:cannotloadtables' => 'Cannot load the database tables',
@@ -125,6 +132,8 @@ If you are ready to proceed, click the Next button.",
'install:error:readsettingsphp' => 'Unable to read engine/settings.example.php',
'install:error:writesettingphp' => 'Unable to write engine/settings.php',
'install:error:requiredfield' => '%s is required',
+ 'install:error:relative_path' => 'We don\'t think "%s" is an absolute path for your data directory',
+ 'install:error:datadirectoryexists' => 'Your data directory %s does not exist.',
'install:error:writedatadirectory' => 'Your data directory %s is not writable by the web server.',
'install:error:locationdatadirectory' => 'Your data directory %s must be outside of your install path for security.',
'install:error:emailaddress' => '%s is not a valid email address',
@@ -146,7 +155,7 @@ If you are ready to proceed, click the Next button.",
'install:error:rewrite:htaccess:cannot_copy' => 'A unknown error occurred while creating the .htaccess file. You need to manually copy htaccess_dist to .htaccess in Elgg\'s directory.',
'install:error:rewrite:altserver' => 'The rewrite rules test failed. You need to configure your web server with Elgg\'s rewrite rules and try again.',
'install:error:rewrite:unknown' => 'Oof. We couldn\'t figure out what kind of web server is running on your server and it failed the rewrite rules. We cannot offer any specific advice. Please check the troubleshooting link.',
- 'install:warning:rewrite:unknown' => 'Your server does not support automatic testing of the rewrite rules. You can continue the installation, but you may experience problems with your site. You can manually test the rewrite rules by clicking this link: <a href="%s" target="_blank">test</a>. You will see the word success if the rules are working.',
+ 'install:warning:rewrite:unknown' => 'Your server does not support automatic testing of the rewrite rules and your browser does not support checking via JavaScript. You can continue the installation, but you may experience problems with your site. You can manually test the rewrite rules by clicking this link: <a href="%s" target="_blank">test</a>. You will see the word success if the rules are working.',
);
add_translation("en", $english);
diff --git a/js/classes/ElggPriorityList.js b/js/classes/ElggPriorityList.js
index 7b5d16473..b4cec5044 100644
--- a/js/classes/ElggPriorityList.js
+++ b/js/classes/ElggPriorityList.js
@@ -1,5 +1,6 @@
/**
- *
+ * Priority lists allow you to create an indexed list that can be iterated through in a specific
+ * order.
*/
elgg.ElggPriorityList = function() {
this.length = 0;
@@ -7,10 +8,18 @@ elgg.ElggPriorityList = function() {
};
/**
+ * Inserts an element into the priority list at the priority specified.
*
+ * @param {Object} obj The object to insert
+ * @param {Number} opt_priority An optional priority to insert at.
+ *
+ * @return {Void}
*/
elgg.ElggPriorityList.prototype.insert = function(obj, opt_priority) {
- var priority = parseInt(opt_priority || 500, 10);
+ var priority = 500;
+ if (arguments.length == 2 && opt_priority != undefined) {
+ priority = parseInt(opt_priority, 10);
+ }
priority = Math.max(priority, 0);
@@ -23,7 +32,13 @@ elgg.ElggPriorityList.prototype.insert = function(obj, opt_priority) {
};
/**
+ * Iterates through each element in order.
*
+ * Unlike every, this ignores the return value of the callback.
+ *
+ * @param {Function} callback The callback function to pass each element through. See
+ * Array.prototype.every() for details.
+ * @return {Object}
*/
elgg.ElggPriorityList.prototype.forEach = function(callback) {
elgg.assertTypeOf('function', callback);
@@ -40,7 +55,13 @@ elgg.ElggPriorityList.prototype.forEach = function(callback) {
};
/**
+ * Iterates through each element in order.
+ *
+ * Unlike forEach, this returns the value of the callback and will break on false.
*
+ * @param {Function} callback The callback function to pass each element through. See
+ * Array.prototype.every() for details.
+ * @return {Object}
*/
elgg.ElggPriorityList.prototype.every = function(callback) {
elgg.assertTypeOf('function', callback);
@@ -55,7 +76,10 @@ elgg.ElggPriorityList.prototype.every = function(callback) {
};
/**
+ * Removes an element from the priority list
*
+ * @param {Object} obj The object to remove.
+ * @return {Void}
*/
elgg.ElggPriorityList.prototype.remove = function(obj) {
this.priorities_.forEach(function(elems) {
diff --git a/js/classes/ElggUser.js b/js/classes/ElggUser.js
index 8a7a8b7eb..b8a976fba 100644
--- a/js/classes/ElggUser.js
+++ b/js/classes/ElggUser.js
@@ -6,9 +6,23 @@
* @class Represents an ElggUser
* @property {string} name
* @property {string} username
+ * @property {string} language
+ * @property {boolean} admin
*/
elgg.ElggUser = function(o) {
elgg.ElggEntity.call(this, o);
};
-elgg.inherit(elgg.ElggUser, elgg.ElggEntity); \ No newline at end of file
+elgg.inherit(elgg.ElggUser, elgg.ElggEntity);
+
+/**
+ * Is this user an admin?
+ *
+ * @warning The admin state of the user should be checked on the server for any
+ * actions taken that require admin privileges.
+ *
+ * @return {boolean}
+ */
+elgg.ElggUser.prototype.isAdmin = function() {
+ return this.admin;
+}; \ No newline at end of file
diff --git a/js/lib/ajax.js b/js/lib/ajax.js
index 447d0c634..b3f39cc42 100644
--- a/js/lib/ajax.js
+++ b/js/lib/ajax.js
@@ -1,231 +1,242 @@
-/*globals elgg, $*/
-elgg.provide('elgg.ajax');
-
-/**
- * @author Evan Winslow
- * Provides a bunch of useful shortcut functions for making ajax calls
- */
-
-/**
- * Wrapper function for jQuery.ajax which ensures that the url being called
- * is relative to the elgg site root.
- *
- * You would most likely use elgg.get or elgg.post, rather than this function
- *
- * @param {string} url Optionally specify the url as the first argument
- * @param {Object} options Optional. {@see jQuery#ajax}
- * @return {XmlHttpRequest}
- */
-elgg.ajax = function(url, options) {
- options = elgg.ajax.handleOptions(url, options);
-
- options.url = elgg.normalize_url(options.url);
- return $.ajax(options);
-};
-/**
- * @const
- */
-elgg.ajax.SUCCESS = 0;
-
-/**
- * @const
- */
-elgg.ajax.ERROR = -1;
-
-/**
- * Handle optional arguments and return the resulting options object
- *
- * @param url
- * @param options
- * @return {Object}
- * @private
- */
-elgg.ajax.handleOptions = function(url, options) {
- var data_only = true,
- data,
- member;
-
- //elgg.ajax('example/file.php', {...});
- if (elgg.isString(url)) {
- options = options || {};
-
- //elgg.ajax({...});
- } else {
- options = url || {};
- url = options.url;
- }
-
- //elgg.ajax('example/file.php', function() {...});
- if (elgg.isFunction(options)) {
- data_only = false;
- options = {success: options};
- }
-
- //elgg.ajax('example/file.php', {data:{...}});
- if (options.data) {
- data_only = false;
- } else {
- for (member in options) {
- //elgg.ajax('example/file.php', {callback:function(){...}});
- if (elgg.isFunction(options[member])) {
- data_only = false;
- }
- }
- }
-
- //elgg.ajax('example/file.php', {notdata:notfunc});
- if (data_only) {
- data = options;
- options = {data: data};
- }
-
- if (url) {
- options.url = url;
- }
-
- return options;
-};
-
-/**
- * Wrapper function for elgg.ajax which forces the request type to 'get.'
- *
- * @param {string} url Optionally specify the url as the first argument
- * @param {Object} options {@see jQuery#ajax}
- * @return {XmlHttpRequest}
- */
-elgg.get = function(url, options) {
- options = elgg.ajax.handleOptions(url, options);
-
- options.type = 'get';
- return elgg.ajax(options);
-};
-
-/**
- * Wrapper function for elgg.get which forces the dataType to 'json.'
- *
- * @param {string} url Optionally specify the url as the first argument
- * @param {Object} options {@see jQuery#ajax}
- * @return {XmlHttpRequest}
- */
-elgg.getJSON = function(url, options) {
- options = elgg.ajax.handleOptions(url, options);
-
- options.dataType = 'json';
- return elgg.get(options);
-};
-
-/**
- * Wrapper function for elgg.ajax which forces the request type to 'post.'
- *
- * @param {string} url Optionally specify the url as the first argument
- * @param {Object} options {@see jQuery#ajax}
- * @return {XmlHttpRequest}
- */
-elgg.post = function(url, options) {
- options = elgg.ajax.handleOptions(url, options);
-
- options.type = 'post';
- return elgg.ajax(options);
-};
-
-/**
- * Perform an action via ajax
- *
- * @example Usage 1:
- * At its simplest, only the action name is required (and anything more than the
- * action name will be invalid).
- * <pre>
- * elgg.action('name/of/action');
- * </pre>
- * Note that it will *not* love you if you specify the full url as the action
- * (i.e. elgg.yoursite.com/action/name/of/action), but why would you want to do
- * that anyway, when you can just specify the action name?
- *
- * @example Usage 2:
- * If you want to pass some data along with it, use the second parameter
- * <pre>
- * elgg.action('friend/add', { friend: some_guid });
- * </pre>
- *
- * @example Usage 3:
- * Of course, you will have no control over what happens when the request
- * completes if you do it like that, so there's also the most verbose method
- * <pre>
- * elgg.action('friend/add', {
- * data: {
- * friend: some_guid
- * },
- * success: function(json) {
- * //do something
- * },
- * }
- * </pre>
- * You can pass any of your favorite $.ajax arguments into this second parameter.
- *
- * @note If you intend to use the second field in the "verbose" way, you must
- * specify a callback method or the data parameter. If you do not, elgg.action
- * will think you mean to send the second parameter as data.
- *
- * @note You do not have to add security tokens to this request. Elgg does that
- * for you automatically.
- *
- * @see jQuery.ajax
- *
- * @param {String} action The action to call.
- * @param {Object} options
- * @return {XMLHttpRequest}
- */
-elgg.action = function(action, options) {
- elgg.assertTypeOf('string', action);
-
- options = elgg.ajax.handleOptions('action/' + action, options);
-
- options.data = elgg.security.addToken(options.data);
- options.dataType = 'json';
-
- //Always display system messages after actions
- var custom_success = options.success || elgg.nullFunction;
- options.success = function(json, two, three, four) {
- if (json.system_messages) {
- elgg.register_error(json.system_messages.errors);
- elgg.system_message(json.system_messages.messages);
- }
-
- custom_success(json, two, three, four);
- };
-
- return elgg.post(options);
-};
-
-/**
- * Make an API call
- *
- * @example Usage:
- * <pre>
- * elgg.api('system.api.list', {
- * success: function(data) {
- * console.log(data);
- * }
- * });
- * </pre>
- *
- * @param {String} method The API method to be called
- * @param {Object} options {@see jQuery#ajax}
- * @return {XmlHttpRequest}
- */
-elgg.api = function (method, options) {
- elgg.assertTypeOf('string', method);
-
- var defaults = {
- dataType: 'json',
- data: {}
- };
-
- options = elgg.ajax.handleOptions(method, options);
- options = $.extend(defaults, options);
-
- options.url = 'services/api/rest/' + options.dataType + '/';
- options.data.method = method;
-
- return elgg.ajax(options);
-};
+/*globals elgg, $*/
+elgg.provide('elgg.ajax');
+
+/**
+ * @author Evan Winslow
+ * Provides a bunch of useful shortcut functions for making ajax calls
+ */
+
+/**
+ * Wrapper function for jQuery.ajax which ensures that the url being called
+ * is relative to the elgg site root.
+ *
+ * You would most likely use elgg.get or elgg.post, rather than this function
+ *
+ * @param {string} url Optionally specify the url as the first argument
+ * @param {Object} options Optional. {@see jQuery#ajax}
+ * @return {XmlHttpRequest}
+ */
+elgg.ajax = function(url, options) {
+ options = elgg.ajax.handleOptions(url, options);
+
+ options.url = elgg.normalize_url(options.url);
+ return $.ajax(options);
+};
+/**
+ * @const
+ */
+elgg.ajax.SUCCESS = 0;
+
+/**
+ * @const
+ */
+elgg.ajax.ERROR = -1;
+
+/**
+ * Handle optional arguments and return the resulting options object
+ *
+ * @param url
+ * @param options
+ * @return {Object}
+ * @private
+ */
+elgg.ajax.handleOptions = function(url, options) {
+ var data_only = true,
+ data,
+ member;
+
+ //elgg.ajax('example/file.php', {...});
+ if (elgg.isString(url)) {
+ options = options || {};
+
+ //elgg.ajax({...});
+ } else {
+ options = url || {};
+ url = options.url;
+ }
+
+ //elgg.ajax('example/file.php', function() {...});
+ if (elgg.isFunction(options)) {
+ data_only = false;
+ options = {success: options};
+ }
+
+ //elgg.ajax('example/file.php', {data:{...}});
+ if (options.data) {
+ data_only = false;
+ } else {
+ for (member in options) {
+ //elgg.ajax('example/file.php', {callback:function(){...}});
+ if (elgg.isFunction(options[member])) {
+ data_only = false;
+ }
+ }
+ }
+
+ //elgg.ajax('example/file.php', {notdata:notfunc});
+ if (data_only) {
+ data = options;
+ options = {data: data};
+ }
+
+ if (url) {
+ options.url = url;
+ }
+
+ return options;
+};
+
+/**
+ * Wrapper function for elgg.ajax which forces the request type to 'get.'
+ *
+ * @param {string} url Optionally specify the url as the first argument
+ * @param {Object} options {@see jQuery#ajax}
+ * @return {XmlHttpRequest}
+ */
+elgg.get = function(url, options) {
+ options = elgg.ajax.handleOptions(url, options);
+
+ options.type = 'get';
+ return elgg.ajax(options);
+};
+
+/**
+ * Wrapper function for elgg.get which forces the dataType to 'json.'
+ *
+ * @param {string} url Optionally specify the url as the first argument
+ * @param {Object} options {@see jQuery#ajax}
+ * @return {XmlHttpRequest}
+ */
+elgg.getJSON = function(url, options) {
+ options = elgg.ajax.handleOptions(url, options);
+
+ options.dataType = 'json';
+ return elgg.get(options);
+};
+
+/**
+ * Wrapper function for elgg.ajax which forces the request type to 'post.'
+ *
+ * @param {string} url Optionally specify the url as the first argument
+ * @param {Object} options {@see jQuery#ajax}
+ * @return {XmlHttpRequest}
+ */
+elgg.post = function(url, options) {
+ options = elgg.ajax.handleOptions(url, options);
+
+ options.type = 'post';
+ return elgg.ajax(options);
+};
+
+/**
+ * Perform an action via ajax
+ *
+ * @example Usage 1:
+ * At its simplest, only the action name is required (and anything more than the
+ * action name will be invalid).
+ * <pre>
+ * elgg.action('name/of/action');
+ * </pre>
+ *
+ * The action can be relative to the current site ('name/of/action') or
+ * the full URL of the action ('http://elgg.org/action/name/of/action').
+ *
+ * @example Usage 2:
+ * If you want to pass some data along with it, use the second parameter
+ * <pre>
+ * elgg.action('friend/add', { friend: some_guid });
+ * </pre>
+ *
+ * @example Usage 3:
+ * Of course, you will have no control over what happens when the request
+ * completes if you do it like that, so there's also the most verbose method
+ * <pre>
+ * elgg.action('friend/add', {
+ * data: {
+ * friend: some_guid
+ * },
+ * success: function(json) {
+ * //do something
+ * },
+ * }
+ * </pre>
+ * You can pass any of your favorite $.ajax arguments into this second parameter.
+ *
+ * @note If you intend to use the second field in the "verbose" way, you must
+ * specify a callback method or the data parameter. If you do not, elgg.action
+ * will think you mean to send the second parameter as data.
+ *
+ * @note You do not have to add security tokens to this request. Elgg does that
+ * for you automatically.
+ *
+ * @see jQuery.ajax
+ *
+ * @param {String} action The action to call.
+ * @param {Object} options
+ * @return {XMLHttpRequest}
+ */
+elgg.action = function(action, options) {
+ elgg.assertTypeOf('string', action);
+
+ // support shortcut and full URLs
+ // this will mangle URLs that aren't elgg actions.
+ // Use post, get, or ajax for those.
+ if (action.indexOf('action/') < 0) {
+ action = 'action/' + action;
+ }
+
+ options = elgg.ajax.handleOptions(action, options);
+
+ // This is a misuse of elgg.security.addToken() because it is not always a
+ // full query string with a ?. As such we need a special check for the tokens.
+ if (!elgg.isString(options.data) || options.data.indexOf('__elgg_ts') == -1) {
+ options.data = elgg.security.addToken(options.data);
+ }
+ options.dataType = 'json';
+
+ //Always display system messages after actions
+ var custom_success = options.success || elgg.nullFunction;
+ options.success = function(json, two, three, four) {
+ if (json && json.system_messages) {
+ elgg.register_error(json.system_messages.error);
+ elgg.system_message(json.system_messages.success);
+ }
+
+ custom_success(json, two, three, four);
+ };
+
+ return elgg.post(options);
+};
+
+/**
+ * Make an API call
+ *
+ * @example Usage:
+ * <pre>
+ * elgg.api('system.api.list', {
+ * success: function(data) {
+ * console.log(data);
+ * }
+ * });
+ * </pre>
+ *
+ * @param {String} method The API method to be called
+ * @param {Object} options {@see jQuery#ajax}
+ * @return {XmlHttpRequest}
+ */
+elgg.api = function (method, options) {
+ elgg.assertTypeOf('string', method);
+
+ var defaults = {
+ dataType: 'json',
+ data: {}
+ };
+
+ options = elgg.ajax.handleOptions(method, options);
+ options = $.extend(defaults, options);
+
+ options.url = 'services/api/rest/' + options.dataType + '/';
+ options.data.method = method;
+
+ return elgg.ajax(options);
+};
diff --git a/js/lib/configuration.js b/js/lib/configuration.js
index 8ed326116..6e221c957 100644
--- a/js/lib/configuration.js
+++ b/js/lib/configuration.js
@@ -1,3 +1,10 @@
elgg.provide('elgg.config');
-elgg.config.wwwroot = '/'; \ No newline at end of file
+/**
+ * Returns the current site URL
+ *
+ * @return {String} The site URL.
+ */
+elgg.get_site_url = function() {
+ return elgg.config.wwwroot;
+}; \ No newline at end of file
diff --git a/js/lib/elgglib.js b/js/lib/elgglib.js
index bed2db39d..a8e187f1d 100644
--- a/js/lib/elgglib.js
+++ b/js/lib/elgglib.js
@@ -1,320 +1,563 @@
-/**
- * @namespace Singleton object for holding the Elgg javascript library
- */
-var elgg = elgg || {};
-
-/**
- * Pointer to the global context
- *
- * @see elgg.require
- * @see elgg.provide
- */
-elgg.global = this;
-
-/**
- * Convenience reference to an empty function.
- *
- * Save memory by not generating multiple empty functions.
- */
-elgg.nullFunction = function() {};
-
-/**
- * This forces an inheriting class to implement the method or
- * it will throw an error.
- *
- * @example
- * AbstractClass.prototype.toBeImplemented = elgg.abstractMethod;
- */
-elgg.abstractMethod = function() {
- throw new Error("Oops... you forgot to implement an abstract method!");
-};
-
-/**
- * Merges two or more objects together and returns the result.
- */
-elgg.extend = jQuery.extend;
-
-/**
- * Check if the value is an array.
- *
- * No sense in reinventing the wheel!
- *
- * @param {*} val
- *
- * @return boolean
- */
-elgg.isArray = jQuery.isArray;
-
-/**
- * Check if the value is a function.
- *
- * No sense in reinventing the wheel!
- *
- * @param {*} val
- *
- * @return boolean
- */
-elgg.isFunction = jQuery.isFunction;
-
-/**
- * Check if the value is a "plain" object (i.e., created by {} or new Object())
- *
- * No sense in reinventing the wheel!
- *
- * @param {*} val
- *
- * @return boolean
- */
-elgg.isPlainObject = jQuery.isPlainObject;
-
-/**
- * Check if the value is a string
- *
- * @param {*} val
- *
- * @return boolean
- */
-elgg.isString = function(val) {
- return typeof val === 'string';
-};
-
-/**
- * Check if the value is a number
- *
- * @param {*} val
- *
- * @return boolean
- */
-elgg.isNumber = function(val) {
- return typeof val === 'number';
-};
-
-/**
- * Check if the value is an object
- *
- * @note This returns true for functions and arrays! If you want to return true only
- * for "plain" objects (created using {} or new Object()) use elgg.isPlainObject.
- *
- * @param {*} val
- *
- * @return boolean
- */
-elgg.isObject = function(val) {
- return typeof val === 'object';
-};
-
-/**
- * Check if the value is undefined
- *
- * @param {*} val
- *
- * @return boolean
- */
-elgg.isUndefined = function(val) {
- return val === undefined;
-};
-
-/**
- * Check if the value is null
- *
- * @param {*} val
- *
- * @return boolean
- */
-elgg.isNull = function(val) {
- return val === null;
-};
-
-/**
- * Check if the value is either null or undefined
- *
- * @param {*} val
- *
- * @return boolean
- */
-elgg.isNullOrUndefined = function(val) {
- return val == null;
-};
-
-/**
- * Throw an exception of the type doesn't match
- *
- * @todo Might be more appropriate for debug mode only?
- */
-elgg.assertTypeOf = function(type, val) {
- if (typeof val !== type) {
- throw new TypeError("Expecting param of " +
- arguments.caller + "to be a(n) " + type + "." +
- " Was actually a(n) " + typeof val + ".");
- }
-};
-
-/**
- * Throw an error if the required package isn't present
- *
- * @param {String} pkg The required package (e.g., 'elgg.package')
- */
-elgg.require = function(pkg) {
- elgg.assertTypeOf('string', pkg);
-
- var parts = pkg.split('.'),
- cur = elgg.global,
- part, i;
-
- for (i = 0; i < parts.length; i += 1) {
- part = parts[i];
- cur = cur[part];
- if (elgg.isUndefined(cur)) {
- throw new Error("Missing package: " + pkg);
- }
- }
-};
-
-/**
- * Generate the skeleton for a package.
- *
- * <pre>
- * elgg.provide('elgg.package.subpackage');
- * </pre>
- *
- * is equivalent to
- *
- * <pre>
- * elgg = elgg || {};
- * elgg.package = elgg.package || {};
- * elgg.package.subpackage = elgg.package.subpackage || {};
- * </pre>
- *
- * @example elgg.provide('elgg.config.translations')
- *
- * @param {string} pkg The package name.
- */
-elgg.provide = function(pkg, opt_context) {
- elgg.assertTypeOf('string', pkg);
-
- var parts = pkg.split('.'),
- context = opt_context || elgg.global,
- part, i;
-
-
- for (i = 0; i < parts.length; i += 1) {
- part = parts[i];
- context[part] = context[part] || {};
- context = context[part];
- }
-};
-
-/**
- * Inherit the prototype methods from one constructor into another.
- *
- * @example
- * <pre>
- * function ParentClass(a, b) { }
- *
- * ParentClass.prototype.foo = function(a) { alert(a); }
- *
- * function ChildClass(a, b, c) {
- * //equivalent of parent::__construct(a, b); in PHP
- * ParentClass.call(this, a, b);
- * }
- *
- * elgg.inherit(ChildClass, ParentClass);
- *
- * var child = new ChildClass('a', 'b', 'see');
- * child.foo('boo!'); // alert('boo!');
- * </pre>
- *
- * @param {Function} childCtor Child class.
- * @param {Function} parentCtor Parent class.
- */
-elgg.inherit = function(Child, Parent) {
- Child.prototype = new Parent();
- Child.prototype.constructor = Child;
-};
-
-/**
- * Prepend elgg.config.wwwroot to a url if the url doesn't already have it.
- *
- * @param {String} url The url to extend
- * @return {String} The extended url
- * @private
- */
-elgg.normalize_url = function(url) {
- url = url || '';
- elgg.assertTypeOf('string', url);
-
- // jslint complains if you use /regexp/ shorthand here... ?!?!
- if ((new RegExp("^(https?:)?//")).test(url)) {
- return url;
- }
-
- return elgg.config.wwwroot + url.ltrim('/');
-};
-
-/**
- * Displays system messages via javascript rather than php.
- *
- * @param {String} msgs The message we want to display
- * @param {Number} delay The amount of time to display the message in milliseconds. Defaults to 6 seconds.
- * @param {String} type The type of message (typically 'error' or 'message')
- * @private
- */
-elgg.system_messages = function(msgs, delay, type) {
- if (elgg.isUndefined(msgs)) {
- return;
- }
-
- var classes = ['elgg_system_message', 'radius8'],
- messages_html = [],
- appendMessage = function(msg) {
- messages_html.push('<div class="' + classes.join(' ') + '"><p>' + msg + '</p></div>');
- }, i;
-
- //validate delay. Must be a positive integer.
- delay = parseInt(delay || 6000, 10);
- if (isNaN(delay) || delay <= 0) {
- delay = 6000;
- }
-
- if (type === 'error') {
- classes.push('messages_error');
- }
-
- //Handle non-arrays
- if (!elgg.isArray(msgs)) {
- msgs = [msgs];
- }
-
- msgs.forEach(appendMessage);
-
- $(messages_html.join('')).appendTo('#elgg_system_messages')
- .animate({opacity: '1.0'}, delay).fadeOut('slow');
-};
-
-/**
- * Wrapper function for system_messages. Specifies "messages" as the type of message
- * @param {String} msg The message to display
- * @param {Number} delay How long to display the message (milliseconds)
- */
-elgg.system_message = function(msgs, delay) {
- elgg.system_messages(msgs, delay, "message");
-};
-
-/**
- * Wrapper function for system_messages. Specifies "errors" as the type of message
- * @param {String} error The error message to display
- * @param {Number} delay How long to dispaly the error message (milliseconds)
- */
-elgg.register_error = function(errors, delay) {
- elgg.system_messages(errors, delay, "error");
-};
-
-/**
- * Meant to mimic the php forward() function by simply redirecting the
- * user to another page.
- *
- * @param {String} url The url to forward to
- */
-elgg.forward = function(url) {
- location.href = elgg.normalize_url(url);
-}; \ No newline at end of file
+/**
+ * @namespace Singleton object for holding the Elgg javascript library
+ */
+var elgg = elgg || {};
+
+/**
+ * Pointer to the global context
+ *
+ * @see elgg.require
+ * @see elgg.provide
+ */
+elgg.global = this;
+
+/**
+ * Convenience reference to an empty function.
+ *
+ * Save memory by not generating multiple empty functions.
+ */
+elgg.nullFunction = function() {};
+
+/**
+ * This forces an inheriting class to implement the method or
+ * it will throw an error.
+ *
+ * @example
+ * AbstractClass.prototype.toBeImplemented = elgg.abstractMethod;
+ */
+elgg.abstractMethod = function() {
+ throw new Error("Oops... you forgot to implement an abstract method!");
+};
+
+/**
+ * Merges two or more objects together and returns the result.
+ */
+elgg.extend = jQuery.extend;
+
+/**
+ * Check if the value is an array.
+ *
+ * No sense in reinventing the wheel!
+ *
+ * @param {*} val
+ *
+ * @return boolean
+ */
+elgg.isArray = jQuery.isArray;
+
+/**
+ * Check if the value is a function.
+ *
+ * No sense in reinventing the wheel!
+ *
+ * @param {*} val
+ *
+ * @return boolean
+ */
+elgg.isFunction = jQuery.isFunction;
+
+/**
+ * Check if the value is a "plain" object (i.e., created by {} or new Object())
+ *
+ * No sense in reinventing the wheel!
+ *
+ * @param {*} val
+ *
+ * @return boolean
+ */
+elgg.isPlainObject = jQuery.isPlainObject;
+
+/**
+ * Check if the value is a string
+ *
+ * @param {*} val
+ *
+ * @return boolean
+ */
+elgg.isString = function(val) {
+ return typeof val === 'string';
+};
+
+/**
+ * Check if the value is a number
+ *
+ * @param {*} val
+ *
+ * @return boolean
+ */
+elgg.isNumber = function(val) {
+ return typeof val === 'number';
+};
+
+/**
+ * Check if the value is an object
+ *
+ * @note This returns true for functions and arrays! If you want to return true only
+ * for "plain" objects (created using {} or new Object()) use elgg.isPlainObject.
+ *
+ * @param {*} val
+ *
+ * @return boolean
+ */
+elgg.isObject = function(val) {
+ return typeof val === 'object';
+};
+
+/**
+ * Check if the value is undefined
+ *
+ * @param {*} val
+ *
+ * @return boolean
+ */
+elgg.isUndefined = function(val) {
+ return val === undefined;
+};
+
+/**
+ * Check if the value is null
+ *
+ * @param {*} val
+ *
+ * @return boolean
+ */
+elgg.isNull = function(val) {
+ return val === null;
+};
+
+/**
+ * Check if the value is either null or undefined
+ *
+ * @param {*} val
+ *
+ * @return boolean
+ */
+elgg.isNullOrUndefined = function(val) {
+ return val == null;
+};
+
+/**
+ * Throw an exception of the type doesn't match
+ *
+ * @todo Might be more appropriate for debug mode only?
+ */
+elgg.assertTypeOf = function(type, val) {
+ if (typeof val !== type) {
+ throw new TypeError("Expecting param of " +
+ arguments.caller + "to be a(n) " + type + "." +
+ " Was actually a(n) " + typeof val + ".");
+ }
+};
+
+/**
+ * Throw an error if the required package isn't present
+ *
+ * @param {String} pkg The required package (e.g., 'elgg.package')
+ */
+elgg.require = function(pkg) {
+ elgg.assertTypeOf('string', pkg);
+
+ var parts = pkg.split('.'),
+ cur = elgg.global,
+ part, i;
+
+ for (i = 0; i < parts.length; i += 1) {
+ part = parts[i];
+ cur = cur[part];
+ if (elgg.isUndefined(cur)) {
+ throw new Error("Missing package: " + pkg);
+ }
+ }
+};
+
+/**
+ * Generate the skeleton for a package.
+ *
+ * <pre>
+ * elgg.provide('elgg.package.subpackage');
+ * </pre>
+ *
+ * is equivalent to
+ *
+ * <pre>
+ * elgg = elgg || {};
+ * elgg.package = elgg.package || {};
+ * elgg.package.subpackage = elgg.package.subpackage || {};
+ * </pre>
+ *
+ * @example elgg.provide('elgg.config.translations')
+ *
+ * @param {string} pkg The package name.
+ */
+elgg.provide = function(pkg, opt_context) {
+ elgg.assertTypeOf('string', pkg);
+
+ var parts = pkg.split('.'),
+ context = opt_context || elgg.global,
+ part, i;
+
+
+ for (i = 0; i < parts.length; i += 1) {
+ part = parts[i];
+ context[part] = context[part] || {};
+ context = context[part];
+ }
+};
+
+/**
+ * Inherit the prototype methods from one constructor into another.
+ *
+ * @example
+ * <pre>
+ * function ParentClass(a, b) { }
+ *
+ * ParentClass.prototype.foo = function(a) { alert(a); }
+ *
+ * function ChildClass(a, b, c) {
+ * //equivalent of parent::__construct(a, b); in PHP
+ * ParentClass.call(this, a, b);
+ * }
+ *
+ * elgg.inherit(ChildClass, ParentClass);
+ *
+ * var child = new ChildClass('a', 'b', 'see');
+ * child.foo('boo!'); // alert('boo!');
+ * </pre>
+ *
+ * @param {Function} Child Child class constructor.
+ * @param {Function} Parent Parent class constructor.
+ */
+elgg.inherit = function(Child, Parent) {
+ Child.prototype = new Parent();
+ Child.prototype.constructor = Child;
+};
+
+/**
+ * Converts shorthand urls to absolute urls.
+ *
+ * If the url is already absolute or protocol-relative, no change is made.
+ *
+ * elgg.normalize_url(''); // 'http://my.site.com/'
+ * elgg.normalize_url('dashboard'); // 'http://my.site.com/dashboard'
+ * elgg.normalize_url('http://google.com/'); // no change
+ * elgg.normalize_url('//google.com/'); // no change
+ *
+ * @param {String} url The url to normalize
+ * @return {String} The extended url
+ * @private
+ */
+elgg.normalize_url = function(url) {
+ url = url || '';
+ elgg.assertTypeOf('string', url);
+
+ validated = (function(url) {
+ url = elgg.parse_url(url);
+ if (url.scheme){
+ url.scheme = url.scheme.toLowerCase();
+ }
+ if (url.scheme == 'http' || url.scheme == 'https') {
+ if (!url.host) {
+ return false;
+ }
+ /* hostname labels may contain only alphanumeric characters, dots and hypens. */
+ if (!(new RegExp("^([a-zA-Z0-9][a-zA-Z0-9\\-\\.]*)$", "i")).test(url.host) || url.host.charAt(-1) == '.') {
+ return false;
+ }
+ }
+ /* some schemas allow the host to be empty */
+ if (!url.scheme || !url.host && url.scheme != 'mailto' && url.scheme != 'news' && url.scheme != 'file') {
+ return false;
+ }
+ return true;
+ })(url);
+
+ // all normal URLs including mailto:
+ if (validated) {
+ return url;
+ }
+
+ // '//example.com' (Shortcut for protocol.)
+ // '?query=test', #target
+ else if ((new RegExp("^(\\#|\\?|//)", "i")).test(url)) {
+ return url;
+ }
+
+ // 'javascript:'
+ else if (url.indexOf('javascript:') === 0 || url.indexOf('mailto:') === 0 ) {
+ return url;
+ }
+
+ // watch those double escapes in JS.
+
+ // 'install.php', 'install.php?step=step'
+ else if ((new RegExp("^[^\/]*\\.php(\\?.*)?$", "i")).test(url)) {
+ return elgg.config.wwwroot + url.ltrim('/');
+ }
+
+ // 'example.com', 'example.com/subpage'
+ else if ((new RegExp("^[^/]*\\.", "i")).test(url)) {
+ return 'http://' + url;
+ }
+
+ // 'page/handler', 'mod/plugin/file.php'
+ else {
+ // trim off any leading / because the site URL is stored
+ // with a trailing /
+ return elgg.config.wwwroot + url.ltrim('/');
+ }
+};
+
+/**
+ * Displays system messages via javascript rather than php.
+ *
+ * @param {String} msgs The message we want to display
+ * @param {Number} delay The amount of time to display the message in milliseconds. Defaults to 6 seconds.
+ * @param {String} type The type of message (typically 'error' or 'message')
+ * @private
+ */
+elgg.system_messages = function(msgs, delay, type) {
+ if (elgg.isUndefined(msgs)) {
+ return;
+ }
+
+ var classes = ['elgg-message'],
+ messages_html = [],
+ appendMessage = function(msg) {
+ messages_html.push('<li class="' + classes.join(' ') + '"><p>' + msg + '</p></li>');
+ },
+ systemMessages = $('ul.elgg-system-messages'),
+ i;
+
+ //validate delay. Must be a positive integer.
+ delay = parseInt(delay || 6000, 10);
+ if (isNaN(delay) || delay <= 0) {
+ delay = 6000;
+ }
+
+ //Handle non-arrays
+ if (!elgg.isArray(msgs)) {
+ msgs = [msgs];
+ }
+
+ if (type === 'error') {
+ classes.push('elgg-state-error');
+ } else {
+ classes.push('elgg-state-success');
+ }
+
+ msgs.forEach(appendMessage);
+
+ if (type != 'error') {
+ $(messages_html.join('')).appendTo(systemMessages)
+ .animate({opacity: '1.0'}, delay).fadeOut('slow');
+ } else {
+ $(messages_html.join('')).appendTo(systemMessages);
+ }
+};
+
+/**
+ * Wrapper function for system_messages. Specifies "messages" as the type of message
+ * @param {String} msgs The message to display
+ * @param {Number} delay How long to display the message (milliseconds)
+ */
+elgg.system_message = function(msgs, delay) {
+ elgg.system_messages(msgs, delay, "message");
+};
+
+/**
+ * Wrapper function for system_messages. Specifies "errors" as the type of message
+ * @param {String} errors The error message to display
+ * @param {Number} delay How long to dispaly the error message (milliseconds)
+ */
+elgg.register_error = function(errors, delay) {
+ elgg.system_messages(errors, delay, "error");
+};
+
+/**
+ * Meant to mimic the php forward() function by simply redirecting the
+ * user to another page.
+ *
+ * @param {String} url The url to forward to
+ */
+elgg.forward = function(url) {
+ location.href = elgg.normalize_url(url);
+};
+
+/**
+ * Parse a URL into its parts. Mimicks http://php.net/parse_url
+ *
+ * @param {String} url The URL to parse
+ * @param {Int} component A component to return
+ * @param {Bool} expand Expand the query into an object? Else it's a string.
+ *
+ * @return {Object} The parsed URL
+ */
+elgg.parse_url = function(url, component, expand) {
+ // Adapted from http://blog.stevenlevithan.com/archives/parseuri
+ // which was release under the MIT
+ // It was modified to fix mailto: and javascript: support.
+ var
+ expand = expand || false,
+ component = component || false,
+
+ re_str =
+ // scheme (and user@ testing)
+ '^(?:(?![^:@]+:[^:@/]*@)([^:/?#.]+):)?(?://)?'
+ // possibly a user[:password]@
+ + '((?:(([^:@]*)(?::([^:@]*))?)?@)?'
+ // host and port
+ + '([^:/?#]*)(?::(\\d*))?)'
+ // path
+ + '(((/(?:[^?#](?![^?#/]*\\.[^?#/.]+(?:[?#]|$)))*/?)?([^?#/]*))'
+ // query string
+ + '(?:\\?([^#]*))?'
+ // fragment
+ + '(?:#(.*))?)',
+ keys = {
+ 1: "scheme",
+ 4: "user",
+ 5: "pass",
+ 6: "host",
+ 7: "port",
+ 9: "path",
+ 12: "query",
+ 13: "fragment"
+ },
+ results = {};
+
+ if (url.indexOf('mailto:') === 0) {
+ results['scheme'] = 'mailto';
+ results['path'] = url.replace('mailto:', '');
+ return results;
+ }
+
+ if (url.indexOf('javascript:') === 0) {
+ results['scheme'] = 'javascript';
+ results['path'] = url.replace('javascript:', '');
+ return results;
+ }
+
+ var re = new RegExp(re_str);
+ var matches = re.exec(url);
+
+ for (var i in keys) {
+ if (matches[i]) {
+ results[keys[i]] = matches[i];
+ }
+ }
+
+ if (expand && typeof(results['query']) != 'undefined') {
+ results['query'] = elgg.parse_str(results['query']);
+ }
+
+ if (component) {
+ if (typeof(results[component]) != 'undefined') {
+ return results[component];
+ } else {
+ return false;
+ }
+ }
+ return results;
+};
+
+/**
+ * Returns an object with key/values of the parsed query string.
+ *
+ * @param {String} string The string to parse
+ * @return {Object} The parsed object string
+ */
+elgg.parse_str = function(string) {
+ var params = {};
+ var result,
+ key,
+ value,
+ re = /([^&=]+)=?([^&]*)/g;
+
+ while (result = re.exec(string)) {
+ key = decodeURIComponent(result[1].replace(/\+/g, ' '));
+ value = decodeURIComponent(result[2].replace(/\+/g, ' '));
+ params[key] = value;
+ }
+
+ return params;
+};
+
+/**
+ * Returns a jQuery selector from a URL's fragement. Defaults to expecting an ID.
+ *
+ * Examples:
+ * http://elgg.org/download.php returns ''
+ * http://elgg.org/download.php#id returns #id
+ * http://elgg.org/download.php#.class-name return .class-name
+ * http://elgg.org/download.php#a.class-name return a.class-name
+ *
+ * @param {String} url The URL
+ * @return {String} The selector
+ */
+elgg.getSelectorFromUrlFragment = function(url) {
+ var fragment = url.split('#')[1];
+
+ if (fragment) {
+ // this is a .class or a tag.class
+ if (fragment.indexOf('.') > -1) {
+ return fragment;
+ }
+
+ // this is an id
+ else {
+ return '#' + fragment;
+ }
+ }
+ return '';
+};
+
+/**
+ * Adds child to object[parent] array.
+ *
+ * @param {Object} object The object to add to
+ * @param {String} parent The parent array to add to.
+ * @param {Mixed} value The value
+ */
+elgg.push_to_object_array = function(object, parent, value) {
+ elgg.assertTypeOf('object', object);
+ elgg.assertTypeOf('string', parent);
+
+ if (!(object[parent] instanceof Array)) {
+ object[parent] = []
+ }
+
+ if ($.inArray(value, object[parent]) < 0) {
+ return object[parent].push(value);
+ }
+
+ return false;
+};
+
+/**
+ * Tests if object[parent] contains child
+ *
+ * @param {Object} object The object to add to
+ * @param {String} parent The parent array to add to.
+ * @param {Mixed} value The value
+ */
+elgg.is_in_object_array = function(object, parent, value) {
+ elgg.assertTypeOf('object', object);
+ elgg.assertTypeOf('string', parent);
+
+ return typeof(object[parent]) != 'undefined' && $.inArray(value, object[parent]) >= 0;
+};
+
+/**
+ * Triggers the init hook when the library is ready
+ *
+ * Current requirements:
+ * - DOM is ready
+ * - languages loaded
+ *
+ */
+elgg.initWhenReady = function() {
+ if (elgg.config.languageReady && elgg.config.domReady) {
+ elgg.trigger_hook('init', 'system');
+ elgg.trigger_hook('ready', 'system');
+ }
+};
diff --git a/js/lib/events.js b/js/lib/events.js
deleted file mode 100644
index c1aa6fd9a..000000000
--- a/js/lib/events.js
+++ /dev/null
@@ -1,52 +0,0 @@
-elgg.provide('elgg.config.events');
-
-/**
- *
- */
-elgg.register_event_handler = function(event_name, event_type, handler, priority) {
- elgg.assertTypeOf('string', event_name);
- elgg.assertTypeOf('string', event_type);
- elgg.assertTypeOf('function', handler);
-
- if (!event_name || !event_type) {
- return false;
- }
-
- var events = elgg.config.events;
-
- elgg.provide(event_name + '.' + event_type, events);
-
-
- if (!(events[event_name][event_type] instanceof elgg.ElggPriorityList)) {
- events[event_name][event_type] = new elgg.ElggPriorityList();
- }
-
- return events[event_name][event_type].insert(handler, priority);
-};
-
-/**
- *
- */
-elgg.trigger_event = function(event_name, event_type, opt_object) {
- elgg.assertTypeOf('string', event_name);
- elgg.assertTypeOf('string', event_type);
-
- var events = elgg.config.events,
- callEventHandler = function(handler) {
- return handler(event_name, event_type, opt_object) !== false;
- };
-
- elgg.provide(event_name + '.' + event_type, events);
- elgg.provide('all.' + event_type, events);
- elgg.provide(event_name + '.all', events);
- elgg.provide('all.all', events);
-
- return [
- events[event_name][event_type],
- events['all'][event_type],
- events[event_name]['all'],
- events['all']['all']
- ].every(function(handlers) {
- return !(handlers instanceof elgg.ElggPriorityList) || handlers.every(callEventHandler);
- });
-}; \ No newline at end of file
diff --git a/js/lib/hooks.js b/js/lib/hooks.js
new file mode 100644
index 000000000..5e1808e22
--- /dev/null
+++ b/js/lib/hooks.js
@@ -0,0 +1,173 @@
+/*
+ * Javascript hook interface
+ */
+
+elgg.provide('elgg.config.hooks');
+elgg.provide('elgg.config.instant_hooks');
+elgg.provide('elgg.config.triggered_hooks');
+
+/**
+ * Registers a hook handler with the event system.
+ *
+ * The special keyword "all" can be used for either the name or the type or both
+ * and means to call that handler for all of those hooks.
+ *
+ * Note that handlers registering for instant hooks will be executed immediately if the instant
+ * hook has been previously triggered.
+ *
+ * @param {String} name Name of the plugin hook to register for
+ * @param {String} type Type of the event to register for
+ * @param {Function} handler Handle to call
+ * @param {Number} priority Priority to call the event handler
+ * @return {Bool}
+ */
+elgg.register_hook_handler = function(name, type, handler, priority) {
+ elgg.assertTypeOf('string', name);
+ elgg.assertTypeOf('string', type);
+ elgg.assertTypeOf('function', handler);
+
+ if (!name || !type) {
+ return false;
+ }
+
+ var priorities = elgg.config.hooks;
+
+ elgg.provide(name + '.' + type, priorities);
+
+ if (!(priorities[name][type] instanceof elgg.ElggPriorityList)) {
+ priorities[name][type] = new elgg.ElggPriorityList();
+ }
+
+ // call if instant and already triggered.
+ if (elgg.is_instant_hook(name, type) && elgg.is_triggered_hook(name, type)) {
+ handler(name, type, null, null);
+ }
+
+ return priorities[name][type].insert(handler, priority);
+};
+
+/**
+ * Emits a hook.
+ *
+ * Loops through all registered hooks and calls the handler functions in order.
+ * Every handler function will always be called, regardless of the return value.
+ *
+ * @warning Handlers take the same 4 arguments in the same order as when calling this function.
+ * This is different from the PHP version!
+ *
+ * @note Instant hooks do not support params or values.
+ *
+ * Hooks are called in this order:
+ * specifically registered (event_name and event_type match)
+ * all names, specific type
+ * specific name, all types
+ * all names, all types
+ *
+ * @param {String} name Name of the hook to emit
+ * @param {String} type Type of the hook to emit
+ * @param {Object} params Optional parameters to pass to the handlers
+ * @param {Object} value Initial value of the return. Can be mangled by handlers
+ *
+ * @return {Bool}
+ */
+elgg.trigger_hook = function(name, type, params, value) {
+ elgg.assertTypeOf('string', name);
+ elgg.assertTypeOf('string', type);
+
+ // mark as triggered
+ elgg.set_triggered_hook(name, type);
+
+ // default to true if unpassed
+ value = value || true;
+
+ var hooks = elgg.config.hooks,
+ tempReturnValue = null,
+ returnValue = value,
+ callHookHandler = function(handler) {
+ tempReturnValue = handler(name, type, params, value);
+ };
+
+ elgg.provide(name + '.' + type, hooks);
+ elgg.provide('all.' + type, hooks);
+ elgg.provide(name + '.all', hooks);
+ elgg.provide('all.all', hooks);
+
+ var hooksList = [];
+
+ if (name != 'all' && type != 'all') {
+ hooksList.push(hooks[name][type]);
+ }
+
+ if (type != 'all') {
+ hooksList.push(hooks['all'][type]);
+ }
+
+ if (name != 'all') {
+ hooksList.push(hooks[name]['all']);
+ }
+
+ hooksList.push(hooks['all']['all']);
+
+ hooksList.every(function(handlers) {
+ if (handlers instanceof elgg.ElggPriorityList) {
+ handlers.forEach(callHookHandler);
+ }
+ return true;
+ });
+
+ return (tempReturnValue != null) ? tempReturnValue : returnValue;
+};
+
+/**
+ * Registers a hook as an instant hook.
+ *
+ * After being trigger once, registration of a handler to an instant hook will cause the
+ * handle to be executed immediately.
+ *
+ * @note Instant hooks must be triggered without params or defaults. Any params or default
+ * passed will *not* be passed to handlers executed upon registration.
+ *
+ * @param {String} name The hook name.
+ * @param {String} type The hook type.
+ * @return {Int}
+ */
+elgg.register_instant_hook = function(name, type) {
+ elgg.assertTypeOf('string', name);
+ elgg.assertTypeOf('string', type);
+
+ return elgg.push_to_object_array(elgg.config.instant_hooks, name, type);
+};
+
+/**
+ * Is this hook registered as an instant hook?
+ *
+ * @param {String} name The hook name.
+ * @param {String} type The hook type.
+ */
+elgg.is_instant_hook = function(name, type) {
+ return elgg.is_in_object_array(elgg.config.instant_hooks, name, type);
+};
+
+/**
+ * Records that a hook has been triggered.
+ *
+ * @param {String} name The hook name.
+ * @param {String} type The hook type.
+ */
+elgg.set_triggered_hook = function(name, type) {
+ return elgg.push_to_object_array(elgg.config.triggered_hooks, name, type);
+};
+
+/**
+ * Has this hook been triggered yet?
+ *
+ * @param {String} name The hook name.
+ * @param {String} type The hook type.
+ */
+elgg.is_triggered_hook = function(name, type) {
+ return elgg.is_in_object_array(elgg.config.triggered_hooks, name, type);
+};
+
+elgg.register_instant_hook('init', 'system');
+elgg.register_instant_hook('ready', 'system');
+elgg.register_instant_hook('boot', 'system');
diff --git a/js/lib/languages.js b/js/lib/languages.js
index 0ac654508..d218cbc4f 100644
--- a/js/lib/languages.js
+++ b/js/lib/languages.js
@@ -1,90 +1,96 @@
-/*globals vsprintf*/
-/**
- * Provides language-related functionality
- */
-elgg.provide('elgg.config.translations');
-
-elgg.config.language = 'en';
-
-/**
- * Analagous to the php version. Merges translations for a
- * given language into the current translations map.
- */
-elgg.add_translation = function(lang, translations) {
- elgg.provide('elgg.config.translations.' + lang);
-
- elgg.extend(elgg.config.translations[lang], translations);
-};
-
-/**
- * Load the translations for the given language.
- *
- * If no language is specified, the default language is used.
- * @param {string} language
- * @return {XMLHttpRequest}
- */
-elgg.reload_all_translations = function(language) {
- var lang = language || elgg.get_language();
- elgg.getJSON('_css/js.php', {
- data: {
- 'js': 'languages/' + lang,
- 'viewtype': 'default',
- 'lastcache': elgg.config.lastcache
- },
- success: function(json) {
- elgg.add_translation(lang, json);
- }
- });
-};
-
-/**
- * Get the current language
- * @return {String}
- */
-elgg.get_language = function() {
- var user = elgg.get_loggedin_user();
-
- if (user && user.language) {
- return user.language;
- }
-
- return elgg.config.language;
-};
-
-/**
- * Translates a string
- *
- * @param {String} key The string to translate
- * @param {Array} argv vsprintf support
- * @param {String} language The language to display it in
- *
- * @return {String} The translation
- */
-elgg.echo = function(key, argv, language) {
- //elgg.echo('str', 'en')
- if (elgg.isString(argv)) {
- language = argv;
- argv = [];
- }
-
- //elgg.echo('str', [...], 'en')
- var translations = elgg.config.translations,
- dlang = elgg.get_language(),
- map;
-
- language = language || dlang;
- argv = argv || [];
-
- map = translations[language] || translations[dlang];
- if (map && map[key]) {
- return vsprintf(map[key], argv);
- }
-
- return undefined;
-};
-
-elgg.config.translations.init = function() {
- elgg.reload_all_translations();
-};
-
-elgg.register_event_handler('boot', 'system', elgg.config.translations.init); \ No newline at end of file
+/*globals vsprintf*/
+/**
+ * Provides language-related functionality
+ */
+elgg.provide('elgg.config.translations');
+
+// default language - required by unit tests
+elgg.config.language = 'en';
+
+/**
+ * Analagous to the php version. Merges translations for a
+ * given language into the current translations map.
+ */
+elgg.add_translation = function(lang, translations) {
+ elgg.provide('elgg.config.translations.' + lang);
+
+ elgg.extend(elgg.config.translations[lang], translations);
+};
+
+/**
+ * Load the translations for the given language.
+ *
+ * If no language is specified, the default language is used.
+ * @param {string} language
+ * @return {XMLHttpRequest}
+ */
+elgg.reload_all_translations = function(language) {
+ var lang = language || elgg.get_language();
+
+ var url, options;
+ url = 'ajax/view/js/languages';
+ options = {data: {language: lang}};
+ if (elgg.config.simplecache_enabled) {
+ options.data.lc = elgg.config.lastcache;
+ }
+
+ options['success'] = function(json) {
+ elgg.add_translation(lang, json);
+ elgg.config.languageReady = true;
+ elgg.initWhenReady();
+ };
+
+ elgg.getJSON(url, options);
+};
+
+/**
+ * Get the current language
+ * @return {String}
+ */
+elgg.get_language = function() {
+ var user = elgg.get_logged_in_user_entity();
+
+ if (user && user.language) {
+ return user.language;
+ }
+
+ return elgg.config.language;
+};
+
+/**
+ * Translates a string
+ *
+ * @param {String} key The string to translate
+ * @param {Array} argv vsprintf support
+ * @param {String} language The language to display it in
+ *
+ * @return {String} The translation
+ */
+elgg.echo = function(key, argv, language) {
+ //elgg.echo('str', 'en')
+ if (elgg.isString(argv)) {
+ language = argv;
+ argv = [];
+ }
+
+ //elgg.echo('str', [...], 'en')
+ var translations = elgg.config.translations,
+ dlang = elgg.get_language(),
+ map;
+
+ language = language || dlang;
+ argv = argv || [];
+
+ map = translations[language] || translations[dlang];
+ if (map && map[key]) {
+ return vsprintf(map[key], argv);
+ }
+
+ return key;
+};
+
+elgg.config.translations.init = function() {
+ elgg.reload_all_translations();
+};
+
+elgg.register_hook_handler('boot', 'system', elgg.config.translations.init); \ No newline at end of file
diff --git a/js/lib/pageowner.js b/js/lib/pageowner.js
new file mode 100644
index 000000000..c695c41c3
--- /dev/null
+++ b/js/lib/pageowner.js
@@ -0,0 +1,18 @@
+/**
+ * Provides page owner and context functions
+ *
+ * @todo This is a stub. Page owners can't be fully implemented until
+ * the 4 types are finished.
+ */
+
+/**
+ * @return {number} The GUID of the page owner entity or 0 for no owner
+ */
+elgg.get_page_owner_guid = function() {
+ if (elgg.page_owner !== undefined) {
+ return elgg.page_owner.guid;
+ } else {
+ return 0;
+ }
+};
+
diff --git a/js/lib/prototypes.js b/js/lib/prototypes.js
index 0f0014818..cb6184097 100644
--- a/js/lib/prototypes.js
+++ b/js/lib/prototypes.js
@@ -1,5 +1,14 @@
/**
+ * Interates through each element of an array and calls a callback function.
+ * The callback should accept the following arguments:
+ * element - The current element
+ * index - The current index
*
+ * This is different to Array.forEach in that if the callback returns false, the loop returns
+ * immediately without processing the remaining elements.
+ *
+ * @param {Function} callback
+ * @return {Bool}
*/
if (!Array.prototype.every) {
Array.prototype.every = function(callback) {
@@ -16,7 +25,16 @@ if (!Array.prototype.every) {
}
/**
+ * Interates through each element of an array and calls callback a function.
+ * The callback should accept the following arguments:
+ * element - The current element
+ * index - The current index
+ *
+ * This is different to Array.every in that the callback's return value is ignored and every
+ * element of the array will be parsed.
*
+ * @param {Function} callback
+ * @return {Void}
*/
if (!Array.prototype.forEach) {
Array.prototype.forEach = function(callback) {
@@ -31,7 +49,11 @@ if (!Array.prototype.forEach) {
}
/**
+ * Left trim
*
+ * Removes a character from the left side of a string.
+ * @param {String} str The character to remove
+ * @return {String}
*/
if (!String.prototype.ltrim) {
String.prototype.ltrim = function(str) {
diff --git a/js/lib/security.js b/js/lib/security.js
index b9d1be3a3..9c12f8586 100644
--- a/js/lib/security.js
+++ b/js/lib/security.js
@@ -1,76 +1,107 @@
-/**
- * Hold security-related data here
- */
-elgg.provide('elgg.security');
-
-elgg.security.token = {};
-
-elgg.security.setToken = function(json) {
- //update the convenience object
- elgg.security.token = json;
-
- //also update all forms
- $('[name=__elgg_ts]').val(json.__elgg_ts);
- $('[name=__elgg_token]').val(json.__elgg_token);
-
- //also update all links
- $('[href]').each(function() {
- this.href = this.href
- .replace(/__elgg_ts=\d*/, '__elgg_ts=' + json.__elgg_ts)
- .replace(/__elgg_token=[0-9a-f]*/, '__elgg_token=' + json.__elgg_token);
- });
-};
-
-/**
- * Security tokens time out, so lets refresh those every so often
- *
- * @todo handle error and bad return data
- */
-elgg.security.refreshToken = function() {
- elgg.action('security/refreshtoken', function(data) {
- elgg.security.setToken(data.output);
- });
-};
-
-
-/**
- * Add elgg action tokens to an object or string (assumed to be url data)
- *
- * @param {Object|string} data
- * @return {Object} The new data object including action tokens
- * @private
- */
-elgg.security.addToken = function(data) {
-
- // 'http://example.com?data=sofar'
- if (elgg.isString(data)) {
- var args = [];
- if (data) {
- args.push(data);
- }
- args.push("__elgg_ts=" + elgg.security.token.__elgg_ts);
- args.push("__elgg_token=" + elgg.security.token.__elgg_token);
-
- return args.join('&');
- }
-
- // no input! acts like a getter
- if (elgg.isUndefined(data)) {
- return elgg.security.token;
- }
-
- // {...}
- if (elgg.isPlainObject(data)) {
- return elgg.extend(data, elgg.security.token);
- }
-
- // oops, don't recognize that!
- throw new TypeError("elgg.security.addToken not implemented for " + (typeof data) + "s");
-};
-
-elgg.security.init = function() {
- //refresh security token every 5 minutes
- setInterval(elgg.security.refreshToken, elgg.security.interval);
-};
-
-elgg.register_event_handler('boot', 'system', elgg.security.init); \ No newline at end of file
+/**
+ * Hold security-related data here
+ */
+elgg.provide('elgg.security');
+
+elgg.security.token = {};
+
+elgg.security.tokenRefreshFailed = false;
+
+elgg.security.tokenRefreshTimer = null;
+
+/**
+ * Sets the currently active security token and updates all forms and links on the current page.
+ *
+ * @param {Object} json The json representation of a token containing __elgg_ts and __elgg_token
+ * @return {Void}
+ */
+elgg.security.setToken = function(json) {
+ //update the convenience object
+ elgg.security.token = json;
+
+ //also update all forms
+ $('[name=__elgg_ts]').val(json.__elgg_ts);
+ $('[name=__elgg_token]').val(json.__elgg_token);
+
+ // also update all links that contain tokens and time stamps
+ $('[href*="__elgg_ts"][href*="__elgg_token"]').each(function() {
+ this.href = this.href
+ .replace(/__elgg_ts=\d*/, '__elgg_ts=' + json.__elgg_ts)
+ .replace(/__elgg_token=[0-9a-f]*/, '__elgg_token=' + json.__elgg_token);
+ });
+};
+
+/**
+ * Security tokens time out so we refresh those every so often.
+ *
+ * @private
+ */
+elgg.security.refreshToken = function() {
+ elgg.action('security/refreshtoken', function(data) {
+ if (data && data.output.__elgg_ts && data.output.__elgg_token) {
+ elgg.security.setToken(data.output);
+ } else {
+ clearInterval(elgg.security.tokenRefreshTimer);
+ }
+ });
+};
+
+
+/**
+ * Add elgg action tokens to an object, URL, or query string (with a ?).
+ *
+ * @param {Object|string} data
+ * @return {Object} The new data object including action tokens
+ * @private
+ */
+elgg.security.addToken = function(data) {
+
+ // 'http://example.com?data=sofar'
+ if (elgg.isString(data)) {
+ // is this a full URL, relative URL, or just the query string?
+ var parts = elgg.parse_url(data),
+ args = {},
+ base = '';
+
+ if (parts['host'] == undefined) {
+ if (data.indexOf('?') === 0) {
+ // query string
+ base = '?';
+ args = elgg.parse_str(parts['query']);
+ }
+ } else {
+ // full or relative URL
+
+ if (parts['query'] != undefined) {
+ // with query string
+ args = elgg.parse_str(parts['query']);
+ }
+ var split = data.split('?');
+ base = split[0] + '?';
+ }
+ args["__elgg_ts"] = elgg.security.token.__elgg_ts;
+ args["__elgg_token"] = elgg.security.token.__elgg_token;
+
+ return base + jQuery.param(args);
+ }
+
+ // no input! acts like a getter
+ if (elgg.isUndefined(data)) {
+ return elgg.security.token;
+ }
+
+ // {...}
+ if (elgg.isPlainObject(data)) {
+ return elgg.extend(data, elgg.security.token);
+ }
+
+ // oops, don't recognize that!
+ throw new TypeError("elgg.security.addToken not implemented for " + (typeof data) + "s");
+};
+
+elgg.security.init = function() {
+ // elgg.security.interval is set in the js/elgg PHP view.
+ elgg.security.tokenRefreshTimer = setInterval(elgg.security.refreshToken, elgg.security.interval);
+};
+
+elgg.register_hook_handler('boot', 'system', elgg.security.init); \ No newline at end of file
diff --git a/js/lib/session.js b/js/lib/session.js
index fc61144be..a8d52733c 100644
--- a/js/lib/session.js
+++ b/js/lib/session.js
@@ -1,116 +1,123 @@
-/**
- * @todo comment
- */
-elgg.provide('elgg.session');
-
-/**
- * Helper function for setting cookies
- * @param {string} name
- * @param {string} value
- * @param {Object} options
- * {number|Date} options[expires]
- * {string} options[path]
- * {string} options[domain]
- * {boolean} options[secure]
- *
- * @return {string} The value of the cookie, if only name is specified
- */
-elgg.session.cookie = function (name, value, options) {
- var cookies = [], cookie = [], i = 0, date, valid = true;
-
- //elgg.session.cookie()
- if (elgg.isUndefined(name)) {
- return document.cookie;
- }
-
- //elgg.session.cookie(name)
- if (elgg.isUndefined(value)) {
- if (document.cookie && document.cookie !== '') {
- cookies = document.cookie.split(';');
- for (i = 0; i < cookies.length; i += 1) {
- cookie = jQuery.trim(cookies[i]).split('=');
- if (cookie[0] === name) {
- return decodeURIComponent(cookie[1]);
- }
- }
- }
- return undefined;
- }
-
- // elgg.session.cookie(name, value[, opts])
- options = options || {};
-
- if (elgg.isNull(value)) {
- value = '';
- options.expires = -1;
- }
-
- cookies.push(name + '=' + value);
-
- if (elgg.isNumber(options.expires)) {
- if (elgg.isNumber(options.expires)) {
- date = new Date();
- date.setTime(date.getTime() + (options.expires * 24 * 60 * 60 * 1000));
- } else if (options.expires.toUTCString) {
- date = options.expires;
- } else {
- valid = false;
- }
-
- if (valid) {
- cookies.push('expires=' + date.toUTCString());
- }
- }
-
- // CAUTION: Needed to parenthesize options.path and options.domain
- // in the following expressions, otherwise they evaluate to undefined
- // in the packed version for some reason.
- if (options.path) {
- cookies.push('path=' + (options.path));
- }
-
- if (options.domain) {
- cookies.push('domain=' + (options.domain));
- }
-
- if (options.secure) {
- cookies.push('secure');
- }
-
- document.cookie = cookies.join('; ');
-};
-
-/**
- * @return {ElggUser} The logged in user
- */
-elgg.get_loggedin_user = function() {
- return elgg.session.user;
-};
-
-/**
- * @return {number} The GUID of the logged in user
- */
-elgg.get_loggedin_userid = function() {
- var user = elgg.get_loggedin_user();
- return user ? user.guid : 0;
-};
-
-/**
- * @return {boolean} Whether there is a user logged in
- */
-elgg.isloggedin = function() {
- return (elgg.get_loggedin_user() instanceof elgg.ElggUser);
-};
-
-/**
- * @return {boolean} Whether there is an admin logged in
- */
-elgg.isadminloggedin = function() {
- var user = elgg.get_loggedin_user();
- return (user instanceof elgg.ElggUser) && user.isAdmin();
-};
-
-/**
- * @deprecated Use elgg.session.cookie instead
- */
+/**
+ * Provides session methods.
+ */
+elgg.provide('elgg.session');
+
+/**
+ * Helper function for setting cookies
+ * @param {string} name
+ * @param {string} value
+ * @param {Object} options
+ *
+ * {number|Date} options[expires]
+ * {string} options[path]
+ * {string} options[domain]
+ * {boolean} options[secure]
+ *
+ * @return {string|undefined} The value of the cookie, if only name is specified. Undefined if no value set
+ */
+elgg.session.cookie = function(name, value, options) {
+ var cookies = [], cookie = [], i = 0, date, valid = true;
+
+ //elgg.session.cookie()
+ if (elgg.isUndefined(name)) {
+ return document.cookie;
+ }
+
+ //elgg.session.cookie(name)
+ if (elgg.isUndefined(value)) {
+ if (document.cookie && document.cookie !== '') {
+ cookies = document.cookie.split(';');
+ for (i = 0; i < cookies.length; i += 1) {
+ cookie = jQuery.trim(cookies[i]).split('=');
+ if (cookie[0] === name) {
+ return decodeURIComponent(cookie[1]);
+ }
+ }
+ }
+ return undefined;
+ }
+
+ // elgg.session.cookie(name, value[, opts])
+ options = options || {};
+
+ if (elgg.isNull(value)) {
+ value = '';
+ options.expires = -1;
+ }
+
+ cookies.push(name + '=' + value);
+
+ if (options.expires) {
+ if (elgg.isNumber(options.expires)) {
+ date = new Date();
+ date.setTime(date.getTime() + (options.expires * 24 * 60 * 60 * 1000));
+ } else if (options.expires.toUTCString) {
+ date = options.expires;
+ }
+
+ if (date) {
+ cookies.push('expires=' + date.toUTCString());
+ }
+ }
+
+ // CAUTION: Needed to parenthesize options.path and options.domain
+ // in the following expressions, otherwise they evaluate to undefined
+ // in the packed version for some reason.
+ if (options.path) {
+ cookies.push('path=' + (options.path));
+ }
+
+ if (options.domain) {
+ cookies.push('domain=' + (options.domain));
+ }
+
+ if (options.secure) {
+ cookies.push('secure');
+ }
+
+ document.cookie = cookies.join('; ');
+};
+
+/**
+ * Returns the object of the user logged in.
+ *
+ * @return {ElggUser} The logged in user
+ */
+elgg.get_logged_in_user_entity = function() {
+ return elgg.session.user;
+};
+
+/**
+ * Returns the GUID of the logged in user or 0.
+ *
+ * @return {number} The GUID of the logged in user
+ */
+elgg.get_logged_in_user_guid = function() {
+ var user = elgg.get_logged_in_user_entity();
+ return user ? user.guid : 0;
+};
+
+/**
+ * Returns if a user is logged in.
+ *
+ * @return {boolean} Whether there is a user logged in
+ */
+elgg.is_logged_in = function() {
+ return (elgg.get_logged_in_user_entity() instanceof elgg.ElggUser);
+};
+
+/**
+ * Returns if the currently logged in user is an admin.
+ *
+ * @return {boolean} Whether there is an admin logged in
+ */
+elgg.is_admin_logged_in = function() {
+ var user = elgg.get_logged_in_user_entity();
+ return (user instanceof elgg.ElggUser) && user.isAdmin();
+};
+
+/**
+ * @deprecated Use elgg.session.cookie instead
+ */
jQuery.cookie = elgg.session.cookie; \ No newline at end of file
diff --git a/js/lib/ui.autocomplete.js b/js/lib/ui.autocomplete.js
new file mode 100644
index 000000000..46d72d146
--- /dev/null
+++ b/js/lib/ui.autocomplete.js
@@ -0,0 +1,14 @@
+/**
+ *
+ */
+elgg.provide('elgg.autocomplete');
+
+elgg.autocomplete.init = function() {
+ $('.elgg-input-autocomplete').autocomplete({
+ source: elgg.autocomplete.url, //gets set by input/autocomplete view
+ minLength: 2,
+ html: "html"
+ })
+};
+
+elgg.register_hook_handler('init', 'system', elgg.autocomplete.init); \ No newline at end of file
diff --git a/js/lib/ui.avatar_cropper.js b/js/lib/ui.avatar_cropper.js
new file mode 100644
index 000000000..fc32a0832
--- /dev/null
+++ b/js/lib/ui.avatar_cropper.js
@@ -0,0 +1,76 @@
+/**
+ * Avatar cropping
+ */
+
+elgg.provide('elgg.avatarCropper');
+
+/**
+ * Register the avatar cropper.
+ *
+ * If the hidden inputs have the coordinates from a previous cropping, begin
+ * the selection and preview with that displayed.
+ */
+elgg.avatarCropper.init = function() {
+ var params = {
+ selectionOpacity: 0,
+ aspectRatio: '1:1',
+ onSelectEnd: elgg.avatarCropper.selectChange,
+ onSelectChange: elgg.avatarCropper.preview
+ };
+
+ if ($('input[name=x2]').val()) {
+ params.x1 = $('input[name=x1]').val();
+ params.x2 = $('input[name=x2]').val();
+ params.y1 = $('input[name=y1]').val();
+ params.y2 = $('input[name=y2]').val();
+ }
+
+ $('#user-avatar-cropper').imgAreaSelect(params);
+
+ if ($('input[name=x2]').val()) {
+ var ias = $('#user-avatar-cropper').imgAreaSelect({instance: true});
+ var selection = ias.getSelection();
+ elgg.avatarCropper.preview($('#user-avatar-cropper'), selection);
+ }
+};
+
+/**
+ * Handler for changing select area.
+ *
+ * @param {Object} reference to the image
+ * @param {Object} imgareaselect selection object
+ * @return void
+ */
+elgg.avatarCropper.preview = function(img, selection) {
+ // catch for the first click on the image
+ if (selection.width == 0 || selection.height == 0) {
+ return;
+ }
+
+ var origWidth = $("#user-avatar-cropper").width();
+ var origHeight = $("#user-avatar-cropper").height();
+ var scaleX = 100 / selection.width;
+ var scaleY = 100 / selection.height;
+ $('#user-avatar-preview > img').css({
+ width: Math.round(scaleX * origWidth) + 'px',
+ height: Math.round(scaleY * origHeight) + 'px',
+ marginLeft: '-' + Math.round(scaleX * selection.x1) + 'px',
+ marginTop: '-' + Math.round(scaleY * selection.y1) + 'px'
+ });
+};
+
+/**
+ * Handler for updating the form inputs after select ends
+ *
+ * @param {Object} reference to the image
+ * @param {Object} imgareaselect selection object
+ * @return void
+ */
+elgg.avatarCropper.selectChange = function(img, selection) {
+ $('input[name=x1]').val(selection.x1);
+ $('input[name=x2]').val(selection.x2);
+ $('input[name=y1]').val(selection.y1);
+ $('input[name=y2]').val(selection.y2);
+};
+
+elgg.register_hook_handler('init', 'system', elgg.avatarCropper.init); \ No newline at end of file
diff --git a/js/lib/ui.friends_picker.js b/js/lib/ui.friends_picker.js
new file mode 100644
index 000000000..9257c40fc
--- /dev/null
+++ b/js/lib/ui.friends_picker.js
@@ -0,0 +1,91 @@
+/*
+ elgg friendsPicker plugin
+ adapted from Niall Doherty's excellent Coda-Slider - http://www.ndoherty.com/coda-slider
+ */
+
+
+jQuery.fn.friendsPicker = function(iterator) {
+
+ var settings;
+ settings = $.extend({ easeFunc: "easeOutExpo", easeTime: 1000, toolTip: false }, settings);
+
+ return this.each(function() {
+
+ var container = $(this);
+ container.addClass("friends-picker");
+ // set panelwidth manually as it's hidden initially - adjust this value for different themes/pagewidths
+ var panelWidth = 730;
+
+ // count the panels in the container
+ var panelCount = container.find("div.panel").size();
+ // calculate the width of all the panels lined up end-to-end
+ var friendsPicker_containerWidth = panelWidth*panelCount;
+ // specify width for the friendsPicker_container
+ container.find("div.friends-picker-container").css("width" , friendsPicker_containerWidth);
+
+ // global variables for container.each function below
+ var friendsPickerNavigationWidth = 0;
+ var currentPanel = 1;
+
+ // generate appropriate nav for each container
+ container.each(function(i) {
+ // generate Left and Right arrows
+ $(this).before("<div class='friends-picker-navigation-l' id='friends-picker-navigation-l" + iterator + "'><a href='#'>Left</a><\/div>");
+ $(this).after("<div class='friends-picker-navigation-r' id='friends-picker-navigation-r" + iterator + "'><a href='#'>Right</a><\/div>");
+
+ // generate a-z tabs
+ $(this).before("<div class='friends-picker-navigation' id='friends-picker-navigation" + iterator + "'><ul><\/ul><\/div>");
+ $(this).find("div.panel").each(function(individualTabItemNumber) {
+ $("div#friends-picker-navigation" + iterator + " ul").append("<li class='tab" + (individualTabItemNumber+1) + "'><a href='#" + (individualTabItemNumber+1) + "'>" + $(this).attr("title") + "<\/a><\/li>");
+ });
+
+ // tabs navigation
+ $("div#friends-picker-navigation" + iterator + " a").each(function(individualTabItemNumber) {
+ // calc friendsPickerNavigationWidth by summing width of each li
+ friendsPickerNavigationWidth += $(this).parent().width();
+ // set-up individual tab clicks
+ $(this).bind("click", function() {
+ $(this).addClass("current").parent().parent().find("a").not($(this)).removeClass("current");
+ var distanceToMoveFriendsPicker_container = - (panelWidth*individualTabItemNumber);
+ currentPanel = individualTabItemNumber + 1;
+ $(this).parent().parent().parent().next().find("div.friends-picker-container").animate({ left: distanceToMoveFriendsPicker_container}, settings.easeTime, settings.easeFunc);
+ });
+ });
+
+ // Right arow click function
+ $("div#friends-picker-navigation-r" + iterator + " a").click(function() {
+ if (currentPanel == panelCount) {
+ var distanceToMoveFriendsPicker_container = 0;
+ currentPanel = 1;
+ $(this).parent().parent().find("div.friends-picker-navigation a.current").removeClass("current").parent().parent().find("a:eq(0)").addClass("current");
+ } else {
+ var distanceToMoveFriendsPicker_container = - (panelWidth*currentPanel);
+ currentPanel += 1;
+ $(this).parent().parent().find("div.friends-picker-navigation a.current").removeClass("current").parent().next().find("a").addClass("current");
+ };
+ $(this).parent().parent().find("div.friends-picker-container").animate({ left: distanceToMoveFriendsPicker_container}, settings.easeTime, settings.easeFunc);
+ return false;
+ });
+
+ // Left arrow click function
+ $("div#friends-picker-navigation-l" + iterator + " a").click(function() {
+ if (currentPanel == 1) {
+ var distanceToMoveFriendsPicker_container = - (panelWidth*(panelCount - 1));
+ currentPanel = panelCount;
+ $(this).parent().parent().find("div.friends-picker-navigation a.current").removeClass("current").parent().parent().find("li:last a").addClass("current");
+ } else {
+ currentPanel -= 1;
+ var distanceToMoveFriendsPicker_container = - (panelWidth*(currentPanel - 1));
+ $(this).parent().parent().find("div.friends-picker-navigation a.current").removeClass("current").parent().prev().find("a").addClass("current");
+ };
+ $(this).parent().parent().find("div.friends-picker-container").animate({ left: distanceToMoveFriendsPicker_container}, settings.easeTime, settings.easeFunc);
+ return false;
+ });
+
+ // apply 'current' class to currently selected tab link
+ $("div#friends-picker-navigation" + iterator + " a:eq(0)").addClass("current");
+ });
+
+ $("div#friends-picker-navigation" + iterator).append("<br />");
+ });
+}; \ No newline at end of file
diff --git a/js/lib/ui.js b/js/lib/ui.js
index c079abda2..413078b4f 100644
--- a/js/lib/ui.js
+++ b/js/lib/ui.js
@@ -1,129 +1,293 @@
-elgg.provide('elgg.ui');
-
-elgg.ui.init = function () {
- //if the user clicks a system message, make it disappear
- $('.elgg_system_message').live('click', function() {
- $(this).stop().fadeOut('fast');
- });
-
- $('a.collapsibleboxlink').click(elgg.ui.toggleCollapsibleBox);
-
- // set-up hover class for dragged widgets
- var cols = [
- "#rightcolumn_widgets",
- "#middlecolumn_widgets",
- "#leftcolumn_widgets"
- ].join(',');
-
- $(cols).droppable({
- accept: ".draggable_widget",
- hoverClass: 'droppable-hover'
- });
-};
-
-// reusable generic hidden panel
-elgg.ui.toggleCollapsibleBox = function () {
- $(this.parentNode.parentNode).children(".collapsible_box").slideToggle("fast");
- return false;
-};
-
-//define some helper jquery plugins
-(function($) {
-
- // ELGG TOOLBAR MENU
- $.fn.elgg_topbardropdownmenu = function(options) {
- var defaults = {
- speed: 350
- };
-
- options = $.extend(defaults, options || {});
-
- this.each(function() {
-
- var root = this, zIndex = 5000;
-
- function getSubnav(ele) {
- if (ele.nodeName.toLowerCase() === 'li') {
- var subnav = $('> ul', ele);
- return subnav.length ? subnav[0] : null;
- } else {
- return ele;
- }
- }
-
- function getActuator(ele) {
- if (ele.nodeName.toLowerCase() === 'ul') {
- return $(ele).parents('li')[0];
- } else {
- return ele;
- }
- }
-
- function hide() {
- var subnav = getSubnav(this);
- if (!subnav) {
- return;
- }
-
- $.data(subnav, 'cancelHide', false);
- setTimeout(function() {
- if (!$.data(subnav, 'cancelHide')) {
- $(subnav).slideUp(100);
- }
- }, 250);
- }
-
- function show() {
- var subnav = getSubnav(this), li;
-
- if (!subnav) {
- return;
- }
-
- $.data(subnav, 'cancelHide', true);
-
- $(subnav).css({zIndex: zIndex}).slideDown(options.speed);
- zIndex++;
-
- if (this.nodeName.toLowerCase() === 'ul') {
- li = getActuator(this);
- $(li).addClass('hover');
- $('> a', li).addClass('hover');
- }
- }
-
- $('ul, li', this).hover(show, hide);
- $('li', this).hover(
- function () {
- $(this).addClass('hover');
- $('> a', this).addClass('hover');
- },
- function () {
- $(this).removeClass('hover');
- $('> a', this).removeClass('hover');
- }
- );
-
- });
- };
-
- //Make delimited list
- $.fn.makeDelimitedList = function(elementAttribute) {
-
- var delimitedListArray = [],
- listDelimiter = "::";
-
- // Loop over each element in the stack and add the elementAttribute to the array
- this.each(function(e) {
- var listElement = $(this);
- // Add the attribute value to our values array
- delimitedListArray[delimitedListArray.length] = listElement.attr(elementAttribute);
- }
- );
-
- // Return value list by joining the array
- return delimitedListArray.join(listDelimiter);
- };
-})(jQuery);
-
-elgg.register_event_handler('init', 'system', elgg.ui.init); \ No newline at end of file
+elgg.provide('elgg.ui');
+
+elgg.ui.init = function () {
+ // add user hover menus
+ elgg.ui.initHoverMenu();
+
+ //if the user clicks a system message, make it disappear
+ $('.elgg-system-messages li').live('click', function() {
+ $(this).stop().fadeOut('fast');
+ });
+
+ $('.elgg-system-messages li').animate({opacity: 0.9}, 6000);
+ $('.elgg-system-messages li.elgg-state-success').fadeOut('slow');
+
+ $('[rel=toggle]').live('click', elgg.ui.toggles);
+
+ $('[rel=popup]').live('click', elgg.ui.popupOpen);
+
+ $('.elgg-menu-page .elgg-menu-parent').live('click', elgg.ui.toggleMenu);
+
+ $('.elgg-requires-confirmation').live('click', elgg.ui.requiresConfirmation);
+
+ $('.elgg-autofocus').focus();
+};
+
+/**
+ * Toggles an element based on clicking a separate element
+ *
+ * Use rel="toggle" on the toggler element
+ * Set the href to target the item you want to toggle (<a rel="toggle" href="#id-of-target">)
+ *
+ * @param {Object} event
+ * @return void
+ */
+elgg.ui.toggles = function(event) {
+ event.preventDefault();
+
+ // @todo might want to switch this to elgg.getSelectorFromUrlFragment().
+ var target = $(this).toggleClass('elgg-state-active').attr('href');
+
+ $(target).slideToggle('medium');
+};
+
+/**
+ * Pops up an element based on clicking a separate element
+ *
+ * Set the rel="popup" on the popper and set the href to target the
+ * item you want to toggle (<a rel="popup" href="#id-of-target">)
+ *
+ * This function emits the getOptions, ui.popup hook that plugins can register for to provide custom
+ * positioning for elements. The handler is passed the following params:
+ * targetSelector: The selector used to find the popup
+ * target: The popup jQuery element as found by the selector
+ * source: The jquery element whose click event initiated a popup.
+ *
+ * The return value of the function is used as the options object to .position().
+ * Handles can also return false to abort the default behvior and override it with their own.
+ *
+ * @param {Object} event
+ * @return void
+ */
+elgg.ui.popupOpen = function(event) {
+ event.preventDefault();
+ event.stopPropagation();
+
+ var target = elgg.getSelectorFromUrlFragment($(this).toggleClass('elgg-state-active').attr('href'));
+ var $target = $(target);
+
+ // emit a hook to allow plugins to position and control popups
+ var params = {
+ targetSelector: target,
+ target: $target,
+ source: $(this)
+ };
+
+ var options = {
+ my: 'center top',
+ at: 'center bottom',
+ of: $(this),
+ collision: 'fit fit'
+ }
+
+ options = elgg.trigger_hook('getOptions', 'ui.popup', params, options);
+
+ // allow plugins to cancel event
+ if (!options) {
+ return;
+ }
+
+ // hide if already open
+ if ($target.is(':visible')) {
+ $target.fadeOut();
+ $('body').die('click', elgg.ui.popupClose);
+ return;
+ }
+
+ $target.appendTo('body')
+ .fadeIn()
+ .position(options);
+
+ $('body')
+ .die('click', elgg.ui.popupClose)
+ .live('click', elgg.ui.popupClose);
+};
+
+/**
+ * Catches clicks that aren't in a popup and closes all popups.
+ */
+elgg.ui.popupClose = function(event) {
+ $eventTarget = $(event.target);
+ var inTarget = false;
+ var $popups = $('[rel=popup]');
+
+ // if the click event target isn't in a popup target, fade all of them out.
+ $popups.each(function(i, e) {
+ var target = elgg.getSelectorFromUrlFragment($(e).attr('href')) + ':visible';
+ var $target = $(target);
+
+ if (!$target.is(':visible')) {
+ return;
+ }
+
+ // didn't click inside the target
+ if ($eventTarget.closest(target).length > 0) {
+ inTarget = true;
+ return false;
+ }
+ });
+
+ if (!inTarget) {
+ $popups.each(function(i, e) {
+ var $e = $(e);
+ var $target = $(elgg.getSelectorFromUrlFragment($e.attr('href')) + ':visible');
+ if ($target.length > 0) {
+ $target.fadeOut();
+ $e.removeClass('elgg-state-active');
+ }
+ });
+
+ $('body').die('click', elgg.ui.popClose);
+ }
+};
+
+/**
+ * Toggles a child menu when the parent is clicked
+ *
+ * @param {Object} event
+ * @return void
+ */
+elgg.ui.toggleMenu = function(event) {
+ $(this).siblings().slideToggle('medium');
+ $(this).toggleClass('elgg-menu-closed elgg-menu-opened');
+ event.preventDefault();
+};
+
+/**
+ * Initialize the hover menu
+ *
+ * @param {Object} parent
+ * @return void
+ */
+elgg.ui.initHoverMenu = function(parent) {
+ if (!parent) {
+ parent = document;
+ }
+
+ // avatar image menu link
+ $(parent).find(".elgg-avatar").live('mouseover', function() {
+ $(this).children(".elgg-icon-hover-menu").show();
+ })
+ .live('mouseout', function() {
+ $(this).children(".elgg-icon-hover-menu").hide();
+ });
+
+
+ // avatar contextual menu
+ $(".elgg-avatar > .elgg-icon-hover-menu").live('click', function(e) {
+ // check if we've attached the menu to this element already
+ var $hovermenu = $(this).data('hovermenu') || null;
+
+ if (!$hovermenu) {
+ $hovermenu = $(this).parent().find(".elgg-menu-hover");
+ $(this).data('hovermenu', $hovermenu);
+ }
+
+ // close hovermenu if arrow is clicked & menu already open
+ if ($hovermenu.css('display') == "block") {
+ $hovermenu.fadeOut();
+ } else {
+ $avatar = $(this).closest(".elgg-avatar");
+
+ // @todo Use jQuery-ui position library instead -- much simpler
+ var offset = $avatar.offset();
+ var top = $avatar.height() + offset.top + 'px';
+ var left = $avatar.width() - 15 + offset.left + 'px';
+
+ $hovermenu.appendTo('body')
+ .css('position', 'absolute')
+ .css("top", top)
+ .css("left", left)
+ .fadeIn('normal');
+ }
+
+ // hide any other open hover menus
+ $(".elgg-menu-hover:visible").not($hovermenu).fadeOut();
+ });
+
+ // hide avatar menu when user clicks elsewhere
+ $(document).click(function(event) {
+ if ($(event.target).parents(".elgg-avatar").length == 0) {
+ $(".elgg-menu-hover").fadeOut();
+ }
+ });
+};
+
+/**
+ * Calls a confirm() and prevents default if denied.
+ *
+ * @param {Object} e
+ * @return void
+ */
+elgg.ui.requiresConfirmation = function(e) {
+ var confirmText = $(this).attr('rel') || elgg.echo('question:areyousure');
+ if (!confirm(confirmText)) {
+ e.preventDefault();
+ }
+};
+
+/**
+ * Repositions the login popup
+ *
+ * @param {String} hook 'getOptions'
+ * @param {String} type 'ui.popup'
+ * @param {Object} params An array of info about the target and source.
+ * @param {Object} options Options to pass to
+ *
+ * @return {Object}
+ */
+elgg.ui.loginHandler = function(hook, type, params, options) {
+ if (params.target.attr('id') == 'login-dropdown-box') {
+ options.my = 'right top';
+ options.at = 'right bottom';
+ return options;
+ }
+ return null;
+};
+
+/**
+ * Initialize the date picker
+ *
+ * Uses the class .elgg-input-date as the selector.
+ *
+ * If the class .elgg-input-timestamp is set on the input element, the onSelect
+ * method converts the date text to a unix timestamp in seconds. That value is
+ * stored in a hidden element indicated by the id on the input field.
+ *
+ * @return void
+ */
+elgg.ui.initDatePicker = function() {
+ var loadDatePicker = function() {
+ $('.elgg-input-date').datepicker({
+ // ISO-8601
+ dateFormat: 'yy-mm-dd',
+ onSelect: function(dateText) {
+ if ($(this).is('.elgg-input-timestamp')) {
+ // convert to unix timestamp
+ var dateParts = dateText.split("-");
+ var timestamp = Date.UTC(dateParts[0], dateParts[1] - 1, dateParts[2]);
+ timestamp = timestamp / 1000;
+
+ var id = $(this).attr('id');
+ $('input[name="' + id + '"]').val(timestamp);
+ }
+ }
+ });
+ };
+
+ if ($('.elgg-input-date').length && elgg.get_language() == 'en') {
+ loadDatePicker();
+ } else if ($('.elgg-input-date').length) {
+ elgg.get({
+ url: elgg.config.wwwroot + 'vendors/jquery/i18n/jquery.ui.datepicker-'+ elgg.get_language() +'.js',
+ dataType: "script",
+ cache: true,
+ success: loadDatePicker,
+ error: loadDatePicker // english language is already loaded.
+ });
+ }
+};
+
+elgg.register_hook_handler('init', 'system', elgg.ui.init);
+elgg.register_hook_handler('init', 'system', elgg.ui.initDatePicker);
+elgg.register_hook_handler('getOptions', 'ui.popup', elgg.ui.loginHandler);
diff --git a/js/lib/ui.river.js b/js/lib/ui.river.js
new file mode 100644
index 000000000..c103fabb3
--- /dev/null
+++ b/js/lib/ui.river.js
@@ -0,0 +1,14 @@
+elgg.provide('elgg.ui.river');
+
+elgg.ui.river.init = function() {
+ $('#elgg-river-selector').change(function() {
+ var url = window.location.href;
+ if (window.location.search.length) {
+ url = url.substring(0, url.indexOf('?'));
+ }
+ url += '?' + $(this).val();
+ elgg.forward(url);
+ });
+};
+
+elgg.register_hook_handler('init', 'system', elgg.ui.river.init); \ No newline at end of file
diff --git a/js/lib/ui.userpicker.js b/js/lib/ui.userpicker.js
new file mode 100644
index 000000000..669b84cdb
--- /dev/null
+++ b/js/lib/ui.userpicker.js
@@ -0,0 +1,117 @@
+elgg.provide('elgg.userpicker');
+
+/**
+ * Userpicker initialization
+ *
+ * The userpicker is an autocomplete library for selecting multiple users or
+ * friends. It works in concert with the view input/userpicker.
+ *
+ * @return void
+ */
+elgg.userpicker.init = function() {
+
+ // binding autocomplete.
+ // doing this as an each so we can pass this to functions.
+ $('.elgg-input-user-picker').each(function() {
+
+ $(this).autocomplete({
+ source: function(request, response) {
+
+ var params = elgg.userpicker.getSearchParams(this);
+
+ elgg.get('livesearch', {
+ data: params,
+ dataType: 'json',
+ success: function(data) {
+ response(data);
+ }
+ });
+ },
+ minLength: 2,
+ html: "html",
+ select: elgg.userpicker.addUser
+ })
+ });
+
+ $('.elgg-userpicker-remove').live('click', elgg.userpicker.removeUser);
+};
+
+/**
+ * Adds a user to the select user list
+ *
+ * elgg.userpicker.userList is defined in the input/userpicker view
+ *
+ * @param {Object} event
+ * @param {Object} ui The object returned by the autocomplete endpoint
+ * @return void
+ */
+elgg.userpicker.addUser = function(event, ui) {
+ var info = ui.item;
+
+ // do not allow users to be added multiple times
+ if (!(info.guid in elgg.userpicker.userList)) {
+ elgg.userpicker.userList[info.guid] = true;
+ var users = $(this).siblings('.elgg-user-picker-list');
+ var li = '<input type="hidden" name="members[]" value="' + info.guid + '" />';
+ li += elgg.userpicker.viewUser(info);
+ $('<li>').html(li).appendTo(users);
+ }
+
+ $(this).val('');
+ event.preventDefault();
+};
+
+/**
+ * Remove a user from the selected user list
+ *
+ * @param {Object} event
+ * @return void
+ */
+elgg.userpicker.removeUser = function(event) {
+ var item = $(this).closest('.elgg-user-picker-list > li');
+
+ var guid = item.find('[name="members[]"]').val();
+ delete elgg.userpicker.userList[guid];
+
+ item.remove();
+ event.preventDefault();
+};
+
+/**
+ * Render the list item for insertion into the selected user list
+ *
+ * The html in this method has to remain synced with the input/userpicker view
+ *
+ * @param {Object} info The object returned by the autocomplete endpoint
+ * @return string
+ */
+elgg.userpicker.viewUser = function(info) {
+
+ var deleteLink = "<a href='#' class='elgg-userpicker-remove'>X</a>";
+
+ var html = "<div class='elgg-image-block'>";
+ html += "<div class='elgg-image'>" + info.icon + "</div>";
+ html += "<div class='elgg-image-alt'>" + deleteLink + "</div>";
+ html += "<div class='elgg-body'>" + info.name + "</div>";
+ html += "</div>";
+
+ return html;
+};
+
+/**
+ * Get the parameters to use for autocomplete
+ *
+ * This grabs the value of the friends checkbox.
+ *
+ * @param {Object} obj Object for the autocomplete callback
+ * @return Object
+ */
+elgg.userpicker.getSearchParams = function(obj) {
+ if (obj.element.parent('.elgg-user-picker').find('input[name=match_on]').attr('checked')) {
+ return {'match_on[]': 'friends', 'term' : obj.term};
+ } else {
+ return {'match_on[]': 'users', 'term' : obj.term};
+ }
+};
+
+elgg.register_hook_handler('init', 'system', elgg.userpicker.init);
diff --git a/js/lib/ui.widgets.js b/js/lib/ui.widgets.js
index ac55d0e7f..26020bb4b 100644
--- a/js/lib/ui.widgets.js
+++ b/js/lib/ui.widgets.js
@@ -1,221 +1,209 @@
-elgg.provide('elgg.ui.widgets');
-
-/**
- * Widgets initialization
- *
- * @return void
- */
-elgg.ui.widgets.init = function() {
- $(".widget_column").sortable({
- items: 'div.widget',
- connectWith: '.widget_column',
- handle: 'div.drag_handle',
- forcePlaceholderSize: true,
- placeholder: 'widget_placeholder',
- //containment: '.widget_layout',
- opacity: 0.8,
- revert: 500,
- stop: function(event, ui) {
- elgg.action('widgets/move', {
- data: {
- // widget_<guid>
- guid: ui.item.attr('id').substring(7),
- // widget_col_<column>
- column: ui.item.parent().attr('id').substring(11),
- position: ui.item.index()
- }
- });
- }
- });
-
- $('#widget_add_button a').bind('click', function(event) {
- $('.widgets_add_panel').slideToggle('medium');
- event.preventDefault();
- });
-
- $('.widgets_add_panel a').bind('click', function(event) {
- elgg.action('widgets/add', {
- data: {
- handler: $(this).attr('id'),
- user: elgg.get_loggedin_userid(),
- context: $("input[name='widget_context']").val()
- },
- success: function(json) {
- elgg.ui.widgets.insert(json.output);
- }
- });
- event.preventDefault();
- });
-
- $('a.widget_delete_button').bind('click', elgg.ui.widgets.remove);
- $('a.widget_edit_button').bind('click', elgg.ui.widgets.editToggle);
- $('.widget_edit > form ').bind('submit', elgg.ui.widgets.saveSettings);
- elgg.ui.widgets.equalHeight(".widget_column");
-};
-
-/**
- * Insert a new widget into the layout
- *
- * This always inserts the widget at the top of the first column.
- *
- * @param {String} html The HTML of the widget
- * @return void
- */
-elgg.ui.widgets.insert = function(html) {
- $('#widget_col_1').prepend(html);
- $('#widget_col_1').children(":first").find('a.widget_delete_button').bind('click', elgg.ui.widgets.remove);
- $('#widget_col_1').children(":first").find('a.widget_edit_button').bind('click', elgg.ui.widgets.editToggle);
-}
-
-/**
- * Removes a widget from the layout
- *
- * Event callback the uses Ajax to delete the widget and removes its HTML
- *
- * @param {Object} event
- * @return void
- */
-elgg.ui.widgets.remove = function(event) {
- $(this).parent().parent().parent().parent().remove();
- elgg.action('widgets/delete', {
- data: {
- // widget_delete_button_<guid>
- guid: $(this).attr('id').substring(21)
- }
- });
- event.preventDefault();
-}
-
-/**
- * Toggle the edit panel of a widget
- *
- * Yes, I'm quite bad at selectors.
- *
- * @param {Object} event
- * @return void
- */
-elgg.ui.widgets.editToggle = function(event) {
- $(this).parent().parent().parent().parent().find('.widget_edit').slideToggle('medium');
- event.preventDefault();
-}
-
-/**
- * Save a widget's settings
- *
- * Uses Ajax to save the settings and updates the HTML.
- *
- * @param {Object} event
- * @return void
- */
-elgg.ui.widgets.saveSettings = function(event) {
- $(this).parent().slideToggle('medium');
- var $widgetContent = $(this).parent().parent().children('.widget_content');
- $widgetContent.html('loading');
- elgg.action('widgets/save', {
- data: $(this).serialize(),
- success: function(json) {
- $widgetContent.html(json.output);
- }
- });
- event.preventDefault();
-}
-
-/**
- * Make all elements have the same min-height
- *
- * This addresses the issue of trying to drag a widget into a column that does
- * not have any widgets.
- *
- * @param {String} selector
- * @return void
- */
-elgg.ui.widgets.equalHeight = function(selector) {
- var maxHeight = 0;
- $(selector).each(function() {
- if ($(this).height() > maxHeight) {
- maxHeight = $(this).height();
- }
- })
- $(selector).css('min-height', maxHeight);
-}
-
-elgg.register_event_handler('init', 'system', elgg.ui.widgets.init);
-
-
-// @todo look into removing the below functions - maybe a compatibility plugin
-
-//List active widgets for each page column
-elgg.ui.widgets.outputList = function(forElement) {
- return $("input[name='handler'], input[name='guid']", forElement).makeDelimitedList("value");
-};
-
-//Read each widgets collapsed/expanded state from cookie and apply
-elgg.ui.widgets.state = function(forWidget) {
- var thisWidgetState = elgg.session.cookie(forWidget);
-
- if (thisWidgetState === 'collapsed') {
- forWidget = "#" + forWidget;
- $(forWidget).find("div.collapsable_box_content").hide();
- $(forWidget).find("a.toggle_box_contents").html('+');
- $(forWidget).find("a.toggle_box_edit_panel").fadeOut('medium');
- }
-};
-
-//More info tooltip in widget gallery edit panel
-elgg.ui.widgets.moreinfo = function() {
- $("img.more_info").hover(function(e) {
- var widgetdescription = $("input[name='description']", this.parentNode.parentNode.parentNode).val();
- $("body").append("<p id='widget_moreinfo'><b>" + widgetdescription + " </b></p>");
-
- if (e.pageX < 900) {
- $("#widget_moreinfo")
- .css("top", (e.pageY + 10) + "px")
- .css("left", (e.pageX + 10) + "px")
- .fadeIn("medium");
- } else {
- $("#widget_moreinfo")
- .css("top", (e.pageY + 10) + "px")
- .css("left", (e.pageX - 210) + "px")
- .fadeIn("medium");
- }
- }, function() {
- $("#widget_moreinfo").remove();
- });
-};
-
-//Toggle widgets contents and save to a cookie
-elgg.ui.widgets.toggleContent = function(e) {
- var thisWidgetName,
- targetContent = $('div.collapsable_box_content', this.parentNode.parentNode);
-
- if (targetContent.css('display') === 'none') {
- targetContent.slideDown(400);
- $(this).html('-');
- $(this.parentNode).children(".toggle_box_edit_panel").fadeIn('medium');
-
- // set cookie for widget panel open-state
- thisWidgetName = $(this.parentNode.parentNode.parentNode).attr('id');
- elgg.session.cookie(thisWidgetName, 'expanded', {expires: 365});
-
- } else {
- targetContent.slideUp(400);
- $(this).html('+');
- $(this.parentNode).children(".toggle_box_edit_panel").fadeOut('medium');
- // make sure edit pane is closed
- $(this.parentNode.parentNode).children(".collapsable_box_editpanel").hide();
-
- // set cookie for widget panel closed-state
- thisWidgetName = $(this.parentNode.parentNode.parentNode).attr('id');
- elgg.session.cookie(thisWidgetName, 'collapsed', {expires: 365});
- }
- return false;
-};
-
-/**
- * @deprecated Use elgg.ui.widgets.*
- */
-var toggleContent = elgg.ui.widgets.toggleContent,
- widget_moreinfo = elgg.ui.widgets.moreinfo,
- widget_state = elgg.ui.widgets.state,
- outputWidgetList = elgg.ui.widgets.outputList;
-
+elgg.provide('elgg.ui.widgets');
+
+/**
+ * Widgets initialization
+ *
+ * @return void
+ */
+elgg.ui.widgets.init = function() {
+
+ // widget layout?
+ if ($(".elgg-widgets").length == 0) {
+ return;
+ }
+
+ $(".elgg-widgets").sortable({
+ items: 'div.elgg-module-widget.elgg-state-draggable',
+ connectWith: '.elgg-widgets',
+ handle: '.elgg-widget-handle',
+ forcePlaceholderSize: true,
+ placeholder: 'elgg-widget-placeholder',
+ opacity: 0.8,
+ revert: 500,
+ stop: elgg.ui.widgets.move
+ });
+
+ $('.elgg-widgets-add-panel li.elgg-state-available').click(elgg.ui.widgets.add);
+
+ $('a.elgg-widget-delete-button').live('click', elgg.ui.widgets.remove);
+ $('.elgg-widget-edit > form ').live('submit', elgg.ui.widgets.saveSettings);
+ $('a.elgg-widget-collapse-button').live('click', elgg.ui.widgets.collapseToggle);
+
+ elgg.ui.widgets.setMinHeight(".elgg-widgets");
+};
+
+/**
+ * Adds a new widget
+ *
+ * Makes Ajax call to persist new widget and inserts the widget html
+ *
+ * @param {Object} event
+ * @return void
+ */
+elgg.ui.widgets.add = function(event) {
+ // elgg-widget-type-<type>
+ var type = $(this).attr('id');
+ type = type.substr(type.indexOf('elgg-widget-type-') + "elgg-widget-type-".length);
+
+ // if multiple instances not allow, disable this widget type add button
+ var multiple = $(this).attr('class').indexOf('elgg-widget-multiple') != -1;
+ if (multiple == false) {
+ $(this).addClass('elgg-state-unavailable');
+ $(this).removeClass('elgg-state-available');
+ $(this).unbind('click', elgg.ui.widgets.add);
+ }
+
+ elgg.action('widgets/add', {
+ data: {
+ handler: type,
+ owner_guid: elgg.get_page_owner_guid(),
+ context: $("input[name='widget_context']").val(),
+ show_access: $("input[name='show_access']").val(),
+ default_widgets: $("input[name='default_widgets']").val() || 0
+ },
+ success: function(json) {
+ $('#elgg-widget-col-1').prepend(json.output);
+ }
+ });
+ event.preventDefault();
+};
+
+/**
+ * Persist the widget's new position
+ *
+ * @param {Object} event
+ * @param {Object} ui
+ *
+ * @return void
+ */
+elgg.ui.widgets.move = function(event, ui) {
+
+ // elgg-widget-<guid>
+ var guidString = ui.item.attr('id');
+ guidString = guidString.substr(guidString.indexOf('elgg-widget-') + "elgg-widget-".length);
+
+ // elgg-widget-col-<column>
+ var col = ui.item.parent().attr('id');
+ col = col.substr(col.indexOf('elgg-widget-col-') + "elgg-widget-col-".length);
+
+ elgg.action('widgets/move', {
+ data: {
+ widget_guid: guidString,
+ column: col,
+ position: ui.item.index()
+ }
+ });
+
+ // @hack fixes jquery-ui/opera bug where draggable elements jump
+ ui.item.css('top', 0);
+ ui.item.css('left', 0);
+};
+
+/**
+ * Removes a widget from the layout
+ *
+ * Event callback the uses Ajax to delete the widget and removes its HTML
+ *
+ * @param {Object} event
+ * @return void
+ */
+elgg.ui.widgets.remove = function(event) {
+ if (confirm(elgg.echo('deleteconfirm')) == false) {
+ event.preventDefault();
+ return;
+ }
+
+ var $widget = $(this).closest('.elgg-module-widget');
+
+ // if widget type is single instance type, enable the add buton
+ var type = $widget.attr('class');
+ // elgg-widget-instance-<type>
+ type = type.substr(type.indexOf('elgg-widget-instance-') + "elgg-widget-instance-".length);
+ $button = $('#elgg-widget-type-' + type);
+ var multiple = $button.attr('class').indexOf('elgg-widget-multiple') != -1;
+ if (multiple == false) {
+ $button.addClass('elgg-state-available');
+ $button.removeClass('elgg-state-unavailable');
+ $button.unbind('click', elgg.ui.widgets.add); // make sure we don't bind twice
+ $button.click(elgg.ui.widgets.add);
+ }
+
+ $widget.remove();
+
+ // delete the widget through ajax
+ elgg.action($(this).attr('href'));
+
+ event.preventDefault();
+};
+
+/**
+ * Toggle the collapse state of the widget
+ *
+ * @param {Object} event
+ * @return void
+ */
+elgg.ui.widgets.collapseToggle = function(event) {
+ $(this).toggleClass('elgg-widget-collapsed');
+ $(this).parent().parent().find('.elgg-body').slideToggle('medium');
+ event.preventDefault();
+};
+
+/**
+ * Save a widget's settings
+ *
+ * Uses Ajax to save the settings and updates the HTML.
+ *
+ * @param {Object} event
+ * @return void
+ */
+elgg.ui.widgets.saveSettings = function(event) {
+ $(this).parent().slideToggle('medium');
+ var $widgetContent = $(this).parent().parent().children('.elgg-widget-content');
+
+ // stick the ajax loader in there
+ var $loader = $('#elgg-widget-loader').clone();
+ $loader.attr('id', '#elgg-widget-active-loader');
+ $loader.removeClass('hidden');
+ $widgetContent.html($loader);
+
+ var default_widgets = $("input[name='default_widgets']").val() || 0;
+ if (default_widgets) {
+ $(this).append('<input type="hidden" name="default_widgets" value="1">');
+ }
+
+ elgg.action('widgets/save', {
+ data: $(this).serialize(),
+ success: function(json) {
+ $widgetContent.html(json.output);
+ }
+ });
+ event.preventDefault();
+};
+
+/**
+ * Set the min-height so that all widget column bottoms are the same
+ *
+ * This addresses the issue of trying to drag a widget into a column that does
+ * not have any widgets or many fewer widgets than other columns.
+ *
+ * @param {String} selector
+ * @return void
+ */
+elgg.ui.widgets.setMinHeight = function(selector) {
+ var maxBottom = 0;
+ $(selector).each(function() {
+ var bottom = parseInt($(this).offset().top + $(this).height());
+ if (bottom > maxBottom) {
+ maxBottom = bottom;
+ }
+ })
+ $(selector).each(function() {
+ var bottom = parseInt($(this).offset().top + $(this).height());
+ if (bottom < maxBottom) {
+ var newMinHeight = parseInt($(this).height() + (maxBottom - bottom));
+ $(this).css('min-height', newMinHeight + 'px');
+ }
+ })
+};
+
+elgg.register_hook_handler('init', 'system', elgg.ui.widgets.init);
diff --git a/js/tests/ElggAjaxOptionsTest.js b/js/tests/ElggAjaxOptionsTest.js
index 0b1b7e6d7..a6b40d439 100644
--- a/js/tests/ElggAjaxOptionsTest.js
+++ b/js/tests/ElggAjaxOptionsTest.js
@@ -1,61 +1,61 @@
-/**
- * Tests elgg.ajax.handleOptions() with all of the possible valid inputs
- */
-ElggAjaxOptionsTest = TestCase("ElggAjaxOptionsTest");
-
-ElggAjaxOptionsTest.prototype.testHandleOptionsAcceptsNoArgs = function() {
- assertNotUndefined(elgg.ajax.handleOptions());
-};
-
-ElggAjaxOptionsTest.prototype.testHandleOptionsAcceptsUrl = function() {
- var url = 'url',
- result = elgg.ajax.handleOptions(url);
-
- assertEquals(url, result.url);
-};
-
-ElggAjaxOptionsTest.prototype.testHandleOptionsAcceptsDataOnly = function() {
- var options = {},
- result = elgg.ajax.handleOptions(options);
-
- assertEquals(options, result.data);
-};
-
-ElggAjaxOptionsTest.prototype.testHandleOptionsAcceptsOptions = function() {
- var options = {data:{arg:1}},
- result = elgg.ajax.handleOptions(options);
-
- assertEquals(options, result);
-
- function func() {}
- options = {success: func};
- result = elgg.ajax.handleOptions(options);
-
- assertEquals(options, result);
-};
-
-ElggAjaxOptionsTest.prototype.testHandleOptionsAcceptsUrlThenDataOnly = function() {
- var url = 'url',
- options = {arg:1},
- result = elgg.ajax.handleOptions(url, options);
-
- assertEquals(url, result.url);
- assertEquals(options, result.data);
-};
-
-ElggAjaxOptionsTest.prototype.testHandleOptionsAcceptsUrlThenSuccessOnly = function() {
- var url = 'url',
- result = elgg.ajax.handleOptions(url, elgg.nullFunction);
-
- assertEquals(url, result.url);
- assertEquals(elgg.nullFunction, result.success);
-};
-
-ElggAjaxOptionsTest.prototype.testHandleOptionsAcceptsUrlThenOptions = function() {
- var url = 'url',
- options = {data:{arg:1}},
- result = elgg.ajax.handleOptions(url, options);
-
- assertEquals(url, result.url);
- assertEquals(options.data, result.data);
+/**
+ * Tests elgg.ajax.handleOptions() with all of the possible valid inputs
+ */
+ElggAjaxOptionsTest = TestCase("ElggAjaxOptionsTest");
+
+ElggAjaxOptionsTest.prototype.testHandleOptionsAcceptsNoArgs = function() {
+ assertNotUndefined(elgg.ajax.handleOptions());
+};
+
+ElggAjaxOptionsTest.prototype.testHandleOptionsAcceptsUrl = function() {
+ var url = 'url',
+ result = elgg.ajax.handleOptions(url);
+
+ assertEquals(url, result.url);
+};
+
+ElggAjaxOptionsTest.prototype.testHandleOptionsAcceptsDataOnly = function() {
+ var options = {},
+ result = elgg.ajax.handleOptions(options);
+
+ assertEquals(options, result.data);
+};
+
+ElggAjaxOptionsTest.prototype.testHandleOptionsAcceptsOptions = function() {
+ var options = {data:{arg:1}},
+ result = elgg.ajax.handleOptions(options);
+
+ assertEquals(options, result);
+
+ function func() {}
+ options = {success: func};
+ result = elgg.ajax.handleOptions(options);
+
+ assertEquals(options, result);
+};
+
+ElggAjaxOptionsTest.prototype.testHandleOptionsAcceptsUrlThenDataOnly = function() {
+ var url = 'url',
+ options = {arg:1},
+ result = elgg.ajax.handleOptions(url, options);
+
+ assertEquals(url, result.url);
+ assertEquals(options, result.data);
+};
+
+ElggAjaxOptionsTest.prototype.testHandleOptionsAcceptsUrlThenSuccessOnly = function() {
+ var url = 'url',
+ result = elgg.ajax.handleOptions(url, elgg.nullFunction);
+
+ assertEquals(url, result.url);
+ assertEquals(elgg.nullFunction, result.success);
+};
+
+ElggAjaxOptionsTest.prototype.testHandleOptionsAcceptsUrlThenOptions = function() {
+ var url = 'url',
+ options = {data:{arg:1}},
+ result = elgg.ajax.handleOptions(url, options);
+
+ assertEquals(url, result.url);
+ assertEquals(options.data, result.data);
}; \ No newline at end of file
diff --git a/js/tests/ElggAjaxTest.js b/js/tests/ElggAjaxTest.js
index 1fa5daca5..a683415fc 100644
--- a/js/tests/ElggAjaxTest.js
+++ b/js/tests/ElggAjaxTest.js
@@ -1,59 +1,59 @@
-/**
- * Makes sure that each of the helper ajax functions ends up calling $.ajax
- * with the right options.
- */
-ElggAjaxTest = TestCase("ElggAjaxTest");
-
-ElggAjaxTest.prototype.setUp = function() {
-
- this.wwwroot = elgg.config.wwwroot;
- this.ajax = $.ajax;
-
- elgg.config.wwwroot = 'http://www.elgg.org/';
-
- $.ajax = function(options) {
- return options;
- };
-};
-
-ElggAjaxTest.prototype.tearDown = function() {
- $.ajax = this.ajax;
- elgg.config.wwwroot = this.wwwroot;
-};
-
-ElggAjaxTest.prototype.testElggAjax = function() {
- assertEquals(elgg.config.wwwroot, elgg.ajax().url);
-};
-
-ElggAjaxTest.prototype.testElggGet = function() {
- assertEquals('get', elgg.get().type);
-};
-
-ElggAjaxTest.prototype.testElggGetJSON = function() {
- assertEquals('json', elgg.getJSON().dataType);
-};
-
-ElggAjaxTest.prototype.testElggPost = function() {
- assertEquals('post', elgg.post().type);
-};
-
-ElggAjaxTest.prototype.testElggAction = function() {
- assertException(function() { elgg.action(); });
- assertException(function() { elgg.action({}); });
-
- var result = elgg.action('action');
- assertEquals('post', result.type);
- assertEquals('json', result.dataType);
- assertEquals(elgg.config.wwwroot + 'action/action', result.url);
- assertEquals(elgg.security.token.__elgg_ts, result.data.__elgg_ts);
-};
-
-ElggAjaxTest.prototype.testElggAPI = function() {
- assertException(function() { elgg.api(); });
- assertException(function() { elgg.api({}); });
-
- var result = elgg.api('method');
- assertEquals('json', result.dataType);
- assertEquals('method', result.data.method);
- assertEquals(elgg.config.wwwroot + 'services/api/rest/json/', result.url);
-};
+/**
+ * Makes sure that each of the helper ajax functions ends up calling $.ajax
+ * with the right options.
+ */
+ElggAjaxTest = TestCase("ElggAjaxTest");
+
+ElggAjaxTest.prototype.setUp = function() {
+
+ this.wwwroot = elgg.config.wwwroot;
+ this.ajax = $.ajax;
+
+ elgg.config.wwwroot = 'http://www.elgg.org/';
+
+ $.ajax = function(options) {
+ return options;
+ };
+};
+
+ElggAjaxTest.prototype.tearDown = function() {
+ $.ajax = this.ajax;
+ elgg.config.wwwroot = this.wwwroot;
+};
+
+ElggAjaxTest.prototype.testElggAjax = function() {
+ assertEquals(elgg.config.wwwroot, elgg.ajax().url);
+};
+
+ElggAjaxTest.prototype.testElggGet = function() {
+ assertEquals('get', elgg.get().type);
+};
+
+ElggAjaxTest.prototype.testElggGetJSON = function() {
+ assertEquals('json', elgg.getJSON().dataType);
+};
+
+ElggAjaxTest.prototype.testElggPost = function() {
+ assertEquals('post', elgg.post().type);
+};
+
+ElggAjaxTest.prototype.testElggAction = function() {
+ assertException(function() { elgg.action(); });
+ assertException(function() { elgg.action({}); });
+
+ var result = elgg.action('action');
+ assertEquals('post', result.type);
+ assertEquals('json', result.dataType);
+ assertEquals(elgg.config.wwwroot + 'action/action', result.url);
+ assertEquals(elgg.security.token.__elgg_ts, result.data.__elgg_ts);
+};
+
+ElggAjaxTest.prototype.testElggAPI = function() {
+ assertException(function() { elgg.api(); });
+ assertException(function() { elgg.api({}); });
+
+ var result = elgg.api('method');
+ assertEquals('json', result.dataType);
+ assertEquals('method', result.data.method);
+ assertEquals(elgg.config.wwwroot + 'services/api/rest/json/', result.url);
+};
diff --git a/js/tests/ElggEventsTest.js b/js/tests/ElggEventsTest.js
deleted file mode 100644
index 4765878cf..000000000
--- a/js/tests/ElggEventsTest.js
+++ /dev/null
@@ -1,28 +0,0 @@
-ElggEventsTest = TestCase("ElggEventsTest");
-
-ElggEventsTest.prototype.setUp = function() {
- elgg.config.events = {};
- elgg.provide('elgg.config.events.all.all');
-};
-
-ElggEventsTest.prototype.testEventHandlersMustBeFunctions = function () {
- assertException(function() { elgg.register_event_handler('str', 'str', 'oops'); });
-};
-
-ElggEventsTest.prototype.testReturnValueDefaultsToTrue = function () {
- assertTrue(elgg.trigger_event('fee', 'fum'));
-
- elgg.register_event_handler('fee', 'fum', elgg.nullFunction);
- assertTrue(elgg.trigger_event('fee', 'fum'));
-};
-
-ElggEventsTest.prototype.testCanGlomEventsWithAll = function () {
- elgg.register_event_handler('all', 'bar', elgg.abstractMethod);
- assertException("all,bar", function() { elgg.trigger_event('foo', 'bar'); });
-
- elgg.register_event_handler('foo', 'all', elgg.abstractMethod);
- assertException("foo,all", function() { elgg.trigger_event('foo', 'baz'); });
-
- elgg.register_event_handler('all', 'all', elgg.abstractMethod);
- assertException("all,all", function() { elgg.trigger_event('pinky', 'winky'); });
-}; \ No newline at end of file
diff --git a/js/tests/ElggHooksTest.js b/js/tests/ElggHooksTest.js
new file mode 100644
index 000000000..e7a2440e7
--- /dev/null
+++ b/js/tests/ElggHooksTest.js
@@ -0,0 +1,28 @@
+ElggHooksTest = TestCase("ElggHooksTest");
+
+ElggHooksTest.prototype.setUp = function() {
+ elgg.config.hooks = {};
+ elgg.provide('elgg.config.hooks.all.all');
+};
+
+ElggHooksTest.prototype.testHookHandlersMustBeFunctions = function () {
+ assertException(function() { elgg.register_hook_handler('str', 'str', 'oops'); });
+};
+
+ElggHooksTest.prototype.testReturnValueDefaultsToTrue = function () {
+ assertTrue(elgg.trigger_hook('fee', 'fum'));
+
+ elgg.register_hook_handler('fee', 'fum', elgg.nullFunction);
+ assertTrue(elgg.trigger_hook('fee', 'fum'));
+};
+
+ElggHooksTest.prototype.testCanGlomHooksWithAll = function () {
+ elgg.register_hook_handler('all', 'bar', elgg.abstractMethod);
+ assertException("all,bar", function() { elgg.trigger_hook('foo', 'bar'); });
+
+ elgg.register_hook_handler('foo', 'all', elgg.abstractMethod);
+ assertException("foo,all", function() { elgg.trigger_hook('foo', 'baz'); });
+
+ elgg.register_hook_handler('all', 'all', elgg.abstractMethod);
+ assertException("all,all", function() { elgg.trigger_hook('pinky', 'winky'); });
+}; \ No newline at end of file
diff --git a/js/tests/ElggLanguagesTest.js b/js/tests/ElggLanguagesTest.js
index 950d5d3b8..9186ff5bb 100644
--- a/js/tests/ElggLanguagesTest.js
+++ b/js/tests/ElggLanguagesTest.js
@@ -1,45 +1,45 @@
-ElggLanguagesTest = TestCase("ElggLanguagesTest");
-
-ElggLanguagesTest.prototype.setUp = function() {
- this.ajax = $.ajax;
-
- //Immediately execute some dummy "returned" javascript instead of sending
- //an actual ajax request
- $.ajax = function(settings) {
- var lang = settings.data.js.split('/')[1];
- elgg.config.translations[lang] = {'language':lang};
- };
-};
-
-ElggLanguagesTest.prototype.tearDown = function() {
- $.ajax = this.ajax;
-
- //clear translations
- elgg.config.translations['en'] = undefined;
- elgg.config.translations['aa'] = undefined;
-};
-
-ElggLanguagesTest.prototype.testLoadTranslations = function() {
- assertUndefined(elgg.config.translations['en']);
- assertUndefined(elgg.config.translations['aa']);
-
- elgg.reload_all_translations();
- elgg.reload_all_translations('aa');
-
- assertNotUndefined(elgg.config.translations['en']['language']);
- assertNotUndefined(elgg.config.translations['aa']['language']);
-};
-
-ElggLanguagesTest.prototype.testElggEchoTranslates = function() {
- elgg.reload_all_translations('en');
- elgg.reload_all_translations('aa');
-
- assertEquals('en', elgg.echo('language'));
- assertEquals('aa', elgg.echo('language', 'aa'));
-};
-
-ElggLanguagesTest.prototype.testElggEchoFallsBackToDefaultLanguage = function() {
- elgg.reload_all_translations('en');
- assertEquals('en', elgg.echo('language', 'aa'));
-};
-
+ElggLanguagesTest = TestCase("ElggLanguagesTest");
+
+ElggLanguagesTest.prototype.setUp = function() {
+ this.ajax = $.ajax;
+
+ //Immediately execute some dummy "returned" javascript instead of sending
+ //an actual ajax request
+ $.ajax = function(settings) {
+ var lang = settings.data.language;
+ elgg.config.translations[lang] = {'language':lang};
+ };
+};
+
+ElggLanguagesTest.prototype.tearDown = function() {
+ $.ajax = this.ajax;
+
+ //clear translations
+ elgg.config.translations['en'] = undefined;
+ elgg.config.translations['aa'] = undefined;
+};
+
+ElggLanguagesTest.prototype.testLoadTranslations = function() {
+ assertUndefined(elgg.config.translations['en']);
+ assertUndefined(elgg.config.translations['aa']);
+
+ elgg.reload_all_translations();
+ elgg.reload_all_translations('aa');
+
+ assertNotUndefined(elgg.config.translations['en']['language']);
+ assertNotUndefined(elgg.config.translations['aa']['language']);
+};
+
+ElggLanguagesTest.prototype.testElggEchoTranslates = function() {
+ elgg.reload_all_translations('en');
+ elgg.reload_all_translations('aa');
+
+ assertEquals('en', elgg.echo('language'));
+ assertEquals('aa', elgg.echo('language', 'aa'));
+};
+
+ElggLanguagesTest.prototype.testElggEchoFallsBackToDefaultLanguage = function() {
+ elgg.reload_all_translations('en');
+ assertEquals('en', elgg.echo('language', 'aa'));
+};
+
diff --git a/js/tests/ElggLibTest.js b/js/tests/ElggLibTest.js
index 132ad986a..bd39e7fb3 100644
--- a/js/tests/ElggLibTest.js
+++ b/js/tests/ElggLibTest.js
@@ -1,84 +1,140 @@
-/**
- * Test basic elgg library functions
- */
-ElggLibTest = TestCase("ElggLibTest");
-
-ElggLibTest.prototype.testGlobal = function() {
- assertTrue(window === elgg.global);
-};
-
-ElggLibTest.prototype.testAssertTypeOf = function() {
- [//Valid inputs
- ['string', ''],
- ['object', {}],
- ['boolean', true],
- ['boolean', false],
- ['undefined', undefined],
- ['number', 0],
- ['function', elgg.nullFunction]
- ].forEach(function(args) {
- assertNoException(function() {
- elgg.assertTypeOf.apply(undefined, args);
- });
- });
-
- [//Invalid inputs
- ['function', {}],
- ['object', elgg.nullFunction]
- ].forEach(function() {
- assertException(function(args) {
- elgg.assertTypeOf.apply(undefined, args);
- });
- });
-};
-
-ElggLibTest.prototype.testProvideDoesntClobber = function() {
- elgg.provide('foo.bar.baz');
-
- foo.bar.baz.oof = "test";
-
- elgg.provide('foo.bar.baz');
-
- assertEquals("test", foo.bar.baz.oof);
-};
-
-/**
- * Try requiring bogus input
- */
-ElggLibTest.prototype.testRequire = function () {
- assertException(function(){ elgg.require(''); });
- assertException(function(){ elgg.require('garbage'); });
- assertException(function(){ elgg.require('gar.ba.ge'); });
-
- assertNoException(function(){
- elgg.require('jQuery');
- elgg.require('elgg');
- elgg.require('elgg.config');
- elgg.require('elgg.security');
- });
-};
-
-ElggLibTest.prototype.testInherit = function () {
- function Base() {}
- function Child() {}
-
- elgg.inherit(Child, Base);
-
- assertInstanceOf(Base, new Child());
- assertEquals(Child, Child.prototype.constructor);
-};
-
-ElggLibTest.prototype.testNormalizeUrl = function() {
- elgg.config.wwwroot = "http://elgg.org/";
-
- [
- ['', elgg.config.wwwroot],
- ['pg/test', elgg.config.wwwroot + 'pg/test'],
- ['http://google.com', 'http://google.com'],
- ['//example.com', '//example.com'],
- ['/pg/page', elgg.config.wwwroot + 'pg/page'],
- ['mod/plugin/index.php', elgg.config.wwwroot + 'mod/plugin/index.php'],
- ].forEach(function(args) {
- assertEquals(args[1], elgg.normalize_url(args[0]));
- });
-}; \ No newline at end of file
+/**
+ * Test basic elgg library functions
+ */
+ElggLibTest = TestCase("ElggLibTest");
+
+ElggLibTest.prototype.testGlobal = function() {
+ assertTrue(window === elgg.global);
+};
+
+ElggLibTest.prototype.testAssertTypeOf = function() {
+ [//Valid inputs
+ ['string', ''],
+ ['object', {}],
+ ['boolean', true],
+ ['boolean', false],
+ ['undefined', undefined],
+ ['number', 0],
+ ['function', elgg.nullFunction]
+ ].forEach(function(args) {
+ assertNoException(function() {
+ elgg.assertTypeOf.apply(undefined, args);
+ });
+ });
+
+ [//Invalid inputs
+ ['function', {}],
+ ['object', elgg.nullFunction]
+ ].forEach(function() {
+ assertException(function(args) {
+ elgg.assertTypeOf.apply(undefined, args);
+ });
+ });
+};
+
+ElggLibTest.prototype.testProvideDoesntClobber = function() {
+ elgg.provide('foo.bar.baz');
+
+ foo.bar.baz.oof = "test";
+
+ elgg.provide('foo.bar.baz');
+
+ assertEquals("test", foo.bar.baz.oof);
+};
+
+/**
+ * Try requiring bogus input
+ */
+ElggLibTest.prototype.testRequire = function () {
+ assertException(function(){ elgg.require(''); });
+ assertException(function(){ elgg.require('garbage'); });
+ assertException(function(){ elgg.require('gar.ba.ge'); });
+
+ assertNoException(function(){
+ elgg.require('jQuery');
+ elgg.require('elgg');
+ elgg.require('elgg.config');
+ elgg.require('elgg.security');
+ });
+};
+
+ElggLibTest.prototype.testInherit = function () {
+ function Base() {}
+ function Child() {}
+
+ elgg.inherit(Child, Base);
+
+ assertInstanceOf(Base, new Child());
+ assertEquals(Child, Child.prototype.constructor);
+};
+
+ElggLibTest.prototype.testNormalizeUrl = function() {
+ elgg.config.wwwroot = "http://elgg.org/";
+
+ [
+ ['', elgg.config.wwwroot],
+ ['test', elgg.config.wwwroot + 'test'],
+ ['http://example.com', 'http://example.com'],
+ ['https://example.com', 'https://example.com'],
+ ['http://example-time.com', 'http://example-time.com'],
+ ['//example.com', '//example.com'],
+ ['mod/my_plugin/graphics/image.jpg', elgg.config.wwwroot + 'mod/my_plugin/graphics/image.jpg'],
+
+ ['ftp://example.com/file', 'ftp://example.com/file'],
+ ['mailto:brett@elgg.org', 'mailto:brett@elgg.org'],
+ ['javascript:alert("test")', 'javascript:alert("test")'],
+ ['app://endpoint', 'app://endpoint'],
+
+ ['example.com', 'http://example.com'],
+ ['example.com/subpage', 'http://example.com/subpage'],
+
+ ['page/handler', elgg.config.wwwroot + 'page/handler'],
+ ['page/handler?p=v&p2=v2', elgg.config.wwwroot + 'page/handler?p=v&p2=v2'],
+ ['mod/plugin/file.php', elgg.config.wwwroot + 'mod/plugin/file.php'],
+ ['mod/plugin/file.php?p=v&p2=v2', elgg.config.wwwroot + 'mod/plugin/file.php?p=v&p2=v2'],
+ ['rootfile.php', elgg.config.wwwroot + 'rootfile.php'],
+ ['rootfile.php?p=v&p2=v2', elgg.config.wwwroot + 'rootfile.php?p=v&p2=v2'],
+
+ ['/page/handler', elgg.config.wwwroot + 'page/handler'],
+ ['/page/handler?p=v&p2=v2', elgg.config.wwwroot + 'page/handler?p=v&p2=v2'],
+ ['/mod/plugin/file.php', elgg.config.wwwroot + 'mod/plugin/file.php'],
+ ['/mod/plugin/file.php?p=v&p2=v2', elgg.config.wwwroot + 'mod/plugin/file.php?p=v&p2=v2'],
+ ['/rootfile.php', elgg.config.wwwroot + 'rootfile.php'],
+ ['/rootfile.php?p=v&p2=v2', elgg.config.wwwroot + 'rootfile.php?p=v&p2=v2']
+
+ ].forEach(function(args) {
+ assertEquals(args[1], elgg.normalize_url(args[0]));
+ });
+};
+
+ElggLibTest.prototype.testParseUrl = function() {
+
+ [
+ ["http://www.elgg.org/test/", {'scheme': 'http', 'host': 'www.elgg.org', 'path': '/test/'}],
+ ["https://www.elgg.org/test/", {'scheme': 'https', 'host': 'www.elgg.org', 'path': '/test/'}],
+ ["ftp://www.elgg.org/test/", {'scheme': 'ftp', 'host': 'www.elgg.org', 'path': '/test/'}],
+ ["http://elgg.org/test?val1=one&val2=two", {'scheme': 'http', 'host': 'elgg.org', 'path': '/test', 'query': 'val1=one&val2=two'}],
+ ["http://elgg.org:8080/", {'scheme': 'http', 'host': 'elgg.org', 'port': 8080, 'path': '/'}],
+ ["http://elgg.org/test#there", {'scheme': 'http', 'host': 'elgg.org', 'path': '/test', 'fragment': 'there'}],
+
+ ["test?val=one", {'host': 'test', 'query': 'val=one'}],
+ ["?val=one", {'query': 'val=one'}],
+
+ ["mailto:joe@elgg.org", {'scheme': 'mailto', 'path': 'joe@elgg.org'}],
+ ["javascript:load()", {'scheme': 'javascript', 'path': 'load()'}]
+
+ ].forEach(function(args) {
+ assertEquals(args[1], elgg.parse_url(args[0]));
+ });
+};
+
+ElggLibTest.prototype.testParseStr = function() {
+
+ [
+ ["A+%2B+B=A+%2B+B", {"A + B": "A + B"}]
+
+ ].forEach(function(args) {
+ assertEquals(args[1], elgg.parse_str(args[0]));
+ });
+};
+
diff --git a/js/tests/ElggPriorityListTest.js b/js/tests/ElggPriorityListTest.js
index 2549e0ee0..2329a8490 100644
--- a/js/tests/ElggPriorityListTest.js
+++ b/js/tests/ElggPriorityListTest.js
@@ -15,7 +15,7 @@ ElggPriorityListTest.prototype.testInsert = function() {
this.list.insert('bar', 501);
- assertEquals('foo', this.list.priorities_[501][0]);
+ assertEquals('bar', this.list.priorities_[501][0]);
};
ElggPriorityListTest.prototype.testInsertRespectsPriority = function() {
@@ -25,9 +25,9 @@ ElggPriorityListTest.prototype.testInsertRespectsPriority = function() {
this.list.insert(values[i], values[i]);
}
- this.list.forEach(function(elem, idx)) {
+ this.list.forEach(function(elem, idx) {
assertEquals(elem, idx);
- }
+ })
};
ElggPriorityListTest.prototype.testInsertHandlesDuplicatePriorities = function() {
diff --git a/js/tests/ElggSecurityTest.js b/js/tests/ElggSecurityTest.js
index 4324f5671..107c0adbd 100644
--- a/js/tests/ElggSecurityTest.js
+++ b/js/tests/ElggSecurityTest.js
@@ -1,51 +1,75 @@
-ElggSecurityTest = TestCase("ElggSecurityTest");
-
-ElggSecurityTest.prototype.setUp = function() {
- //fill with fake, but reasonable, values for testing
- this.ts = elgg.security.token.__elgg_ts = 12345;
- this.token = elgg.security.token.__elgg_token = 'abcdef';
-};
-
-ElggSecurityTest.prototype.testAddTokenAcceptsUndefined = function() {
- var input,
- expected = {
- __elgg_ts: this.ts,
- __elgg_token: this.token
- };
-
- assertEquals(expected, elgg.security.addToken(input));
-};
-
-ElggSecurityTest.prototype.testAddTokenAcceptsObject = function() {
- var input = {},
- expected = {
- __elgg_ts: this.ts,
- __elgg_token: this.token
- };
-
- assertEquals(expected, elgg.security.addToken(input));
-};
-
-ElggSecurityTest.prototype.testAddTokenAcceptsString = function() {
- var input,
- str = "__elgg_ts=" + this.ts + "&__elgg_token=" + this.token;
-
- input = "";
- assertEquals(str, elgg.security.addToken(input));
-
- input = "data=sofar";
- assertEquals(input+'&'+str, elgg.security.addToken(input));
-
-};
-
-ElggSecurityTest.prototype.testSetTokenSetsElggSecurityToken = function() {
- var json = {
- __elgg_ts: 4567,
- __elgg_token: 'abcdef'
- };
-
- elgg.security.setToken(json);
- assertEquals(json, elgg.security.token);
-};
-
-
+ElggSecurityTest = TestCase("ElggSecurityTest");
+
+ElggSecurityTest.prototype.setUp = function() {
+ //fill with fake, but reasonable, values for testing
+ this.ts = elgg.security.token.__elgg_ts = 12345;
+ this.token = elgg.security.token.__elgg_token = 'abcdef';
+};
+
+ElggSecurityTest.prototype.testAddTokenAcceptsUndefined = function() {
+ var input,
+ expected = {
+ __elgg_ts: this.ts,
+ __elgg_token: this.token
+ };
+
+ assertEquals(expected, elgg.security.addToken(input));
+};
+
+ElggSecurityTest.prototype.testAddTokenAcceptsObject = function() {
+ var input = {},
+ expected = {
+ __elgg_ts: this.ts,
+ __elgg_token: this.token
+ };
+
+ assertEquals(expected, elgg.security.addToken(input));
+};
+
+ElggSecurityTest.prototype.testAddTokenAcceptsRelativeUrl = function() {
+ var input,
+ str = "__elgg_ts=" + this.ts + "&__elgg_token=" + this.token;
+
+ input = "test";
+ assertEquals(input + '?' + str, elgg.security.addToken(input));
+};
+
+ElggSecurityTest.prototype.testAddTokenAcceptsFullUrl = function() {
+ var input,
+ str = "__elgg_ts=" + this.ts + "&__elgg_token=" + this.token;
+
+ input = "http://elgg.org/";
+ assertEquals(input + '?' + str, elgg.security.addToken(input));
+};
+
+ElggSecurityTest.prototype.testAddTokenAcceptsQueryString = function() {
+ var input,
+ str = "__elgg_ts=" + this.ts + "&__elgg_token=" + this.token;
+
+ input = "?data=sofar";
+ assertEquals(input + '&' + str, elgg.security.addToken(input));
+
+ input = "test?data=sofar";
+ assertEquals(input + '&' + str, elgg.security.addToken(input));
+
+ input = "http://elgg.org/?data=sofar";
+ assertEquals(input + '&' + str, elgg.security.addToken(input));
+};
+
+ElggSecurityTest.prototype.testAddTokenAlreadyAdded = function() {
+ var input,
+ str = "__elgg_ts=" + this.ts + "&__elgg_token=" + this.token;
+
+ input = "http://elgg.org/?" + str + "&data=sofar";
+ assertEquals(input, elgg.security.addToken(input));
+};
+
+ElggSecurityTest.prototype.testSetTokenSetsElggSecurityToken = function() {
+ var json = {
+ __elgg_ts: 4567,
+ __elgg_token: 'abcdef'
+ };
+
+ elgg.security.setToken(json);
+ assertEquals(json, elgg.security.token);
+};
diff --git a/js/tests/ElggSessionTest.js b/js/tests/ElggSessionTest.js
index 0245e9e90..5ff8ca13e 100644
--- a/js/tests/ElggSessionTest.js
+++ b/js/tests/ElggSessionTest.js
@@ -1,36 +1,36 @@
-ElggSessionTest = TestCase("ElggSessionTest");
-
-ElggSessionTest.prototype.testGetCookie = function() {
- assertEquals(document.cookie, elgg.session.cookie());
-};
-
-ElggSessionTest.prototype.testGetCookieKey = function() {
- document.cookie = "name=value";
- assertEquals('value', elgg.session.cookie('name'));
-
- document.cookie = "name=value2";
- assertEquals('value2', elgg.session.cookie('name'));
-
- document.cookie = "name=value";
- document.cookie = "name2=value2";
- assertEquals('value', elgg.session.cookie('name'));
- assertEquals('value2', elgg.session.cookie('name2'));
-};
-
-ElggSessionTest.prototype.testSetCookieKey = function() {
- elgg.session.cookie('name', 'value');
- assertEquals('value', elgg.session.cookie('name'));
-
- elgg.session.cookie('name', 'value2');
- assertEquals('value2', elgg.session.cookie('name'));
-
- elgg.session.cookie('name', 'value');
- elgg.session.cookie('name2', 'value2');
- assertEquals('value', elgg.session.cookie('name'));
- assertEquals('value2', elgg.session.cookie('name2'));
-
- elgg.session.cookie('name', null);
- elgg.session.cookie('name2', null);
- assertUndefined(elgg.session.cookie('name'));
- assertUndefined(elgg.session.cookie('name2'));
+ElggSessionTest = TestCase("ElggSessionTest");
+
+ElggSessionTest.prototype.testGetCookie = function() {
+ assertEquals(document.cookie, elgg.session.cookie());
+};
+
+ElggSessionTest.prototype.testGetCookieKey = function() {
+ document.cookie = "name=value";
+ assertEquals('value', elgg.session.cookie('name'));
+
+ document.cookie = "name=value2";
+ assertEquals('value2', elgg.session.cookie('name'));
+
+ document.cookie = "name=value";
+ document.cookie = "name2=value2";
+ assertEquals('value', elgg.session.cookie('name'));
+ assertEquals('value2', elgg.session.cookie('name2'));
+};
+
+ElggSessionTest.prototype.testSetCookieKey = function() {
+ elgg.session.cookie('name', 'value');
+ assertEquals('value', elgg.session.cookie('name'));
+
+ elgg.session.cookie('name', 'value2');
+ assertEquals('value2', elgg.session.cookie('name'));
+
+ elgg.session.cookie('name', 'value');
+ elgg.session.cookie('name2', 'value2');
+ assertEquals('value', elgg.session.cookie('name'));
+ assertEquals('value2', elgg.session.cookie('name2'));
+
+ elgg.session.cookie('name', null);
+ elgg.session.cookie('name2', null);
+ assertUndefined(elgg.session.cookie('name'));
+ assertUndefined(elgg.session.cookie('name2'));
}; \ No newline at end of file
diff --git a/js/tests/README b/js/tests/README
new file mode 100644
index 000000000..f43c0c89d
--- /dev/null
+++ b/js/tests/README
@@ -0,0 +1,25 @@
+Elgg JavaScript Unit Tests
+--------------------------
+
+Introduction
+============
+Elgg uses js-test-driver to run its unit tests. Instructions on obtaining,
+configuring, and using it are at http://code.google.com/p/js-test-driver/. It
+supports running the test in multiple browsers and debugging using web browser
+based debuggers. Visit its wiki at the Google Code site for more information.
+
+
+Sample Usage
+============
+ 1. Put jar file in the base directory of Elgg
+ 2. Run the server: java -jar JsTestDriver-1.3.5.jar --port 4224
+ 3. Point a web browser at http://localhost:4224
+ 4. Click "Capture this browser"
+ 5. Run the tests: java -jar JsTestDriver-1.3.5.jar --config js/tests/jsTestDriver.conf --basePath . --tests all
+
+
+Configuration Hints
+===================
+ * The port when running the server must be the same as listed in the
+ configuration file. If that port is being used, change the configuration file.
+ * The basePath must be the base directory of Elgg. \ No newline at end of file
diff --git a/js/tests/jsTestDriver.conf b/js/tests/jsTestDriver.conf
index 606487c03..cc0b5d373 100644
--- a/js/tests/jsTestDriver.conf
+++ b/js/tests/jsTestDriver.conf
@@ -1,9 +1,10 @@
-server: http://localhost:42442
-
-load:
- - vendors/jquery/jquery-1.4.2.min.js
- - vendors/sprintf.js
- - js/lib/elgglib.js
- - js/classes/*.js
- - js/lib/*.js
+server: http://localhost:4224
+
+load:
+ - vendors/jquery/jquery-1.6.4.min.js
+ - vendors/sprintf.js
+ - js/lib/elgglib.js
+ - js/lib/hooks.js
+ - js/classes/*.js
+ - js/lib/*.js
- js/tests/*.js \ No newline at end of file
diff --git a/languages/en.php b/languages/en.php
index 3d6885e8a..07407d1e1 100644
--- a/languages/en.php
+++ b/languages/en.php
@@ -7,7 +7,6 @@
*/
$english = array(
-
/**
* Sites
*/
@@ -21,7 +20,7 @@ $english = array(
'login' => "Log in",
'loginok' => "You have been logged in.",
'loginerror' => "We couldn't log you in. Please check your credentials and try again.",
- 'login:empty' => "Username and password are required.",
+ 'login:empty' => "Username/email and password are required.",
'login:baduser' => "Unable to load your user account.",
'auth:nopams' => "Internal error. No user authentication method installed.",
@@ -38,6 +37,7 @@ $english = array(
* Errors
*/
'exception:title' => "Fatal Error.",
+ 'exception:contact_admin' => 'An unrecoverable error has occurred and has been logged. Contact the site administrator with the following information:',
'actionundefined' => "The requested action (%s) was not defined in the system.",
'actionnotfound' => "The action file for %s was not found.",
@@ -55,6 +55,8 @@ $english = array(
'SecurityException:FunctionDenied' => "Access to privileged function '%s' is denied.",
'DatabaseException:DBSetupIssues' => "There were a number of issues: ",
'DatabaseException:ScriptNotFound' => "Elgg couldn't find the requested database script at %s.",
+ 'DatabaseException:InvalidQuery' => "Invalid query",
+ 'DatabaseException:InvalidDBLink' => "Connection to database was lost.",
'IOException:FailedToLoadGUID' => "Failed to load new %s from GUID:%d",
'InvalidParameterException:NonElggObject' => "Passing a non-ElggObject to an ElggObject constructor!",
@@ -62,7 +64,51 @@ $english = array(
'InvalidClassException:NotValidElggStar' => "GUID:%d is not a valid %s",
- 'PluginException:MisconfiguredPlugin' => "%s is a misconfigured plugin. It has been disabled. Please search the Elgg wiki for possible causes (http://docs.elgg.org/wiki/).",
+ 'PluginException:MisconfiguredPlugin' => "%s (guid: %s) is a misconfigured plugin. It has been disabled. Please search the Elgg wiki for possible causes (http://docs.elgg.org/wiki/).",
+ 'PluginException:CannotStart' => '%s (guid: %s) cannot start and has been deactivated. Reason: %s',
+ 'PluginException:InvalidID' => "%s is an invalid plugin ID.",
+ 'PluginException:InvalidPath' => "%s is an invalid plugin path.",
+ 'PluginException:InvalidManifest' => 'Invalid manifest file for plugin %s',
+ 'PluginException:InvalidPlugin' => '%s is not a valid plugin.',
+ 'PluginException:InvalidPlugin:Details' => '%s is not a valid plugin: %s',
+ 'PluginException:NullInstantiated' => 'ElggPlugin cannot be null instantiated. You must pass a GUID, a plugin ID, or a full path.',
+
+ 'ElggPlugin:MissingID' => 'Missing plugin ID (guid %s)',
+ 'ElggPlugin:NoPluginPackagePackage' => 'Missing ElggPluginPackage for plugin ID %s (guid %s)',
+
+ 'ElggPluginPackage:InvalidPlugin:MissingFile' => 'The required file "%s" is missing.',
+ 'ElggPluginPackage:InvalidPlugin:InvalidDependency' => 'Its manifest contains an invalid dependency type "%s".',
+ 'ElggPluginPackage:InvalidPlugin:InvalidProvides' => 'Its manifest contains an invalid provides type "%s".',
+ 'ElggPluginPackage:InvalidPlugin:CircularDep' => 'There is an invalid %s dependency "%s" in plugin %s. Plugins cannot conflict with or require something they provide!',
+
+ 'ElggPlugin:Exception:CannotIncludeFile' => 'Cannot include %s for plugin %s (guid: %s) at %s.',
+ 'ElggPlugin:Exception:CannotRegisterViews' => 'Cannot open views dir for plugin %s (guid: %s) at %s.',
+ 'ElggPlugin:Exception:CannotRegisterLanguages' => 'Cannot register languages for plugin %s (guid: %s) at %s.',
+ 'ElggPlugin:Exception:NoID' => 'No ID for plugin guid %s!',
+
+ 'PluginException:ParserError' => 'Error parsing manifest with API version %s in plugin %s.',
+ 'PluginException:NoAvailableParser' => 'Cannot find a parser for manifest API version %s in plugin %s.',
+ 'PluginException:ParserErrorMissingRequiredAttribute' => "Missing required '%s' attribute in manifest for plugin %s.",
+
+ 'ElggPlugin:Dependencies:Requires' => 'Requires',
+ 'ElggPlugin:Dependencies:Suggests' => 'Suggests',
+ 'ElggPlugin:Dependencies:Conflicts' => 'Conflicts',
+ 'ElggPlugin:Dependencies:Conflicted' => 'Conflicted',
+ 'ElggPlugin:Dependencies:Provides' => 'Provides',
+ 'ElggPlugin:Dependencies:Priority' => 'Priority',
+
+ 'ElggPlugin:Dependencies:Elgg' => 'Elgg version',
+ 'ElggPlugin:Dependencies:PhpExtension' => 'PHP extension: %s',
+ 'ElggPlugin:Dependencies:PhpIni' => 'PHP ini setting: %s',
+ 'ElggPlugin:Dependencies:Plugin' => 'Plugin: %s',
+ 'ElggPlugin:Dependencies:Priority:After' => 'After %s',
+ 'ElggPlugin:Dependencies:Priority:Before' => 'Before %s',
+ 'ElggPlugin:Dependencies:Priority:Uninstalled' => '%s is not installed',
+ 'ElggPlugin:Dependencies:Suggests:Unsatisfied' => 'Missing',
+
+ 'ElggPlugin:Dependencies:ActiveDependent' => 'There are other plugins that list %s as a dependency. You must disable the following plugins before disabling this one: %s',
+
+ 'ElggPlugin:InvalidAndDeactivated' => '%s is an invalid plugin and has been deactivated.',
'InvalidParameterException:NonElggUser' => "Passing a non-ElggUser to an ElggUser constructor!",
@@ -124,11 +170,14 @@ $english = array(
'InvalidParameterException:DoesNotBelong' => "Does not belong to entity.",
'InvalidParameterException:DoesNotBelongOrRefer' => "Does not belong to entity or refer to entity.",
'InvalidParameterException:MissingParameter' => "Missing parameter, you need to provide a GUID.",
+ 'InvalidParameterException:LibraryNotRegistered' => '%s is not a registered library',
+ 'InvalidParameterException:LibraryNotFound' => 'Could not load the %s library from %s',
'APIException:ApiResultUnknown' => "API Result is of an unknown type, this should never happen.",
'ConfigurationException:NoSiteID' => "No site ID has been specified.",
'SecurityException:APIAccessDenied' => "Sorry, API access has been disabled by the administrator.",
'SecurityException:NoAuthMethods' => "No authentication methods were found that could authenticate this API request.",
+ 'SecurityException:ForwardFailedToRedirect' => 'Redirect could not be issued due to headers already being sent. Halting execution for security. Output started in file %s at line %d. Search http://docs.elgg.org/ for more information.',
'InvalidParameterException:APIMethodOrFunctionNotSet' => "Method or function not set in call in expose_method()",
'InvalidParameterException:APIParametersArrayStructure' => "Parameters array structure is incorrect for call to expose method '%s'",
'InvalidParameterException:UnrecognisedHttpMethod' => "Unrecognised http method %s for api method '%s'",
@@ -178,19 +227,23 @@ $english = array(
'RegistrationException:EmptyPassword' => 'The password fields cannot be empty',
'RegistrationException:PasswordMismatch' => 'Passwords must match',
'LoginException:BannedUser' => 'You have been banned from this site and cannot log in',
- 'LoginException:UsernameFailure' => 'We could not log you in. Please check your username and password.',
- 'LoginException:PasswordFailure' => 'We could not log you in. Please check your username and password.',
+ 'LoginException:UsernameFailure' => 'We could not log you in. Please check your username/email and password.',
+ 'LoginException:PasswordFailure' => 'We could not log you in. Please check your username/email and password.',
'LoginException:AccountLocked' => 'Your account has been locked for too many log in failures.',
-
- 'memcache:notinstalled' => 'PHP memcache module not installed, you must install php5-memcache',
- 'memcache:noservers' => 'No memcache servers defined, please populate the $CONFIG->memcache_servers variable',
- 'memcache:versiontoolow' => 'Memcache needs at least version %s to run, you are running %s',
- 'memcache:noaddserver' => 'Multiple server support disabled, you may need to upgrade your PECL memcache library',
+ 'LoginException:ChangePasswordFailure' => 'Failed current password check.',
+ 'LoginException:Unknown' => 'We could not log you in due to an unknown error.',
'deprecatedfunction' => 'Warning: This code uses the deprecated function \'%s\' and is not compatible with this version of Elgg',
'pageownerunavailable' => 'Warning: The page owner %d is not accessible!',
'viewfailure' => 'There was an internal failure in the view %s',
+ 'changebookmark' => 'Please change your bookmark for this page',
+ 'noaccess' => 'You need to login to view this content or the content has been removed or you do not have permission to view it.',
+ 'error:missing_data' => 'There was some data missing in your request',
+
+ 'error:default' => 'Oops...something went wrong.',
+ 'error:404' => 'Sorry. We could not find the page that you requested.',
+
/**
* API
*/
@@ -218,38 +271,34 @@ $english = array(
'PUBLIC' => "Public",
'access:friends:label' => "Friends",
'access' => "Access",
+ 'access:limited:label' => "Limited",
+ 'access:help' => "The access level",
/**
* Dashboard and widgets
*/
'dashboard' => "Dashboard",
- 'dashboard:configure' => "Edit page",
- 'dashboard:nowidgets' => "Your dashboard is your gateway into the site. Click 'Edit page' to add widgets to keep track of content and your life within the system.",
+ 'dashboard:nowidgets' => "Your dashboard lets you track the activity and content on this site that matters to you.",
'widgets:add' => 'Add widgets',
- 'widgets:add:description' => "Click on any widget button below to add it to your page.",
+ 'widgets:add:description' => "Click on any widget button below to add it to the page.",
'widgets:position:fixed' => '(Fixed position on page)',
+ 'widget:unavailable' => 'You have already added this widget',
+ 'widget:numbertodisplay' => 'Number of items to display',
+
+ 'widget:delete' => 'Remove %s',
+ 'widget:edit' => 'Customize this widget',
'widgets' => "Widgets",
'widget' => "Widget",
'item:object:widget' => "Widgets",
- 'layout:customise' => "Customize layout",
- 'widgets:gallery' => "Widget gallery",
- 'widgets:leftcolumn' => "Left widgets",
- 'widgets:fixed' => "Fixed position",
- 'widgets:middlecolumn' => "Middle widgets",
- 'widgets:rightcolumn' => "Right widgets",
- 'widgets:profilebox' => "Profile box",
- 'widgets:panel:save:success' => "Your widgets were successfully saved.",
- 'widgets:panel:save:failure' => "There was a problem saving your widgets. Please try again.",
'widgets:save:success' => "The widget was successfully saved.",
- 'widgets:save:failure' => "We could not save your widget. Please try again.",
+ 'widgets:save:failure' => "We could not save your widget.",
'widgets:add:success' => "The widget was successfully added.",
'widgets:add:failure' => "We could not add your widget.",
'widgets:move:failure' => "We could not store the new widget position.",
'widgets:remove:failure' => "Unable to remove this widget",
- 'widgets:handlernotfound' => 'This widget is either broken or has been disabled by the site administrator.',
/**
* Groups
@@ -269,20 +318,20 @@ $english = array(
* Friends
*/
- 'friends' => "My Friends",
+ 'friends' => "Friends",
'friends:yours' => "Your friends",
'friends:owned' => "%s's friends",
'friend:add' => "Add friend",
'friend:remove' => "Remove friend",
'friends:add:successful' => "You have successfully added %s as a friend.",
- 'friends:add:failure' => "We couldn't add %s as a friend. Please try again.",
+ 'friends:add:failure' => "We couldn't add %s as a friend.",
'friends:remove:successful' => "You have successfully removed %s from your friends.",
- 'friends:remove:failure' => "We couldn't remove %s from your friends. Please try again.",
+ 'friends:remove:failure' => "We couldn't remove %s from your friends.",
- 'friends:none' => "This user hasn't added anyone as a friend yet.",
- 'friends:none:you' => "You haven't added anyone as a friend! Search for your interests to begin finding people to follow.",
+ 'friends:none' => "No friends yet.",
+ 'friends:none:you' => "You don't have any friends yet.",
'friends:none:found' => "No friends were found.",
@@ -292,32 +341,89 @@ $english = array(
'friends:of:owned' => "People who have made %s a friend",
'friends:of' => "Friends of",
- 'friends:collections' => "Collections of friends",
+ 'friends:collections' => "Friend collections",
+ 'collections:add' => "New collection",
'friends:collections:add' => "New friends collection",
- 'friends:addfriends' => "Add friends",
+ 'friends:addfriends' => "Select friends",
'friends:collectionname' => "Collection name",
'friends:collectionfriends' => "Friends in collection",
'friends:collectionedit' => "Edit this collection",
- 'friends:nocollections' => "You do not yet have any collections.",
+ 'friends:nocollections' => "You do not have any collections yet.",
'friends:collectiondeleted' => "Your collection has been deleted.",
'friends:collectiondeletefailed' => "We were unable to delete the collection. Either you don't have permission, or some other problem has occurred.",
'friends:collectionadded' => "Your collection was successfully created",
'friends:nocollectionname' => "You need to give your collection a name before it can be created.",
'friends:collections:members' => "Collection members",
'friends:collections:edit' => "Edit collection",
-
- 'friends:river:add' => "%s is now a friend with",
+ 'friends:collections:edited' => "Saved collection",
+ 'friends:collection:edit_failed' => 'Could not save collection.',
'friendspicker:chararray' => 'ABCDEFGHIJKLMNOPQRSTUVWXYZ',
+ 'avatar' => 'Avatar',
+ 'avatar:noaccess' => "You're not allowed to edit this user's avatar",
+ 'avatar:create' => 'Create your avatar',
+ 'avatar:edit' => 'Edit avatar',
+ 'avatar:preview' => 'Preview',
+ 'avatar:upload' => 'Upload a new avatar',
+ 'avatar:current' => 'Current avatar',
+ 'avatar:remove' => 'Remove your avatar and set the default icon',
+ 'avatar:crop:title' => 'Avatar cropping tool',
+ 'avatar:upload:instructions' => "Your avatar is displayed throughout the site. You can change it as often as you'd like. (File formats accepted: GIF, JPG or PNG)",
+ 'avatar:create:instructions' => 'Click and drag a square below to match how you want your avatar cropped. A preview will appear in the box on the right. When you are happy with the preview, click \'Create your avatar\'. This cropped version will be used throughout the site as your avatar.',
+ 'avatar:upload:success' => 'Avatar successfully uploaded',
+ 'avatar:upload:fail' => 'Avatar upload failed',
+ 'avatar:resize:fail' => 'Resize of the avatar failed',
+ 'avatar:crop:success' => 'Cropping the avatar succeeded',
+ 'avatar:crop:fail' => 'Avatar cropping failed',
+ 'avatar:remove:success' => 'Removing the avatar succeeded',
+ 'avatar:remove:fail' => 'Avatar remove failed',
+
+ 'profile:edit' => 'Edit profile',
+ 'profile:aboutme' => "About me",
+ 'profile:description' => "About me",
+ 'profile:briefdescription' => "Brief description",
+ 'profile:location' => "Location",
+ 'profile:skills' => "Skills",
+ 'profile:interests' => "Interests",
+ 'profile:contactemail' => "Contact email",
+ 'profile:phone' => "Telephone",
+ 'profile:mobile' => "Mobile phone",
+ 'profile:website' => "Website",
+ 'profile:twitter' => "Twitter username",
+ 'profile:saved' => "Your profile was successfully saved.",
+
+ 'profile:field:text' => 'Short text',
+ 'profile:field:longtext' => 'Large text area',
+ 'profile:field:tags' => 'Tags',
+ 'profile:field:url' => 'Web address',
+ 'profile:field:email' => 'Email address',
+ 'profile:field:location' => 'Location',
+ 'profile:field:date' => 'Date',
+
+ 'admin:appearance:profile_fields' => 'Edit Profile Fields',
+ 'profile:edit:default' => 'Edit profile fields',
+ 'profile:label' => "Profile label",
+ 'profile:type' => "Profile type",
+ 'profile:editdefault:delete:fail' => 'Removed default profile item field failed',
+ 'profile:editdefault:delete:success' => 'Profile field deleted',
+ 'profile:defaultprofile:reset' => 'Profile fields reset to the system default',
+ 'profile:resetdefault' => 'Reset profile fields to system defaults',
+ 'profile:resetdefault:confirm' => 'Are you sure you want to delete your custom profile fields?',
+ 'profile:explainchangefields' => "You can replace the existing profile fields with your own using the form below. \n\n Give the new profile field a label, for example, 'Favorite team', then select the field type (eg. text, url, tags), and click the 'Add' button. To re-order the fields drag on the handle next to the field label. To edit a field label - click on the label's text to make it editable. \n\n At any time you can revert back to the default profile set up, but you will lose any information already entered into custom fields on profile pages.",
+ 'profile:editdefault:success' => 'New profile field added',
+ 'profile:editdefault:fail' => 'Default profile could not be saved',
+ 'profile:field_too_long' => 'Cannot save your profile information because the "%s" section is too long.',
+ 'profile:noaccess' => "You do not have permission to edit this profile.",
+
+
/**
* Feeds
*/
'feed:rss' => 'RSS feed for this page',
/**
- * links
- **/
-
+ * Links
+ */
'link:view' => 'view link',
'link:view:all' => 'View all',
@@ -326,17 +432,31 @@ $english = array(
* River
*/
'river' => "River",
- 'river:relationship:friend' => 'is now friends with',
+ 'river:friend:user:default' => "%s is now a friend with %s",
+ 'river:update:user:avatar' => '%s has a new avatar',
+ 'river:update:user:profile' => '%s has updated their profile',
'river:noaccess' => 'You do not have permission to view this item.',
'river:posted:generic' => '%s posted',
'riveritem:single:user' => 'a user',
'riveritem:plural:user' => 'some users',
+ 'river:ingroup' => 'in the group %s',
+ 'river:none' => 'No activity',
+ 'river:update' => 'Update for %s',
+ 'river:delete:success' => 'River item has been deleted',
+ 'river:delete:fail' => 'River item could not be deleted',
+
+ 'river:widget:title' => "Activity",
+ 'river:widget:description' => "Display latest activity",
+ 'river:widget:type' => "Type of activity",
+ 'river:widgets:friends' => 'Friends activity',
+ 'river:widgets:all' => 'All site activity',
/**
* Notifications
*/
'notifications:usersettings' => "Notification settings",
- 'notifications:methods' => "Please specify which methods you want to permit.",
+ 'notifications:methods' => "Select your notification methods.",
+ 'notification:method:email' => 'Email',
'notifications:usersettings:save:ok' => "Your notification settings were successfully saved.",
'notifications:usersettings:save:fail' => "There was a problem saving your notification settings.",
@@ -356,7 +476,7 @@ $english = array(
'next' => "Next",
'previous' => "Previous",
- 'viewtype:change' => "Change listing type",
+ 'viewtype:change' => "Change list type",
'viewtype:list' => "List view",
'viewtype:gallery' => "Gallery",
@@ -376,22 +496,22 @@ $english = array(
'account' => "Account",
'settings' => "Settings",
- 'tools' => "My stuff",
- 'tools:yours' => "My tools",
+ 'tools' => "Tools",
+ 'settings:edit' => 'Edit settings',
'register' => "Register",
'registerok' => "You have successfully registered for %s.",
'registerbad' => "Your registration was unsuccessful because of an unknown error.",
'registerdisabled' => "Registration has been disabled by the system administrator",
-
- 'firstadminlogininstructions' => "Enable and disable plugins to customize your new Elgg site! (Remember to hit 'Save' when you're done.)",
+ 'register:fields' => 'All fields are required',
'registration:notemail' => 'The email address you provided does not appear to be a valid email address.',
'registration:userexists' => 'That username already exists',
- 'registration:usernametooshort' => 'Your username must be a minimum of 4 characters long.',
- 'registration:passwordtooshort' => 'The password must be a minimum of 6 characters long.',
+ 'registration:usernametooshort' => 'Your username must be a minimum of %u characters long.',
+ 'registration:usernametoolong' => 'Your username is too long. It can have a maximum of %u characters.',
+ 'registration:passwordtooshort' => 'The password must be a minimum of %u characters long.',
'registration:dupeemail' => 'This email address has already been registered.',
- 'registration:invalidchars' => 'Sorry, your username contains the following invalid character: %s. All of these characters are invalid: %s',
+ 'registration:invalidchars' => 'Sorry, your username contains the character %s which is invalid. The following characters are invalid: %s',
'registration:emailnotvalid' => 'Sorry, the email address you entered is invalid on this system',
'registration:passwordnotvalid' => 'Sorry, the password you entered is invalid on this system',
'registration:usernamenotvalid' => 'Sorry, the username you entered is invalid on this system',
@@ -400,20 +520,20 @@ $english = array(
'adduser:ok' => "You have successfully added a new user.",
'adduser:bad' => "The new user could not be created.",
- 'item:object:reported_content' => "Reported items",
-
'user:set:name' => "Account name settings",
'user:name:label' => "My display name",
'user:name:success' => "Successfully changed your name on the system.",
'user:name:fail' => "Could not change your name on the system. Please make sure your name isn't too long and try again.",
'user:set:password' => "Account password",
+ 'user:current_password:label' => 'Current password',
'user:password:label' => "Your new password",
'user:password2:label' => "Your new password again",
'user:password:success' => "Password changed",
'user:password:fail' => "Could not change your password on the system.",
'user:password:fail:notsame' => "The two passwords are not the same!",
'user:password:fail:tooshort' => "Password is too short!",
+ 'user:password:fail:incorrect_current_password' => 'The current password entered is incorrect.',
'user:resetpassword:unknown_user' => 'Invalid user.',
'user:resetpassword:reset_password_confirm' => 'Resetting your password will email a new password to your registered email address.',
@@ -428,82 +548,203 @@ $english = array(
'user:password:resetreq:success' => 'Successfully requested a new password, email sent',
'user:password:resetreq:fail' => 'Could not request a new password.',
- 'user:password:text' => 'To request a new password, enter your username below and click the Request button.',
+ 'user:password:text' => 'To request a new password, enter your username or email address below and click the Request button.',
'user:persistent' => 'Remember me',
+
+ 'walled_garden:welcome' => 'Welcome to',
+
/**
* Administration
*/
+ 'menu:page:header:administer' => 'Administer',
+ 'menu:page:header:configure' => 'Configure',
+ 'menu:page:header:develop' => 'Develop',
+ 'menu:page:header:default' => 'Other',
+
+ 'admin:view_site' => 'View site',
+ 'admin:loggedin' => 'Logged in as %s',
+ 'admin:menu' => 'Menu',
'admin:configuration:success' => "Your settings have been saved.",
'admin:configuration:fail' => "Your settings could not be saved.",
+ 'admin:configuration:dataroot:relative_path' => 'Cannot set "%s" as the dataroot because it is not an absolute path.',
'admin:unknown_section' => 'Invalid Admin Section.',
'admin' => "Administration",
'admin:description' => "The admin panel allows you to control all aspects of the system, from user management to how plugins behave. Choose an option below to get started.",
- 'admin:overview' => 'Overview',
+ 'admin:statistics' => "Statistics",
+ 'admin:statistics:overview' => 'Overview',
+ 'admin:statistics:server' => 'Server Info',
'admin:appearance' => 'Appearance',
+ 'admin:administer_utilities' => 'Utilities',
+ 'admin:develop_utilities' => 'Utilities',
'admin:users' => "Users",
'admin:users:online' => 'Currently Online',
'admin:users:newest' => 'Newest',
- 'admin:users:add' => 'Add',
+ 'admin:users:admins' => 'Administrators',
+ 'admin:users:add' => 'Add New User',
'admin:users:description' => "This admin panel allows you to control user settings for your site. Choose an option below to get started.",
'admin:users:adduser:label' => "Click here to add a new user...",
'admin:users:opt:linktext' => "Configure users...",
'admin:users:opt:description' => "Configure users and account information. ",
'admin:users:find' => 'Find',
- 'admin:site' => "Site Settings",
- 'admin:site:basic' => 'Basic',
- 'admin:site:advanced' => 'Advanced',
+ 'admin:settings' => 'Settings',
+ 'admin:settings:basic' => 'Basic Settings',
+ 'admin:settings:advanced' => 'Advanced Settings',
+ 'admin:settings:advanced/site_secret' => 'Site Secret',
'admin:site:description' => "This admin panel allows you to control global settings for your site. Choose an option below to get started.",
+ 'admin:settings:advanced:site_secret' => 'Site Secret',
'admin:site:opt:linktext' => "Configure site...",
'admin:site:access:warning' => "Changing the access setting only affects the permissions on content created in the future.",
+ 'admin:site:secret:intro' => 'Elgg uses a key to create security tokens for various purposes.',
+ 'admin:site:secret_regenerated' => "Your site secret has been regenerated.",
+ 'admin:site:secret:regenerate' => "Regenerate site secret",
+ 'admin:site:secret:regenerate:help' => "Note: This may inconvenience some users by invalidating tokens used in \"remember me\" cookies, e-mail validation requests, invitation codes, etc.",
+ 'site_secret:current_strength' => 'Key Strength',
+ 'site_secret:strength:weak' => "Weak",
+ 'site_secret:strength_msg:weak' => "We strongly recommend that you regenerate your site secret.",
+ 'site_secret:strength:moderate' => "Moderate",
+ 'site_secret:strength_msg:moderate' => "We recommend you regenerate your site secret for the best site security.",
+ 'site_secret:strength:strong' => "Strong",
+ 'site_secret:strength_msg:strong' => "&#x2713; Your site secret is sufficiently strong.",
+
+ 'admin:dashboard' => 'Dashboard',
+ 'admin:widget:online_users' => 'Online users',
+ 'admin:widget:online_users:help' => 'Lists the users currently on the site',
+ 'admin:widget:new_users' => 'New users',
+ 'admin:widget:new_users:help' => 'Lists the newest users',
+ 'admin:widget:content_stats' => 'Content statistics',
+ 'admin:widget:content_stats:help' => 'Keep track of the content created by your users',
+ 'widget:content_stats:type' => 'Content type',
+ 'widget:content_stats:number' => 'Number',
+
+ 'admin:widget:admin_welcome' => 'Welcome',
+ 'admin:widget:admin_welcome:help' => "A short introduction to Elgg's admin area",
+ 'admin:widget:admin_welcome:intro' =>
+'Welcome to Elgg! Right now you are looking at the administration dashboard. It\'s useful for tracking what\'s happening on the site.',
+
+ 'admin:widget:admin_welcome:admin_overview' =>
+"Navigation for the administration area is provided by the menu to the right. It is organized into"
+. " three sections:
+ <dl>
+ <dt>Administer</dt><dd>Everyday tasks like monitoring reported content, checking who is online, and viewing statistics.</dd>
+ <dt>Configure</dt><dd>Occasional tasks like setting the site name or activating a plugin.</dd>
+ <dt>Develop</dt><dd>For developers who are building plugins or designing themes. (Requires a developer plugin.)</dd>
+ </dl>
+ ",
+
+ // argh, this is ugly
+ 'admin:widget:admin_welcome:outro' => '<br />Be sure to check out the resources available through the footer links and thank you for using Elgg!',
+
+ 'admin:widget:control_panel' => 'Control panel',
+ 'admin:widget:control_panel:help' => "Provides easy access to common controls",
+
+ 'admin:cache:flush' => 'Flush the caches',
+ 'admin:cache:flushed' => "The site's caches have been flushed",
+
+ 'admin:footer:faq' => 'Administration FAQ',
+ 'admin:footer:manual' => 'Administration Manual',
+ 'admin:footer:community_forums' => 'Elgg Community Forums',
+ 'admin:footer:blog' => 'Elgg Blog',
+
+ 'admin:plugins:category:all' => 'All plugins',
+ 'admin:plugins:category:active' => 'Active plugins',
+ 'admin:plugins:category:inactive' => 'Inactive plugins',
+ 'admin:plugins:category:admin' => 'Admin',
+ 'admin:plugins:category:bundled' => 'Bundled',
+ 'admin:plugins:category:nonbundled' => 'Non-bundled',
+ 'admin:plugins:category:content' => 'Content',
+ 'admin:plugins:category:development' => 'Development',
+ 'admin:plugins:category:enhancement' => 'Enhancements',
+ 'admin:plugins:category:api' => 'Service/API',
+ 'admin:plugins:category:communication' => 'Communication',
+ 'admin:plugins:category:security' => 'Security and Spam',
+ 'admin:plugins:category:social' => 'Social',
+ 'admin:plugins:category:multimedia' => 'Multimedia',
+ 'admin:plugins:category:theme' => 'Themes',
+ 'admin:plugins:category:widget' => 'Widgets',
+ 'admin:plugins:category:utility' => 'Utilities',
+
+ 'admin:plugins:sort:priority' => 'Priority',
+ 'admin:plugins:sort:alpha' => 'Alphabetical',
+ 'admin:plugins:sort:date' => 'Newest',
+
+ 'admin:plugins:markdown:unknown_plugin' => 'Unknown plugin.',
+ 'admin:plugins:markdown:unknown_file' => 'Unknown file.',
+
+
+ 'admin:notices:could_not_delete' => 'Could not delete notice.',
+ 'item:object:admin_notice' => 'Admin notice',
+
+ 'admin:options' => 'Admin options',
+
+
/**
* Plugins
*/
+ 'plugins:disabled' => 'Plugins are not being loaded because a file named "disabled" is in the mod directory.',
'plugins:settings:save:ok' => "Settings for the %s plugin were saved successfully.",
'plugins:settings:save:fail' => "There was a problem saving settings for the %s plugin.",
'plugins:usersettings:save:ok' => "User settings for the %s plugin were saved successfully.",
'plugins:usersettings:save:fail' => "There was a problem saving user settings for the %s plugin.",
- 'item:object:plugin' => 'Plugin configuration settings',
+ 'item:object:plugin' => 'Plugins',
'admin:plugins' => "Plugins",
+ 'admin:plugins:activate_all' => 'Activate All',
+ 'admin:plugins:deactivate_all' => 'Deactivate All',
+ 'admin:plugins:activate' => 'Activate',
+ 'admin:plugins:deactivate' => 'Deactivate',
'admin:plugins:description' => "This admin panel allows you to control and configure tools installed on your site.",
'admin:plugins:opt:linktext' => "Configure tools...",
'admin:plugins:opt:description' => "Configure the tools installed on the site. ",
'admin:plugins:label:author' => "Author",
'admin:plugins:label:copyright' => "Copyright",
'admin:plugins:label:categories' => 'Categories',
- 'admin:plugins:label:licence' => "Licence",
+ 'admin:plugins:label:licence' => "License",
'admin:plugins:label:website' => "URL",
+ 'admin:plugins:label:repository' => "Code",
+ 'admin:plugins:label:bugtracker' => "Report issue",
+ 'admin:plugins:label:donate' => "Donate",
'admin:plugins:label:moreinfo' => 'more info',
'admin:plugins:label:version' => 'Version',
- 'admin:plugins:label:directory' => 'Directory',
- 'admin:plugins:warning:elggversionunknown' => 'Warning: This plugin does not specify a compatible Elgg version.',
- 'admin:plugins:warning:elggtoolow' => 'Warning: This plugin requires a later version of Elgg!',
- 'admin:plugins:reorder:yes' => "Plugin %s was reordered successfully.",
- 'admin:plugins:reorder:no' => "Plugin %s could not be reordered.",
- 'admin:plugins:disable:yes' => "Plugin %s was disabled successfully.",
- 'admin:plugins:disable:no' => "Plugin %s could not be disabled.",
- 'admin:plugins:enable:yes' => "Plugin %s was enabled successfully.",
- 'admin:plugins:enable:no' => "Plugin %s could not be enabled.",
+ 'admin:plugins:label:location' => 'Location',
+ 'admin:plugins:label:dependencies' => 'Dependencies',
+
+ 'admin:plugins:warning:elgg_version_unknown' => 'This plugin uses a legacy manifest file and does not specify a compatible Elgg version. It probably will not work!',
+ 'admin:plugins:warning:unmet_dependencies' => 'This plugin has unmet dependencies and cannot be activated. Check dependencies under more info.',
+ 'admin:plugins:warning:invalid' => 'This plugin is invalid: %s',
+ 'admin:plugins:warning:invalid:check_docs' => 'Check <a href="http://docs.elgg.org/Invalid_Plugin">the Elgg documentation</a> for troubleshooting tips.',
+ 'admin:plugins:cannot_activate' => 'cannot activate',
+
+ 'admin:plugins:set_priority:yes' => "Reordered %s.",
+ 'admin:plugins:set_priority:no' => "Could not reorder %s.",
+ 'admin:plugins:set_priority:no_with_msg' => "Could not reorder %s. Error: %s",
+ 'admin:plugins:deactivate:yes' => "Deactivated %s.",
+ 'admin:plugins:deactivate:no' => "Could not deactivate %s.",
+ 'admin:plugins:deactivate:no_with_msg' => "Could not deactivate %s. Error: %s",
+ 'admin:plugins:activate:yes' => "Activated %s.",
+ 'admin:plugins:activate:no' => "Could not activate %s.",
+ 'admin:plugins:activate:no_with_msg' => "Could not activate %s. Error: %s",
'admin:plugins:categories:all' => 'All categories',
'admin:plugins:plugin_website' => 'Plugin website',
'admin:plugins:author' => '%s',
'admin:plugins:version' => 'Version %s',
- 'admin:plugins:simple' => 'Simple',
- 'admin:plugins:advanced' => 'Advanced',
'admin:plugin_settings' => 'Plugin Settings',
- 'admin:plugins:simple_simple_fail' => 'Could not save settings.',
- 'admin:plugins:simple_simple_success' => 'Settings saved.',
+ 'admin:plugins:warning:unmet_dependencies_active' => 'This plugin is active but has unmet dependencies. You may encounter problems. See "more info" below for details.',
+
+ 'admin:plugins:dependencies:type' => 'Type',
+ 'admin:plugins:dependencies:name' => 'Name',
+ 'admin:plugins:dependencies:expected_value' => 'Expected Value',
+ 'admin:plugins:dependencies:local_value' => 'Actual value',
+ 'admin:plugins:dependencies:comment' => 'Comment',
- 'admin:statistics' => "Statistics",
'admin:statistics:description' => "This is an overview of statistics on your site. If you need more detailed statistics, a professional administration feature is available.",
'admin:statistics:opt:description' => "View statistical information about users and objects on your site.",
'admin:statistics:opt:linktext' => "View statistics...",
@@ -512,10 +753,25 @@ $english = array(
'admin:statistics:label:numusers' => "Number of users",
'admin:statistics:label:numonline' => "Number of users online",
'admin:statistics:label:onlineusers' => "Users online now",
+ 'admin:statistics:label:admins'=>"Admins",
'admin:statistics:label:version' => "Elgg version",
'admin:statistics:label:version:release' => "Release",
'admin:statistics:label:version:version' => "Version",
+ 'admin:server:label:php' => 'PHP',
+ 'admin:server:label:web_server' => 'Web Server',
+ 'admin:server:label:server' => 'Server',
+ 'admin:server:label:log_location' => 'Log Location',
+ 'admin:server:label:php_version' => 'PHP version',
+ 'admin:server:label:php_ini' => 'PHP ini file location',
+ 'admin:server:label:php_log' => 'PHP Log',
+ 'admin:server:label:mem_avail' => 'Memory available',
+ 'admin:server:label:mem_used' => 'Memory used',
+ 'admin:server:error_log' => "Web server's error log",
+ 'admin:server:label:post_max_size' => 'POST maximum size',
+ 'admin:server:label:upload_max_filesize' => 'Upload maximum size',
+ 'admin:server:warning:post_max_too_small' => '(Note: post_max_size must be larger than this value to support uploads of this size)',
+
'admin:user:label:search' => "Find users:",
'admin:user:label:searchbutton' => "Search",
@@ -523,7 +779,7 @@ $english = array(
'admin:user:ban:yes' => "User banned.",
'admin:user:self:ban:no' => "You cannot ban yourself",
'admin:user:unban:no' => "Can not unban user",
- 'admin:user:unban:yes' => "User un-banned.",
+ 'admin:user:unban:yes' => "User unbanned.",
'admin:user:delete:no' => "Can not delete user",
'admin:user:delete:yes' => "The user %s has been deleted",
'admin:user:self:delete:no' => "You cannot delete yourself",
@@ -538,7 +794,7 @@ $english = array(
'admin:user:removeadmin:no' => "We could not remove administrator privileges from this user.",
'admin:user:self:removeadmin:no' => "You cannot remove your own administrator privileges.",
- 'admin:menu_items' => 'Menu Items',
+ 'admin:appearance:menu_items' => 'Menu Items',
'admin:menu_items:configure' => 'Configure main menu items',
'admin:menu_items:description' => 'Select which menu items you want to show as featured links. Unused items will be added as "More" at the end of the list.',
'admin:menu_items:hide_toolbar_entries' => 'Remove links from tool bar menu?',
@@ -546,6 +802,11 @@ $english = array(
'admin:add_menu_item' => 'Add a custom menu item',
'admin:add_menu_item:description' => 'Fill out the Display name and URL to add custom items to your navigation menu.',
+ 'admin:appearance:default_widgets' => 'Default Widgets',
+ 'admin:default_widgets:unknown_type' => 'Unknown widget type',
+ 'admin:default_widgets:instructions' => 'Add, remove, position, and configure default widgets for the selected widget page.'
+ . ' These changes will only affect new users on the site.',
+
/**
* User settings
*/
@@ -572,7 +833,21 @@ $english = array(
'usersettings:statistics:label:membersince' => "Member since",
'usersettings:statistics:label:lastlogin' => "Last logged in",
-
+/**
+ * Activity river
+ */
+ 'river:all' => 'All Site Activity',
+ 'river:mine' => 'My Activity',
+ 'river:friends' => 'Friends Activity',
+ 'river:select' => 'Show %s',
+ 'river:comments:more' => '+%u more',
+ 'river:generic_comment' => 'commented on %s %s',
+
+ 'friends:widget:description' => "Displays some of your friends.",
+ 'friends:num_display' => "Number of friends to display",
+ 'friends:icon_size' => "Icon size",
+ 'friends:tiny' => "tiny",
+ 'friends:small' => "small",
/**
* Generic action words
@@ -584,6 +859,7 @@ $english = array(
'cancel' => "Cancel",
'saving' => "Saving ...",
'update' => "Update",
+ 'preview' => "Preview",
'edit' => "Edit",
'delete' => "Delete",
'accept' => "Accept",
@@ -591,6 +867,7 @@ $english = array(
'upload' => "Upload",
'ban' => "Ban",
'unban' => "Unban",
+ 'banned' => "Banned",
'enable' => "Enable",
'disable' => "Disable",
'request' => "Request",
@@ -607,12 +884,25 @@ $english = array(
'send' => 'Send',
'post' => 'Post',
'submit' => 'Submit',
+ 'comment' => 'Comment',
+ 'upgrade' => 'Upgrade',
+ 'sort' => 'Sort',
+ 'filter' => 'Filter',
+ 'new' => 'New',
+ 'add' => 'Add',
+ 'create' => 'Create',
+ 'remove' => 'Remove',
+ 'revert' => 'Revert',
+
'site' => 'Site',
+ 'activity' => 'Activity',
+ 'members' => 'Members',
'up' => 'Up',
'down' => 'Down',
'top' => 'Top',
'bottom' => 'Bottom',
+ 'back' => 'Back',
'invite' => "Invite",
@@ -629,16 +919,13 @@ $english = array(
'total' => 'Total',
'learnmore' => "Click here to learn more.",
+ 'unknown_error' => 'Unknown error',
'content' => "content",
'content:latest' => 'Latest activity',
'content:latest:blurb' => 'Alternatively, click here to view the latest content from across the site.',
'link:text' => 'view link',
-
- 'enableall' => 'Enable All',
- 'disableall' => 'Disable All',
-
/**
* Generic questions
*/
@@ -654,8 +941,10 @@ $english = array(
'tags' => "Tags",
'spotlight' => "Spotlight",
'all' => "All",
+ 'mine' => "Mine",
'by' => 'by',
+ 'none' => 'none',
'annotations' => "Annotations",
'relationships' => "Relationships",
@@ -663,11 +952,22 @@ $english = array(
'tagcloud' => "Tag cloud",
'tagcloud:allsitetags' => "All site tags",
+ 'on' => 'On',
+ 'off' => 'Off',
+
+/**
+ * Entity actions
+ */
+ 'edit:this' => 'Edit this',
+ 'delete:this' => 'Delete this',
+ 'comment:this' => 'Comment on this',
+
/**
* Input / output strings
*/
'deleteconfirm' => "Are you sure you want to delete this item?",
+ 'deleteconfirm:plural' => "Are you sure you want to delete these items?",
'fileexists' => "A file has already been uploaded. To replace it, select it below:",
/**
@@ -738,7 +1038,7 @@ Once you have logged in, we highly recommend that you change your password.
'installation:sitedescription' => "Short description of your site (optional):",
'installation:wwwroot' => "The site URL:",
'installation:path' => "The full path of the Elgg installation:",
- 'installation:dataroot' => "The full path the data directory:",
+ 'installation:dataroot' => "The full path of the data directory:",
'installation:dataroot:warning' => "You must create this directory manually. It should be in a different directory to your Elgg installation.",
'installation:sitepermissions' => "The default access permissions:",
'installation:language' => "The default language for your site:",
@@ -760,8 +1060,8 @@ Once you have logged in, we highly recommend that you change your password.
'installation:siteemail' => "Site email address (used when sending system emails):",
- 'installation:disableapi' => "The RESTful API is a flexible and extensible interface that enables applications to use certain Elgg features remotely.",
- 'installation:disableapi:label' => "Enable the RESTful API",
+ 'installation:disableapi' => "Elgg provides an API for building web services so that remote applications can interact with your site.",
+ 'installation:disableapi:label' => "Enable Elgg's web services API",
'installation:allow_user_default_access:description' => "If checked, individual users will be allowed to set their own default access level that can over-ride the system default access level.",
'installation:allow_user_default_access:label' => "Allow user default access",
@@ -769,12 +1069,34 @@ Once you have logged in, we highly recommend that you change your password.
'installation:simplecache:description' => "The simple cache increases performance by caching static content including some CSS and JavaScript files. Normally you will want this on.",
'installation:simplecache:label' => "Use simple cache (recommended)",
- 'installation:viewpathcache:description' => "The view filepath cache decreases the loading times of plugins by caching the location of their views.",
- 'installation:viewpathcache:label' => "Use view filepath cache (recommended)",
+ 'installation:systemcache:description' => "The system cache decreases the loading time of the Elgg engine by caching data to files.",
+ 'installation:systemcache:label' => "Use system cache (recommended)",
'upgrading' => 'Upgrading...',
'upgrade:db' => 'Your database was upgraded.',
- 'upgrade:core' => 'Your elgg installation was upgraded.',
+ 'upgrade:core' => 'Your Elgg installation was upgraded.',
+ 'upgrade:unlock' => 'Unlock upgrade',
+ 'upgrade:unlock:confirm' => "The database is locked for another upgrade. Running concurrent upgrades is dangerous. You should only continue if you know there is not another upgrade running. Unlock?",
+ 'upgrade:locked' => "Cannot upgrade. Another upgrade is running. To clear the upgrade lock, visit the Admin section.",
+ 'upgrade:unlock:success' => "Upgrade unlocked successfully.",
+ 'upgrade:unable_to_upgrade' => 'Unable to upgrade.',
+ 'upgrade:unable_to_upgrade_info' =>
+ 'This installation cannot be upgraded because legacy views
+ were detected in the Elgg core views directory. These views have been deprecated and need to be
+ removed for Elgg to function correctly. If you have not made changes to Elgg core, you can
+ simply delete the views directory and replace it with the one from the latest
+ package of Elgg downloaded from <a href="http://elgg.org">elgg.org</a>.<br /><br />
+
+ If you need detailed instructions, please visit the <a href="http://docs.elgg.org/wiki/Upgrading_Elgg">
+ Upgrading Elgg documentation</a>. If you require assistance, please post to the
+ <a href="http://community.elgg.org/pg/groups/discussion/">Community Support Forums</a>.',
+
+ 'update:twitter_api:deactivated' => 'Twitter API (previously Twitter Service) was deactivated during the upgrade. Please activate it manually if required.',
+ 'update:oauth_api:deactivated' => 'OAuth API (previously OAuth Lib) was deactivated during the upgrade. Please activate it manually if required.',
+ 'upgrade:site_secret_warning:moderate' => "You are encouraged to regenerate your site key to improve system security. See Configure &gt; Settings &gt; Site Secret",
+ 'upgrade:site_secret_warning:weak' => "You are strongly encouraged to regenerate your site key to improve system security. See Configure &gt; Settings &gt; Site Secret",
+
+ 'deprecated:function' => '%s() was deprecated by %s()',
/**
* Welcome
@@ -789,7 +1111,7 @@ Once you have logged in, we highly recommend that you change your password.
'email:settings' => "Email settings",
'email:address:label' => "Your email address",
- 'email:save:success' => "New email address saved, verification requested.",
+ 'email:save:success' => "New email address saved.",
'email:save:fail' => "Your new email address could not be saved.",
'friend:newfriend:subject' => "%s has made you a friend!",
@@ -814,7 +1136,7 @@ Your password has been reset to: %s",
Somebody (from the IP address %s) has requested a new password for their account.
-If you requested this click on the link below, otherwise ignore this email.
+If you requested this, click on the link below. Otherwise ignore this email.
%s
",
@@ -823,10 +1145,10 @@ If you requested this click on the link below, otherwise ignore this email.
* user default access
*/
-'default_access:settings' => "Your default access level",
-'default_access:label' => "Default access",
-'user:default_access:success' => "Your new default access level was saved.",
-'user:default_access:failure' => "Your new default access level could not be saved.",
+ 'default_access:settings' => "Your default access level",
+ 'default_access:label' => "Default access",
+ 'user:default_access:success' => "Your new default access level was saved.",
+ 'user:default_access:failure' => "Your new default access level could not be saved.",
/**
* XML-RPC
@@ -834,41 +1156,26 @@ If you requested this click on the link below, otherwise ignore this email.
'xmlrpc:noinputdata' => "Input data missing",
/**
- * Likes
- **/
- 'likes:this' => 'liked this',
- 'likes:deleted' => 'Your like has been removed',
- 'likes:remove' => 'See who else liked this',
- 'likes:notdeleted' => 'There was a problem removing your like',
- 'likes:likes' => 'You now like this item',
- 'likes:failure' => 'There was a problem liking this item',
- 'likes:alreadyliked' => 'You have already liked this item',
- 'likes:notfound' => 'The item you are trying to like cannot be found',
- 'likes:likethis' => 'Like this',
- 'likes:userlikedthis' => 'user liked this',
- 'likes:userslikedthis' => 'users like this',
- 'likes:river:annotate' => 'likes',
- 'likes:email:body' => '%s liked %s',
- 'likes:email:subject' => 'A user liked one of your objects',
-
-/**
* Comments
*/
'comments:count' => "%s comments",
- 'riveraction:annotation:generic_comment' => '%s commented on %s',
+ 'river:comment:object:default' => '%s commented on %s',
- 'generic_comments:add' => "Add a comment",
+ 'generic_comments:add' => "Leave a comment",
'generic_comments:post' => "Post comment",
'generic_comments:text' => "Comment",
'generic_comments:latest' => "Latest comments",
'generic_comment:posted' => "Your comment was successfully posted.",
- 'generic_comment:deleted' => "Your comment was successfully deleted.",
+ 'generic_comment:deleted' => "The comment was successfully deleted.",
'generic_comment:blank' => "Sorry, you need to actually put something in your comment before we can save it.",
'generic_comment:notfound' => "Sorry, we could not find the specified item.",
'generic_comment:notdeleted' => "Sorry, we could not delete this comment.",
- 'generic_comment:failure' => "An unexpected error occurred when adding your comment. Please try again.",
+ 'generic_comment:failure' => "An unexpected error occurred when adding your comment.",
+ 'generic_comment:none' => 'No comments',
+ 'generic_comment:title' => 'Comment by %s',
+ 'generic_comment:on' => '%s on %s',
'generic_comment:email:subject' => 'You have a new comment!',
'generic_comment:email:body' => "You have a new comment on your item \"%s\" from %s. It reads:
@@ -890,6 +1197,7 @@ You cannot reply to this email.",
/**
* Entities
*/
+ 'byline' => 'By %s',
'entity:default:strapline' => 'Created %s by %s',
'entity:default:missingsupport:popup' => 'This entity cannot be displayed correctly. This may be because it requires support provided by a plugin that is no longer installed.',
@@ -901,9 +1209,11 @@ You cannot reply to this email.",
* Action gatekeeper
*/
'actiongatekeeper:missingfields' => 'Form is missing __token or __ts fields',
- 'actiongatekeeper:tokeninvalid' => "We encountered an error (token mismatch). This probably means that the page you were using expired. Please try again.",
+ 'actiongatekeeper:tokeninvalid' => "The page you were using had expired. Please try again.",
'actiongatekeeper:timeerror' => 'The page you were using has expired. Please refresh and try again.',
'actiongatekeeper:pluginprevents' => 'A extension has prevented this form from being submitted.',
+ 'actiongatekeeper:uploadexceeded' => 'The size of file(s) uploaded exceeded the limit set by your site administrator',
+ 'actiongatekeeper:crosssitelogin' => "Sorry, logging in from a different domain is not permitted. Please try again.",
/**
@@ -919,6 +1229,13 @@ You cannot reply to this email.",
'tags:site_cloud' => 'Site Tag Cloud',
/**
+ * Javascript
+ */
+
+ 'js:security:token_refresh_failed' => 'Failed to contact %s. You may experience problems saving content. Please refresh this page.',
+ 'js:security:token_refreshed' => 'Connection to %s restored!',
+
+/**
* Languages according to ISO 639-1
*/
"aa" => "Afar",
diff --git a/mod/beechat/README b/mod/beechat/README
new file mode 100644
index 000000000..5ff3f8467
--- /dev/null
+++ b/mod/beechat/README
@@ -0,0 +1,23 @@
+Beechat the first XMPP chat for Elgg
+====================================
+
+Beechat is a facebook like chat for elgg using the XMPP protocol. It requires the XMPP serveur ejabberd.
+
+Installation
+------------
+
+French Documentation
+
+http://github.com/beechannels/beechat/wikis/guide-dinstallation
+
+English Documentation
+
+http://github.com/beechannels/beechat/wikis/setup-guide
+
+Feedback
+--------
+
+We are relying on the [GitHub issues tracker][issues] linked from above for
+feedback. File bugs or other issues [here][issues].
+
+[issues]: http://github.com/beechannels/beechat/issues
diff --git a/mod/beechat/actions/get_connection.php b/mod/beechat/actions/get_connection.php
new file mode 100644
index 000000000..a31174179
--- /dev/null
+++ b/mod/beechat/actions/get_connection.php
@@ -0,0 +1,21 @@
+<?php
+ /**
+ * Beechat
+ *
+ * @package beechat
+ * @license http://www.gnu.org/licenses/old-licenses/gpl-2.0.html GNU Public License version 2
+ * @author Beechannels <contact@beechannels.com>
+ * @copyright Beechannels 2007-2010
+ * @link http://beechannels.com/
+ */
+
+ header('Content-type: application/json');
+ gatekeeper();
+
+ global $SESSION;
+
+ if ($SESSION->offsetExists('beechat_conn'))
+ echo $SESSION->offsetGet('beechat_conn');
+
+ exit();
+?>
diff --git a/mod/beechat/actions/get_details.php b/mod/beechat/actions/get_details.php
new file mode 100644
index 000000000..4944fc4a9
--- /dev/null
+++ b/mod/beechat/actions/get_details.php
@@ -0,0 +1,21 @@
+<?php
+ /**
+ * Beechat
+ *
+ * @package beechat
+ * @license http://www.gnu.org/licenses/old-licenses/gpl-2.0.html GNU Public License version 2
+ * @author Beechannels <contact@beechannels.com>
+ * @copyright Beechannels 2007-2010
+ * @link http://beechannels.com/
+ */
+
+ gatekeeper();
+ header('Content-type: application/json');
+ $user = $_SESSION['user'];
+ $t = array('username' => $user->username,
+ 'password' => $user->password);
+
+ echo json_encode($t);
+
+ exit();
+?>
diff --git a/mod/beechat/actions/get_icons.php b/mod/beechat/actions/get_icons.php
new file mode 100644
index 000000000..33d447e2e
--- /dev/null
+++ b/mod/beechat/actions/get_icons.php
@@ -0,0 +1,52 @@
+<?php
+ /**
+ * Beechat
+ *
+ * @package beechat
+ * @license http://www.gnu.org/licenses/old-licenses/gpl-2.0.html GNU Public License version 2
+ * @author Beechannels <contact@beechannels.com>
+ * @copyright Beechannels 2007-2010
+ * @link http://beechannels.com/
+ */
+
+ header('Content-type: application/json');
+ gatekeeper();
+ global $CONFIG;
+
+ if (!empty($_POST['beechat_roster_items_usernames']))
+ {
+ $rosterItemsUsernames = explode(',', $_POST['beechat_roster_items_usernames']);
+ /*foreach ($rosterItemsUsernames as $rosterItem)
+ {
+ }*/
+ $userFriendsEntities = $_SESSION['user']->getFriends('', 0, 0);
+
+ $res = array();
+ foreach ($rosterItemsUsernames as $value)
+ {
+ $found = false;
+ $splitjid = explode('@', $value);
+ $jid_name = $splitjid[0];
+ $jid_host = $splitjid[1];
+ foreach ($userFriendsEntities as $friend)
+ {
+ if ((strtolower($friend->username) == strtolower($jid_name) && $jid_host == elgg_get_plugin_setting("domain", "beechat")))
+ {
+ $res[$value] = array('small' => $friend->getIcon('small'), 'tiny' => $friend->getIcon('tiny'));
+ $found = true;
+ break;
+ }
+ }
+ if (!$found) {
+ $base = $CONFIG->wwwroot."mod/profile/graphics/default";
+ $res[$value] = array('small' => $base."small.gif", 'tiny' => $base."tiny.gif");
+ }
+ }
+ echo json_encode($res);
+ }
+ else
+ echo json_encode(null);
+
+ exit();
+
+?>
diff --git a/mod/beechat/actions/get_state.php b/mod/beechat/actions/get_state.php
new file mode 100644
index 000000000..6cfd2f725
--- /dev/null
+++ b/mod/beechat/actions/get_state.php
@@ -0,0 +1,21 @@
+<?php
+ /**
+ * Beechat
+ *
+ * @package beechat
+ * @license http://www.gnu.org/licenses/old-licenses/gpl-2.0.html GNU Public License version 2
+ * @author Beechannels <contact@beechannels.com>
+ * @copyright Beechannels 2007-2010
+ * @link http://beechannels.com/
+ */
+
+ header('Content-type: application/json');
+ gatekeeper();
+
+ global $SESSION;
+
+ if ($SESSION->offsetExists('beechat_state'))
+ echo $SESSION->offsetGet('beechat_state');
+
+ exit();
+?>
diff --git a/mod/beechat/actions/get_statuses.php b/mod/beechat/actions/get_statuses.php
new file mode 100644
index 000000000..6f7620beb
--- /dev/null
+++ b/mod/beechat/actions/get_statuses.php
@@ -0,0 +1,41 @@
+<?php
+ /**
+ * Beechat
+ *
+ * @package beechat
+ * @license http://www.gnu.org/licenses/old-licenses/gpl-2.0.html GNU Public License version 2
+ * @author Beechannels <contact@beechannels.com>
+ * @copyright Beechannels 2007-2010
+ * @link http://beechannels.com/
+ */
+
+ header('Content-type: application/json');
+ gatekeeper();
+ $usernames = get_input('beechat_roster_items_usernames');
+ if (!empty($usernames))
+ {
+ $iconSize = 'small';
+ $rosterItemsUsernames = explode(',', $usernames);
+ $userFriendsEntities = $_SESSION['user']->getFriends('', 1000000000, 0);
+
+ $res = array();
+ foreach ($rosterItemsUsernames as $value)
+ {
+ foreach ($userFriendsEntities as $friend)
+ {
+ if (strtolower($friend->username) == strtolower($value))
+ {
+ $status = get_entities_from_metadata("state", "current", "object", "status", $friend->get('guid'));
+ $res[$value] = ($status != false) ? $status[0]->description : '';
+ break;
+ }
+ }
+ }
+ echo json_encode($res);
+ }
+ else
+ echo json_encode(null);
+
+ exit();
+
+?>
diff --git a/mod/beechat/actions/join_groupchat.php b/mod/beechat/actions/join_groupchat.php
new file mode 100644
index 000000000..c694d7957
--- /dev/null
+++ b/mod/beechat/actions/join_groupchat.php
@@ -0,0 +1,15 @@
+<?php
+
+$user = elgg_get_logged_in_user_entity();
+$group = get_entity(get_input('group_guid'));
+
+if ($user && $group) {
+ if (!check_entity_relationship($user->guid, 'groupchat', $group->guid)) {
+ error_log("joinen ok");
+ add_entity_relationship($user->guid, 'groupchat', $group->guid);
+}
+}
+echo "OK";
+error_log("join ok");
+
+?>
diff --git a/mod/beechat/actions/leave_groupchat.php b/mod/beechat/actions/leave_groupchat.php
new file mode 100644
index 000000000..e04ab8846
--- /dev/null
+++ b/mod/beechat/actions/leave_groupchat.php
@@ -0,0 +1,12 @@
+<?php
+
+$user = elgg_get_logged_in_user_entity();
+$group = get_entity(get_input('group_guid'));
+
+if ($user && $group) {
+ if (check_entity_relationship($user->guid, 'groupchat', $group->guid))
+ remove_entity_relationship($user->guid, 'groupchat', $group->guid);
+}
+error_log("leave ok");
+echo "OK";
+?>
diff --git a/mod/beechat/actions/save_state.php b/mod/beechat/actions/save_state.php
new file mode 100644
index 000000000..f8a61c580
--- /dev/null
+++ b/mod/beechat/actions/save_state.php
@@ -0,0 +1,29 @@
+<?php
+ /**
+ * Beechat
+ *
+ * @package beechat
+ * @license http://www.gnu.org/licenses/old-licenses/gpl-2.0.html GNU Public License version 2
+ * @author Beechannels <contact@beechannels.com>
+ * @copyright Beechannels 2007-2010
+ * @link http://beechannels.com/
+ */
+
+// gatekeeper();
+
+ if (elgg_is_logged_in())
+ {
+
+ global $SESSION;
+
+ if (!empty($_POST['beechat_state']))
+ {
+ $SESSION->offsetSet('beechat_state', $_POST['beechat_state']);
+ }
+ elseif (!empty($_POST['beechat_conn']))
+ {
+ $SESSION->offsetSet('beechat_conn', get_input('beechat_conn'));
+ }
+ }
+ exit();
+?>
diff --git a/mod/beechat/classes/BeechatSync.php b/mod/beechat/classes/BeechatSync.php
new file mode 100644
index 000000000..e0a2f90b8
--- /dev/null
+++ b/mod/beechat/classes/BeechatSync.php
@@ -0,0 +1,37 @@
+<?php
+
+class BeechatSync {
+ static function onFriendCreate($event, $object_type, $relationship) {
+ if ($relationship->relationship == 'friendrequest') {
+ elgg_load_library('elgg:beechat');
+ $friend = get_entity($relationship->guid_two);
+ // create friend request
+ ejabberd_friend_request(elgg_get_logged_in_user_entity(), $friend);
+ }
+ }
+ static function onFriendDelete($event, $object_type, $relationship) {
+ if ($relationship->relationship == 'friendrequest') {
+ elgg_load_library('elgg:beechat');
+ $subject = get_entity($relationship->guid_two);
+ // here friend is guid_one because is the one initiating
+ $friend = get_entity($relationship->guid_one);
+ $friends = $friend->isFriendsWith($subject->guid);
+ if ($friends) {
+ // accept friend request
+ ejabberd_friend_accept(elgg_get_logged_in_user_entity(), $friend);
+ } else {
+ // decline friend request
+ ejabberd_friend_deny(elgg_get_logged_in_user_entity(), $friend);
+ }
+ }
+ elseif ($relationship->relationship == 'friend') {
+ elgg_load_library('elgg:beechat');
+ $subject = get_entity($relationship->guid_one);
+ $friend = get_entity($relationship->guid_two);
+ // delete friendship
+ ejabberd_friend_remove(elgg_get_logged_in_user_entity(), $friend);
+ }
+
+ }
+
+}
diff --git a/mod/beechat/disablechat.php b/mod/beechat/disablechat.php
new file mode 100644
index 000000000..490fb327b
--- /dev/null
+++ b/mod/beechat/disablechat.php
@@ -0,0 +1,8 @@
+<?php
+ require_once(dirname(dirname(dirname(__FILE__))) . "/engine/start.php");
+ if (elgg_is_logged_in()) {
+ elgg_get_logged_in_user_entity()->chatenabled = false;
+ system_message(elgg_echo("beechat:disabled"));
+ }
+ forward($_SERVER['HTTP_REFERER']);
+?>
diff --git a/mod/beechat/enablechat.php b/mod/beechat/enablechat.php
new file mode 100644
index 000000000..5d3de7e2a
--- /dev/null
+++ b/mod/beechat/enablechat.php
@@ -0,0 +1,8 @@
+<?php
+ require_once(dirname(dirname(dirname(__FILE__))) . "/engine/start.php");
+ if (elgg_is_logged_in()) {
+ elgg_get_logged_in_user_entity()->chatenabled = true;
+ system_message(elgg_echo("beechat:enabled"));
+ }
+ forward($_SERVER['HTTP_REFERER']);
+?>
diff --git a/mod/beechat/graphics/icons/bullet_arrow_down.png b/mod/beechat/graphics/icons/bullet_arrow_down.png
new file mode 100644
index 000000000..9b23c06d7
--- /dev/null
+++ b/mod/beechat/graphics/icons/bullet_arrow_down.png
Binary files differ
diff --git a/mod/beechat/graphics/icons/bullet_arrow_up.png b/mod/beechat/graphics/icons/bullet_arrow_up.png
new file mode 100644
index 000000000..24df0f421
--- /dev/null
+++ b/mod/beechat/graphics/icons/bullet_arrow_up.png
Binary files differ
diff --git a/mod/beechat/graphics/icons/bullet_black.png b/mod/beechat/graphics/icons/bullet_black.png
new file mode 100644
index 000000000..57619706d
--- /dev/null
+++ b/mod/beechat/graphics/icons/bullet_black.png
Binary files differ
diff --git a/mod/beechat/graphics/icons/bullet_blue.png b/mod/beechat/graphics/icons/bullet_blue.png
new file mode 100644
index 000000000..a7651ec8a
--- /dev/null
+++ b/mod/beechat/graphics/icons/bullet_blue.png
Binary files differ
diff --git a/mod/beechat/graphics/icons/bullet_delete.png b/mod/beechat/graphics/icons/bullet_delete.png
new file mode 100644
index 000000000..bd6271b24
--- /dev/null
+++ b/mod/beechat/graphics/icons/bullet_delete.png
Binary files differ
diff --git a/mod/beechat/graphics/icons/bullet_error.png b/mod/beechat/graphics/icons/bullet_error.png
new file mode 100644
index 000000000..bca2b491f
--- /dev/null
+++ b/mod/beechat/graphics/icons/bullet_error.png
Binary files differ
diff --git a/mod/beechat/graphics/icons/bullet_green.png b/mod/beechat/graphics/icons/bullet_green.png
new file mode 100644
index 000000000..058ad261f
--- /dev/null
+++ b/mod/beechat/graphics/icons/bullet_green.png
Binary files differ
diff --git a/mod/beechat/graphics/icons/bullet_orange.png b/mod/beechat/graphics/icons/bullet_orange.png
new file mode 100644
index 000000000..fa63024e5
--- /dev/null
+++ b/mod/beechat/graphics/icons/bullet_orange.png
Binary files differ
diff --git a/mod/beechat/graphics/icons/bullet_pink.png b/mod/beechat/graphics/icons/bullet_pink.png
new file mode 100644
index 000000000..0c9f73e3f
--- /dev/null
+++ b/mod/beechat/graphics/icons/bullet_pink.png
Binary files differ
diff --git a/mod/beechat/graphics/icons/bullet_purple.png b/mod/beechat/graphics/icons/bullet_purple.png
new file mode 100644
index 000000000..52ba5036b
--- /dev/null
+++ b/mod/beechat/graphics/icons/bullet_purple.png
Binary files differ
diff --git a/mod/beechat/graphics/icons/bullet_red.png b/mod/beechat/graphics/icons/bullet_red.png
new file mode 100644
index 000000000..0cd803115
--- /dev/null
+++ b/mod/beechat/graphics/icons/bullet_red.png
Binary files differ
diff --git a/mod/beechat/graphics/icons/bullet_star.png b/mod/beechat/graphics/icons/bullet_star.png
new file mode 100644
index 000000000..fab774a32
--- /dev/null
+++ b/mod/beechat/graphics/icons/bullet_star.png
Binary files differ
diff --git a/mod/beechat/graphics/icons/bullet_white.png b/mod/beechat/graphics/icons/bullet_white.png
new file mode 100644
index 000000000..a9af8d44b
--- /dev/null
+++ b/mod/beechat/graphics/icons/bullet_white.png
Binary files differ
diff --git a/mod/beechat/graphics/icons/bullet_yellow.png b/mod/beechat/graphics/icons/bullet_yellow.png
new file mode 100644
index 000000000..6469cea7e
--- /dev/null
+++ b/mod/beechat/graphics/icons/bullet_yellow.png
Binary files differ
diff --git a/mod/beechat/graphics/icons/chat_icon.png b/mod/beechat/graphics/icons/chat_icon.png
new file mode 100644
index 000000000..0e6d844bd
--- /dev/null
+++ b/mod/beechat/graphics/icons/chat_icon.png
Binary files differ
diff --git a/mod/beechat/graphics/icons/cog_edit.png b/mod/beechat/graphics/icons/cog_edit.png
new file mode 100644
index 000000000..47b75a456
--- /dev/null
+++ b/mod/beechat/graphics/icons/cog_edit.png
Binary files differ
diff --git a/mod/beechat/graphics/icons/comment_edit.png b/mod/beechat/graphics/icons/comment_edit.png
new file mode 100644
index 000000000..73db110df
--- /dev/null
+++ b/mod/beechat/graphics/icons/comment_edit.png
Binary files differ
diff --git a/mod/beechat/graphics/icons/emoticon_evilgrin.png b/mod/beechat/graphics/icons/emoticon_evilgrin.png
new file mode 100644
index 000000000..817bd509b
--- /dev/null
+++ b/mod/beechat/graphics/icons/emoticon_evilgrin.png
Binary files differ
diff --git a/mod/beechat/graphics/icons/emoticon_grin.png b/mod/beechat/graphics/icons/emoticon_grin.png
new file mode 100644
index 000000000..fc60c5e1c
--- /dev/null
+++ b/mod/beechat/graphics/icons/emoticon_grin.png
Binary files differ
diff --git a/mod/beechat/graphics/icons/emoticon_happy.png b/mod/beechat/graphics/icons/emoticon_happy.png
new file mode 100644
index 000000000..6b7336e17
--- /dev/null
+++ b/mod/beechat/graphics/icons/emoticon_happy.png
Binary files differ
diff --git a/mod/beechat/graphics/icons/emoticon_smile.png b/mod/beechat/graphics/icons/emoticon_smile.png
new file mode 100644
index 000000000..ade431851
--- /dev/null
+++ b/mod/beechat/graphics/icons/emoticon_smile.png
Binary files differ
diff --git a/mod/beechat/graphics/icons/emoticon_surprised.png b/mod/beechat/graphics/icons/emoticon_surprised.png
new file mode 100644
index 000000000..4520cfc55
--- /dev/null
+++ b/mod/beechat/graphics/icons/emoticon_surprised.png
Binary files differ
diff --git a/mod/beechat/graphics/icons/emoticon_tongue.png b/mod/beechat/graphics/icons/emoticon_tongue.png
new file mode 100644
index 000000000..ecafd2ffc
--- /dev/null
+++ b/mod/beechat/graphics/icons/emoticon_tongue.png
Binary files differ
diff --git a/mod/beechat/graphics/icons/emoticon_unhappy.png b/mod/beechat/graphics/icons/emoticon_unhappy.png
new file mode 100644
index 000000000..fd5d030ef
--- /dev/null
+++ b/mod/beechat/graphics/icons/emoticon_unhappy.png
Binary files differ
diff --git a/mod/beechat/graphics/icons/emoticon_waii.png b/mod/beechat/graphics/icons/emoticon_waii.png
new file mode 100644
index 000000000..458f93611
--- /dev/null
+++ b/mod/beechat/graphics/icons/emoticon_waii.png
Binary files differ
diff --git a/mod/beechat/graphics/icons/emoticon_wink.png b/mod/beechat/graphics/icons/emoticon_wink.png
new file mode 100644
index 000000000..a631949b5
--- /dev/null
+++ b/mod/beechat/graphics/icons/emoticon_wink.png
Binary files differ
diff --git a/mod/beechat/graphics/icons/heart.png b/mod/beechat/graphics/icons/heart.png
new file mode 100644
index 000000000..d9ee53e59
--- /dev/null
+++ b/mod/beechat/graphics/icons/heart.png
Binary files differ
diff --git a/mod/beechat/graphics/icons/house.png b/mod/beechat/graphics/icons/house.png
new file mode 100644
index 000000000..fed62219f
--- /dev/null
+++ b/mod/beechat/graphics/icons/house.png
Binary files differ
diff --git a/mod/beechat/graphics/icons/muc_icon.png b/mod/beechat/graphics/icons/muc_icon.png
new file mode 100644
index 000000000..efdd60f12
--- /dev/null
+++ b/mod/beechat/graphics/icons/muc_icon.png
Binary files differ
diff --git a/mod/beechat/graphics/icons/notification_pink.png b/mod/beechat/graphics/icons/notification_pink.png
new file mode 100644
index 000000000..f40c184f9
--- /dev/null
+++ b/mod/beechat/graphics/icons/notification_pink.png
Binary files differ
diff --git a/mod/beechat/graphics/icons/pointer.png b/mod/beechat/graphics/icons/pointer.png
new file mode 100644
index 000000000..4e50a0f9a
--- /dev/null
+++ b/mod/beechat/graphics/icons/pointer.png
Binary files differ
diff --git a/mod/beechat/graphics/icons/resultset_next.png b/mod/beechat/graphics/icons/resultset_next.png
new file mode 100644
index 000000000..e252606d3
--- /dev/null
+++ b/mod/beechat/graphics/icons/resultset_next.png
Binary files differ
diff --git a/mod/beechat/graphics/icons/resultset_previous.png b/mod/beechat/graphics/icons/resultset_previous.png
new file mode 100644
index 000000000..18f9cc109
--- /dev/null
+++ b/mod/beechat/graphics/icons/resultset_previous.png
Binary files differ
diff --git a/mod/beechat/graphics/icons/statuses.png b/mod/beechat/graphics/icons/statuses.png
new file mode 100644
index 000000000..e409f61a9
--- /dev/null
+++ b/mod/beechat/graphics/icons/statuses.png
Binary files differ
diff --git a/mod/beechat/graphics/icons/vcard.png b/mod/beechat/graphics/icons/vcard.png
new file mode 100644
index 000000000..c02f315d2
--- /dev/null
+++ b/mod/beechat/graphics/icons/vcard.png
Binary files differ
diff --git a/mod/beechat/languages/en.php b/mod/beechat/languages/en.php
new file mode 100644
index 000000000..38f03be98
--- /dev/null
+++ b/mod/beechat/languages/en.php
@@ -0,0 +1,45 @@
+<?php
+
+$en_array = array(
+ 'beechat:icons:home' => 'Home',
+
+ 'beechat:contacts:button' => 'Chat',
+
+ 'beechat:availability:available' => 'Available',
+ 'beechat:availability:dnd' => 'Do not disturb',
+ 'beechat:availability:away' => 'Away',
+ 'beechat:availability:xa' => 'Extended away',
+ 'beechat:availability:offline' => 'Offline',
+
+ 'beechat:connection:state:offline' => 'Offline',
+ 'beechat:connection:state:connecting' => 'Connecting...',
+ 'beechat:connection:state:authenticating' => 'Authenticating...',
+ 'beechat:connection:state:online' => 'Online',
+ 'beechat:connection:state:failed' => 'Failed',
+ 'beechat:connection:state:disconnecting' => 'Disconnecting...',
+
+ 'beechat:chat:self' => 'Me',
+ 'beechat:chat:composing' => ' is typing.',
+
+ 'beechat:box:minimize' => 'Minimize',
+ 'beechat:box:close' => 'Close',
+ 'beechat:box:showhide' => 'Show/Hide this chat window',
+ 'beechat:enabled' => 'Chat enabled',
+ 'beechat:disabled' => 'Chat disabled',
+ 'beechat:enablechat' => 'Enable chat',
+ 'beechat:disablechat' => 'Disable chat',
+ 'beechat:domain' => 'Chat domain',
+ 'beechat:groupdomain' => 'MUC domain',
+ 'beechat:chatroom' => 'Group chat',
+ 'beechat:dbname' => 'Database name',
+ 'beechat:dbhost' => 'Database host',
+ 'beechat:dbuser' => 'Database user',
+ 'beechat:dbuser' => 'notification:method:xmpp',
+ 'notification:method:xmpp' => 'Xmpp/Jabber',
+ 'beechat:dbpassword' => 'Database password',
+ 'beechat:xmlrpcip' => 'Ejabberd IP'
+ );
+
+add_translation('en', $en_array);
+
+?>
diff --git a/mod/beechat/languages/es.php b/mod/beechat/languages/es.php
new file mode 100644
index 000000000..78f009ce9
--- /dev/null
+++ b/mod/beechat/languages/es.php
@@ -0,0 +1,43 @@
+<?php
+
+$es_array = array(
+ 'beechat:icons:home' => 'Home',
+
+ 'beechat:contacts:button' => 'Chat',
+
+ 'beechat:availability:available' => 'Disponible',
+ 'beechat:availability:dnd' => 'No molestar',
+ 'beechat:availability:away' => 'Fuera',
+ 'beechat:availability:xa' => 'Fuera bastante tiempo',
+ 'beechat:availability:offline' => 'Desconectado',
+
+ 'beechat:connection:state:offline' => 'Desconectado',
+ 'beechat:connection:state:connecting' => 'Conectando...',
+ 'beechat:connection:state:authenticating' => 'Iniciando...',
+ 'beechat:connection:state:online' => 'Conectado',
+ 'beechat:connection:state:failed' => 'Fallo',
+ 'beechat:connection:state:disconnecting' => 'Desconectando...',
+
+ 'beechat:chat:self' => 'Yo',
+ 'beechat:chat:composing' => ' esta escribiendo.',
+
+ 'beechat:box:minimize' => 'Minimizar',
+ 'beechat:box:close' => 'Cerrar',
+ 'beechat:box:showhide' => 'Mostrar/Ocultar esta ventana de chat',
+ 'beechat:enabled' => 'Chat activado',
+ 'beechat:disabled' => 'Chat desactivado',
+ 'beechat:enablechat' => 'Activar chat',
+ 'beechat:disablechat' => 'Desactivar chat',
+ 'beechat:domain' => 'Dominio Chat',
+ 'beechat:groupdomain' => 'Dominio MUC',
+ 'beechat:chatroom' => 'Chat del grupo',
+ 'beechat:dbname' => 'Database name',
+ 'beechat:dbhost' => 'Database host',
+ 'beechat:dbuser' => 'Database user',
+ 'beechat:dbpassword' => 'Database password',
+ 'beechat:xmlrpcip' => 'Ejabberd IP'
+ );
+
+add_translation('es', $es_array);
+
+?>
diff --git a/mod/beechat/languages/fr.php b/mod/beechat/languages/fr.php
new file mode 100644
index 000000000..58b0eac3a
--- /dev/null
+++ b/mod/beechat/languages/fr.php
@@ -0,0 +1,32 @@
+<?php
+
+$fr_array = array(
+ 'beechat:icons:home' => 'Accueil',
+
+ 'beechat:contacts:button' => 'Chat',
+
+ 'beechat:availability:available' => 'Disponible',
+ 'beechat:availability:dnd' => 'Ne pas d茅ranger',
+ 'beechat:availability:away' => 'Absent',
+ 'beechat:availability:xa' => 'Absence prolong茅e',
+ 'beechat:availability:offline' => 'Hors ligne',
+
+ 'beechat:connection:state:offline' => 'Hors ligne',
+ 'beechat:connection:state:connecting' => 'Connexion...',
+ 'beechat:connection:state:authenticating' => 'Authentification...',
+ 'beechat:connection:state:online' => 'En ligne',
+ 'beechat:connection:state:failed' => '脡chec',
+ 'beechat:connection:state:disconnecting' => 'D茅connexion...',
+
+ 'beechat:chat:self' => 'Moi',
+ 'beechat:chat:composing' => ' est en train d\'茅crire.',
+
+ 'beechat:box:minimize' => 'Diminuer',
+ 'beechat:box:close' => 'Fermer',
+ 'beechat:box:showhide' => 'Montrer/Cacher cette fen锚tre de chat'
+
+ );
+
+add_translation('fr', $fr_array);
+
+?>
diff --git a/mod/beechat/lib/beechat.php b/mod/beechat/lib/beechat.php
new file mode 100644
index 000000000..bf002d648
--- /dev/null
+++ b/mod/beechat/lib/beechat.php
@@ -0,0 +1,250 @@
+<?
+/*
+ allow_change_subj
+ allow_private_messages
+ allow_query_users
+ allow_user_invites
+ anonymous
+ logging
+ max_users
+ members_by_default
+ members_only
+ moderated
+ password
+ password_protected
+ persistent
+ public
+ public_list
+ title
+
+muc_room_set_affiliation struct[{name, String}, {service, String},
+ {jid, String}, {affiliation, Affiliation}] Integer
+
+
+*/
+ //$request = xmlrpc_encode_request('muc_online_rooms', "global", (array('encoding' => 'utf-8')));
+
+
+function ejabberd_xmlrpc_send($request)
+{
+ $context = stream_context_create(array('http' => array(
+ 'method' => "POST",
+ 'header' => "User-Agent: XMLRPC::Client mod_xmlrpc\r\n" .
+ "Content-Type: text/xml\r\n" .
+ "Content-Length: ".strlen($request),
+ 'content' => $request
+ )));
+
+ $file = file_get_contents("http://".elgg_get_plugin_setting("xmlrpcip", "beechat").":4560/RPC2", false, $context);
+
+ $response = xmlrpc_decode($file);
+ if (is_array($response) && xmlrpc_is_fault($response)) {
+ trigger_error("xmlrpc: $response[faultString] ($response[faultCode])");
+ } else {
+ // print_r($response);
+ }
+}
+
+function ejabberd_xmlrpc_command($command, $params)
+{
+ //error_log("send xmlrpc: ".$command);
+ $request = xmlrpc_encode_request($command, $params, (array('encoding' => 'utf-8')));
+ return ejabberd_xmlrpc_send($request);
+}
+
+function xmpp_escape($name) {
+ // http://xmpp.org/extensions/xep-0106.html#escaping
+ $name = str_replace(' ', '\\20', $name);
+ $name = str_replace('"', '\\22', $name);
+ $name = str_replace('&', '\\26', $name);
+ $name = str_replace("'", '\\27', $name);
+ $name = str_replace('/', '\\2f', $name);
+ $name = str_replace(';', '\\3a', $name);
+ $name = str_replace('<', '\\3c', $name);
+ $name = str_replace('>', '\\3e', $name);
+ $name = str_replace('@', '\\40', $name);
+ $name = str_replace('\\', '\\5c', $name);
+ return $name;
+}
+
+class EjabberdMucRoom {
+ function __construct($group) {
+ $this->group = $group;
+ }
+ function setOption($name, $value) {
+ $group = $this->group;
+ $param=array("name"=>elgg_get_friendly_title($group->name),
+ "service"=>elgg_get_plugin_setting("groupdomain", "beechat"),
+ "option"=>$name,
+ "value"=>$value);
+ ejabberd_xmlrpc_command('muc_room_change_option', $param);
+ }
+ function addMember($member) {
+ //"outcast" | "none" | "member" | "admin" | "owner"
+ $group = $this->group;
+ if ($member->guid === $group->owner_guid)
+ $affiliation = "owner";
+ elseif ($group->canEdit($member->guid))
+ $affiliation = "admin";
+ else
+ $affiliation = "member";
+ $this->setAffiliation($member, $affiliation);
+ }
+
+ function setAffiliation($member, $affiliation) {
+ $group = $this->group;
+ $param = array("name" => elgg_get_friendly_title($group->name),
+ "service" => elgg_get_plugin_setting("groupdomain", "beechat"),
+ "jid" => xmpp_escape($member->username) . '@' . elgg_get_plugin_setting("domain", "beechat"),
+ "affiliation" => $affiliation);
+ ejabberd_xmlrpc_command('muc_room_set_affiliation', $param);
+ //echo "set affiliation ".$member->username."<br/>";
+ }
+}
+
+function ejabberd_create_group($group)
+{
+ //echo "creating " . $group->name . "<br/>";
+ // create room
+ $param=array("name"=>elgg_get_friendly_title($group->name),
+ "service"=>elgg_get_plugin_setting("groupdomain", "beechat"),
+ "server"=>elgg_get_plugin_setting("domain", "beechat"));
+ ejabberd_xmlrpc_command('create_muc_room', $param);
+
+ // persistency
+
+ $room = new EjabberdMucRoom($group);
+ $room->setOption("persistent", true);
+ $room->setOption("title", $group->name);
+ // open to public?
+ if ($group->isPublicMembership()) {
+ $room->setOption("members_only", false);
+ }
+ else
+ $room->setOption("members_only", true);
+
+ if ($group->access_id === ACCESS_PUBLIC) {
+ $room->setOption("public_list", true);
+ $room->setOption("public", true);
+ }
+ else {
+ $room->setOption("public_list", false);
+ $room->setOption("public", false);
+ }
+ $members = $group->getMembers(0);
+ foreach($members as $member) {
+ $room->addMember($member);
+ }
+ $room->addMember(get_entity($group->owner_guid));
+}
+
+function ejabberd_destroy_group($group)
+{
+ $param=array("name"=>elgg_get_friendly_title($group->name),
+ "service"=>elgg_get_plugin_setting("groupdomain", "beechat"),
+ "server"=>elgg_get_plugin_setting("domain", "beechat"));
+ ejabberd_xmlrpc_command('delete_muc_room', $param);
+}
+
+function ejabberd_getjid($user, $do_external=false)
+{
+ if ($user->foreign || ($do_external && $user->alias && get_plugin_usersetting("usealias", $user->guid,"openid_client"))) {
+ if ($user->foreign)
+ $webid = $user->webid;
+ else
+ $webid = $user->alias;
+ if (strpos($webid, 'http') === 0) {
+ // http or https addresses
+ $hostparts = parse_url($webid);
+ $urlparts = explode('/', $webid);
+ $host = $hostparts['host'];
+ $username = $urlparts[count($urlparts)-1];
+ } else {
+ if (strpos($webid, ':') > 0) {
+ $webidparts = explode(':', $webid);
+ $hostparts = explode('@',$webidparts[1]);
+ } else {
+ $hostparts = explode('@',$webid);
+ }
+ $username = $hostparts[0];
+ $host = $hostparts[1];
+ }
+ }
+ else {
+ $username = $user->username;
+ $host = elgg_get_plugin_setting("domain", "beechat");
+ }
+ return xmpp_escape($username) . '@' . $host;
+}
+
+function ejabberd_friend_command($user, $friend, $command, $is_out) // $user adds $friend
+{
+ error_log(" * ".$friend->username."->".ejabberd_getjid($user)." ".$command);
+ if ($friend->foreign) {
+ error_log(" * beechat: friend is foreign!");
+ return;
+ }
+ $param = array("user" => elgg_get_friendly_title($friend->username),
+ "server" => elgg_get_plugin_setting("domain", "beechat"),
+ "from" => ejabberd_getjid($user),
+ "subs" => $command);
+ if ($is_out) {
+ error_log("out");
+ ejabberd_xmlrpc_command('send_roster_request_out', $param);
+ }
+ else {
+ $param['reason'] = 'unknown';
+ ejabberd_xmlrpc_command('send_roster_request_in', $param);
+ }
+}
+
+
+function ejabberd_friend_request($user, $friend) // $user adds $friend
+{
+ error_log('ejabberd_friend_request');
+ ejabberd_friend_command($friend, $user, 'subscribe', true); // out:$user : $friend
+ error_log('ejabberd_friend_requested');
+}
+function ejabberd_friend_accept($user, $friend) // $user adds $friend
+{
+ error_log('ejabberd_friend_accept');
+ ejabberd_friend_command($friend, $user, 'subscribed', true);
+ // following might be needed to have symmetry (and important for remote)
+ if ($friend->foreign) {
+ // following is needed for xmpp nodes
+ ejabberd_friend_command($friend, $user, 'subscribe', true);
+ }
+ // ejabberd_friend_command($friend, $user, 'subscribed', false);
+ // following can't be faked
+ if (!$friend->foreign)
+ ejabberd_friend_command($user, $friend, 'subscribed', true);
+ error_log('ejabberd_friend_accepted');
+}
+function ejabberd_friend_deny($user, $friend) // $user adds $friend
+{
+ error_log('ejabberd_friedeny');
+ ejabberd_friend_command($friend, $user, 'unsubscribed', true);
+}
+function ejabberd_friend_remove($user, $friend) // $user adds $friend
+{
+ error_log('ejabberd_friend_remove');
+ if ($friend->foreign) {
+ ejabberd_friend_command($friend, $user, 'unsubscribed', true);
+ ejabberd_friend_command($friend, $user, 'unsubscribed', false);
+ }
+ else
+ ejabberd_friend_command($friend, $user, 'unsubscribed', false);
+ if (!$friend->foreign)
+ ejabberd_friend_command($user, $friend, 'unsubscribed', false);
+ error_log('ejabberd_friend_removed');
+}
+
+/*function ejabberd_send_chat($from, $to, $body) { // $user adds $friend
+ $param = array("body"=>$body,
+ "from"=>$from,
+ "to"=>$to);
+ ejabberd_xmlrpc_command('send_chat_message', $param);
+}*/
+
+
+?>
diff --git a/mod/beechat/manifest.xml b/mod/beechat/manifest.xml
new file mode 100644
index 000000000..ad948fdb4
--- /dev/null
+++ b/mod/beechat/manifest.xml
@@ -0,0 +1,15 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<plugin_manifest xmlns="http://www.elgg.org/plugin_manifest/1.8">
+ <name>Beechat</name>
+ <author>Beechannels + Lorea dev</author>
+ <version>1.8.0</version>
+ <category>widget</category>
+ <description>XMPP chat for Elgg.</description>
+ <website>https://lorea.org</website>
+ <copyright>(C) Beechanels 2009, Lorea 2009-2013</copyright>
+ <license>GNU General Public License version 2</license>
+ <requires>
+ <type>elgg_release</type>
+ <version>1.8</version>
+ </requires>
+</plugin_manifest>
diff --git a/mod/beechat/migrate.php b/mod/beechat/migrate.php
new file mode 100644
index 000000000..20bee7973
--- /dev/null
+++ b/mod/beechat/migrate.php
@@ -0,0 +1,88 @@
+<?php
+require_once(dirname(dirname(dirname(__FILE__))) . "/engine/start.php");
+
+global $CONFIG;
+admin_gatekeeper();
+
+// It's possible large upgrades could exceed the max execution time.
+set_time_limit(0);
+
+// get ejabberd db settings from elgg.
+$domain = elgg_get_plugin_setting("domain", "beechat");
+$dbname = elgg_get_plugin_setting("dbname", "beechat");
+$dbhost = elgg_get_plugin_setting("dbhost", "beechat");
+$dbuser = elgg_get_plugin_setting("dbuser", "beechat");
+$dbpassword = elgg_get_plugin_setting("dbpassword", "beechat");
+
+$jabber_domain = $domain;
+
+$dbh_elgg = null;
+$dbh_ejabberd = null;
+
+$dsn_elgg = 'mysql:dbname='.$CONFIG->dbname.';host='.$CONFIG->dbhost;
+$dsn_ejabberd = 'mysql:dbname='.$dbname.';host='.$dbhost;
+
+$dbprefix = $CONFIG->dbprefix;
+
+$user = $dbuser;
+$password = $dbpassword;
+
+$relationship_type = 'friend';
+
+$counter = 0;
+
+try {
+ $dbh_elgg = new PDO($dsn_elgg, $CONFIG->dbuser, $CONFIG->dbpass);
+
+ $sql = 'SELECT guid, name, username FROM '.$dbprefix.'users_entity';
+ $sth = $dbh_elgg->prepare($sql);
+ $sth->execute();
+
+ $users = array();
+ while ($row = $sth->fetch(PDO::FETCH_ASSOC))
+ $users[$row['guid']] = $row;
+
+ $sql = 'SELECT guid_one, guid_two FROM '.$dbprefix.'entity_relationships ';
+ $sql .= 'WHERE relationship = ?;';
+ $sth = $dbh_elgg->prepare($sql);
+
+ $sth->bindParam(1, $relationship_type);
+ $sth->execute();
+
+ $dbh_ejabberd = new PDO($dsn_ejabberd, $user, $password);
+ $dbh_ejabberd->beginTransaction();
+
+ while ($row = $sth->fetch(PDO::FETCH_ASSOC)) {
+ $sql = 'INSERT INTO rosterusers (username, jid, nick, subscription, ask, server, type) VALUES (?, ?, ?, ?, ?, ?, ?);';
+ $sth_ejabberd = $dbh_ejabberd->prepare($sql);
+
+
+ $username = $users[$row['guid_one']]['username'];
+ $jid = $users[$row['guid_two']]['username'] . '@' . $jabber_domain;
+ $nick = $users[$row['guid_two']]['name'];
+ $subscription = 'B';
+ $ask = 'N';
+ $server = 'N';
+ $type = 'item';
+
+ $sth_ejabberd->execute(array($username, $jid, $nick, $subscription, $ask, $server, $type));
+
+ $counter += 1;
+ if ($counter % 1000 == 0) {
+ //error_log( $username . ' registered ' . $jid . ' as a friend in his roster.' . "\n");
+ error_log("importing relations into jabber: $counter");
+ }
+ }
+
+ $dbh_ejabberd->commit();
+
+ $dbh_elgg = null;
+ $dbh_ejabberd = null;
+} catch (PDOException $e) {
+ if ($dbh_ejabberd != null)
+ $dbh_ejabberd->rollBack();
+ echo $e->getMessage();
+}
+?>
+
+
diff --git a/mod/beechat/migrategroups.php b/mod/beechat/migrategroups.php
new file mode 100644
index 000000000..4e3ef3f2b
--- /dev/null
+++ b/mod/beechat/migrategroups.php
@@ -0,0 +1,18 @@
+<?
+require_once(dirname(__FILE__) . "/lib.php");
+
+admin_gatekeeper();
+
+// groups disabled for now
+exit();
+
+$groups = elgg_get_entities(array('types'=>'group','limit'=>0));
+elgg_set_ignore_access(true);
+foreach($groups as $group) {
+ echo "migrating " . $group->name . "<br/>";
+ ejabberd_create_group($group);
+}
+echo "done!";
+elgg_set_ignore_access(false);
+
+?>
diff --git a/mod/beechat/sounds/newmessage.wav b/mod/beechat/sounds/newmessage.wav
new file mode 100644
index 000000000..58cccd0e6
--- /dev/null
+++ b/mod/beechat/sounds/newmessage.wav
Binary files differ
diff --git a/mod/beechat/start.php b/mod/beechat/start.php
new file mode 100644
index 000000000..4e4e4bb40
--- /dev/null
+++ b/mod/beechat/start.php
@@ -0,0 +1,184 @@
+<?php
+ /**
+ * Beechat
+ *
+ * @package beechat
+ * @license http://www.gnu.org/licenses/old-licenses/gpl-2.0.html GNU Public License version 2
+ * @author Beechannels <contact@beechannels.com>
+ * @copyright Beechannels 2007-2010
+ * @link http://beechannels.com/
+ */
+
+ GLOBAL $CONFIG;
+
+ function beechat_create_group($event, $object_type, $object)
+ {
+ elgg_load_library('elgg:beechat');
+ ejabberd_create_group($object);
+ }
+
+ function beechat_delete_group($event, $object_type, $object)
+ {
+ elgg_load_library('elgg:beechat');
+ ejabberd_destroy_group($object);
+ }
+
+ function beechat_member_add($event, $object_type, $object)
+ {
+ if ($object->relationship === "member") {
+ elgg_load_library('elgg:beechat');
+ $user = get_entity($object->guid_one);
+ $group = get_entity($object->guid_two);
+ $room = new EjabberdMucRoom($group);
+ $room->addMember($user);
+ }
+ }
+
+ function beechat_member_delete($event, $object_type, $object)
+ {
+ if ($object->relationship === "member") {
+ elgg_load_library('elgg:beechat');
+ $user = get_entity($object->guid_one);
+ $group = get_entity($object->guid_two);
+ $room = new EjabberdMucRoom($group);
+ $room->setAffiliation($user, "none");
+ }
+ }
+
+ function beechat_init()
+ {
+ $pluginspath = elgg_get_plugins_path();
+ elgg_register_library('elgg:beechat', $pluginspath . 'beechat/lib/beechat.php');
+
+ elgg_register_event_handler('pagesetup', 'system', 'beechat_pagesetup');
+ // group actions disabled for now
+ /*if (elgg_get_plugin_setting("groupdomain", "beechat")) {
+ register_elgg_event_handler('create', 'group', 'beechat_create_group');
+ register_elgg_event_handler('delete', 'group', 'beechat_delete_group');
+ }
+ register_elgg_event_handler('create', 'member', 'beechat_member_add');
+ register_elgg_event_handler('delete', 'relationship', 'beechat_member_delete');*/
+
+
+ $actions_path = $pluginspath . 'beechat/actions/';
+ //elgg_register_action('beechat/join_groupchat', $actions_path . 'join_groupchat.php');
+ //elgg_register_action('beechat/leave_groupchat', $actions_path . 'leave_groupchat.php');
+ elgg_register_action('beechat/get_statuses', $actions_path . 'get_statuses.php');
+ elgg_register_action('beechat/get_icons', $actions_path . 'get_icons.php');
+ elgg_register_action('beechat/get_details', $actions_path . 'get_details.php');
+ elgg_register_action('beechat/get_connection', $actions_path . 'get_connection.php');
+ elgg_register_action('beechat/get_state', $actions_path . 'get_state.php');
+ elgg_register_action('beechat/save_state', $actions_path . 'save_state.php');
+
+ /*register_elgg_event_handler('create', 'friendrequest', 'beechat_xmpp_add_friendx');
+ #register_plugin_hook('action', 'friends/add', 'beechat_xmpp_add_friend', 1000);
+ register_plugin_hook('river_update', 'river_update', 'beechat_xmpp_approve_friendx');
+ register_plugin_hook('river_update_foreign', 'river_update', 'beechat_xmpp_approve_friendx');
+ #register_plugin_hook('action', 'friendrequest/approve', 'beechat_xmpp_approve_friend', 1000);
+
+
+ register_plugin_hook('action', 'friendrequest/decline', 'beechat_xmpp_decline_friend', 1000);
+ register_plugin_hook('action', 'friends/remove', 'beechat_xmpp_remove_friend', 1000);*/
+
+ // new friend sync
+ elgg_register_event_handler('delete', 'friend', array('BeechatSync', 'onFriendDelete'));
+ //elgg_register_event_handler('create', 'friendrequest', array('BeechatSync', 'onFriendCreate'));
+ elgg_register_event_handler('delete', 'friendrequest', array('BeechatSync', 'onFriendDelete'));
+
+
+
+ elgg_extend_view('js/initialise_elgg', 'js/json2.js');
+ elgg_extend_view('js/initialise_elgg', 'js/jquery.cookie.min.js');
+ elgg_extend_view('js/initialise_elgg', 'js/jquery.scrollTo-min.js');
+ elgg_extend_view('js/initialise_elgg', 'js/jquery.serialScroll-min.js');
+ elgg_extend_view('js/initialise_elgg', 'js/b64.js');
+ elgg_extend_view('js/initialise_elgg', 'js/sha1.js');
+ elgg_extend_view('js/initialise_elgg', 'js/md5.js');
+ elgg_extend_view('js/initialise_elgg', 'js/strophe.min.js');
+ elgg_extend_view('js/initialise_elgg', 'js/strophe.muc.js');
+ elgg_extend_view('js/initialise_elgg', 'js/jquery.tools.min.js');
+ elgg_extend_view('css', 'beechat/screen.css');
+ elgg_extend_view('js/initialise_elgg', 'beechat/beechat.js');
+ elgg_extend_view('page/elements/head', 'beechat/beechat.userjs');
+
+ elgg_extend_view('page/elements/foot', 'beechat/beechat');
+
+ $domain = elgg_get_plugin_setting("domain", "beechat");
+ //$group_domain = elgg_get_plugin_setting("groupdomain", "beechat");
+ /*$dbname = elgg_get_plugin_setting("dbname", "beechat");
+ $dbhost = elgg_get_plugin_setting("dbhost", "beechat");
+ $dbuser = elgg_get_plugin_setting("dbuser", "beechat");
+ $dbpassword = elgg_get_plugin_setting("dbpassword", "beechat");*/
+
+ global $CONFIG;
+ $CONFIG->chatsettings['domain'] = $domain;
+ //$CONFIG->chatsettings['groupdomain'] = $group_domain;
+
+ register_notification_handler('xmpp', 'beechat_notifications');
+ // register_plugin_hook('notify:entity:message','object','beechat_notifications_msg');
+ }
+
+ function beechat_notifications($from, $to, $subject, $topic, $params = array()) {
+ ejabberd_send_chat($to, "<div>".$topic."</div>");
+ }
+
+
+ function beechat_friendly_title($title) {
+ // need this because otherwise seems elgg
+ // gets in some problem trying to call the view
+ //$title = iconv('UTF-8', 'ASCII//TRANSLIT', $title);
+ $title = preg_replace("/[^\w ]/","",$title);
+ $title = str_replace(" ","-",$title);
+ $title = str_replace("--","-",$title);
+ $title = trim($title);
+ $title = strtolower($title);
+ return $title;
+ }
+
+ function beechat_pagesetup()
+ {
+ global $CONFIG;
+ /*if (elgg_get_context() == 'group_profile' && elgg_is_logged_in()) {
+ if (elgg_get_plugin_setting("groupdomain", "beechat")) {
+ $user = elgg_get_logged_in_user_entity();
+ $group = elgg_get_page_owner_entity();
+ if (!$group || !($group instanceof ElggGroup))
+ return;
+ if ($user->chatenabled && elgg_get_plugin_setting("groupdomain", "beechat")) {
+ if ($group->isPublicMembership() || $group->isMember($user)) {
+ $item = new ElggMenuItem('chatroom', elgg_echo('beechat:chatroom'), "javascript:g_beechat_user.joinRoom('".beechat_friendly_title($group->name)."@".$CONFIG->chatsettings['groupdomain']."', '".$group->guid."')");
+ elgg_register_menu_item('page', 'item');
+ }
+ }
+ }
+ }
+ else*/if (elgg_get_context() == 'settings' && elgg_is_logged_in()) {
+ $is_enabled = elgg_get_logged_in_user_entity()->chatenabled;
+ $action = $is_enabled ? 'disable' : 'enable';
+ elgg_register_menu_item('page', array(
+ 'name' => 'beechat',
+ 'text'=> elgg_echo("beechat:{$action}chat"),
+ 'href' => $CONFIG->wwwroot . "mod/beechat/{$action}chat.php",
+ ));
+ }
+ }
+
+
+ function ejabberd_send_chat($user, $body) { // $user adds $friend
+ $from = 'notify@'.elgg_get_plugin_setting("domain", "beechat").'/net';
+ if ($user->alias) {
+
+ }
+ elgg_load_library('elgg:beechat');
+ $to = ejabberd_getjid($user, true);
+ //xmlrpc_set_type(&$body, "base64");
+ $param = array("body" => $body,
+ "from" => $from,
+ "to" => $to);
+ ejabberd_xmlrpc_command('send_html_message', $param);
+ }
+
+
+
+
+elgg_register_event_handler('init', 'system', 'beechat_init');
diff --git a/mod/beechat/views/default/beechat/beechat.js.php b/mod/beechat/views/default/beechat/beechat.js.php
new file mode 100644
index 000000000..09f4e8852
--- /dev/null
+++ b/mod/beechat/views/default/beechat/beechat.js.php
@@ -0,0 +1,2498 @@
+/**
+ * Beechat
+ *
+ * @package beechat
+ * @license http://www.gnu.org/licenses/old-licenses/gpl-2.0.html GNU Public License version 2
+ * @author Beechannels <contact@beechannels.com>
+ * @copyright Beechannels 2007-2010
+ * @link http://beechannels.com/
+ */
+
+/** Globals
+ *
+ */
+g_beechat_user = null;
+g_beechat_roster_items = null;
+g_beechat_rooms = new Array();
+
+function debugXMPP(msg) {
+ try {
+ //console.log(msg)
+ }
+ catch (err) {
+ }
+ //$('#layout_footer').html($('#layout_footer').html()+'<br/>'+msg);
+}
+
+/** Class: BeeChat
+ * An object container for all BeeChat mod functions
+ *
+ */
+BeeChat = {
+ BOSH_SERVICE: '/http-bind/',
+ DOMAIN: '<?php echo $vars['config']->chatsettings['domain'] ?>',
+ RESOURCE: 'beebac',
+ INACTIVITY_PERIOD_LENGTH: 60,
+
+ NS: {
+ CHAT_STATES: 'http://jabber.org/protocol/chatstates'
+ },
+
+ Message: {
+ Types: {
+ NORMAL: 'normal',
+ CHAT: 'chat',
+ GROUPCHAT: 'groupchat',
+ HEADLINE: 'headline',
+ ERROR: 'error'
+ },
+
+ ChatStates: {
+ COMPOSING: 'composing',
+ PAUSED: 'paused',
+ ACTIVE: 'active',
+ INACTIVE: 'inactive',
+ GONE: 'gone'
+ }
+ },
+
+ IQ: {
+ Types: {
+ GET: 'get',
+ RESULT: 'result',
+ SET: 'set',
+ ERROR: 'error'
+ }
+ },
+
+ Presence: {
+ Types: {
+ UNAVAILABLE: 'unavailable',
+ SUBSCRIBE: 'subscribe',
+ SUBSCRIBED: 'subscribed',
+ UNSUBSCRIBE: 'unsubscribe',
+ UNSUBSCRIBED: 'unsubscribed',
+ PROBE: 'probe',
+ ERROR: 'error'
+ },
+
+ ChildElements: {
+ SHOW: 'show',
+ STATUS: 'status',
+ PRIORITY: 'priority'
+ },
+
+ ShowElements: {
+ CHAT: 'chat',
+ DND: 'dnd',
+ AWAY: 'away',
+ XA: 'xa'
+ }
+ },
+
+ Roster: {
+ DEFAULT_GROUP: 'Contacts'
+ },
+
+
+ Events: {
+ Identifiers: {
+ UPDATE_CONNECTION_STATE: 0,
+ UPDATE_ROSTER: 1,
+ RECV_PRESENCE: 2,
+ RECV_CHAT_MESSAGE: 3
+ },
+ Messages: {
+ ConnectionStates: {
+ CONNECTING: "<?php echo elgg_echo('beechat:connection:state:connecting'); ?>",
+ AUTHENTICATING: "<?php echo elgg_echo('beechat:connection:state:authenticating'); ?>",
+ FAILED: "<?php echo elgg_echo('beechat:connection:state:failed'); ?>",
+ DISCONNECTING: "<?php echo elgg_echo('beechat:connection:state:disconnecting'); ?>",
+ OFFLINE: "<?php echo elgg_echo('beechat:connection:state:offline'); ?>",
+ ONLINE: "<?php echo elgg_echo('beechat:connection:state:online'); ?>"
+ }
+ }
+ }
+};
+
+
+/** Class: BeeChat.Core
+ * An object container for all BeeChat Core functions
+ *
+ */
+BeeChat.Core = {
+ ReferenceTables: {
+ AvailabilityRates: {
+ AVAILABLE: 0,
+ ONLINE: 0,
+ CHAT: 0,
+ DND: 1,
+ AWAY: 2,
+ XA: 3,
+ UNAVAILABLE: 10,
+ OFFLINE: 10
+ }
+ }
+};
+
+
+/** Class: BeeChat.Core.User
+ * Create a BeeChat.Core.User object
+ *
+ * Parameters:
+ * (String) jid - The user's jabber id
+ *
+ * Returns:
+ * A new BeeChat.Core.User.
+ */
+BeeChat.Core.User = function(jid)
+{
+ if (!(this instanceof arguments.callee))
+ return new BeeChat.Core.User(jid);
+
+ /** Private members
+ *
+ */
+ var _connection = null;
+ var _attached = false;
+ var _initialized = false;
+ var _jid = null;
+ var _roster = null;
+ var _msgTemp = [];
+ var _funcs = [];
+
+ /** Constructor
+ *
+ */
+ this.init = function(jid)
+ {
+ _jid = jid;
+ _roster = new BeeChat.Core.Roster();
+ }
+
+ /** Accessors
+ *
+ */
+ this.getConnection = function()
+ {
+ return _connection;
+ }
+
+ this.getJid = function()
+ {
+ return _jid;
+ }
+
+ this.getRoster = function()
+ {
+ return _roster;
+ }
+
+ this.isAttached = function()
+ {
+ return _attached;
+ }
+
+ this.isInitialized = function()
+ {
+ return _initialized;
+ }
+
+ /** Mutators
+ *
+ */
+ this.setInitialized = function(isInitialized)
+ {
+ _initialized = isInitialized;
+ }
+
+ /** Function: addObserver
+ * Add an observer for a specified type of event
+ *
+ * Parameters:
+ * (BeeChat.Events.Identifiers) eventType - The type of event to observer
+ * (Object) pFunc - A function to call when the event will be triggered
+ */
+ this.addObserver = function(eventType, pFunc)
+ {
+ if (jQuery.inArray(pFunc, _funcs) == -1) {
+ if (!_funcs[eventType])
+ _funcs[eventType] = [];
+ _funcs[eventType].push(pFunc);
+ }
+ }
+
+ /** Function: removeObserver
+ * Remove an observer
+ *
+ * Parameters:
+ * (Object) pFunc - The registered function
+ */
+ this.removeObserver = function(pFunc)
+ {
+ var index = null;
+
+ for (var key in _funcs) {
+ if (typeof _funcs[key] != 'object')
+ continue;
+ if ((index = jQuery.inArray(pFunc, _funcs[key])) != -1)
+ _funcs.splice(index, 1);
+ }
+ }
+
+ /** Function: connect
+ * Connect the user to the BOSH service
+ *
+ * Parameters:
+ * (String) password - The user's password
+ *
+ */
+ this.connect = function(password)
+ {
+ debugXMPP('connect');
+ if (_connection == null)
+ _connection = new Strophe.Connection(BeeChat.BOSH_SERVICE);
+ _connection.connect(_jid, password, _onConnect);
+ }
+
+ /** Function: attach
+ * Attach user's connection to an existing XMPP session
+ *
+ * Parameters:
+ * (String) sid - The SID of the existing XMPP session
+ * (String) rid - The RID of the existing XMPP session
+ */
+ this.attach = function(sid, rid)
+ {
+ if (_connection == null) {
+ _connection = new Strophe.Connection(BeeChat.BOSH_SERVICE);
+ }
+ _connection.attach(_jid, sid, rid, _onConnect);
+ _attached = true;
+ _onConnect(Strophe.Status.CONNECTED);
+ }
+
+ /** Function: disconnect
+ * Disconnect the user from the BOSH service
+ *
+ */
+ this.disconnect = function()
+ {
+ debugXMPP('disconnect');
+ if (_connection != null) {
+ _connection.disconnect();
+ _connection = null;
+ }
+ }
+
+ /** Function: requestSessionPause
+ * Request a session pause to the server connection manager
+ *
+ */
+ this.requestSessionPause = function()
+ {
+ var req = $build('body', {
+ rid: _connection.rid,
+ sid: _connection.sid,
+ pause: BeeChat.INACTIVITY_PERIOD_LENGTH,
+ xmlns: Strophe.NS.HTTPBIND
+ });
+
+ _attached = false;
+ _connection.send(req.tree());
+ }
+
+ /** Function: requestRoster
+ * Request a new roster to the server
+ *
+ */
+ this.requestRoster = function()
+ {
+ var req = $iq({from: _jid, type: BeeChat.IQ.Types.GET})
+ .c('query', {xmlns: Strophe.NS.ROSTER});
+
+ _connection.send(req.tree());
+ }
+
+ /** Function: sendInitialPresence
+ * Send initial presence to the server in order to signal availability for communications
+ *
+ */
+ this.sendInitialPresence = function()
+ {
+ _connection.send($pres().tree());
+ _initialized = true;
+ }
+
+ /** Function: sendChatMessage
+ * Send a chat message to the server
+ *
+ * Parameters:
+ * (String) addressee - The addressee of the chat message
+ * (String) msg - The chat message
+ *
+ */
+ this.sendChatMessage = function(addressee, msg, msgtype)
+ {
+ if (msgtype == null)
+ msgtype = BeeChat.Message.Types.CHAT;
+ var req = $msg({
+ type: msgtype,
+ to: addressee,
+ from: _connection.jid
+ }).c('body').t(msg).up().c(BeeChat.Message.ChatStates.ACTIVE, {xmlns: BeeChat.NS.CHAT_STATES});
+
+ _connection.send(req.tree());
+ }
+
+ /** Function: sendChatStateMessage
+ * Send a chat state message to the server
+ *
+ * Parameters:
+ * (String) addressee - The addressee of the chat state message
+ * (BeeChat.Message.ChatsState) state - The chat state that will be send
+ *
+ */
+ this.sendChatStateMessage = function(addressee, state)
+ {
+ var req = $msg({
+ type: BeeChat.Message.Types.CHAT,
+ to: addressee,
+ from: _connection.jid
+ }).c(state, {xmlns: BeeChat.NS.CHAT_STATES});
+
+ _connection.send(req.tree());
+ }
+
+ /** Function: sendPresenceAvailabiliy
+ * Send a detailed presence stanza to the server
+ *
+ * Parameters:
+ * (BeeChat.Presence.ShowElements) availability - The availability status
+ * (String) details - Detailed status information
+ *
+ */
+ this.sendPresenceAvailability = function(availability, details)
+ {
+ var req = $pres()
+ .c(BeeChat.Presence.ChildElements.SHOW).t(availability).up()
+ .c(BeeChat.Presence.ChildElements.STATUS).t(details).up()
+ .c(BeeChat.Presence.ChildElements.PRIORITY).t('1');
+
+ _connection.send(req.tree());
+ }
+
+ /** PrivateFunction: _fire
+ * Triggers registered funcs of registered observers for a specified type of event
+ *
+ */
+ function _fire(eventType, data, scope)
+ {
+ if (_funcs[eventType] != undefined) {
+ for (var i = 0; i < _funcs[eventType].length; i++)
+ _funcs[eventType][i].call((scope || window), data);
+ }
+ }
+ this.joinRoom = function(roomname, room_guid) {
+ var roomJid = roomname;
+ _connection['muc'].join(roomJid, BeeChat.UI.Resources.Strings.ChatMessages.SELF, false, false, '');
+ if (!(roomJid in g_beechat_rooms)) {
+ g_beechat_rooms[roomJid] = room_guid;
+ $.ajax({
+ url: BeeChat.UI.addActionTokens('<?php echo $vars['url'] . "action/beechat/join_groupchat?group_guid="; ?>'+room_guid, '&'),
+ async: true });
+ }
+
+
+ }
+
+ this.reconnectRooms = function() {
+ $('#' + BeeChat.UI.Resources.Elements.ID_DIV_CHATBOXES).children().each(function() {
+ if (roomJid in g_beechat_rooms)
+ delete g_beechat_rooms[roomJid];
+ var contactBareJid = $(this).attr('bareJid');
+ var isroom = ($(this).attr('isroom')=='true')?true:false;
+ if (isroom) {
+ _connection['muc'].join(contactBareJid, BeeChat.UI.Resources.Strings.ChatMessages.SELF, false, false, '');
+ }
+ });
+ }
+
+ this.leaveRoom = function(roomJid) {
+ if (roomJid in g_beechat_rooms) {
+ $.ajax({
+ url: BeeChat.UI.addActionTokens('<?php echo $vars['url'] . "action/beechat/leave_groupchat?group_guid="; ?>'+g_beechat_rooms[roomJid], '&'),
+ async: true });
+
+ }
+ _connection['muc'].leave(roomJid, BeeChat.UI.Resources.Strings.ChatMessages.SELF, function(){});
+ }
+
+ /** PrivateFunction: _onConnect
+ * Connection state manager
+ *
+ * Parameters:
+ * (Strophe.Status) status - A Strophe connection status constant
+ *
+ */
+ function _onConnect(status)
+ {
+ var msg = null;
+
+ if (status == Strophe.Status.CONNECTING)
+{
+ msg = BeeChat.Events.Messages.ConnectionStates.CONNECTING;
+ }
+ else if (status == Strophe.Status.AUTHENTICATING) {
+ msg = BeeChat.Events.Messages.ConnectionStates.AUTHENTICATING;
+ }
+ else if (status == Strophe.Status.AUTHFAIL)
+ msg = BeeChat.Events.Messages.ConnectionStates.FAILED;
+ else if (status == Strophe.Status.CONNFAIL)
+ msg = BeeChat.Events.Messages.ConnectionStates.FAILED;
+ else if (status == Strophe.Status.DISCONNECTING)
+ msg = BeeChat.Events.Messages.ConnectionStates.DISCONNECTING;
+ else if (status == Strophe.Status.DISCONNECTED)
+ msg = BeeChat.Events.Messages.ConnectionStates.OFFLINE;
+ else if (status == Strophe.Status.CONNECTED) {
+ msg = BeeChat.Events.Messages.ConnectionStates.ONLINE;
+ _connection.addHandler(_onIQResult, null, 'iq', BeeChat.IQ.Types.RESULT, null, null);
+ _connection.addHandler(_onPresence, null, 'presence', null, null, null);
+ _connection.addHandler(_onMessageChat, null, 'message', BeeChat.Message.Types.CHAT, null, null);
+ _connection.addHandler(_onMessageChatRoom, null, 'message', BeeChat.Message.Types.GROUPCHAT, null, null);
+ }
+
+ _fire(BeeChat.Events.Identifiers.UPDATE_CONNECTION_STATE, msg);
+ }
+
+ /** PrivateFunction: _onIQResult
+ * Manage received IQ stanza of 'result' type
+ *
+ * Parameters:
+ * (XMLElement) iq - The iq stanza received
+ *
+ */
+ function _onIQResult(iq)
+ {
+ _roster.updateFromIQResult(iq);
+ _fire(BeeChat.Events.Identifiers.UPDATE_ROSTER, _roster.getItems());
+
+ return true;
+ }
+
+ /** PrivateFunction: _onPresence
+ * Manage received presence stanza
+ *
+ * Parameters:
+ * (XMLElement) presence - The presence stanza received
+ *
+ */
+ function _onPresence(presence)
+ {
+ var xquery = presence.getElementsByTagName("x");
+ debugXMPP('_onPresence'+xquery);
+ if (xquery.length > 0)
+ {
+ //Ignore MUC user protocol
+ for (var i = 0; i < xquery.length; i++)
+ {
+ var xmlns = xquery[i].getAttribute("xmlns");
+ if (xmlns && xmlns.match(Strophe.NS.MUC + '#user'))
+ {
+ var contactBareJid = $(presence).attr('from').split('/')[0];
+ var userNick = $(presence).attr('from').split('/')[1];
+ var chatBoxElm = BeeChat.UI.ChatBoxes.getChatBoxElm(contactBareJid);
+ if ($(presence).attr('type') != 'unavailable') {
+ BeeChat.UI.ChatBoxes.updateRoster(contactBareJid, userNick, presence);
+ }
+ else {
+ if (chatBoxElm.length) {
+ BeeChat.UI.ChatBoxes.updateRoster(contactBareJid, userNick, presence);
+ }
+ }
+ return true;
+ }
+
+ if (xmlns && xmlns.match(Strophe.NS.MUC))
+ {
+ var contactBareJid = $(presence).attr('from').split('/')[0];
+ var chatBoxElm = BeeChat.UI.ChatBoxes.getChatBoxElm(contactBareJid);
+
+ if ($(presence).attr('type') != 'unavailable' && $(presence).attr('type') != 'error') {
+ if (chatBoxElm.length == 0) {
+ BeeChat.UI.ScrollBoxes.add(contactBareJid);
+ }
+ }
+ return true;
+ }
+
+ }
+ }
+
+ if (Strophe.getBareJidFromJid($(presence).attr('from')).toLowerCase() != Strophe.getBareJidFromJid(_jid).toLowerCase()) {
+ _roster.updateFromPresence(presence);
+ }
+ _fire(BeeChat.Events.Identifiers.RECV_PRESENCE, _roster.getOnlineItems());
+ return true;
+ }
+
+ /** PrivateFunction: _onMessageChat
+ * Manage received message stanza of 'chat' type
+ *
+ * Parameters:
+ * (XMLElement) message - The message stanza received
+ *
+ */
+ function _onMessageChatRoom(message)
+ {
+ var roomJid = $(message).attr('from').split('/');
+
+// BeeChat.UI.ChatBoxes.updateChatState($(message).attr('from'), message);
+ BeeChat.UI.ChatBoxes.update(roomJid[0], roomJid[1], Strophe.getText($(message).find('body')[0]), true);
+
+ return true;
+ }
+
+ function _onMessageChat(message)
+ {
+ var data = {
+ contactBareJid: Strophe.getBareJidFromJid($(message).attr('from')),
+ msg: message
+ };
+ _msgTemp.push(data);
+ //alert("message");
+ if (_initialized == true) {
+ for (var key in _msgTemp) {
+ if (typeof _msgTemp[key] != 'object')
+ continue;
+ _fire(BeeChat.Events.Identifiers.RECV_CHAT_MESSAGE, _msgTemp[key]);
+ _msgTemp.shift();
+ }
+ }
+
+ return true;
+ }
+
+ this.init(jid);
+};
+
+
+/** Constructor: BeeChat.Core.Roster
+ * Create a BeeChat.Core.Roster object
+ *
+ * Parameters:
+ * (Object) items - The roster's items in object notation
+ *
+ * Returns:
+ * A new BeeChat.Core.Roster.
+ */
+BeeChat.Core.Roster = function()
+{
+ if (!(this instanceof arguments.callee))
+ return new BeeChat.Core.Roster();
+
+ /** Private members
+ *
+ */
+ _items = null;
+
+
+ /** Constructor
+ *
+ */
+ this.init = function()
+ {
+ _items = (arguments.length > 0) ? arguments[0] : {};
+ }
+
+ /** Accessors
+ *
+ */
+ this.getItems = function()
+ {
+ return _items;
+ }
+
+ /** Mutators
+ *
+ */
+ this.setItems = function(items)
+ {
+ for (var key in items) {
+ _items[key] = new BeeChat.Core.RosterItem(items[key]);
+ var contactBareJid = items[key].bareJid;
+ var chatBoxElm = BeeChat.UI.ChatBoxes.getChatBoxElm(contactBareJid);
+ var status = items[key].status;
+ /*if (status != 'unavailable' && status != 'error') {
+ if (chatBoxElm.length == 0) {
+ BeeChat.UI.ScrollBoxes.add(contactBareJid);
+ }
+ }*/
+
+ }
+ }
+
+ this.setIcons = function(icons)
+ {
+ if (_items) {
+ for (var key in icons) {
+ if (_items[key]) {
+ _items[key].icon_small = icons[key].small;
+ _items[key].icon_tiny = icons[key].tiny;
+ }
+ }
+ }
+ }
+
+ this.setStatuses = function(statuses)
+ {
+ if (_items) {
+ for (var key in statuses) {
+ if (_items[key]) {
+ _items[key].status = statuses[key];
+ }
+ }
+ }
+ }
+
+ /** Function: updateFromIQResult
+ * Update the roster items from an IQ result stanza
+ *
+ * Parameters:
+ * (XMLElement) iq - The IQ result stanza
+ */
+ this.updateFromIQResult = function(iq)
+ {
+ $(iq).find('item').each(function() {
+ var attr = {
+ bareJid: Strophe.getBareJidFromJid($(this).attr('jid')).toLowerCase(),
+ name: $(this).attr('name'),
+ subscription: $(this).attr('subscription'),
+ groups: [],
+ presences: {}
+ };
+
+ $(this).find('group').each(function() {
+ attr['groups'].push($(this).text());
+ });
+
+ if (attr['groups'].length == 0)
+ attr['groups'].push(BeeChat.Roster.DEFAULT_GROUP);
+
+ if (!_items[attr.bareJid])
+ _items[attr.bareJid] = new BeeChat.Core.RosterItem(attr);
+ else {
+ _items[attr.bareJid].bareJid = attr.bareJid;
+ _items[attr.bareJid].name = attr.name;
+ _items[attr.bareJid].subscription = attr.subscription;
+ _items[attr.bareJid].groups = attr.groups;
+ }
+ });
+ }
+
+ /** Function: updateFromPresence
+ * Update the roster items from a presence stanza
+ *
+ * Parameters:
+ * (XMLElement) presence - The presence stanza
+ *
+ * Returns:
+ * (String) The bare jid of the roster item who updated his presence
+ */
+ this.updateFromPresence = function(presence)
+ {
+ var jid = $(presence).attr('from').toLowerCase();
+ var attr = {
+ bareJid: Strophe.getBareJidFromJid(jid),
+ name: null,
+ subscription: null,
+ groups: null,
+ presences: {}
+ };
+
+ attr.presences[jid] = {};
+ attr.presences[jid].type = (!$(presence).attr('type')) ? 'available' : $(presence).attr('type');
+ //alert($(presence).attr('from')+presence.toString());
+ //alert("presencetype"+attr.presences[jid].type);
+
+ if (attr.presences[jid].type == 'available') {
+ $(presence).children().each(function() {
+ if (this.tagName == BeeChat.Presence.ChildElements.SHOW)
+ attr.presences[jid].show = $(this).text();
+ if (this.tagName == BeeChat.Presence.ChildElements.STATUS)
+ attr.presences[jid].status = $(this).text();
+ });
+
+ if (!attr.presences[jid].show)
+ attr.presences[jid].show = 'chat';
+ } else {
+ attr.presences[jid].show = 'offline';
+ }
+
+ if (!_items[attr.bareJid])
+ _items[attr.bareJid] = new BeeChat.Core.RosterItem(attr);
+ else
+ _items[attr.bareJid].presences[jid] = attr.presences[jid];
+ }
+
+ /** Function: getOnlineItems
+ *
+ *
+ */
+ this.getOnlineItems = function()
+ {
+ var sortedOnlineBareJid = [];
+ var sortedOnlineItems = {};
+
+ for (var key in _items) {
+ if (typeof _items[key] != 'object')
+ continue;
+
+ var pres = _items[key].getStrongestPresence();
+
+ if (pres != null && pres.type == 'available') {
+ sortedOnlineBareJid.push(key);
+ }
+ }
+
+ if (sortedOnlineBareJid.length > 1) {
+ sortedOnlineBareJid.sort();
+ sortedOnlineBareJid.sort(statusSort);
+ }
+
+ for (var key in sortedOnlineBareJid) {
+ sortedOnlineItems[sortedOnlineBareJid[key]] = _items[sortedOnlineBareJid[key]];
+ }
+
+ return (sortedOnlineItems);
+ }
+
+ /** Function: getSizeOnlineItems
+ * Return the number of available items
+ *
+ * Returns:
+ * (int) The number of available items
+ */
+ this.getSizeOnlineItems = function()
+ {
+ var n = 0;
+
+ for (var key in _items) {
+ if (typeof _items[key] != 'object')
+ continue;
+
+ var pres = _items[key].getStrongestPresence();
+
+ if (pres != null && pres.type == 'available')
+ ++n;
+ }
+ return (n);
+ }
+
+ /** Function: getItemsUsernamesAsList
+ *
+ */
+ this.getItemsUsernamesAsList = function()
+ {
+ var data = '';
+
+ for (var key in _items) {
+ if (typeof _items[key] != 'object')
+ continue;
+ data = data + Strophe.getBareJidFromJid(key) + ',';
+ // data = data + Strophe.getNodeFromJid(key) + ',';
+ }
+
+ return (data);
+ }
+
+ /** PrivateFunction: statusSort
+ *
+ */
+ function statusSort(x, y)
+ {
+ var xPres = _items[x].getStrongestPresence();
+ var yPres = _items[y].getStrongestPresence();
+
+ if (xPres != null && yPres != null)
+ return (BeeChat.Core.Roster.Utils.comparePresences(xPres, yPres));
+ return (0);
+ }
+
+ this.init();
+};
+
+BeeChat.Core.Roster.Utils = {
+
+ /** Function: comparePresences
+ * Compare the two presences x and y
+ *
+ * Parameters:
+ * (Object) xPres - The x presence in object notation
+ * (Object) yPres - The y presence in object notation
+ *
+ * Returns:
+ * 0 if presence are equal, 1 if x > y, -1 if y > x
+ *
+ * Note:
+ * Presences are tagged in the following order:
+ * ONLINE < DND < AWAY < XA < OFFLINE
+ *
+ */
+ comparePresences: function(xPres, yPres)
+ {
+ var xRate = 0;
+ var yRate = 0;
+
+ if (xPres.type == 'unavailable')
+ xRate += BeeChat.Core.ReferenceTables.AvailabilityRates[xPres.type.toUpperCase()];
+ if (yPres.type == 'unavailable')
+ yRate += BeeChat.Core.ReferenceTables.AvailabilityRates[yPres.type.toUpperCase()];
+
+ if (xPres.show != null)
+ xRate += BeeChat.Core.ReferenceTables.AvailabilityRates[xPres.show.toUpperCase()];
+ if (yPres.show != null)
+ yRate =+ BeeChat.Core.ReferenceTables.AvailabilityRates[yPres.show.toUpperCase()];
+
+ if (xRate > yRate)
+ return (1);
+ else if (xRate == yRate)
+ return (0);
+ return (-1);
+ }
+};
+
+
+/** Constructor: BeeChat.Core.RosterItem
+ * Create a BeeChat.Core.RosterItem object
+ *
+ * Parameters:
+ * (Object) attr - The RosterItem's attributes in object notation
+ *
+ * Returns:
+ * A new BeeChat.Core.RosterItem.
+ */
+BeeChat.Core.RosterItem = function()
+{
+ this.bareJid = (arguments.length > 0) ? arguments[0].bareJid : null;
+ this.name = (arguments.length > 0) ? arguments[0].name : null;
+ this.subscription = (arguments.length > 0) ? arguments[0].subscription : null;
+ this.groups = (arguments.length > 0) ? arguments[0].groups : null;
+ this.presences = (arguments.length > 0) ? arguments[0].presences : null;
+ this.icon_small = (arguments.length > 0) ? arguments[0].icon_small : null;
+ this.icon_tiny = (arguments.length > 0) ? arguments[0].icon_tiny : null;
+ this.status = (arguments.length > 0) ? arguments[0].status : null;
+};
+BeeChat.Core.RosterItem.prototype = {
+ /** Function: getStrongestPresence
+ * Return the strongest presence of the RosterItem
+ *
+ */
+ getStrongestPresence: function()
+ {
+ var res = null;
+
+ for (var key in this.presences) {
+ if (typeof this.presences[key] != 'object')
+ continue;
+ if (res == null)
+ res = this.presences[key];
+ else
+ if (BeeChat.Core.Roster.Utils.comparePresences(this.presences[key], res) == -1)
+ res = this.presences[key];
+ }
+ return (res);
+ }
+};
+
+
+/** Class: BeeChat.UI
+ * An object container for all BeeChat UI functions
+ *
+ */
+BeeChat.UI = {
+ HAS_FOCUS: true,
+
+ Resources: {
+ Paths: {
+ ICONS: '<?php echo $vars['config']->url; ?>mod/beechat/graphics/icons/',
+ MEMBER_PROFILE: '<?php echo $vars['url']; ?>profile/'
+ },
+
+ Sounds: {
+ NEW_MESSAGE: 'beechat_sounds_new_message'
+ },
+
+ /*
+ Cookies: {
+ DOMAIN: 'beechannels.com',
+ FILENAME_CONN: 'beechat_conn'
+ },
+ */
+
+ Emoticons: {
+ FILENAME_SMILE: 'emoticon_smile.png',
+ FILENAME_UNHAPPY: 'emoticon_unhappy.png',
+ FILENAME_GRIN: 'emoticon_grin.png',
+ FILENAME_EVILGRIN: 'emoticon_evilgrin.png',
+ FILENAME_SURPRISED: 'emoticon_surprised.png',
+ FILENAME_TONGUE: 'emoticon_tongue.png',
+ FILENAME_WINK: 'emoticon_wink.png'
+ },
+
+ Strings: {
+ Availability: {
+ AVAILABLE: "<?php echo elgg_echo('beechat:availability:available'); ?>",
+ CHAT: "<?php echo elgg_echo('beechat:availability:available'); ?>",
+ ONLINE: "<?php echo elgg_echo('beechat:availability:available'); ?>",
+ DND: "<?php echo elgg_echo('beechat:availability:dnd'); ?>",
+ AWAY: "<?php echo elgg_echo('beechat:availability:away'); ?>",
+ XA:"<?php echo elgg_echo('beechat:availability:xa'); ?>",
+ OFFLINE: "<?php echo elgg_echo('beechat:availability:offline'); ?>"
+ },
+
+ Contacts: {
+ BUTTON: "<?php echo elgg_echo('beechat:contacts:button'); ?>"
+ },
+
+ ChatMessages: {
+ SELF: "<?php echo $_SESSION['user']->name; ?>",
+ COMPOSING: "<?php echo elgg_echo('beechat:chat:composing'); ?>"
+ },
+
+ Box: {
+ MINIMIZE: "<?php echo elgg_echo('beechat:box:minimize'); ?>",
+ CLOSE: "<?php echo elgg_echo('beechat:box:close'); ?>",
+ SHOWHIDE: "<?php echo elgg_echo('beechat:box:showhide'); ?>"
+ }
+ },
+
+ StyleClasses: {
+ Availability: {
+ Left: {
+ ONLINE: 'beechat_left_availability_chat',
+ DND: 'beechat_left_availability_dnd',
+ AWAY: 'beechat_left_availability_away',
+ XA: 'beechat_left_availability_xa',
+ OFFLINE: 'beechat_left_availability_offline',
+ ROOM: 'beechat_left_availability_room'
+ },
+
+ Right: {
+ ONLINE: 'beechat_right_availability_chat',
+ DND: 'beechat_right_availability_dnd',
+ AWAY: 'beechat_right_availability_away',
+ XA: 'beechat_right_availability_xa',
+ OFFLINE: 'beechat_right_availability_offline',
+ ROOM: 'beechat_right_availability_room'
+ },
+
+ Control: {
+ UP: 'beechat_availability_switcher_control_up',
+ DOWN: 'beechat_availability_switcher_control_down'
+ }
+ },
+
+ ChatBox: {
+ MAIN: 'beechat_chatbox',
+ MAINROOM: 'beechat_chatbox_room',
+ TOP: 'beechat_chatbox_top',
+ SUBTOP: 'beechat_chatbox_subtop',
+ TOP_ICON: 'beechat_chatbox_top_icon',
+ TOP_CONTROLS: 'beechat_chatbox_top_controls',
+ CONTENT: 'beechat_chatbox_content',
+ INPUT: 'beechat_chatbox_input',
+ BOTTOM: 'beechat_chatbox_bottom',
+ CONTROL: 'beechat_chatbox_control',
+ STATE: 'beechat_chatbox_state',
+ MESSAGE: 'beechat_chatbox_message',
+ MESSAGE_SENDER: 'beechat_chatbox_message_sender',
+ MESSAGE_DATE: 'beechat_chatbox_message_date',
+ CHATROOM: 'beechat_chatbox_chatroom',
+ ROOMROSTER: 'beechat_chatbox_roomroster',
+ ROSTER_ITEM: 'beechat_chatbox_roomrosteritem'
+ },
+
+ ScrollBox: {
+ SELECTED: 'beechat_scrollbox_selected'
+ },
+
+ BOX_CONTROL: 'beechat_box_control',
+ LABEL: 'beechat_label',
+ UNREAD_COUNT: 'beechat_unread_count'
+ },
+
+ Elements: {
+ ID_DIV_BAR: 'beechat',
+ ID_DIV_BAR_CENTER: 'beechat_center',
+ ID_DIV_BAR_RIGHT: 'beechat_right',
+
+ ID_TOOLTIP_TRIGGER: 'beechat_tooltip_trigger',
+
+ ID_SPAN_CONTACTS_BUTTON: 'beechat_contacts_button',
+ ID_SPAN_CLOSE_BOX: 'beechat_box_control_close',
+
+ ID_DIV_CONTACTS: 'beechat_contacts',
+ ID_DIV_CONTACTS_CONTROLS: 'beechat_contacts_controls',
+ ID_SPAN_CONTACTS_CONTROL_MINIMIZE: 'beechat_contacts_control_minimize',
+ ID_DIV_CONTACTS_CONTENT: 'beechat_contacts_content',
+ ID_UL_CONTACTS_LIST: 'beechat_contacts_list',
+
+ ID_DIV_AVAILABILITY_SWITCHER: 'beechat_availability_switcher',
+ ID_SPAN_AVAILABILITY_SWITCHER_CONTROL: 'beechat_availability_switcher_control',
+ ID_SPAN_CURRENT_AVAILABILITY: 'beechat_current_availability',
+ ID_UL_AVAILABILITY_SWITCHER_LIST: 'beechat_availability_switcher_list',
+
+ ID_DIV_CHATBOXES: 'beechat_chatboxes',
+
+ ID_DIV_SCROLLBOXES: 'beechat_scrollboxes'
+ }
+ },
+
+
+ /** Function: initialize
+ * Initialize the BeeChat UI
+ *
+ */
+ initialize: function(ts, token)
+ {
+ this.ts = ts;
+ this.token = token;
+ $('#' + BeeChat.UI.Resources.Elements.ID_TOOLTIP_TRIGGER).tooltip({
+ offset: [-3, 8],
+ effect: 'fade'
+ });
+
+ $('#accountlinks').find('li').filter('[class=last]').bind('click', function() {
+ if (g_beechat_user != null)
+ g_beechat_user.disconnect();
+ });
+
+ BeeChat.UI.AvailabilitySwitcher.initialize(BeeChat.Presence.ShowElements.CHAT);
+ BeeChat.UI.ContactsList.initialize();
+ BeeChat.UI.ScrollBoxes.initialize();
+ BeeChat.UI.loadConnection();
+ },
+
+ /** Function: getUserDetails
+ * Retrieve user details
+ *
+ * Returns:
+ * User details in object notation.
+ *
+ */
+ addActionTokens: function(url_string, sep)
+ {
+ if (sep == null)
+ sep = "?";
+ return url_string + sep + "__elgg_ts="+this.ts + "&__elgg_token=" + this.token;
+ },
+
+ getUserDetails: function(cb_func)
+ {
+ var json = null;
+ var self = this;
+
+ $.ajax({
+ url: self.addActionTokens('<?php echo $vars['url'] . "action/beechat/get_details"; ?>'),
+ async: true,
+ dataType: 'json',
+ success: function(data) {
+ cb_func(data);
+ }
+ });
+
+ return (json);
+ },
+
+ /** Function: connect
+ * Create the user and connect him to the BOSH service
+ *
+ * Parameters:
+ * (Object) conn - Running connection informations in object notation
+ */
+ connect: function()
+ {
+ var conn = (arguments.length > 0) ? arguments[0] : null;
+ var userDetails = {
+ jid: (conn != null) ? conn.jid : null,
+ password: null
+ }
+ var self = this;
+ //alert("connect");
+ if (conn == null || (conn != null && conn.attached)) {
+ BeeChat.UI.getUserDetails(function(retrievedUserDetails) {
+ userDetails.jid = retrievedUserDetails.username + '@' + BeeChat.DOMAIN + '/' + BeeChat.RESOURCE;
+ userDetails.password = retrievedUserDetails.password;
+ self.connect_end(conn, userDetails)
+ });
+ }
+ else
+ this.connect_end(conn, userDetails)
+ },
+
+ connect_end: function(conn, userDetails)
+ {
+ g_beechat_user = new BeeChat.Core.User(userDetails.jid);
+ g_beechat_user.addObserver(BeeChat.Events.Identifiers.UPDATE_CONNECTION_STATE, BeeChat.UI.updateConnectionStatus);
+ g_beechat_user.addObserver(BeeChat.Events.Identifiers.UPDATE_ROSTER, BeeChat.UI.onRosterUpdate);
+ g_beechat_user.addObserver(BeeChat.Events.Identifiers.RECV_PRESENCE, BeeChat.UI.ContactsList.update);
+ g_beechat_user.addObserver(BeeChat.Events.Identifiers.RECV_CHAT_MESSAGE, BeeChat.UI.onChatMessage);
+
+ if (conn == null || (conn != null && conn.attached))
+ g_beechat_user.connect(userDetails.password);
+ else
+ g_beechat_user.attach(conn.sid, conn.rid);
+ },
+
+ /** Function: disconnect
+ * Terminate the user's XMPP session
+ *
+ */
+ disconnect: function()
+ {
+ g_beechat_user.disconnect();
+ },
+
+ /** Function: updateConnectionStatus
+ *
+ */
+ updateConnectionStatus: function(connStatusMsg)
+ {
+ BeeChat.UI.ContactsList.updateButtonText(connStatusMsg);
+ if (connStatusMsg == BeeChat.Events.Messages.ConnectionStates.ONLINE) {
+ if (!g_beechat_user.isAttached()) {
+ debugXMPP("not attached");
+ BeeChat.UI.ScrollBoxes.isOpened = true;
+ g_beechat_user.requestRoster();
+ g_beechat_user.reconnectRooms();
+ //BeeChat.UI.ContactsList.toggleDisplay();
+ $('#' + BeeChat.UI.Resources.Elements.ID_UL_CONTACTS_LIST).show();
+ $('.' + BeeChat.UI.Resources.StyleClasses.ChatBox.INPUT + '>textarea').removeAttr('disabled');
+ for (room_idx in g_user_rooms) {
+ var room = g_user_rooms[room_idx];
+ var chatBox = BeeChat.UI.ChatBoxes.getChatBoxElm(room[0]);
+ if (chatBox.length == 0) {
+ g_beechat_user.joinRoom(room[0], room[1])
+ }
+ }
+
+ }
+ if (g_beechat_user.isAttached()) {
+ debugXMPP("attached");
+ BeeChat.UI.loadState();
+ }
+
+
+ $('#' + BeeChat.UI.Resources.Elements.ID_SPAN_CONTACTS_BUTTON).attr('class', 'online');
+ BeeChat.UI.saveConnection();
+ }
+ else if (connStatusMsg == BeeChat.Events.Messages.ConnectionStates.OFFLINE) {
+ var contactsBoxElm = $('#' + BeeChat.UI.Resources.Elements.ID_DIV_CONTACTS);
+
+ if (!contactsBoxElm.is(':hidden'))
+ BeeChat.UI.ContactsList.toggleDisplay();
+
+ $('#' + BeeChat.UI.Resources.Elements.ID_UL_CONTACTS_LIST).empty();
+ BeeChat.UI.AvailabilitySwitcher.initialize(BeeChat.Presence.ShowElements.CHAT);
+ BeeChat.UI.ContactsList.updateButtonText(BeeChat.UI.Resources.Strings.Contacts.BUTTON);
+ $('#' + BeeChat.UI.Resources.Elements.ID_SPAN_CONTACTS_BUTTON).attr('class', 'offline');
+ $('.' + BeeChat.UI.Resources.StyleClasses.ChatBox.INPUT + '>textarea').attr('disabled', 'true');
+ $('#' + BeeChat.UI.Resources.Elements.ID_DIV_CHATBOXES).children().hide();
+ $('#' + BeeChat.UI.Resources.Elements.ID_DIV_SCROLLBOXES).find('ul').children()
+ .attr('class', BeeChat.UI.Resources.StyleClasses.LABEL + ' ' + BeeChat.UI.Resources.ReferenceTables.Styles.Availability.Left[BeeChat.Presence.Types.UNAVAILABLE.toUpperCase()]);
+ g_beechat_user = null;
+ BeeChat.UI.saveConnection();
+ }
+ },
+
+ /** Function: saveConnection
+ * Save connection informations (non sensible data) in $_SESSION.
+ *
+ */
+ saveConnection: function()
+ {
+ var conn = null;
+
+ if (g_beechat_user != null) {
+ var userConn = g_beechat_user.getConnection();
+
+ conn = {
+ 'jid': userConn.jid,
+ 'sid': userConn.sid,
+ 'rid': userConn.rid,
+ 'attached': g_beechat_user.isAttached()
+ };
+ }
+ var self = this;
+
+ $.ajax({
+ type: 'POST',
+ async: false,
+ url: self.addActionTokens('<?php echo $vars['url'] . "action/beechat/save_state"; ?>'),
+ data: { beechat_conn: JSON.stringify(conn) }
+ });
+
+ /*
+ $.cookie(BeeChat.UI.Resources.Cookies.FILENAME_CONN, null);
+ $.cookie(BeeChat.UI.Resources.Cookies.FILENAME_CONN, JSON.stringify(conn), {path: '/', domain: BeeChat.UI.Resources.Cookies.DOMAIN});
+ */
+ },
+
+ /** Function: loadConnection
+ * Check if a connection already exists. In the case that a connection exists,
+ * this function triggers the connection process.
+ *
+ */
+ loadConnection: function()
+ {
+ var self = this;
+ $.ajax({
+ type: 'GET',
+ async: false,
+ cache: false,
+ dataType: 'json',
+ url: self.addActionTokens('<?php echo $vars['url'] . "action/beechat/get_connection"; ?>'),
+ success: function(conn) {
+ if (conn != null) {
+ if (conn.attached)
+ BeeChat.UI.connect();
+ else
+ BeeChat.UI.connect(conn);
+ }
+ },
+ error: function() {
+ BeeChat.UI.connect();
+ }
+ });
+
+ /*
+ var conn = JSON.parse($.cookie(BeeChat.UI.Resources.Cookies.FILENAME_CONN));
+
+ if (conn != null) {
+ if (conn.attached)
+ BeeChat.UI.connect();
+ else
+ BeeChat.UI.connect(conn);
+ } else
+ BeeChat.UI.connect();
+ */
+ },
+
+ /** Function: saveState
+ * Save app state in $_SESSION
+ *
+ */
+ saveState: function()
+ {
+ var self = this;
+ var currentAvailabilityClass = $('#' + BeeChat.UI.Resources.Elements.ID_SPAN_CURRENT_AVAILABILITY).attr('class');
+ var currentAvailability = currentAvailabilityClass.substr(currentAvailabilityClass.lastIndexOf('_') + 1);
+
+ var data = {
+ availability: currentAvailability,
+ contacts: g_beechat_roster_items,
+ chats: {},
+ contacts_list: {
+ minimized: $('#' + BeeChat.UI.Resources.Elements.ID_DIV_CONTACTS).is(':hidden')
+ }
+ };
+
+ $('#' + BeeChat.UI.Resources.Elements.ID_DIV_CHATBOXES).children().each(function() {
+ var contactBareJid = $(this).attr('bareJid');
+ //var contactBareJid = $(this).data('bareJid');
+ var isroom = ($(this).attr('isroom') == 'true');
+ if (isroom)
+ var roster = $(this).find('div').filter('[class=' + BeeChat.UI.Resources.StyleClasses.ChatBox.ROOMROSTER + ']');
+ var html_content = $(this).children().filter('[bareJid="' + contactBareJid + '"]').html();
+ data.chats[contactBareJid] = {
+ 'html_content': escape(html_content),
+ 'roster_content': isroom?escape(roster.html()):'',
+ 'isroom': $(this).attr('isroom'),
+ 'group_guid': (contactBareJid in g_beechat_rooms)?g_beechat_rooms[contactBareJid]:0,
+ 'minimized': $(this).is(':hidden'),
+ 'unread': BeeChat.UI.UnreadCountBox.getElm(contactBareJid).text()
+ };
+ });
+
+ $.ajax({
+ type: 'POST',
+ async: false,
+ url: self.addActionTokens('<?php echo $vars['url'] . "action/beechat/save_state"; ?>'),
+ data: { beechat_state: JSON.stringify(data) }
+ });
+ },
+
+ /** Function: loadState
+ * Load app state from $_SESSION
+ *
+ */
+ loadState: function()
+ {
+ var self = this;
+ $.ajax({
+ type: 'GET',
+ async: true,
+ cache: false,
+ dataType: 'json',
+ url: self.addActionTokens('<?php echo $vars['url'] . "action/beechat/get_state"; ?>'),
+ error: function() {
+ alert('error getting state');
+ },
+ success: function(json) {
+ debugXMPP('loadState');
+ BeeChat.UI.AvailabilitySwitcher.initialize(json.availability);
+
+ if (!json.contacts_list.minimized) {
+ $('#' + BeeChat.UI.Resources.Elements.ID_DIV_CONTACTS).show();
+ BeeChat.UI.ContactsList.showedStyle();
+ }
+
+ g_beechat_user.getRoster().setItems(json.contacts);
+ self.loadRosterItemsIcons(false);
+ self.loadRosterItemsStatuses(false);
+ g_beechat_roster_items = g_beechat_user.getRoster().getItems();
+ BeeChat.UI.ContactsList.update(g_beechat_user.getRoster().getOnlineItems())
+ g_beechat_user.setInitialized(true);
+
+ var scrollBoxesElm = $('#' + BeeChat.UI.Resources.Elements.ID_DIV_SCROLLBOXES);
+ var scrollBoxElmToShow = null;
+
+ // Load saved chats
+ for (var key in json.chats) {
+ var isroom = (json.chats[key].isroom == 'true');
+ if (isroom)
+ BeeChat.UI.ScrollBoxes.addRoom(key);
+ else
+ BeeChat.UI.ScrollBoxes.add(key);
+
+ var chatBoxElm = BeeChat.UI.ChatBoxes.getChatBoxElm(key);
+ debugXMPP("load chat " + key);
+ chatBoxElm.hide();
+
+ if (!json.chats[key].minimized) {
+ scrollBoxElmToShow = BeeChat.UI.ScrollBoxes.getScrollBoxElm(key);
+ }
+
+ var chatBoxContentElm = chatBoxElm.children().filter('[bareJid="' + key + '"]');
+
+ chatBoxContentElm.append(unescape(json.chats[key].html_content));
+ chatBoxContentElm.attr({scrollTop: chatBoxContentElm.attr('scrollHeight')});
+ if (isroom) {
+ g_beechat_rooms[key] = json.chats[key].room_guid;
+ var rosterElm = chatBoxElm.find('div').filter('[class=' + BeeChat.UI.Resources.StyleClasses.ChatBox.ROOMROSTER + ']');
+ rosterElm.append(unescape(json.chats[key].roster_content));
+ }
+
+ BeeChat.UI.UnreadCountBox.update(key, json.chats[key].unread);
+ }
+ if (scrollBoxElmToShow != null)
+ scrollBoxesElm.trigger('goto', scrollBoxesElm.find('ul').children().index(scrollBoxElmToShow));
+ else
+ scrollBoxesElm.trigger('goto', 0);
+
+ // g_beechat_user.sendPresenceAvailability(json.availability, '');
+ BeeChat.UI.ScrollBoxes.isInitialized = true;
+ BeeChat.UI.ScrollBoxes.isOpened = true;
+
+ for (var key in json.chats) {
+ if (json.chats[key].minimized) {
+ BeeChat.UI.ChatBoxes.getChatBoxElm(key).hide();
+ BeeChat.UI.ScrollBoxes.unselect(key);
+ }
+ else {
+ BeeChat.UI.ChatBoxes.getChatBoxElm(key).show();
+ BeeChat.UI.ScrollBoxes.select(key);
+ }
+ }
+ for (room_idx in g_user_rooms) {
+ var room = g_user_rooms[room_idx];
+ var chatBox = BeeChat.UI.ChatBoxes.getChatBoxElm(room[0]);
+ if (chatBox.length == 0) {
+ g_beechat_user.joinRoom(room[0], room[1])
+ }
+ }
+
+ },
+ error: function() {
+ BeeChat.UI.ContactsList.initialize();
+ }
+ });
+ },
+
+ /** Function: loadRosterItemsIcons
+ *
+ */
+ loadRosterItemsIcons: function(is_async, cb)
+ {
+ var data = g_beechat_user.getRoster().getItemsUsernamesAsList();
+ var self = this;
+
+ $.ajax({
+ type: 'POST',
+ url: self.addActionTokens('<?php echo $vars['url'] . "action/beechat/get_icons"; ?>'),
+ async: is_async,
+ cache: false,
+ data: {'beechat_roster_items_usernames': data},
+ dataType: 'json',
+ success: function(json) {
+ g_beechat_user.getRoster().setIcons(json);
+ g_beechat_roster_items = g_beechat_user.getRoster().getItems();
+
+ BeeChat.UI.ContactsList.update(g_beechat_user.getRoster().getOnlineItems())
+ if (cb) {
+ cb();
+ }
+ }
+ });
+ },
+
+ /** Function: loadRosterItemsStatuses
+ *
+ */
+ loadRosterItemsStatuses: function(is_async, cb)
+ {
+ var data = g_beechat_user.getRoster().getItemsUsernamesAsList();
+//alert(data)
+ var self = this;
+ $.ajax({
+ type: 'POST',
+ url: self.addActionTokens('<?php echo $vars['url'] . "action/beechat/get_statuses"; ?>'),
+ async: true, // force
+ cache: false,
+ data: {'beechat_roster_items_usernames': data},
+ dataType: 'json',
+ success: function(json) {
+ g_beechat_user.getRoster().setStatuses(json);
+ g_beechat_roster_items = g_beechat_user.getRoster().getItems();
+ BeeChat.UI.ContactsList.update(g_beechat_user.getRoster().getOnlineItems())
+ if (cb) {
+ cb();
+ }
+ }
+ });
+ },
+
+ /** Function: onRosterUpdate
+ * Notified by core on a roster update
+ *
+ */
+ onRosterUpdate: function(rosterItems)
+ {
+ g_beechat_roster_items = rosterItems;
+ //alert("get roster");
+ if (!g_beechat_user.isInitialized()) {
+ //alert("load roster" + rosterItems.length);
+ BeeChat.UI.loadRosterItemsStatuses(true,
+ function() { BeeChat.UI.loadRosterItemsIcons(true,
+ function() {g_beechat_user.sendInitialPresence();}); });
+ //BeeChat.UI.loadRosterItemsIcons(false);
+ //g_beechat_user.sendInitialPresence();
+ }
+ },
+
+ /** Function: onChatMessage
+ *
+ */
+ onChatMessage: function(data)
+ {
+ if ($(data.msg).find('body').length == 0) {
+ BeeChat.UI.ChatBoxes.updateChatState(data.contactBareJid, data.msg);
+ }
+ else {
+ BeeChat.UI.ChatBoxes.update(data.contactBareJid, BeeChat.UI.Utils.getContactName(data.contactBareJid), Strophe.getText($(data.msg).find('body')[0]));
+ }
+ }
+};
+
+
+/** Class: BeeChat.UI.Resources.ReferenceTables
+ * An object container for all reference tables
+ *
+ */
+BeeChat.UI.Resources.ReferenceTables = {
+ Styles: {
+ Availability: {
+ Left: {
+ AVAILABLE: BeeChat.UI.Resources.StyleClasses.Availability.Left.ONLINE,
+ CHAT: BeeChat.UI.Resources.StyleClasses.Availability.Left.ONLINE,
+ DND: BeeChat.UI.Resources.StyleClasses.Availability.Left.DND,
+ AWAY: BeeChat.UI.Resources.StyleClasses.Availability.Left.AWAY,
+ XA: BeeChat.UI.Resources.StyleClasses.Availability.Left.XA,
+ UNAVAILABLE: BeeChat.UI.Resources.StyleClasses.Availability.Left.OFFLINE,
+ OFFLINE: BeeChat.UI.Resources.StyleClasses.Availability.Left.OFFLINE,
+ ROOM: BeeChat.UI.Resources.StyleClasses.Availability.Left.ROOM
+ },
+
+ Right: {
+ AVAILABLE: BeeChat.UI.Resources.StyleClasses.Availability.Right.ONLINE,
+ CHAT: BeeChat.UI.Resources.StyleClasses.Availability.Right.ONLINE,
+ DND: BeeChat.UI.Resources.StyleClasses.Availability.Right.DND,
+ AWAY: BeeChat.UI.Resources.StyleClasses.Availability.Right.AWAY,
+ XA: BeeChat.UI.Resources.StyleClasses.Availability.Right.XA,
+ UNAVAILABLE: BeeChat.UI.Resources.StyleClasses.Availability.Right.OFFLINE,
+ OFFLINE: BeeChat.UI.Resources.StyleClasses.Availability.Right.OFFLINE,
+ ROOM: BeeChat.UI.Resources.StyleClasses.Availability.Right.ROOM
+ }
+ }
+ }
+};
+
+
+/** Class: BeeChat.UI.ContactsList
+ * An object container for all ContactsList functions
+ *
+ */
+BeeChat.UI.ContactsList = {
+ /** Function: initialize
+ * Initialize the contacts list by binding elements
+ *
+ */
+ initialize: function()
+ {
+ $('#' + BeeChat.UI.Resources.Elements.ID_SPAN_CONTACTS_CONTROL_MINIMIZE).unbind('click').bind('click', BeeChat.UI.ContactsList.toggleDisplay);
+ $('#' + BeeChat.UI.Resources.Elements.ID_SPAN_CONTACTS_BUTTON).unbind('click').bind('click', function() {
+ if (g_beechat_user == null)
+ BeeChat.UI.connect();
+ else
+ BeeChat.UI.ContactsList.toggleDisplay();
+ });
+ },
+
+ /** Function: update
+ * Update the contacts list content
+ *
+ * Parameters:
+ * (Object)(BeeChat.Core.RosterItem) onlineRosterItems - A hash of RosterItems in object notation
+ *
+ */
+ update: function(onlineRosterItems)
+ {
+ var contactsListElm = $('#' + BeeChat.UI.Resources.Elements.ID_UL_CONTACTS_LIST);
+
+ contactsListElm.children().each(function() {
+ var contactBareJid = $(this).attr('bareJid');
+
+ if (g_beechat_roster_items != null) {
+ if ($.inArray(contactBareJid, onlineRosterItems) == -1) {
+ BeeChat.UI.ScrollBoxes.updateAvailability(contactBareJid);
+ $(this).remove();
+ }
+ }
+ });
+
+ for (var key in onlineRosterItems) {
+ if (typeof onlineRosterItems[key] != 'object')
+ continue;
+
+ var contactElm = contactsListElm.find('li').filter('[bareJid="' + key + '"]');
+
+ if (contactElm.length == 0) {
+ contactElm = $('<li></li>')
+ .attr('bareJid', key)
+ .append($('<img />')
+ .attr('src', g_beechat_roster_items[key].icon_tiny))
+ .append(BeeChat.UI.Utils.getTruncatedContactName(key, 25))
+ .appendTo(contactsListElm)
+ .bind('click', function() {
+ if (!BeeChat.UI.ChatBoxes.getChatBoxElm($(this).attr('bareJid')).is(':visible')) {
+ BeeChat.UI.ContactsList.toggleDisplay();
+ }
+
+ BeeChat.UI.ScrollBoxes.add($(this).attr('bareJid'), false, true);
+ });
+ }
+
+ BeeChat.UI.ContactsList.updateContactAvailability(contactElm, key);
+ }
+
+ BeeChat.UI.ContactsList.updateButtonText(BeeChat.UI.Resources.Strings.Contacts.BUTTON + ' (<strong>' + g_beechat_user.getRoster().getSizeOnlineItems() + '</strong>)');
+ },
+
+ /** Function: updateContactAvailability
+ *
+ */
+ updateContactAvailability: function(contactElm, contactBareJid)
+ {
+ // Update from contactsList
+ contactElm.attr('class', BeeChat.UI.Resources.ReferenceTables.Styles.Availability.Right[g_beechat_roster_items[contactBareJid].getStrongestPresence().show.toUpperCase()]);
+
+ // Update from scrollBoxes
+ BeeChat.UI.ScrollBoxes.updateAvailability(contactBareJid);
+ },
+
+ /** Function: updateButtonText
+ *
+ *
+ */
+ updateButtonText: function(msg)
+ {
+ $('#' + BeeChat.UI.Resources.Elements.ID_SPAN_CONTACTS_BUTTON).html(msg);
+ },
+
+ /** Function: toggleDisplay
+ * Toggle the contacts box display (hide | show)
+ *
+ */
+ toggleDisplay: function()
+ {
+ var contactsBoxElm = $('#' + BeeChat.UI.Resources.Elements.ID_DIV_CONTACTS);
+
+ contactsBoxElm.toggle();
+ if (contactsBoxElm.is(':hidden')) {
+ BeeChat.UI.ContactsList.hiddenStyle();
+ } else {
+ BeeChat.UI.ContactsList.showedStyle();
+ }
+ $('#' + BeeChat.UI.Resources.Elements.ID_UL_AVAILABILITY_SWITCHER_LIST).hide();
+ },
+
+ /** Function: hiddenStyle
+ *
+ */
+ hiddenStyle: function()
+ {
+ $('#' + BeeChat.UI.Resources.Elements.ID_DIV_BAR_RIGHT).css({'border-left': '1px solid #BBBBBB', 'border-right': '1px solid #BBBBBB', 'background-color': '#DDDDDD'});
+ },
+
+ /** Function: showedStyle
+ *
+ */
+ showedStyle: function()
+ {
+ $('#' + BeeChat.UI.Resources.Elements.ID_DIV_BAR_RIGHT).css({'border-left': '1px solid #666666', 'border-right': '1px solid #666666', 'background-color': 'white'});
+ }
+};
+
+
+/** Class: BeeChat.UI.AvailabilitySwitcher
+ * An object container for all AvailabilitySwitcher functions
+ *
+ */
+BeeChat.UI.AvailabilitySwitcher = {
+ /** Function: initialize
+ * Initialize the availability switcher by setting the current user's availability
+ * and binding actions
+ *
+ */
+ initialize: function(availability)
+ {
+ $('#' + BeeChat.UI.Resources.Elements.ID_SPAN_CURRENT_AVAILABILITY).unbind('click').bind('click', BeeChat.UI.AvailabilitySwitcher.toggleListDisplay);
+
+ $('#' + BeeChat.UI.Resources.Elements.ID_SPAN_AVAILABILITY_SWITCHER_CONTROL).unbind('click').bind('click', BeeChat.UI.AvailabilitySwitcher.toggleListDisplay);
+
+ $('#' + BeeChat.UI.Resources.Elements.ID_UL_AVAILABILITY_SWITCHER_LIST).find('li').each(function() {
+ $(this).unbind('click').bind('click', function() {
+ var availabilityClass = $(this).attr('class');
+ var availability = availabilityClass.substr(availabilityClass.lastIndexOf('_') + 1);
+
+ if (availability == 'offline')
+ BeeChat.UI.disconnect();
+ else {
+ g_beechat_user.sendPresenceAvailability(availability, '');
+ BeeChat.UI.AvailabilitySwitcher.update(availability);
+ $('#' + BeeChat.UI.Resources.Elements.ID_UL_AVAILABILITY_SWITCHER_LIST).hide('slow');
+ $('#' + BeeChat.UI.Resources.Elements.ID_UL_CONTACTS_LIST).show('slow');
+ }
+ });
+ });
+ BeeChat.UI.AvailabilitySwitcher.update(availability);
+ },
+
+ /** Function: update
+ * Update the current user's availability
+ *
+ * Parameters:
+ * (BeeChat.Presence.ShowElements) availability - The current user's availability
+ */
+ update: function(availability)
+ {
+ var upperCasedAvailability = availability.toUpperCase();
+
+ $('#' + BeeChat.UI.Resources.Elements.ID_SPAN_CURRENT_AVAILABILITY)
+ .attr('class', BeeChat.UI.Resources.ReferenceTables.Styles.Availability.Left[upperCasedAvailability])
+ .text(BeeChat.UI.Resources.Strings.Availability[upperCasedAvailability]);
+
+ if (availability == 'chat')
+ $('#' + BeeChat.UI.Resources.Elements.ID_SPAN_CONTACTS_BUTTON).attr('class', 'online');
+ else if (availability == 'xa' || availability == 'away')
+ $('#' + BeeChat.UI.Resources.Elements.ID_SPAN_CONTACTS_BUTTON).attr('class', 'away');
+ else if (availability == 'dnd')
+ $('#' + BeeChat.UI.Resources.Elements.ID_SPAN_CONTACTS_BUTTON).attr('class', 'dnd');
+ },
+
+ /** Function: switchControlClass
+ *
+ */
+ switchControlClass: function()
+ {
+ var switcherControlElm = $('#' + BeeChat.UI.Resources.Elements.ID_SPAN_AVAILABILITY_SWITCHER_CONTROL);
+
+ if (switcherControlElm.attr('class') == BeeChat.UI.Resources.StyleClasses.Availability.Control.UP)
+ switcherControlElm.attr('class', BeeChat.UI.Resources.StyleClasses.Availability.Control.DOWN);
+ else
+ switcherControlElm.attr('class', BeeChat.UI.Resources.StyleClasses.Availability.Control.UP);
+ },
+
+ /** Function: toggleListDisplay
+ *
+ */
+ toggleListDisplay: function()
+ {
+ BeeChat.UI.AvailabilitySwitcher.switchControlClass();
+ $('#' + BeeChat.UI.Resources.Elements.ID_UL_CONTACTS_LIST).toggle('slow');
+ $('#' + BeeChat.UI.Resources.Elements.ID_UL_AVAILABILITY_SWITCHER_LIST).toggle('slow');
+ }
+};
+
+
+/** Class: BeeChat.UI.ScrollBoxes
+ * An object container for all ScrollBoxes related functions
+ *
+ */
+BeeChat.UI.ScrollBoxes = {
+ isInitialized: false,
+ isOpened: false,
+
+ /** Function: initialize
+ *
+ */
+ initialize: function() {
+ var $prev = $('#beechat_center_prev'),
+ $next = $('#beechat_center_next');
+
+ $('#' + BeeChat.UI.Resources.Elements.ID_DIV_BAR_CENTER).serialScroll({
+ target: '#beechat_scrollboxes',
+ items: 'li',
+ prev: '#beechat_center_prev',
+ next: '#beechat_center_next',
+ axys: 'x',
+ start: 2,
+ step: -1,
+ interval: 0,
+ duration: 0,
+ cycle: false,
+ force: true,
+ jump: true,
+ lock: true,
+ lazy: true,
+ constant: true,
+
+ onBefore: function(e, elem, $pane, $items, pos) {
+ $next.add($prev).hide();
+ $prev.add($next).hide();
+ if (pos != 0) {
+ $next.show();
+ }
+ if (pos != $items.length - 1)
+ $prev.show();
+ },
+
+ onAfter: function(elem) {
+ BeeChat.UI.ChatBoxes.takeStand($(elem).attr('bareJid'));
+ BeeChat.UI.ScrollBoxes.isInitialized = true;
+ }
+ });
+ },
+
+ /** Function: add
+ * Add a scrollbox to the scrollboxes bar
+ *
+ */
+ addRoom: function(contactBareJid)
+ {
+ debugXMPP('addRoom' + contactBareJid);
+ BeeChat.UI.ScrollBoxes.add(contactBareJid, true);
+ var scrollBoxElm = BeeChat.UI.ScrollBoxes.getScrollBoxElm(contactBareJid);
+ scrollBoxElm.attr('class', BeeChat.UI.Resources.StyleClasses.Availability.Left.ROOM);
+ },
+
+ add: function(contactBareJid, isroom)
+ {
+ var scrollBoxesElm = $('#' + BeeChat.UI.Resources.Elements.ID_DIV_SCROLLBOXES);
+ var scrollBoxElm = scrollBoxesElm.find('ul').children().filter('[bareJid="' + contactBareJid + '"]');
+ debugXMPP("add " + contactBareJid + " " + scrollBoxElm.length);
+ if (scrollBoxElm.length == 0) {
+ var availClass = null;
+ var pres = null;
+ if (g_beechat_roster_items != undefined)
+ pres = g_beechat_roster_items[contactBareJid] != null ? g_beechat_roster_items[contactBareJid].getStrongestPresence() : null;
+
+ if (pres != null)
+ availClass = BeeChat.UI.Resources.ReferenceTables.Styles.Availability.Left[pres.show.toUpperCase()];
+ else
+ availClass = BeeChat.UI.Resources.ReferenceTables.Styles.Availability.Left[BeeChat.Presence.Types.UNAVAILABLE.toUpperCase()];
+
+ scrollBoxElm = $('<li></li>')
+ .attr('class', BeeChat.UI.Resources.StyleClasses.LABEL + ' ' + availClass)
+ .attr('bareJid', contactBareJid)
+ .attr('isroom', isroom?'true':'false')
+ .attr('title', BeeChat.UI.Resources.Strings.Box.SHOWHIDE)
+ .text(BeeChat.UI.Utils.getTruncatedContactName(contactBareJid, 11))
+ .append($('<span></span>')
+ .attr('class', BeeChat.UI.Resources.StyleClasses.BOX_CONTROL)
+ .attr('id', BeeChat.UI.Resources.Elements.ID_SPAN_CLOSE_BOX)
+ .text('X')
+ .attr('title', BeeChat.UI.Resources.Strings.Box.CLOSE)
+ .bind('click', function() {
+ if (isroom)
+ g_beechat_user.leaveRoom(contactBareJid);
+ var scrollBoxesElm = $('#' + BeeChat.UI.Resources.Elements.ID_DIV_SCROLLBOXES);
+
+ BeeChat.UI.ChatBoxes.remove($(this).parent().attr('bareJid'));
+ BeeChat.UI.UnreadCountBox.remove($(this).parent().attr('bareJid'));
+ scrollBoxesElm.trigger('goto', scrollBoxesElm.find('ul').children().index(BeeChat.UI.ScrollBoxes.getSelectedScrollBoxElm()));
+ BeeChat.UI.saveState();
+ }));
+
+ scrollBoxesElm.find('ul').append(scrollBoxElm);
+ BeeChat.UI.ChatBoxes.add(contactBareJid, isroom);
+ if (arguments.length == 3 && arguments[2])
+ scrollBoxesElm.trigger('goto', scrollBoxesElm.find('ul').children().index(scrollBoxElm));
+ if (!isroom) {
+ BeeChat.UI.loadRosterItemsStatuses(true, function () { BeeChat.UI.loadRosterItemsIcons(true); });
+ }
+ } else {
+ scrollBoxesElm.trigger('goto', scrollBoxesElm.find('ul').children().index(scrollBoxElm));
+ }
+ },
+
+ /** Function: remove
+ *
+ */
+ remove: function(contactBareJid)
+ {
+ BeeChat.UI.ScrollBoxes.getScrollBoxElm(contactBareJid).remove();
+ },
+
+ /** Function: unselect
+ *
+ */
+ unselect: function(contactBareJid)
+ {
+ var scrollBoxElm = BeeChat.UI.ScrollBoxes.getScrollBoxElm(contactBareJid);
+ scrollBoxElm.attr('class', (scrollBoxElm.attr('class')).replace(/beechat_scrollbox_selected/, ''));
+ },
+
+ /** Function: select
+ *
+ */
+ select: function(contactBareJid)
+ {
+ var scrollBoxElm = BeeChat.UI.ScrollBoxes.getScrollBoxElm(contactBareJid);
+ var scrollBoxElmClasses = scrollBoxElm.attr('class');
+
+ if (scrollBoxElmClasses.search(/beechat_scrollbox_selected/) == -1)
+ scrollBoxElm.attr('class', scrollBoxElmClasses + ' ' + BeeChat.UI.Resources.StyleClasses.ScrollBox.SELECTED);
+ },
+
+ /** Function: updateAvailability
+ *
+ */
+ updateAvailability: function(contactBareJid)
+ {
+ var pres = g_beechat_roster_items[contactBareJid].getStrongestPresence();
+ var scrollBoxElm = BeeChat.UI.ScrollBoxes.getScrollBoxElm(contactBareJid);
+ var scrollBoxElmClasses = scrollBoxElm.attr('class');
+ var updatedAvailability = null;
+
+ if (pres != null)
+ updatedAvailability = BeeChat.UI.Resources.ReferenceTables.Styles.Availability.Left[pres.show.toUpperCase()];
+ else
+ updatedAvailability = BeeChat.UI.Resources.ReferenceTables.Styles.Availability.Left[BeeChat.Presence.Types.UNAVAILABLE.toUpperCase()];
+
+ if (scrollBoxElmClasses == undefined || scrollBoxElmClasses.search(/(beechat_left_availability_)/g) == -1) {
+ scrollBoxElm.attr('class', BeeChat.UI.Resources.StyleClasses.LABEL + ' ' + updatedAvailability);
+ } else {
+ updatedAvailability = updatedAvailability.replace(/(beechat_left_availability)/g, '');
+
+ scrollBoxElmClasses = scrollBoxElmClasses.replace(/(_chat)/g, updatedAvailability);
+ scrollBoxElmClasses = scrollBoxElmClasses.replace(/(_dnd)/g, updatedAvailability);
+ scrollBoxElmClasses = scrollBoxElmClasses.replace(/(_away)/g, updatedAvailability);
+ scrollBoxElmClasses = scrollBoxElmClasses.replace(/(_xa)/g, updatedAvailability);
+ scrollBoxElmClasses = scrollBoxElmClasses.replace(/(_offline)/g, updatedAvailability);
+
+ scrollBoxElm.attr('class', scrollBoxElmClasses);
+ }
+ },
+
+ /** Function: getSelectedScrollBoxElm
+ *
+ */
+ getSelectedScrollBoxElm: function(contactBareJid)
+ {
+ var elm = undefined;
+
+ $('#' + BeeChat.UI.Resources.Elements.ID_DIV_SCROLLBOXES).find('ul').children().each(function() {
+ if ($(this).attr('class').search(/beechat_scrollbox_selected/) != -1)
+ elm = $(this);
+ });
+
+ return (elm);
+ },
+
+ /** Function: getScrollBoxElm
+ *
+ */
+ getScrollBoxElm: function(contactBareJid)
+ {
+ return $('#' + BeeChat.UI.Resources.Elements.ID_DIV_SCROLLBOXES).find('ul').children().filter('[bareJid="' + contactBareJid + '"]');
+ }
+};
+
+
+/** Class: BeeChat.UI.ChatBoxes
+ * An object container for all ChatBoxes related functions
+ *
+ */
+BeeChat.UI.ChatBoxes = {
+ dateLastComposing: {},
+ lastTimedPauses: {},
+
+ /** Function: add
+ *
+ */
+ add: function(contactBareJid, isroom)
+ {
+ var chatBoxes = $('#' + BeeChat.UI.Resources.Elements.ID_DIV_CHATBOXES);
+
+ if ($(chatBoxes).children().filter('[bareJid="' + contactBareJid + '"]').length == 0) {
+ debugXMPP("create chatbox " + contactBareJid);
+ var chatBox = $('<div></div>')
+ .attr('class', isroom ? BeeChat.UI.Resources.StyleClasses.ChatBox.MAIN : BeeChat.UI.Resources.StyleClasses.ChatBox.MAIN)
+ .attr('bareJid', contactBareJid)
+ .attr('isroom', isroom ? 'true' : 'false')
+ .hide();
+
+ var chatBoxTop = $('<div></div>')
+ .attr('class', BeeChat.UI.Resources.StyleClasses.ChatBox.TOP);
+ if (!isroom)
+ chatBoxTop.append($('<a></a>')
+ .attr('href', BeeChat.UI.Resources.Paths.MEMBER_PROFILE + Strophe.getNodeFromJid(contactBareJid))
+ .append($('<img />')
+ .attr('class', BeeChat.UI.Resources.StyleClasses.ChatBox.TOP_ICON)
+ .attr('src', (g_beechat_roster_items != null && g_beechat_roster_items[contactBareJid] != undefined)?g_beechat_roster_items[contactBareJid].icon_small:'')))
+ chatBoxTop.append($('<span></span>')
+ .attr('class', BeeChat.UI.Resources.StyleClasses.LABEL)
+ .html(isroom?BeeChat.UI.Utils.getTruncatedContactName(contactBareJid).split('@')[0]:'<a href="' + BeeChat.UI.Resources.Paths.MEMBER_PROFILE + Strophe.getNodeFromJid(contactBareJid) + '">' + BeeChat.UI.Utils.getTruncatedContactName(contactBareJid) + '</a>'))
+ .append($('<div></div>')
+ .attr('class', BeeChat.UI.Resources.StyleClasses.ChatBox.TOP_CONTROLS)
+ .append($('<span></span>')
+ .attr('class', BeeChat.UI.Resources.StyleClasses.ChatBox.CONTROL)
+ .attr('id', BeeChat.UI.Resources.Elements.ID_SPAN_CLOSE_BOX)
+ .text('X')
+ .attr('title', BeeChat.UI.Resources.Strings.Box.CLOSE)
+ .bind('click', function() {
+ if (isroom)
+ g_beechat_user.leaveRoom(contactBareJid);
+ BeeChat.UI.ChatBoxes.remove(contactBareJid);
+ }))
+ .append($('<span></span>')
+ .attr('class', BeeChat.UI.Resources.StyleClasses.ChatBox.CONTROL)
+ .attr('id', BeeChat.UI.Resources.Elements.ID_SPAN_CLOSE_BOX)
+ .text('_')
+ .attr('title', BeeChat.UI.Resources.Strings.Box.MINIMIZE)
+ .css({'font-size': '1.6em', 'position': 'relative', 'line-height': '4px'})
+ .bind('click', function() {
+ BeeChat.UI.ScrollBoxes.unselect($(this).parent().parent().parent().attr('bareJid'));
+ $(this).parent().parent().parent().fadeOut('slow');
+ })));
+
+ var chatBoxSubTop = $('<div></div>')
+ .attr('class', BeeChat.UI.Resources.StyleClasses.ChatBox.SUBTOP)
+ .append(BeeChat.UI.Utils.getTruncatedContactStatus((g_beechat_roster_items != null && g_beechat_roster_items[contactBareJid] != undefined && g_beechat_roster_items[contactBareJid].status != undefined) ? g_beechat_roster_items[contactBareJid].status : ''));
+
+ var chatBoxContent = $('<div></div>')
+ .attr('class', BeeChat.UI.Resources.StyleClasses.ChatBox.CONTENT)
+ .attr('bareJid', contactBareJid);
+
+ var chatBoxInput = $('<div></div>')
+ .attr('class', BeeChat.UI.Resources.StyleClasses.ChatBox.INPUT)
+ .append($('<textarea></textarea>')
+ .attr('bareJid', contactBareJid)
+ .bind('keypress', isroom?BeeChat.UI.ChatBoxes.onRoomTypingMessage:BeeChat.UI.ChatBoxes.onTypingMessage)
+ .bind('keyup', function(e) {
+ if ((e.keyCode ? e.keyCode : e.which) == 13)
+ $(this).attr('value', '');
+ }));
+
+ var chatBoxBottom = $('<div></div>')
+ .attr('class', BeeChat.UI.Resources.StyleClasses.ChatBox.BOTTOM)
+ .append($('<span></span>')
+ .append($('<span></span>')));
+ if (isroom) {
+ //var chatBoxBox = $('<div></div>')
+ // .attr('class', BeeChat.UI.Resources.StyleClasses.ChatBox.CHATROOM)
+ var chatBoxRoster = $('<div></div>')
+ .attr('class', BeeChat.UI.Resources.StyleClasses.ChatBox.ROOMROSTER)
+ //chatBoxBox.append(chatBoxTop).append(chatBoxSubTop).append(chatBoxContent).append(chatBoxInput).append(chatBoxBottom)
+ //chatBox.append(chatBoxRoster).append(chatBoxBox).appendTo(chatBoxes);
+ chatBox.append(chatBoxTop).append(chatBoxSubTop).append(chatBoxContent).append(chatBoxInput).append(chatBoxBottom).append(chatBoxRoster).appendTo(chatBoxes);
+ }
+ else
+ chatBox.append(chatBoxTop).append(chatBoxSubTop).append(chatBoxContent).append(chatBoxInput).append(chatBoxBottom).appendTo(chatBoxes);
+ }
+ else {
+ /*debugXMPP("show chatbox " + contactBareJid);
+ var chatBox = $(chatBoxes).children().filter('[bareJid="' + contactBareJid + '"]');
+ chatBox.show();*/
+ }
+ },
+
+ /** Function: takeStand
+ *
+ */
+ takeStand: function(contactBareJid)
+ {
+ var chatBoxesElm = $('#' + BeeChat.UI.Resources.Elements.ID_DIV_CHATBOXES).children();
+ var chatBoxElm = chatBoxesElm.filter('[bareJid="' + contactBareJid + '"]');
+ var chatBoxContentElm = chatBoxElm.children().filter('[bareJid="' + contactBareJid + '"]');
+ var scrollBoxesElm = $('#' + BeeChat.UI.Resources.Elements.ID_DIV_SCROLLBOXES);
+ var scrollBoxElm = BeeChat.UI.ScrollBoxes.getScrollBoxElm(contactBareJid);
+
+ if (!chatBoxElm.is(':hidden')) {
+ BeeChat.UI.ScrollBoxes.unselect(contactBareJid);
+ chatBoxElm.hide();
+ } else {
+ // Hide all other chatboxes
+ $.each(chatBoxesElm.filter('[bareJid!="' + contactBareJid + '"]'), function() {
+ BeeChat.UI.ScrollBoxes.unselect($(this).attr('bareJid'));
+ $(this).hide();
+ });
+ // Add selected scrollbox style
+ BeeChat.UI.ScrollBoxes.select(contactBareJid);
+ // Remove UnreadCountBox
+ BeeChat.UI.UnreadCountBox.remove(contactBareJid);
+ // Position the chatbox
+ var pos = scrollBoxElm.position().left - (chatBoxElm.width() - scrollBoxElm.width()) + 24;
+ chatBoxElm.css({'left': pos});
+ if (!BeeChat.UI.ScrollBoxes.isOpened)
+ return;
+ chatBoxElm.show().css({'left': pos});
+ // Scroll down the content of the chatbox
+ chatBoxContentElm.attr({scrollTop: chatBoxContentElm.attr('scrollHeight')});
+ // Focus textarea
+ chatBoxElm.children().filter('[class=' + BeeChat.UI.Resources.StyleClasses.ChatBox.INPUT + ']').find('textarea').focus();
+ }
+ },
+
+ /** Function: onTypingMessage
+ *
+ */
+ onRoomTypingMessage: function(e) {
+ BeeChat.UI.ChatBoxes._onTypingMessage(e, true, this);
+ },
+
+ onTypingMessage: function(e) {
+ BeeChat.UI.ChatBoxes._onTypingMessage(e, false, this);
+ },
+
+ _onTypingMessage: function(e, isroom, self)
+ {
+ var keyCode = (e.keyCode) ? e.keyCode : e.which;
+ var contactBareJid = $(self).attr('bareJid');
+
+ var msgtype = BeeChat.Message.Types.CHAT;
+ if (isroom)
+ msgtype = BeeChat.Message.Types.GROUPCHAT;
+
+ if (keyCode == 13 && $(self).val() != '') {
+ g_beechat_user.sendChatMessage(contactBareJid, jQuery.trim($(self).val()), msgtype);
+ if (!isroom)
+ BeeChat.UI.ChatBoxes.update(contactBareJid, BeeChat.UI.Utils.truncateString(BeeChat.UI.Resources.Strings.ChatMessages.SELF, 24), $(self).val(), isroom);
+ clearTimeout(BeeChat.UI.ChatBoxes.lastTimedPauses[contactBareJid]);
+ BeeChat.UI.ChatBoxes.lastTimedPauses[contactBareJid] = null;
+ } else {
+ var nowTime = new Date().getTime();
+
+ if (BeeChat.UI.ChatBoxes.dateLastComposing[contactBareJid] == null || BeeChat.UI.ChatBoxes.dateLastComposing[contactBareJid] + 2000 < nowTime) {
+ BeeChat.UI.ChatBoxes.dateLastComposing[contactBareJid] = nowTime;
+ g_beechat_user.sendChatStateMessage(contactBareJid, BeeChat.Message.ChatStates.COMPOSING);
+ }
+
+ clearTimeout(BeeChat.UI.ChatBoxes.lastTimedPauses[contactBareJid]);
+ BeeChat.UI.ChatBoxes.lastTimedPauses[contactBareJid] = setTimeout('g_beechat_user.sendChatStateMessage(\'' + contactBareJid + '\', BeeChat.Message.ChatStates.PAUSED)', 2000);
+
+ var chatBoxTextAreaElm = BeeChat.UI.ChatBoxes.getChatBoxElm(contactBareJid).children().filter('[class=' + BeeChat.UI.Resources.StyleClasses.ChatBox.INPUT + ']').find('textarea');
+ chatBoxTextAreaElm.attr({scrollTop: chatBoxTextAreaElm.attr('scrollHeight')});
+ }
+ },
+
+ updateRoster: function(contactBareJid, fromName, presence)
+ {
+ var availability = $(presence).attr('type');
+ var item = $(presence).find('item');
+ var chatBoxElm = BeeChat.UI.ChatBoxes.getChatBoxElm(contactBareJid);
+
+ if (chatBoxElm.length == 0) {
+ BeeChat.UI.ScrollBoxes.add(contactBareJid);
+ //BeeChat.UI.ScrollBoxes.addRoom(contactBareJid);
+ chatBoxElm = BeeChat.UI.ChatBoxes.getChatBoxElm(contactBareJid);
+ }
+
+ //var chatBoxContentElm = chatBoxElm.children().filter('[bareJid="' + contactBareJid + '"]');
+
+ var roster = chatBoxElm.find('div').filter('[class=' + BeeChat.UI.Resources.StyleClasses.ChatBox.ROOMROSTER + ']');
+ if (availability == 'unavailable') {
+ roster.find('div').filter('[contactName='+fromName+']').remove();
+ }
+ else {
+ var hasName = roster.find('div').filter('[contactName='+fromName+']');
+ if (hasName.length == 0) {
+ roster.append($('<div>' + fromName + '</div>')
+ .attr('class', BeeChat.UI.Resources.StyleClasses.ChatBox.ROSTER_ITEM)
+ .attr('title', item.attr('affiliation') + '/' + item.attr('role'))
+ .attr('contactName', fromName))
+ }
+ }
+ },
+
+
+ /** Function: update
+ *
+ */
+ update: function(contactBareJid, fromName, msg, isroom)
+ {
+ var chatBoxElm = BeeChat.UI.ChatBoxes.getChatBoxElm(contactBareJid);
+ debugXMPP(contactBareJid + " " + msg + " " + chatBoxElm.length);
+ if (chatBoxElm.length == 0) {
+ if (isroom) {
+ BeeChat.UI.ScrollBoxes.addRoom(contactBareJid);
+ BeeChat.UI.ChatBoxes.show(contactBareJid);
+ BeeChat.UI.ChatBoxes.takeStand(contactBareJid);
+ }
+ else {
+ BeeChat.UI.ScrollBoxes.add(contactBareJid,false,true);
+ // BeeChat.UI.ChatBoxes.show(contactBareJid);
+ BeeChat.UI.ChatBoxes.takeStand(contactBareJid);
+ }
+ chatBoxElm = BeeChat.UI.ChatBoxes.getChatBoxElm(contactBareJid);
+ }
+
+ var chatBoxContentElm = chatBoxElm.children().filter('[bareJid="' + contactBareJid + '"]');
+
+ chatBoxContentElm.find('p').filter('[class=' + BeeChat.UI.Resources.StyleClasses.ChatBox.STATE + ']').remove();
+
+ var chatBoxLastMessageElm = $(chatBoxContentElm).find('div').filter('[class=' + BeeChat.UI.Resources.StyleClasses.ChatBox.MESSAGE + ']').filter(':last');
+
+ if (chatBoxLastMessageElm && chatBoxLastMessageElm.find('span').filter('[class=' + BeeChat.UI.Resources.StyleClasses.ChatBox.MESSAGE_SENDER + ']').text() == fromName) {
+ chatBoxLastMessageElm.append('<p>' + BeeChat.UI.Utils.getPrintableChatMessage(msg) + '</p>');
+ } else {
+ chatBoxContentElm.append($('<div></div>')
+ .attr('class', BeeChat.UI.Resources.StyleClasses.ChatBox.MESSAGE)
+ .append($('<span></span>')
+ .attr('class', BeeChat.UI.Resources.StyleClasses.ChatBox.MESSAGE_SENDER)
+ .text(fromName))
+ .append($('<span></span>')
+ .attr('class', BeeChat.UI.Resources.StyleClasses.ChatBox.MESSAGE_DATE)
+ .text(BeeChat.UI.Utils.getNowFormattedTime()))
+ .append('<p>' + BeeChat.UI.Utils.getPrintableChatMessage(msg) + '</p>'));
+ }
+
+ chatBoxContentElm.attr({scrollTop: chatBoxContentElm.attr('scrollHeight')});
+
+ var scrollBoxesElm = $('#' + BeeChat.UI.Resources.Elements.ID_DIV_SCROLLBOXES);
+ var scrollBoxElm = BeeChat.UI.ScrollBoxes.getScrollBoxElm(contactBareJid);
+
+ if (BeeChat.UI.ScrollBoxes.isInitialized == false) {
+ scrollBoxesElm.trigger('goto', scrollBoxesElm.find('ul').children().index(scrollBoxElm));
+ }
+
+ debugXMPP("about to update number!");
+ if (chatBoxElm.is(':hidden')) {
+ debugXMPP("update number!");
+ BeeChat.UI.UnreadCountBox.update(contactBareJid);
+// if (BeeChat.UI.HAS_FOCUS)
+// document.getElementById(BeeChat.UI.Resources.Sounds.NEW_MESSAGE).Play();
+ }
+
+// if (!BeeChat.UI.HAS_FOCUS)
+// document.getElementById(BeeChat.UI.Resources.Sounds.NEW_MESSAGE).Play();
+ },
+
+ /** Function: updateChatState
+ *
+ */
+ updateChatState: function(contactBareJid, msg)
+ {
+ var chatBoxContentElm = BeeChat.UI.ChatBoxes.getChatBoxElm(contactBareJid).children().filter('[bareJid="' + contactBareJid + '"]');
+
+ $(msg).children().each(function() {
+ if (this.tagName == BeeChat.Message.ChatStates.COMPOSING) {
+ if (chatBoxContentElm.find('p').filter('[class=' + BeeChat.UI.Resources.StyleClasses.ChatBox.STATE + ']').length == 0) {
+ $('<p></p>')
+ .attr('class', BeeChat.UI.Resources.StyleClasses.ChatBox.STATE)
+ .html(BeeChat.UI.Utils.getContactName(contactBareJid) + BeeChat.UI.Resources.Strings.ChatMessages.COMPOSING + "</br />")
+ .appendTo(chatBoxContentElm);
+ }
+ } else if (this.tagName == BeeChat.Message.ChatStates.PAUSED) {
+ chatBoxContentElm.find('p').filter('[class=' + BeeChat.UI.Resources.StyleClasses.ChatBox.STATE + ']').remove();
+ }
+ });
+ chatBoxContentElm.attr({scrollTop: chatBoxContentElm.attr('scrollHeight')});
+ },
+
+ /** Function: remove
+ *
+ */
+ remove: function(contactBareJid)
+ {
+ BeeChat.UI.ChatBoxes.getChatBoxElm(contactBareJid).remove();
+ BeeChat.UI.ScrollBoxes.getScrollBoxElm(contactBareJid).remove();
+ },
+
+ /** Function: show
+ *
+ */
+ show: function(contactBareJid)
+ {
+ BeeChat.UI.ChatBoxes.getChatBoxElm(contactBareJid).show();
+ },
+
+ /** Function: hide
+ *
+ */
+ hide: function(contactBareJid)
+ {
+ BeeChat.UI.ChatBoxes.getChatBoxElm(contactBareJid).hide();
+ },
+
+ /** Function: getChatBoxElm
+ *
+ */
+ getChatBoxElm: function(contactBareJid)
+ {
+ return $('#' + BeeChat.UI.Resources.Elements.ID_DIV_CHATBOXES).children().filter('[bareJid="' + contactBareJid + '"]');
+ }
+};
+
+BeeChat.UI.UnreadCountBox = {
+ /** Function: add
+ *
+ */
+ add: function(contactBareJid)
+ {
+ BeeChat.UI.ScrollBoxes.getScrollBoxElm(contactBareJid)
+ .append($('<span></span>')
+ .attr('class', BeeChat.UI.Resources.StyleClasses.UNREAD_COUNT));
+ },
+
+ /** Function: remove
+ *
+ */
+ remove: function(contactBareJid)
+ {
+ BeeChat.UI.UnreadCountBox.getElm(contactBareJid).remove();
+ },
+
+ /** Function: update
+ *
+ */
+ update: function(contactBareJid)
+ {
+ if (arguments.length > 1 && !arguments[1])
+ return;
+
+ var unreadCountBoxElm = BeeChat.UI.UnreadCountBox.getElm(contactBareJid);
+ if (unreadCountBoxElm.length == 0) {
+ BeeChat.UI.UnreadCountBox.add(contactBareJid);
+ unreadCountBoxElm = BeeChat.UI.UnreadCountBox.getElm(contactBareJid);
+ }
+ if (arguments.length == 1) {
+ var unreadCount = unreadCountBoxElm.text();
+ unreadCountBoxElm.text(++unreadCount);
+ } else
+ unreadCountBoxElm.text(arguments[1]);
+ },
+
+ /** Function: getElm
+ *
+ */
+ getElm: function(contactBareJid)
+ {
+ return BeeChat.UI.ScrollBoxes.getScrollBoxElm(contactBareJid).find('span').filter('[class=' + BeeChat.UI.Resources.StyleClasses.UNREAD_COUNT +' ]');
+ }
+};
+
+/** Class: BeeChat.UI.Utils
+ * An object container for all UI utilities functions
+ *
+ */
+BeeChat.UI.Utils = {
+ /** Function: getTruncatedContactName
+ *
+ */
+ getTruncatedContactName: function(bareJid)
+ {
+ return (BeeChat.UI.Utils.truncateString(BeeChat.UI.Utils.getContactName(bareJid), (arguments.length == 2) ? arguments[1] : 21));
+ },
+
+ /** Function: getTruncatedContactStatus
+ *
+ */
+ getTruncatedContactStatus: function(contactStatus)
+ {
+ return (BeeChat.UI.Utils.truncateString(contactStatus, (arguments.length == 2 ? arguments[1] : 50)));
+ },
+
+ /** Function: getContactName
+ *
+ */
+ getContactName: function(bareJid)
+ {
+ var contactName = bareJid;
+
+ if (g_beechat_roster_items != null && g_beechat_roster_items[bareJid])
+ contactName = g_beechat_roster_items[bareJid].name;
+ // no contact name so we show bareJid
+ if (!contactName || contactName == '')
+ contactName = bareJid;
+
+ return (contactName);
+ },
+
+ /** Function: getPrintableChatMessage
+ *
+ */
+ getPrintableChatMessage: function(msg)
+ {
+ var val = new String;
+ val = $('<div>' + msg + '</div>');
+ msg = val.text();
+
+ msg = jQuery.trim(msg);
+ msg = BeeChat.UI.Utils.replaceLinks(msg);
+ msg = BeeChat.UI.Utils.replaceSmileys(msg);
+
+ return msg;
+ },
+
+ /** Function: getNowFormattedTime
+ *
+ */
+ getNowFormattedTime: function()
+ {
+ var date = new Date();
+
+ var hours = date.getHours();
+ var minutes = date.getMinutes();
+ var seconds = date.getSeconds();
+
+ if (hours < 10)
+ hours = '0' + hours;
+ if (minutes < 10)
+ minutes = '0' + minutes;
+ if (seconds < 10)
+ seconds = '0' + seconds;
+ return (hours + ':' + minutes + ':' + seconds);
+ },
+
+
+ /** Function: replaceSmileys
+ * Replace smileys founded in a string to beautiful icons :)
+ *
+ * Parameters:
+ * (String) str - The string containing smileys
+ *
+ */
+ replaceSmileys: function(str)
+ {
+ str = str.replace(/(;\))/gi, '<img src="' + BeeChat.UI.Resources.Paths.ICONS + BeeChat.UI.Resources.Emoticons.FILENAME_WINK + '" />');
+ str = str.replace(/(:\))/gi, '<img src="' + BeeChat.UI.Resources.Paths.ICONS + BeeChat.UI.Resources.Emoticons.FILENAME_SMILE + '" />');
+ str = str.replace(/(:\()/gi, '<img src="' + BeeChat.UI.Resources.Paths.ICONS + BeeChat.UI.Resources.Emoticons.FILENAME_UNHAPPY + '" />');
+ str = str.replace(/(:D)/gi, '<img src="' + BeeChat.UI.Resources.Paths.ICONS + BeeChat.UI.Resources.Emoticons.FILENAME_GRIN + '" />');
+ str = str.replace(/(:o)/gi, '<img src="' + BeeChat.UI.Resources.Paths.ICONS + BeeChat.UI.Resources.Emoticons.FILENAME_SURPRISED + '" />');
+ str = str.replace(/(xD)/gi, '<img src="' + BeeChat.UI.Resources.Paths.ICONS + BeeChat.UI.Resources.Emoticons.FILENAME_EVILGRIN + '" />');
+ str = str.replace(/(:p)/gi, '<img src="' + BeeChat.UI.Resources.Paths.ICONS + BeeChat.UI.Resources.Emoticons.FILENAME_TONGUE + '" />');
+
+ return (str);
+ },
+
+ /** Function: replaceLinks
+ * Transform links founded in a string to clickable links
+ *
+ * Parameters:
+ * (String) str - The string where will be replaced links
+ */
+ replaceLinks: function(str)
+ {
+ var xpr =
+ /((ftp|http|https):\/\/(\w+:{0,1}\w*@)?(\S+)(:[0-9]+)?(\/|\/([\w#!:.?+=&%@!\-\/]))?)/gi;
+
+ return (str.replace(xpr, '<a href="$1" target="_blank">$1</a>'));
+ },
+
+ /** Function: truncateString
+ * Truncate a string at a specified length
+ *
+ * Parameters:
+ * (String) str - The string to truncate
+ * (int) len - The maximum length of str
+ */
+ truncateString: function(str, len)
+ {
+ if (str != null && str.length > len)
+ return ((str.substr(0, len) + '...'));
+ return (str);
+ }
+};
+
+
+/** Executed when the DOM is ready
+ *
+ */
+function init_beechat(ts, token) {
+ if (typeof document.body.style.maxHeight === "undefined") { // IE6
+ return;
+ }
+
+ BeeChat.UI.initialize(ts, token);
+}
+
+/** Window resizing
+ *
+ */
+$(window).resize(function() {
+ if (typeof document.body.style.maxHeight === "undefined") { // IE6
+ return;
+ }
+
+ $('#' + BeeChat.UI.Resources.Elements.ID_DIV_CHATBOXES).children().each(function() {
+ var scrollBoxElm = BeeChat.UI.ScrollBoxes.getScrollBoxElm($(this).attr('bareJid'));
+ var pos = scrollBoxElm.position().left - ($(this).width() - scrollBoxElm.width()) + 24;
+
+ $(this).css({'left': pos});
+ });
+});
+
+
+/** Executed when the page is unloaded
+ *
+ */
+$(window).unload(function() {
+ if (typeof document.body.style.maxHeight === "undefined") { // IE6
+ return;
+ }
+
+ if (!$('#beechat').length)
+ return;
+
+ if (g_beechat_user != null) {
+ g_beechat_user.requestSessionPause();
+ BeeChat.UI.saveState();
+ }
+
+ BeeChat.UI.saveConnection();
+ });
+
+
+/** Check whether the BeeChat tab is active or not
+ *
+ */
+$(window).bind('blur', function() {
+ BeeChat.UI.HAS_FOCUS = false;
+ });
+
+$(window).bind('focus', function() {
+ BeeChat.UI.HAS_FOCUS = true;
+ });
diff --git a/mod/beechat/views/default/beechat/beechat.php b/mod/beechat/views/default/beechat/beechat.php
new file mode 100644
index 000000000..397d35f74
--- /dev/null
+++ b/mod/beechat/views/default/beechat/beechat.php
@@ -0,0 +1,84 @@
+<?php
+ /**
+ * Beechat
+ *
+ * @package beechat
+ * @license http://www.gnu.org/licenses/old-licenses/gpl-2.0.html GNU Public License version 2
+ * @author Beechannels <contact@beechannels.com>
+ * @copyright Beechannels 2007-2010
+ * @link http://beechannels.com/
+ */
+
+if (elgg_is_logged_in() && elgg_get_logged_in_user_entity()->chatenabled && elgg_get_context() != 'admin') {
+?>
+<div id="beechat">
+ <div id="beechat_left">
+ <a id="beechat_tooltip_trigger" href="<?php echo $vars['url']; ?>"><img src="<?php echo $vars['config']->wwwroot; ?>favicon.ico" /></a>
+ <div class="tooltip tooltipchat">
+ <h3><?php echo elgg_echo('beechat:icons:home'); ?></h3>
+ </div>
+ </div>
+ <div id="beechat_center">
+ <span id="beechat_center_prev" class="prev"></span>
+ <div id="beechat_scrollboxes"><ul></ul></div>
+ <span id="beechat_center_next" class="next"></span>
+ </div>
+ <div id="beechat_right">
+ <span id="beechat_contacts_button" class="offline">
+ <?php echo elgg_echo('beechat:contacts:button'); ?>
+ </span>
+ </div>
+ <div id="beechat_contacts">
+ <div id="beechat_contacts_top">
+ <span class="beechat_label"><?php echo elgg_echo('beechat:contacts:button'); ?></span>
+ <div id="beechat_contacts_controls">
+ <span id="beechat_contacts_control_minimize" class="beechat_control" title="<?php echo elgg_echo('beechat:box:minimize'); ?>">_</span>
+ </div>
+ <br clear="all" />
+ </div>
+ <div id="beechat_availability_switcher">
+ <span id="beechat_current_availability"></span>
+ <span class="beechat_availability_switcher_control_down" id="beechat_availability_switcher_control"></span>
+ </div>
+ <div id="beechat_contacts_content">
+ <ul id="beechat_contacts_list"></ul>
+ <ul id="beechat_availability_switcher_list">
+ <li class="beechat_left_availability_chat"><?php echo elgg_echo('beechat:availability:available'); ?></li>
+ <li class="beechat_left_availability_dnd"><?php echo elgg_echo('beechat:availability:dnd'); ?></li>
+ <li class="beechat_left_availability_away"><?php echo elgg_echo('beechat:availability:away'); ?></li>
+ <li class="beechat_left_availability_xa"><?php echo elgg_echo('beechat:availability:xa'); ?></li>
+ <li class="beechat_left_availability_offline"><?php echo elgg_echo('beechat:availability:offline'); ?></li>
+ </ul>
+ </div>
+ <div id="beechat_contacts_bottom">
+ <span id="beechat_contacts_bottom_bar"></span>
+ </div>
+ </div>
+ <div id="beechat_chatboxes"></div>
+</div>
+<!-- SOUNDS -->
+<!--
+<embed src="<?php echo $vars['config']->staticurl; ?>mod/beechat/sounds/newmessage.wav" autostart=false width=0 height=0
+ id="beechat_sounds_new_message"
+ enablejavascript="true" />
+-->
+
+<?php
+ $ts = time();
+ $token = generate_action_token($ts);
+?>
+
+<script>
+ $(function () {
+ var e = document.createElement('script');
+ e.async = true;
+ e.type = 'text/javascript';
+ e.text = 'init_beechat("<?php echo $ts; ?>","<?php echo $token; ?>");';
+ document.getElementById('beechat').appendChild(e);
+
+ })
+</script>
+
+<?php
+ }
+?>
diff --git a/mod/beechat/views/default/beechat/beechat.userjs.php b/mod/beechat/views/default/beechat/beechat.userjs.php
new file mode 100644
index 000000000..ed59b683f
--- /dev/null
+++ b/mod/beechat/views/default/beechat/beechat.userjs.php
@@ -0,0 +1,54 @@
+<script type="text/javascript">
+BeeChat.Events.Messages = {
+ ConnectionStates: {
+ CONNECTING: "<?php echo elgg_echo('beechat:connection:state:connecting'); ?>",
+ AUTHENTICATING: "<?php echo elgg_echo('beechat:connection:state:authenticating'); ?>",
+ FAILED: "<?php echo elgg_echo('beechat:connection:state:failed'); ?>",
+ DISCONNECTING: "<?php echo elgg_echo('beechat:connection:state:disconnecting'); ?>",
+ OFFLINE: "<?php echo elgg_echo('beechat:connection:state:offline'); ?>",
+ ONLINE: "<?php echo elgg_echo('beechat:connection:state:online'); ?>"
+ }
+ }
+
+BeeChat.UI.Resources.Strings = {
+ Availability: {
+ AVAILABLE: "<?php echo elgg_echo('beechat:availability:available'); ?>",
+ CHAT: "<?php echo elgg_echo('beechat:availability:available'); ?>",
+ ONLINE: "<?php echo elgg_echo('beechat:availability:available'); ?>",
+ DND: "<?php echo elgg_echo('beechat:availability:dnd'); ?>",
+ AWAY: "<?php echo elgg_echo('beechat:availability:away'); ?>",
+ XA:"<?php echo elgg_echo('beechat:availability:xa'); ?>",
+ OFFLINE: "<?php echo elgg_echo('beechat:availability:offline'); ?>"
+ },
+
+ Contacts: {
+ BUTTON: "<?php echo elgg_echo('beechat:contacts:button'); ?>"
+ },
+
+ ChatMessages: {
+ SELF: "<?php echo $_SESSION['user']->name; ?>",
+ COMPOSING: "<?php echo elgg_echo('beechat:chat:composing'); ?>"
+ },
+
+ Box: {
+ MINIMIZE: "<?php echo elgg_echo('beechat:box:minimize'); ?>",
+ CLOSE: "<?php echo elgg_echo('beechat:box:close'); ?>",
+ SHOWHIDE: "<?php echo elgg_echo('beechat:box:showhide'); ?>"
+ }
+ }
+g_user_rooms = new Array();
+<?php
+if (elgg_is_logged_in()) {
+ $user = elgg_get_logged_in_user_entity();
+ $chatrooms = elgg_get_entities_from_relationship(array('relationship' => 'groupchat',
+ 'relationship_guid' => $user->guid,
+ 'inverse_relationship' => false,
+ 'limit' => 0));
+ if (!empty($chatrooms)) {
+ foreach($chatrooms as $chatroom) {
+ echo "g_user_rooms.push(['".beechat_friendly_title($chatroom->name)."@".elgg_get_plugin_setting("groupdomain", "beechat")."', '".$chatroom->guid."']);";
+ }
+ }
+}
+?>
+</script>
diff --git a/mod/beechat/views/default/beechat/screen.css.php b/mod/beechat/views/default/beechat/screen.css.php
new file mode 100644
index 000000000..930e60089
--- /dev/null
+++ b/mod/beechat/views/default/beechat/screen.css.php
@@ -0,0 +1,672 @@
+<?php
+ global $CONFIG;
+ $url = $CONFIG->wwwroot;
+
+?>
+/**
+ * Beechat
+ *
+ * @package beechat
+ * @license http://www.gnu.org/licenses/old-licenses/gpl-2.0.html GNU Public License version 2
+ * @author Beechannels <contact@beechannels.com>
+ * @copyright Beechannels 2007-2010
+ * @link http://beechannels.com/
+ */
+
+div#beechat {
+ display: block !important;
+ display: none;
+ position: fixed;
+ left: 1%;
+ right: 1%;
+ bottom: 0;
+ margin: 0;
+ padding: 0;
+ height: 20px;
+ z-index: 999;
+
+ font-family: Arial, Helvetica, "Liberation Sans", FreeSans, sans-serif;
+ font-size: 0.9em;
+ color: #222222;
+ background-color: #DDDDDD;
+ border-top: 1px solid #BBBBBB;
+ border-left: 1px solid #BBBBBB;
+}
+div#beechat a img {
+ border: none;
+}
+div#beechat a {
+ text-decoration: none;
+}
+div#beechat img {
+ vertical-align: middle;
+}
+div#beechat a:hover {
+ text-decoration: underline;
+}
+.beechat_control {
+ cursor: pointer;
+ color: #CCCCFF;
+ font-size: 1.6em;
+}
+.beechat_control:hover {
+ color: white;
+}
+.beechat_box_control {
+ cursor: pointer;
+ color: #888888;
+ font-size: 1em;
+}
+.beechat_box_control:hover {
+ color: #222222;
+}
+.beechat_chatbox_control {
+ cursor: pointer;
+ color: #CCCCFF;
+ font-size: 1.6em;
+}
+.beechat_chatbox_control:hover {
+ color: white;
+}
+.beechat_label {
+ font-weight: bold;
+ font-size: 1.1em;
+}
+
+/*
+** -
+** left side
+** -
+*/
+div#beechat_left {
+ position: absolute;
+ top: 0;
+ left: 0;
+ width: 116px;
+ height: 18px;
+ margin: 0;
+ padding: 1px 2px;
+}
+
+
+/*
+** -
+** right side
+** -
+*/
+div#beechat_right {
+ position: absolute;
+ top: 0;
+ right: 0;
+ width: 220px;
+ height: 20px;
+ margin: 0;
+ padding: 0 0 0 0;
+
+ border-left: 1px solid #BBBBBB;
+ border-right: 1px solid #BBBBBB;
+}
+div#beechat_contacts {
+ position: absolute;
+ right: 0px;
+ bottom: 0;
+ width: 222px;
+ height: 240px;
+ margin: 0 auto 20px auto;
+ padding: 0;
+ display: none;
+
+ background-color: white;
+}
+div#beechat_contacts_top {
+ color: white;
+ background-color: #193C60;
+ width: 220px;
+ height: 32px;
+
+ border-top: 1px solid #0B2C4F;
+ border-left: 1px solid #0B2C4F;
+ border-right: 1px solid #0B2C4F;
+}
+div#beechat_contacts_top .beechat_label {
+ float: left;
+ height: 20px;
+ padding: 6px;
+}
+div#beechat_contacts_controls {
+ margin: 0;
+ padding: 0;
+}
+div#beechat_contacts_controls span#beechat_contacts_control_minimize {
+ position: relative;
+ top: -7px;
+ float: right;
+ display: block;
+ width: 20px;
+ height: 20px;
+ padding: 2px;
+
+ font-size: 1.6em;
+ font-weight: bold;
+ text-align: center;
+}
+span#beechat_contacts_button {
+ display: block;
+ width: 190px;
+ padding: 2px 6px 0 24px;
+ height: 18px;
+ cursor: pointer;
+ font-size: 1.1em;
+ font-weight: normal;
+
+ background-image: url('<?php echo $url; ?>mod/beechat/graphics/icons/statuses.png');
+}
+span#beechat_contacts_button.online {
+ background-position: 4px -750px;
+ background-repeat: no-repeat;
+}
+span#beechat_contacts_button.dnd {
+ background-position: 4px -796px;
+ background-repeat: no-repeat;
+}
+span#beechat_contacts_button.away {
+ background-position: 4px -842px;
+ background-repeat: no-repeat;
+}
+span#beechat_contacts_button.offline {
+ background-position: 4px -888px;
+ background-repeat: no-repeat;
+}
+span#beechat_contacts_button:hover {
+ background-color: white;
+}
+div#beechat_availability_switcher {
+ width: 218px;
+ height: 24px;
+ margin: 0;
+ padding: 0 0 0 2px;
+
+ background-color: #EEEEEE;
+ border-left: 1px solid #666666;
+ border-right: 1px solid #666666;
+ border-bottom: 1px solid #BBBBBB;
+}
+span#beechat_current_availability {
+ float: left;
+ padding: 4px 4px 4px 22px;
+
+ font-weight: bold;
+ cursor: pointer;
+}
+span#beechat_current_availability:hover {
+ text-decoration: underline;
+}
+span#beechat_availability_switcher_control {
+ float: right;
+ width: 24px;
+ height: 20px;
+ cursor: pointer;
+}
+span.beechat_availability_switcher_control_up {
+ background: no-repeat 50% 50% url('<?php echo $url; ?>mod/beechat/graphics/icons/bullet_arrow_up.png');
+}
+span.beechat_availability_switcher_control_down {
+ background: no-repeat 50% 50% url('<?php echo $url; ?>mod/beechat/graphics/icons/bullet_arrow_down.png');
+}
+ul#beechat_availability_switcher_list {
+ display: none;
+ padding:0;
+ margin:0;
+ list-style:none;
+}
+ul#beechat_availability_switcher_list li {
+ margin: 0;
+ padding: 4px 4px 4px 24px;
+
+ cursor: pointer;
+}
+ul#beechat_availability_switcher_list li:hover {
+ background-color: #EEEEEE;
+}
+div#beechat_contacts_content {
+ width: 220px;
+ height: 164px;
+ overflow: auto;
+
+ border-left: 1px solid #666666;
+ border-right: 1px solid #666666;
+ background-color: white;
+}
+ul#beechat_contacts_list {
+ background-color: white;
+ padding:0;
+ margin:0;
+ list-style:none;
+}
+ul#beechat_contacts_list li img {
+ margin: 0 4px 0 0;
+ width: 25px;
+ height: 25px;
+}
+ul#beechat_contacts_list li {
+ margin: 0;
+ padding: 4px 4px 4px 6px;
+
+ cursor: pointer;
+ color: #333;
+}
+ul#beechat_contacts_list li:hover {
+ background-color: #F5F6F8;
+ color: #333;
+}
+div#beechat_contacts_bottom {
+ width: 220px;
+ height: 18px;
+
+ border-left: 1px solid #666666;
+ border-right: 1px solid #666666;
+}
+span#beechat_contacts_bottom_bar {
+ position: absolute;
+ display: block;
+ bottom: 0;
+ width: 210px;
+ height: 1px;
+
+ background-color: #BBBBBB;
+ margin: auto 4px;
+}
+
+
+/*
+** -
+** center area
+** -
+*/
+div#beechat_center {
+ float: right;
+ display: block;
+ width: 586px;
+ height: 20px;
+ margin: 0 220px 0 100px;
+ *margin: 0 312px 0 100px;
+ padding: 0;
+}
+div#beechat_center .next, div#beechat_center .prev {
+ display: none;
+
+ border-left: 1px solid #BBBBBB;
+ cursor: pointer;
+}
+div#beechat_center .next {
+ position: absolute;
+ right: 220px;
+ width: 24px;
+ height: 20px;
+
+ background: no-repeat 50% url("<?php echo $url; ?>mod/beechat/graphics/icons/resultset_next.png");
+}
+div#beechat_center .prev {
+ position: absolute;
+ right: 872px;
+ width: 24px;
+ height: 20px;
+
+ background: no-repeat 50% url("<?php echo $url; ?>mod/beechat/graphics/icons/resultset_previous.png");
+}
+div#beechat_scrollboxes {
+ float: right;
+ overflow: hidden;
+ width: 628px;
+ height: 21px;
+ margin: 0 24px 0 24px;
+ text-align: left;
+}
+div#beechat_scrollboxes ul {
+ width: 200000em;
+ list-style: none;
+ padding:0;
+ margin:0;
+}
+div#beechat_scrollboxes ul li {
+ float: right;
+ display: block;
+ width: 130px;
+ height: 20px;
+ padding: 1px 0 0 22px;
+
+ cursor: pointer;
+ border-left: 1px solid #BBBBBB;
+}
+div#beechat_scrollboxes ul li:hover {
+ color: #000000;
+ background-color: white;
+}
+div#beechat_scrollboxes ul li.beechat_scrollbox_selected {
+ border-left: 1px solid #666666;
+ border-right: 1px solid #666666;
+ background-color: white;
+}
+div#beechat_scrollboxes ul li span.beechat_unread_count {
+ float: right;
+ display: block;
+ width: 16px;
+ height: 14px;
+ padding-top: 2px;
+ margin: 0 6px 0 0;
+
+ text-align: center;
+ font-size: 0.7em;
+ color: white;
+ background: no-repeat 0% 50% url('<?php echo $url; ?>mod/beechat/graphics/icons/notification_pink.png');
+}
+div#beechat_scrollboxes ul li span#beechat_box_control_close {
+ float: right;
+ width: auto;
+ padding: 1px 4px;
+ height: 20px;
+}
+
+/*
+** --
+** availability classes
+** --
+*/
+.beechat_left_availability_chat {
+ background: no-repeat 2% 50% url('<?php echo $url; ?>mod/beechat/graphics/icons/bullet_green.png');
+}
+.beechat_left_availability_dnd {
+ background: no-repeat 2% 50% url('<?php echo $url; ?>mod/beechat/graphics/icons/bullet_delete.png');
+}
+.beechat_left_availability_away {
+ background: no-repeat 2% 50% url('<?php echo $url; ?>mod/beechat/graphics/icons/bullet_orange.png');
+}
+.beechat_left_availability_xa {
+ background: no-repeat 2% 50% url('<?php echo $url; ?>mod/beechat/graphics/icons/bullet_red.png');
+}
+.beechat_left_availability_offline {
+ background: no-repeat 2% 50% url('<?php echo $url; ?>mod/beechat/graphics/icons/bullet_black.png');
+}
+.beechat_left_availability_room {
+ background: no-repeat 2% 50% url('<?php echo $url; ?>mod/beechat/graphics/icons/muc_icon.png');
+}
+
+
+
+.beechat_right_availability_chat {
+ background: no-repeat 96% 50% url('<?php echo $url; ?>mod/beechat/graphics/icons/bullet_green.png');
+}
+.beechat_right_availability_dnd {
+ background: no-repeat 96% 50% url('<?php echo $url; ?>mod/beechat/graphics/icons/bullet_delete.png');
+}
+.beechat_right_availability_away {
+ background: no-repeat 96% 50% url('<?php echo $url; ?>mod/beechat/graphics/icons/bullet_orange.png');
+}
+.beechat_right_availability_xa {
+ background: no-repeat 96% 50% url('<?php echo $url; ?>mod/beechat/graphics/icons/bullet_red.png');
+}
+.beechat_right_availability_offline {
+ background: no-repeat 96% 50% url('<?php echo $url; ?>mod/beechat/graphics/icons/bullet_black.png');
+}
+.beechat_right_availability_room {
+ background: no-repeat 96% 50% url('<?php echo $url; ?>mod/beechat/graphics/icons/muc_icon.png');
+}
+
+/*
+** --
+** tooltips
+** --
+*/
+div.tooltip.tooltipchat {
+ display: none;
+ padding: 4px;
+ width: auto;
+ background: transparent no-repeat left bottom url('<?php echo $url; ?>mod/beechat/graphics/icons/pointer.png');
+}
+div.tooltip.tooltipchat h3 {
+ margin: 0;
+ padding: 4px;
+
+ font-weight: normal;
+ font-size: 0.9em;
+ color: white;
+ background-color: #222222;
+}
+
+
+/*
+** --
+** chatboxes
+** --
+*/
+div.beechat_chatbox {
+ position: absolute;
+ width: 240px;
+ height: 300px;
+ bottom: 25px;
+ margin: 0;
+ padding: 0;
+
+ background-color: #DDDDDD;
+}
+div.beechat_chatbox a {
+ color: white;
+}
+div.beechat_chatbox a:hover {
+ text-decoration: underline;
+}
+div.beechat_chatbox_roomroster {
+ position: absolute;
+ width: 100px;
+ height: 276px;
+ left: -101px;
+ bottom: -2px;
+ margin: 0;
+ padding: 0;
+ overflow: auto;
+
+ border: 1px solid #4B6C8F;
+
+ background-color: #EEEEEE;
+}
+div.beechat_chatbox_roomrosteritem {
+ background-color: #FFFFFF;
+ margin: 3px;
+ padding-left: 2px;
+}
+div.beechat_chatbox_chatroom {
+ position: absolute;
+ width: 240px;
+ height: 300px;
+ left: 100px;
+ bottom: 0px;
+ margin: 0;
+ padding: 0;
+
+ background-color: #DDDDDD;
+}
+div.beechat_chatbox_chatroom a {
+ color: white;
+}
+div.beechat_chatbox_chatroom a:hover {
+ text-decoration: underline;
+}
+
+div.beechat_chatbox_room {
+ position: absolute;
+ width: 340px;
+ height: 300px;
+ bottom: 25px;
+ margin: 0;
+ padding: 0;
+
+ background-color: #DDDDDD;
+}
+div.beechat_chatbox_room a {
+ color: white;
+}
+div.beechat_chatbox_room a:hover {
+ text-decoration: underline;
+}
+div.beechat_chatbox_top {
+ width: 238px;
+ height: 24px;
+ margin: 0;
+ padding: 0;
+
+ font-size: 0.9em;
+ color: white;
+ background-color: #193C60;
+ border-top: 1px solid #0B2C4F;
+ border-left: 1px solid #0B2C4F;
+ border-right: 1px solid #0B2C4F;
+}
+div.beechat_chatbox_top .beechat_chatbox_top_icon {
+ position: absolute;
+ top: 4px;
+ left: 4px;
+ z-index: 2;
+
+ width: 50px;
+ height: 50px;
+}
+div.beechat_chatbox_top .beechat_label {
+ float: left;
+ height: 13px;
+ padding: 4px 6px 6px 6px;
+
+ margin-left: 54px;
+}
+div.beechat_chatbox_top_controls {
+ margin: 0;
+ padding: 0;
+}
+div.beechat_chatbox_top_controls .beechat_chatbox_control {
+ float: right;
+ display: block;
+ width: 20px;
+ height: 19px;
+ padding: 2px;
+ margin: 0;
+
+ font-size: 1.2em;
+ font-weight: bold;
+ text-align: center;
+}
+div.beechat_chatbox_subtop {
+ width: 172px;
+ height: 30px;
+ padding: 2px 6px 2px 60px;
+
+ border-left: 1px solid #666666;
+ border-right: 1px solid #666666;
+ border-bottom: 1px solid #CCCCCC;
+ background-color: #DDDDDD;
+}
+div.beechat_chatbox_content {
+ width: 238px;
+ height: 202px;
+ margin: 0;
+ padding: 0;
+ overflow: auto;
+
+ border-left: 1px solid #666666;
+ border-right: 1px solid #666666;
+ background-color: white;
+}
+div.beechat_chatbox_content div.beechat_chatbox_message {
+ width: auto;
+ height: auto;
+ margin: 0;
+ padding: 2px;
+ border-top: 1px solid #DDDDDD;
+}
+div.beechat_chatbox_message span.beechat_chatbox_message_sender {
+ position: relative;
+ top: 0;
+ left: 6px;
+ font-weight: bold;
+ font-size: 1em;
+}
+div.beechat_chatbox_message span.beechat_chatbox_message_date {
+ float: right;
+ margin: 0 6px 0 0;
+}
+div.beechat_chatbox_content a {
+ color: #003399;
+}
+div.beechat_chatbox_content a:hover {
+ text-decoration: underline;
+}
+div.beechat_chatbox_content p {
+ margin: 0;
+ padding: 2px 6px;
+}
+div.beechat_chatbox_content p.beechat_chatbox_state {
+ font-size: 1em;
+ color: #888888;
+}
+div.beechat_chatbox_input {
+ width: 238px;
+ height: 40px;
+ margin: 0;
+ padding: 0;
+
+ border-top: 2px solid #BBBBBB;
+ border-left: 1px solid #666666;
+ border-right: 1px solid #666666;
+ background-color: #DDDDDD;
+}
+div.beechat_chatbox_input textarea {
+ width: 204px;
+ height: 32px;
+ max-width: 240px;
+ max-height: 40px;
+ padding: 4px 4px 4px 30px;
+ margin: auto;
+ overflow: hidden;
+ vertical-align: top;
+ resize: none;
+
+ font-size: 1em;
+ font-family: Arial, Helvetica, "Liberation Sans", FreeSans, sans-serif;
+ outline: none;
+ border: none;
+ background: white no-repeat 4px 3px url('<?php echo $url; ?>mod/beechat/graphics/icons/chat_icon.png');
+}
+div.beechat_chatbox_input textarea:focus {
+ outline: none;
+ border: none;
+ background-color: white;
+}
+div.beechat_chatbox_bottom {
+ position: absolute;
+ width: 238px;
+ height: 1px;
+
+ background-color: white;
+ border-left: 1px solid #666666;
+ border-right: 1px solid #666666;
+ border-bottom: 1px solid #666666;
+ z-index: 2;
+}
+div.beechat_chatbox_bottom span {
+ position: absolute;
+ display: block;
+ right: 0;
+ top: 0;
+ width: 152px;
+ height: 1px;
+
+ border-bottom: 1px solid white;
+}
+div.beechat_chatbox_bottom span span {
+ position: absolute;
+ display: block;
+ width: 146px;
+ height: 1px;
+ right: 4px;
+ top: 0;
+
+ border-bottom: 1px solid #BBBBBB;
+}
diff --git a/mod/beechat/views/default/js/b64.js.php b/mod/beechat/views/default/js/b64.js.php
new file mode 100644
index 000000000..201bdbd7c
--- /dev/null
+++ b/mod/beechat/views/default/js/b64.js.php
@@ -0,0 +1,74 @@
+// This code was written by Tyler Akins and has been placed in the
+// public domain. It would be nice if you left this header intact.
+// Base64 code from Tyler Akins -- http://rumkin.com
+
+var keyStr = "ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/=";
+
+/**
+ * Encodes a string in base64
+ * @param {String} input The string to encode in base64.
+ */
+function encode64(input) {
+ var output = "";
+ var chr1, chr2, chr3;
+ var enc1, enc2, enc3, enc4;
+ var i = 0;
+
+ do {
+ chr1 = input.charCodeAt(i++);
+ chr2 = input.charCodeAt(i++);
+ chr3 = input.charCodeAt(i++);
+
+ enc1 = chr1 >> 2;
+ enc2 = ((chr1 & 3) << 4) | (chr2 >> 4);
+ enc3 = ((chr2 & 15) << 2) | (chr3 >> 6);
+ enc4 = chr3 & 63;
+
+ if (isNaN(chr2)) {
+ enc3 = enc4 = 64;
+ } else if (isNaN(chr3)) {
+ enc4 = 64;
+ }
+
+ output = output + keyStr.charAt(enc1) + keyStr.charAt(enc2) +
+ keyStr.charAt(enc3) + keyStr.charAt(enc4);
+ } while (i < input.length);
+
+ return output;
+}
+
+/**
+ * Decodes a base64 string.
+ * @param {String} input The string to decode.
+ */
+function decode64(input) {
+ var output = "";
+ var chr1, chr2, chr3;
+ var enc1, enc2, enc3, enc4;
+ var i = 0;
+
+ // remove all characters that are not A-Z, a-z, 0-9, +, /, or =
+ input = input.replace(/[^A-Za-z0-9\+\/\=]/g, "");
+
+ do {
+ enc1 = keyStr.indexOf(input.charAt(i++));
+ enc2 = keyStr.indexOf(input.charAt(i++));
+ enc3 = keyStr.indexOf(input.charAt(i++));
+ enc4 = keyStr.indexOf(input.charAt(i++));
+
+ chr1 = (enc1 << 2) | (enc2 >> 4);
+ chr2 = ((enc2 & 15) << 4) | (enc3 >> 2);
+ chr3 = ((enc3 & 3) << 6) | enc4;
+
+ output = output + String.fromCharCode(chr1);
+
+ if (enc3 != 64) {
+ output = output + String.fromCharCode(chr2);
+ }
+ if (enc4 != 64) {
+ output = output + String.fromCharCode(chr3);
+ }
+ } while (i < input.length);
+
+ return output;
+}
diff --git a/mod/beechat/views/default/js/jquery.cookie.min.js.php b/mod/beechat/views/default/js/jquery.cookie.min.js.php
new file mode 100644
index 000000000..cb09af984
--- /dev/null
+++ b/mod/beechat/views/default/js/jquery.cookie.min.js.php
@@ -0,0 +1,10 @@
+/**
+ * Cookie plugin
+ *
+ * Copyright (c) 2006 Klaus Hartl (stilbuero.de)
+ * Dual licensed under the MIT and GPL licenses:
+ * http://www.opensource.org/licenses/mit-license.php
+ * http://www.gnu.org/licenses/gpl.html
+ *
+ */
+jQuery.cookie=function(name,value,options){if(typeof value!='undefined'){options=options||{};if(value===null){value='';options=$.extend({},options);options.expires=-1;}var expires='';if(options.expires&&(typeof options.expires=='number'||options.expires.toUTCString)){var date;if(typeof options.expires=='number'){date=new Date();date.setTime(date.getTime()+(options.expires*24*60*60*1000));}else{date=options.expires;}expires='; expires='+date.toUTCString();}var path=options.path?'; path='+(options.path):'';var domain=options.domain?'; domain='+(options.domain):'';var secure=options.secure?'; secure':'';document.cookie=[name,'=',encodeURIComponent(value),expires,path,domain,secure].join('');}else{var cookieValue=null;if(document.cookie&&document.cookie!=''){var cookies=document.cookie.split(';');for(var i=0;i<cookies.length;i++){var cookie=jQuery.trim(cookies[i]);if(cookie.substring(0,name.length+1)==(name+'=')){cookieValue=decodeURIComponent(cookie.substring(name.length+1));break;}}}return cookieValue;}}; \ No newline at end of file
diff --git a/mod/beechat/views/default/js/jquery.localscroll-1.2.7-min.js.php b/mod/beechat/views/default/js/jquery.localscroll-1.2.7-min.js.php
new file mode 100644
index 000000000..fa583a451
--- /dev/null
+++ b/mod/beechat/views/default/js/jquery.localscroll-1.2.7-min.js.php
@@ -0,0 +1,9 @@
+/**
+ * jQuery.LocalScroll - Animated scrolling navigation, using anchors.
+ * Copyright (c) 2007-2009 Ariel Flesler - aflesler(at)gmail(dot)com | http://flesler.blogspot.com
+ * Dual licensed under MIT and GPL.
+ * Date: 3/11/2009
+ * @author Ariel Flesler
+ * @version 1.2.7
+ **/
+;(function($){var l=location.href.replace(/#.*/,'');var g=$.localScroll=function(a){$('body').localScroll(a)};g.defaults={duration:1e3,axis:'y',event:'click',stop:true,target:window,reset:true};g.hash=function(a){if(location.hash){a=$.extend({},g.defaults,a);a.hash=false;if(a.reset){var e=a.duration;delete a.duration;$(a.target).scrollTo(0,a);a.duration=e}i(0,location,a)}};$.fn.localScroll=function(b){b=$.extend({},g.defaults,b);return b.lazy?this.bind(b.event,function(a){var e=$([a.target,a.target.parentNode]).filter(d)[0];if(e)i(a,e,b)}):this.find('a,area').filter(d).bind(b.event,function(a){i(a,this,b)}).end().end();function d(){return!!this.href&&!!this.hash&&this.href.replace(this.hash,'')==l&&(!b.filter||$(this).is(b.filter))}};function i(a,e,b){var d=e.hash.slice(1),f=document.getElementById(d)||document.getElementsByName(d)[0];if(!f)return;if(a)a.preventDefault();var h=$(b.target);if(b.lock&&h.is(':animated')||b.onBefore&&b.onBefore.call(b,a,f,h)===false)return;if(b.stop)h.stop(true);if(b.hash){var j=f.id==d?'id':'name',k=$('<a> </a>').attr(j,d).css({position:'absolute',top:$(window).scrollTop(),left:$(window).scrollLeft()});f[j]='';$('body').prepend(k);location=e.hash;k.remove();f[j]=d}h.scrollTo(f,b).trigger('notify.serialScroll',[f])}})(jQuery); \ No newline at end of file
diff --git a/mod/beechat/views/default/js/jquery.scrollTo-min.js.php b/mod/beechat/views/default/js/jquery.scrollTo-min.js.php
new file mode 100755
index 000000000..5e7877810
--- /dev/null
+++ b/mod/beechat/views/default/js/jquery.scrollTo-min.js.php
@@ -0,0 +1,11 @@
+/**
+ * jQuery.ScrollTo - Easy element scrolling using jQuery.
+ * Copyright (c) 2007-2009 Ariel Flesler - aflesler(at)gmail(dot)com | http://flesler.blogspot.com
+ * Dual licensed under MIT and GPL.
+ * Date: 5/25/2009
+ * @author Ariel Flesler
+ * @version 1.4.2
+ *
+ * http://flesler.blogspot.com/2007/10/jqueryscrollto.html
+ */
+;(function(d){var k=d.scrollTo=function(a,i,e){d(window).scrollTo(a,i,e)};k.defaults={axis:'xy',duration:parseFloat(d.fn.jquery)>=1.3?0:1};k.window=function(a){return d(window)._scrollable()};d.fn._scrollable=function(){return this.map(function(){var a=this,i=!a.nodeName||d.inArray(a.nodeName.toLowerCase(),['iframe','#document','html','body'])!=-1;if(!i)return a;var e=(a.contentWindow||a).document||a.ownerDocument||a;return d.browser.safari||e.compatMode=='BackCompat'?e.body:e.documentElement})};d.fn.scrollTo=function(n,j,b){if(typeof j=='object'){b=j;j=0}if(typeof b=='function')b={onAfter:b};if(n=='max')n=9e9;b=d.extend({},k.defaults,b);j=j||b.speed||b.duration;b.queue=b.queue&&b.axis.length>1;if(b.queue)j/=2;b.offset=p(b.offset);b.over=p(b.over);return this._scrollable().each(function(){var q=this,r=d(q),f=n,s,g={},u=r.is('html,body');switch(typeof f){case'number':case'string':if(/^([+-]=)?\d+(\.\d+)?(px|%)?$/.test(f)){f=p(f);break}f=d(f,this);case'object':if(f.is||f.style)s=(f=d(f)).offset()}d.each(b.axis.split(''),function(a,i){var e=i=='x'?'Left':'Top',h=e.toLowerCase(),c='scroll'+e,l=q[c],m=k.max(q,i);if(s){g[c]=s[h]+(u?0:l-r.offset()[h]);if(b.margin){g[c]-=parseInt(f.css('margin'+e))||0;g[c]-=parseInt(f.css('border'+e+'Width'))||0}g[c]+=b.offset[h]||0;if(b.over[h])g[c]+=f[i=='x'?'width':'height']()*b.over[h]}else{var o=f[h];g[c]=o.slice&&o.slice(-1)=='%'?parseFloat(o)/100*m:o}if(/^\d+$/.test(g[c]))g[c]=g[c]<=0?0:Math.min(g[c],m);if(!a&&b.queue){if(l!=g[c])t(b.onAfterFirst);delete g[c]}});t(b.onAfter);function t(a){r.animate(g,j,b.easing,a&&function(){a.call(this,n,b)})}}).end()};k.max=function(a,i){var e=i=='x'?'Width':'Height',h='scroll'+e;if(!d(a).is('html,body'))return a[h]-d(a)[e.toLowerCase()]();var c='client'+e,l=a.ownerDocument.documentElement,m=a.ownerDocument.body;return Math.max(l[h],m[h])-Math.min(l[c],m[c])};function p(a){return typeof a=='object'?a:{top:a,left:a}}})(jQuery); \ No newline at end of file
diff --git a/mod/beechat/views/default/js/jquery.serialScroll-min.js.php b/mod/beechat/views/default/js/jquery.serialScroll-min.js.php
new file mode 100755
index 000000000..d716124f9
--- /dev/null
+++ b/mod/beechat/views/default/js/jquery.serialScroll-min.js.php
@@ -0,0 +1,10 @@
+/*
+ * jQuery.SerialScroll - Animated scrolling of series
+ * Copyright (c) 2007-2009 Ariel Flesler - aflesler(at)gmail(dot)com | http://flesler.blogspot.com
+ * Dual licensed under MIT and GPL.
+ * Date: 06/14/2009
+ * @author Ariel Flesler
+ * @version 1.2.2
+ * http://flesler.blogspot.com/2008/02/jqueryserialscroll.html
+ */
+;(function(a){var b=a.serialScroll=function(c){return a(window).serialScroll(c)};b.defaults={duration:1e3,axis:"x",event:"click",start:0,step:1,lock:!0,cycle:!0,constant:!0};a.fn.serialScroll=function(c){return this.each(function(){var t=a.extend({},b.defaults,c),s=t.event,i=t.step,r=t.lazy,e=t.target?this:document,u=a(t.target||this,e),p=u[0],m=t.items,h=t.start,g=t.interval,k=t.navigation,l;if(!r){m=d()}if(t.force){f({},h)}a(t.prev||[],e).bind(s,-i,q);a(t.next||[],e).bind(s,i,q);if(!p.ssbound){u.bind("prev.serialScroll",-i,q).bind("next.serialScroll",i,q).bind("goto.serialScroll",f)}if(g){u.bind("start.serialScroll",function(v){if(!g){o();g=!0;n()}}).bind("stop.serialScroll",function(){o();g=!1})}u.bind("notify.serialScroll",function(x,w){var v=j(w);if(v>-1){h=v}});p.ssbound=!0;if(t.jump){(r?u:d()).bind(s,function(v){f(v,j(v.target))})}if(k){k=a(k,e).bind(s,function(v){v.data=Math.round(d().length/k.length)*k.index(this);f(v,this)})}function q(v){v.data+=h;f(v,this)}function f(B,z){if(!isNaN(z)){B.data=z;z=p}var C=B.data,v,D=B.type,A=t.exclude?d().slice(0,-t.exclude):d(),y=A.length,w=A[C],x=t.duration;if(D){B.preventDefault()}if(g){o();l=setTimeout(n,t.interval)}if(!w){v=C<0?0:y-1;if(h!=v){C=v}else{if(!t.cycle){return}else{C=y-v-1}}w=A[C]}if(!w||t.lock&&u.is(":animated")||D&&t.onBefore&&t.onBefore(B,w,u,d(),C)===!1){return}if(t.stop){u.queue("fx",[]).stop()}if(t.constant){x=Math.abs(x/i*(h-C))}u.scrollTo(w,x,t).trigger("notify.serialScroll",[C])}function n(){u.trigger("next.serialScroll")}function o(){clearTimeout(l)}function d(){return a(m,p)}function j(w){if(!isNaN(w)){return w}var x=d(),v;while((v=x.index(w))==-1&&w!=p){w=w.parentNode}return v}})}})(jQuery); \ No newline at end of file
diff --git a/mod/beechat/views/default/js/jquery.tools.min.js.php b/mod/beechat/views/default/js/jquery.tools.min.js.php
new file mode 100644
index 000000000..1574af45b
--- /dev/null
+++ b/mod/beechat/views/default/js/jquery.tools.min.js.php
@@ -0,0 +1,49 @@
+/*
+ * jQuery Tools 1.2.2 - The missing UI library for the Web
+ *
+ * [tabs, tabs.slideshow, tooltip, tooltip.slide, tooltip.dynamic, scrollable, scrollable.autoscroll, scrollable.navigator, overlay]
+ *
+ * NO COPYRIGHTS OR LICENSES. DO WHAT YOU LIKE.
+ *
+ * http://flowplayer.org/tools/
+ *
+ * File generated: Mon Jun 07 08:32:38 GMT 2010
+ */
+(function(c){function p(d,a,b){var e=this,l=d.add(this),h=d.find(b.tabs),j=a.jquery?a:d.children(a),i;h.length||(h=d.children());j.length||(j=d.parent().find(a));j.length||(j=c(a));c.extend(this,{click:function(f,g){var k=h.eq(f);if(typeof f=="string"&&f.replace("#","")){k=h.filter("[href*="+f.replace("#","")+"]");f=Math.max(h.index(k),0)}if(b.rotate){var n=h.length-1;if(f<0)return e.click(n,g);if(f>n)return e.click(0,g)}if(!k.length){if(i>=0)return e;f=b.initialIndex;k=h.eq(f)}if(f===i)return e;
+g=g||c.Event();g.type="onBeforeClick";l.trigger(g,[f]);if(!g.isDefaultPrevented()){o[b.effect].call(e,f,function(){g.type="onClick";l.trigger(g,[f])});i=f;h.removeClass(b.current);k.addClass(b.current);return e}},getConf:function(){return b},getTabs:function(){return h},getPanes:function(){return j},getCurrentPane:function(){return j.eq(i)},getCurrentTab:function(){return h.eq(i)},getIndex:function(){return i},next:function(){return e.click(i+1)},prev:function(){return e.click(i-1)}});c.each("onBeforeClick,onClick".split(","),
+function(f,g){c.isFunction(b[g])&&c(e).bind(g,b[g]);e[g]=function(k){c(e).bind(g,k);return e}});if(b.history&&c.fn.history){c.tools.history.init(h);b.event="history"}h.each(function(f){c(this).bind(b.event,function(g){e.click(f,g);return g.preventDefault()})});j.find("a[href^=#]").click(function(f){e.click(c(this).attr("href"),f)});if(location.hash)e.click(location.hash);else if(b.initialIndex===0||b.initialIndex>0)e.click(b.initialIndex)}c.tools=c.tools||{version:"1.2.2"};c.tools.tabs={conf:{tabs:"a",
+current:"current",onBeforeClick:null,onClick:null,effect:"default",initialIndex:0,event:"click",rotate:false,history:false},addEffect:function(d,a){o[d]=a}};var o={"default":function(d,a){this.getPanes().hide().eq(d).show();a.call()},fade:function(d,a){var b=this.getConf(),e=b.fadeOutSpeed,l=this.getPanes();e?l.fadeOut(e):l.hide();l.eq(d).fadeIn(b.fadeInSpeed,a)},slide:function(d,a){this.getPanes().slideUp(200);this.getPanes().eq(d).slideDown(400,a)},ajax:function(d,a){this.getPanes().eq(0).load(this.getTabs().eq(d).attr("href"),
+a)}},m;c.tools.tabs.addEffect("horizontal",function(d,a){m||(m=this.getPanes().eq(0).width());this.getCurrentPane().animate({width:0},function(){c(this).hide()});this.getPanes().eq(d).animate({width:m},function(){c(this).show();a.call()})});c.fn.tabs=function(d,a){var b=this.data("tabs");if(b)return b;if(c.isFunction(a))a={onBeforeClick:a};a=c.extend({},c.tools.tabs.conf,a);this.each(function(){b=new p(c(this),d,a);c(this).data("tabs",b)});return a.api?b:this}})(jQuery);
+(function(d){function r(g,a){function p(f){var e=d(f);return e.length<2?e:g.parent().find(f)}var c=this,j=g.add(this),b=g.data("tabs"),h,l,m,n=false,o=p(a.next).click(function(){b.next()}),k=p(a.prev).click(function(){b.prev()});d.extend(c,{getTabs:function(){return b},getConf:function(){return a},play:function(){if(!h){var f=d.Event("onBeforePlay");j.trigger(f);if(f.isDefaultPrevented())return c;n=false;h=setInterval(b.next,a.interval);j.trigger("onPlay");b.next()}},pause:function(){if(!h)return c;
+var f=d.Event("onBeforePause");j.trigger(f);if(f.isDefaultPrevented())return c;h=clearInterval(h);m=clearInterval(m);j.trigger("onPause")},stop:function(){c.pause();n=true}});d.each("onBeforePlay,onPlay,onBeforePause,onPause".split(","),function(f,e){d.isFunction(a[e])&&c.bind(e,a[e]);c[e]=function(s){return c.bind(e,s)}});if(a.autopause){var t=b.getTabs().add(o).add(k).add(b.getPanes());t.hover(function(){c.pause();l=clearInterval(l)},function(){n||(l=setTimeout(c.play,a.interval))})}if(a.autoplay)m=
+setTimeout(c.play,a.interval);else c.stop();a.clickable&&b.getPanes().click(function(){b.next()});if(!b.getConf().rotate){var i=a.disabledClass;b.getIndex()||k.addClass(i);b.onBeforeClick(function(f,e){if(e){k.removeClass(i);e==b.getTabs().length-1?o.addClass(i):o.removeClass(i)}else k.addClass(i)})}}var q;q=d.tools.tabs.slideshow={conf:{next:".forward",prev:".backward",disabledClass:"disabled",autoplay:false,autopause:true,interval:3E3,clickable:true,api:false}};d.fn.slideshow=function(g){var a=
+this.data("slideshow");if(a)return a;g=d.extend({},q.conf,g);this.each(function(){a=new r(d(this),g);d(this).data("slideshow",a)});return g.api?a:this}})(jQuery);
+(function(f){function p(a,b,c){var h=c.relative?a.position().top:a.offset().top,e=c.relative?a.position().left:a.offset().left,i=c.position[0];h-=b.outerHeight()-c.offset[0];e+=a.outerWidth()+c.offset[1];var j=b.outerHeight()+a.outerHeight();if(i=="center")h+=j/2;if(i=="bottom")h+=j;i=c.position[1];a=b.outerWidth()+a.outerWidth();if(i=="center")e-=a/2;if(i=="left")e-=a;return{top:h,left:e}}function t(a,b){var c=this,h=a.add(c),e,i=0,j=0,m=a.attr("title"),q=n[b.effect],k,r=a.is(":input"),u=r&&a.is(":checkbox, :radio, select, :button"),
+s=a.attr("type"),l=b.events[s]||b.events[r?u?"widget":"input":"def"];if(!q)throw'Nonexistent effect "'+b.effect+'"';l=l.split(/,\s*/);if(l.length!=2)throw"Tooltip: bad events configuration for "+s;a.bind(l[0],function(d){if(b.predelay){clearTimeout(i);j=setTimeout(function(){c.show(d)},b.predelay)}else c.show(d)}).bind(l[1],function(d){if(b.delay){clearTimeout(j);i=setTimeout(function(){c.hide(d)},b.delay)}else c.hide(d)});if(m&&b.cancelDefault){a.removeAttr("title");a.data("title",m)}f.extend(c,
+{show:function(d){if(!e){if(m)e=f(b.layout).addClass(b.tipClass).appendTo(document.body).hide().append(m);else if(b.tip)e=f(b.tip).eq(0);else{e=a.next();e.length||(e=a.parent().next())}if(!e.length)throw"Cannot find tooltip for "+a;}if(c.isShown())return c;e.stop(true,true);var g=p(a,e,b);d=d||f.Event();d.type="onBeforeShow";h.trigger(d,[g]);if(d.isDefaultPrevented())return c;g=p(a,e,b);e.css({position:"absolute",top:g.top,left:g.left});k=true;q[0].call(c,function(){d.type="onShow";k="full";h.trigger(d)});
+g=b.events.tooltip.split(/,\s*/);e.bind(g[0],function(){clearTimeout(i);clearTimeout(j)});g[1]&&!a.is("input:not(:checkbox, :radio), textarea")&&e.bind(g[1],function(o){o.relatedTarget!=a[0]&&a.trigger(l[1].split(" ")[0])});return c},hide:function(d){if(!e||!c.isShown())return c;d=d||f.Event();d.type="onBeforeHide";h.trigger(d);if(!d.isDefaultPrevented()){k=false;n[b.effect][1].call(c,function(){d.type="onHide";k=false;h.trigger(d)});return c}},isShown:function(d){return d?k=="full":k},getConf:function(){return b},
+getTip:function(){return e},getTrigger:function(){return a}});f.each("onHide,onBeforeShow,onShow,onBeforeHide".split(","),function(d,g){f.isFunction(b[g])&&f(c).bind(g,b[g]);c[g]=function(o){f(c).bind(g,o);return c}})}f.tools=f.tools||{version:"1.2.2"};f.tools.tooltip={conf:{effect:"toggle",fadeOutSpeed:"fast",predelay:0,delay:30,opacity:1,tip:0,position:["top","center"],offset:[0,0],relative:false,cancelDefault:true,events:{def:"mouseenter,mouseleave",input:"focus,blur",widget:"focus mouseenter,blur mouseleave",
+tooltip:"mouseenter,mouseleave"},layout:"<div/>",tipClass:"tooltip"},addEffect:function(a,b,c){n[a]=[b,c]}};var n={toggle:[function(a){var b=this.getConf(),c=this.getTip();b=b.opacity;b<1&&c.css({opacity:b});c.show();a.call()},function(a){this.getTip().hide();a.call()}],fade:[function(a){var b=this.getConf();this.getTip().fadeTo(b.fadeInSpeed,b.opacity,a)},function(a){this.getTip().fadeOut(this.getConf().fadeOutSpeed,a)}]};f.fn.tooltip=function(a){var b=this.data("tooltip");if(b)return b;a=f.extend(true,
+{},f.tools.tooltip.conf,a);if(typeof a.position=="string")a.position=a.position.split(/,?\s/);this.each(function(){b=new t(f(this),a);f(this).data("tooltip",b)});return a.api?b:this}})(jQuery);
+(function(d){var i=d.tools.tooltip;d.extend(i.conf,{direction:"up",bounce:false,slideOffset:10,slideInSpeed:200,slideOutSpeed:200,slideFade:!d.browser.msie});var e={up:["-","top"],down:["+","top"],left:["-","left"],right:["+","left"]};i.addEffect("slide",function(g){var a=this.getConf(),f=this.getTip(),b=a.slideFade?{opacity:a.opacity}:{},c=e[a.direction]||e.up;b[c[1]]=c[0]+"="+a.slideOffset;a.slideFade&&f.css({opacity:0});f.show().animate(b,a.slideInSpeed,g)},function(g){var a=this.getConf(),f=a.slideOffset,
+b=a.slideFade?{opacity:0}:{},c=e[a.direction]||e.up,h=""+c[0];if(a.bounce)h=h=="+"?"-":"+";b[c[1]]=h+"="+f;this.getTip().animate(b,a.slideOutSpeed,function(){d(this).hide();g.call()})})})(jQuery);
+(function(g){function j(a){var c=g(window),d=c.width()+c.scrollLeft(),h=c.height()+c.scrollTop();return[a.offset().top<=c.scrollTop(),d<=a.offset().left+a.width(),h<=a.offset().top+a.height(),c.scrollLeft()>=a.offset().left]}function k(a){for(var c=a.length;c--;)if(a[c])return false;return true}var i=g.tools.tooltip;i.dynamic={conf:{classNames:"top right bottom left"}};g.fn.dynamic=function(a){if(typeof a=="number")a={speed:a};a=g.extend({},i.dynamic.conf,a);var c=a.classNames.split(/\s/),d;this.each(function(){var h=
+g(this).tooltip().onBeforeShow(function(e,f){e=this.getTip();var b=this.getConf();d||(d=[b.position[0],b.position[1],b.offset[0],b.offset[1],g.extend({},b)]);g.extend(b,d[4]);b.position=[d[0],d[1]];b.offset=[d[2],d[3]];e.css({visibility:"hidden",position:"absolute",top:f.top,left:f.left}).show();f=j(e);if(!k(f)){if(f[2]){g.extend(b,a.top);b.position[0]="top";e.addClass(c[0])}if(f[3]){g.extend(b,a.right);b.position[1]="right";e.addClass(c[1])}if(f[0]){g.extend(b,a.bottom);b.position[0]="bottom";e.addClass(c[2])}if(f[1]){g.extend(b,
+a.left);b.position[1]="left";e.addClass(c[3])}if(f[0]||f[2])b.offset[0]*=-1;if(f[1]||f[3])b.offset[1]*=-1}e.css({visibility:"visible"}).hide()});h.onBeforeShow(function(){var e=this.getConf();this.getTip();setTimeout(function(){e.position=[d[0],d[1]];e.offset=[d[2],d[3]]},0)});h.onHide(function(){var e=this.getTip();e.removeClass(a.classNames)});ret=h});return a.api?ret:this}})(jQuery);
+(function(e){function n(f,c){var a=e(c);return a.length<2?a:f.parent().find(c)}function t(f,c){var a=this,l=f.add(a),g=f.children(),k=0,m=c.vertical;j||(j=a);if(g.length>1)g=e(c.items,f);e.extend(a,{getConf:function(){return c},getIndex:function(){return k},getSize:function(){return a.getItems().size()},getNaviButtons:function(){return o.add(p)},getRoot:function(){return f},getItemWrap:function(){return g},getItems:function(){return g.children(c.item).not("."+c.clonedClass)},move:function(b,d){return a.seekTo(k+
+b,d)},next:function(b){return a.move(1,b)},prev:function(b){return a.move(-1,b)},begin:function(b){return a.seekTo(0,b)},end:function(b){return a.seekTo(a.getSize()-1,b)},focus:function(){return j=a},addItem:function(b){b=e(b);if(c.circular){e(".cloned:last").before(b);e(".cloned:first").replaceWith(b.clone().addClass(c.clonedClass))}else g.append(b);l.trigger("onAddItem",[b]);return a},seekTo:function(b,d,h){if(c.circular&&b===0&&k==-1&&d!==0)return a;if(!c.circular&&b<0||b>a.getSize()||b<-1)return a;
+var i=b;if(b.jquery)b=a.getItems().index(b);else i=a.getItems().eq(b);var q=e.Event("onBeforeSeek");if(!h){l.trigger(q,[b,d]);if(q.isDefaultPrevented()||!i.length)return a}i=m?{top:-i.position().top}:{left:-i.position().left};k=b;j=a;if(d===undefined)d=c.speed;g.animate(i,d,c.easing,h||function(){l.trigger("onSeek",[b])});return a}});e.each(["onBeforeSeek","onSeek","onAddItem"],function(b,d){e.isFunction(c[d])&&e(a).bind(d,c[d]);a[d]=function(h){e(a).bind(d,h);return a}});if(c.circular){var r=a.getItems().slice(-1).clone().prependTo(g),
+s=a.getItems().eq(1).clone().appendTo(g);r.add(s).addClass(c.clonedClass);a.onBeforeSeek(function(b,d,h){if(!b.isDefaultPrevented())if(d==-1){a.seekTo(r,h,function(){a.end(0)});return b.preventDefault()}else d==a.getSize()&&a.seekTo(s,h,function(){a.begin(0)})});a.seekTo(0,0)}var o=n(f,c.prev).click(function(){a.prev()}),p=n(f,c.next).click(function(){a.next()});!c.circular&&a.getSize()>1&&a.onBeforeSeek(function(b,d){o.toggleClass(c.disabledClass,d<=0);p.toggleClass(c.disabledClass,d>=a.getSize()-
+1)});c.mousewheel&&e.fn.mousewheel&&f.mousewheel(function(b,d){if(c.mousewheel){a.move(d<0?1:-1,c.wheelSpeed||50);return false}});c.keyboard&&e(document).bind("keydown.scrollable",function(b){if(!(!c.keyboard||b.altKey||b.ctrlKey||e(b.target).is(":input")))if(!(c.keyboard!="static"&&j!=a)){var d=b.keyCode;if(m&&(d==38||d==40)){a.move(d==38?-1:1);return b.preventDefault()}if(!m&&(d==37||d==39)){a.move(d==37?-1:1);return b.preventDefault()}}});e(a).trigger("onBeforeSeek",[c.initialIndex])}e.tools=e.tools||
+{version:"1.2.2"};e.tools.scrollable={conf:{activeClass:"active",circular:false,clonedClass:"cloned",disabledClass:"disabled",easing:"swing",initialIndex:0,item:null,items:".items",keyboard:true,mousewheel:false,next:".next",prev:".prev",speed:400,vertical:false,wheelSpeed:0}};var j;e.fn.scrollable=function(f){var c=this.data("scrollable");if(c)return c;f=e.extend({},e.tools.scrollable.conf,f);this.each(function(){c=new t(e(this),f);e(this).data("scrollable",c)});return f.api?c:this}})(jQuery);
+(function(c){var g=c.tools.scrollable;g.autoscroll={conf:{autoplay:true,interval:3E3,autopause:true}};c.fn.autoscroll=function(d){if(typeof d=="number")d={interval:d};var b=c.extend({},g.autoscroll.conf,d),h;this.each(function(){var a=c(this).data("scrollable");if(a)h=a;var e,i,f=true;a.play=function(){if(!e){f=false;e=setInterval(function(){a.next()},b.interval);a.next()}};a.pause=function(){e=clearInterval(e)};a.stop=function(){a.pause();f=true};b.autopause&&a.getRoot().add(a.getNaviButtons()).hover(function(){a.pause();
+clearInterval(i)},function(){f||(i=setTimeout(a.play,b.interval))});b.autoplay&&setTimeout(a.play,b.interval)});return b.api?h:this}})(jQuery);
+(function(d){function p(c,g){var h=d(g);return h.length<2?h:c.parent().find(g)}var m=d.tools.scrollable;m.navigator={conf:{navi:".navi",naviItem:null,activeClass:"active",indexed:false,idPrefix:null,history:false}};d.fn.navigator=function(c){if(typeof c=="string")c={navi:c};c=d.extend({},m.navigator.conf,c);var g;this.each(function(){function h(a,b,i){e.seekTo(b);if(j){if(location.hash)location.hash=a.attr("href").replace("#","")}else return i.preventDefault()}function f(){return k.find(c.naviItem||
+"> *")}function n(a){var b=d("<"+(c.naviItem||"a")+"/>").click(function(i){h(d(this),a,i)}).attr("href","#"+a);a===0&&b.addClass(l);c.indexed&&b.text(a+1);c.idPrefix&&b.attr("id",c.idPrefix+a);return b.appendTo(k)}function o(a,b){a=f().eq(b.replace("#",""));a.length||(a=f().filter("[href="+b+"]"));a.click()}var e=d(this).data("scrollable"),k=p(e.getRoot(),c.navi),q=e.getNaviButtons(),l=c.activeClass,j=c.history&&d.fn.history;if(e)g=e;e.getNaviButtons=function(){return q.add(k)};f().length?f().each(function(a){d(this).click(function(b){h(d(this),
+a,b)})}):d.each(e.getItems(),function(a){n(a)});e.onBeforeSeek(function(a,b){var i=f().eq(b);!a.isDefaultPrevented()&&i.length&&f().removeClass(l).eq(b).addClass(l)});e.onAddItem(function(a,b){b=n(e.getItems().index(b));j&&b.history(o)});j&&f().history(o)});return c.api?g:this}})(jQuery);
+(function(a){function t(d,b){var c=this,i=d.add(c),o=a(window),k,f,m,g=a.tools.expose&&(b.mask||b.expose),n=Math.random().toString().slice(10);if(g){if(typeof g=="string")g={color:g};g.closeOnClick=g.closeOnEsc=false}var p=b.target||d.attr("rel");f=p?a(p):d;if(!f.length)throw"Could not find Overlay: "+p;d&&d.index(f)==-1&&d.click(function(e){c.load(e);return e.preventDefault()});a.extend(c,{load:function(e){if(c.isOpened())return c;var h=q[b.effect];if(!h)throw'Overlay: cannot find effect : "'+b.effect+
+'"';b.oneInstance&&a.each(s,function(){this.close(e)});e=e||a.Event();e.type="onBeforeLoad";i.trigger(e);if(e.isDefaultPrevented())return c;m=true;g&&a(f).expose(g);var j=b.top,r=b.left,u=f.outerWidth({margin:true}),v=f.outerHeight({margin:true});if(typeof j=="string")j=j=="center"?Math.max((o.height()-v)/2,0):parseInt(j,10)/100*o.height();if(r=="center")r=Math.max((o.width()-u)/2,0);h[0].call(c,{top:j,left:r},function(){if(m){e.type="onLoad";i.trigger(e)}});g&&b.closeOnClick&&a.mask.getMask().one("click",
+c.close);b.closeOnClick&&a(document).bind("click."+n,function(l){a(l.target).parents(f).length||c.close(l)});b.closeOnEsc&&a(document).bind("keydown."+n,function(l){l.keyCode==27&&c.close(l)});return c},close:function(e){if(!c.isOpened())return c;e=e||a.Event();e.type="onBeforeClose";i.trigger(e);if(!e.isDefaultPrevented()){m=false;q[b.effect][1].call(c,function(){e.type="onClose";i.trigger(e)});a(document).unbind("click."+n).unbind("keydown."+n);g&&a.mask.close();return c}},getOverlay:function(){return f},
+getTrigger:function(){return d},getClosers:function(){return k},isOpened:function(){return m},getConf:function(){return b}});a.each("onBeforeLoad,onStart,onLoad,onBeforeClose,onClose".split(","),function(e,h){a.isFunction(b[h])&&a(c).bind(h,b[h]);c[h]=function(j){a(c).bind(h,j);return c}});k=f.find(b.close||".close");if(!k.length&&!b.close){k=a('<div class="close"></div>');f.prepend(k)}k.click(function(e){c.close(e)});b.load&&c.load()}a.tools=a.tools||{version:"1.2.2"};a.tools.overlay={addEffect:function(d,
+b,c){q[d]=[b,c]},conf:{close:null,closeOnClick:true,closeOnEsc:true,closeSpeed:"fast",effect:"default",fixed:!a.browser.msie||a.browser.version>6,left:"center",load:false,mask:null,oneInstance:true,speed:"normal",target:null,top:"10%"}};var s=[],q={};a.tools.overlay.addEffect("default",function(d,b){var c=this.getConf(),i=a(window);if(!c.fixed){d.top+=i.scrollTop();d.left+=i.scrollLeft()}d.position=c.fixed?"fixed":"absolute";this.getOverlay().css(d).fadeIn(c.speed,b)},function(d){this.getOverlay().fadeOut(this.getConf().closeSpeed,
+d)});a.fn.overlay=function(d){var b=this.data("overlay");if(b)return b;if(a.isFunction(d))d={onBeforeLoad:d};d=a.extend(true,{},a.tools.overlay.conf,d);this.each(function(){b=new t(a(this),d);s.push(b);a(this).data("overlay",b)});return d.api?b:this}})(jQuery);
diff --git a/mod/beechat/views/default/js/json2.js.php b/mod/beechat/views/default/js/json2.js.php
new file mode 100644
index 000000000..7ae503202
--- /dev/null
+++ b/mod/beechat/views/default/js/json2.js.php
@@ -0,0 +1,476 @@
+/*
+ http://www.JSON.org/json2.js
+ 2009-06-29
+
+ Public Domain.
+
+ NO WARRANTY EXPRESSED OR IMPLIED. USE AT YOUR OWN RISK.
+
+ See http://www.JSON.org/js.html
+
+ This file creates a global JSON object containing two methods: stringify
+ and parse.
+
+ JSON.stringify(value, replacer, space)
+ value any JavaScript value, usually an object or array.
+
+ replacer an optional parameter that determines how object
+ values are stringified for objects. It can be a
+ function or an array of strings.
+
+ space an optional parameter that specifies the indentation
+ of nested structures. If it is omitted, the text will
+ be packed without extra whitespace. If it is a number,
+ it will specify the number of spaces to indent at each
+ level. If it is a string (such as '\t' or '&nbsp;'),
+ it contains the characters used to indent at each level.
+
+ This method produces a JSON text from a JavaScript value.
+
+ When an object value is found, if the object contains a toJSON
+ method, its toJSON method will be called and the result will be
+ stringified. A toJSON method does not serialize: it returns the
+ value represented by the name/value pair that should be serialized,
+ or undefined if nothing should be serialized. The toJSON method
+ will be passed the key associated with the value, and this will be
+ bound to the object holding the key.
+
+ For example, this would serialize Dates as ISO strings.
+
+ Date.prototype.toJSON = function (key) {
+ function f(n) {
+ // Format integers to have at least two digits.
+ return n < 10 ? '0' + n : n;
+ }
+
+ return this.getUTCFullYear() + '-' +
+ f(this.getUTCMonth() + 1) + '-' +
+ f(this.getUTCDate()) + 'T' +
+ f(this.getUTCHours()) + ':' +
+ f(this.getUTCMinutes()) + ':' +
+ f(this.getUTCSeconds()) + 'Z';
+ };
+
+ You can provide an optional replacer method. It will be passed the
+ key and value of each member, with this bound to the containing
+ object. The value that is returned from your method will be
+ serialized. If your method returns undefined, then the member will
+ be excluded from the serialization.
+
+ If the replacer parameter is an array of strings, then it will be
+ used to select the members to be serialized. It filters the results
+ such that only members with keys listed in the replacer array are
+ stringified.
+
+ Values that do not have JSON representations, such as undefined or
+ functions, will not be serialized. Such values in objects will be
+ dropped; in arrays they will be replaced with null. You can use
+ a replacer function to replace those with JSON values.
+ JSON.stringify(undefined) returns undefined.
+
+ The optional space parameter produces a stringification of the
+ value that is filled with line breaks and indentation to make it
+ easier to read.
+
+ If the space parameter is a non-empty string, then that string will
+ be used for indentation. If the space parameter is a number, then
+ the indentation will be that many spaces.
+
+ Example:
+
+ text = JSON.stringify(['e', {pluribus: 'unum'}]);
+ // text is '["e",{"pluribus":"unum"}]'
+
+
+ text = JSON.stringify(['e', {pluribus: 'unum'}], null, '\t');
+ // text is '[\n\t"e",\n\t{\n\t\t"pluribus": "unum"\n\t}\n]'
+
+ text = JSON.stringify([new Date()], function (key, value) {
+ return this[key] instanceof Date ?
+ 'Date(' + this[key] + ')' : value;
+ });
+ // text is '["Date(---current time---)"]'
+
+
+ JSON.parse(text, reviver)
+ This method parses a JSON text to produce an object or array.
+ It can throw a SyntaxError exception.
+
+ The optional reviver parameter is a function that can filter and
+ transform the results. It receives each of the keys and values,
+ and its return value is used instead of the original value.
+ If it returns what it received, then the structure is not modified.
+ If it returns undefined then the member is deleted.
+
+ Example:
+
+ // Parse the text. Values that look like ISO date strings will
+ // be converted to Date objects.
+
+ myData = JSON.parse(text, function (key, value) {
+ var a;
+ if (typeof value === 'string') {
+ a =
+/^(\d{4})-(\d{2})-(\d{2})T(\d{2}):(\d{2}):(\d{2}(?:\.\d*)?)Z$/.exec(value);
+ if (a) {
+ return new Date(Date.UTC(+a[1], +a[2] - 1, +a[3], +a[4],
+ +a[5], +a[6]));
+ }
+ }
+ return value;
+ });
+
+ myData = JSON.parse('["Date(09/09/2001)"]', function (key, value) {
+ var d;
+ if (typeof value === 'string' &&
+ value.slice(0, 5) === 'Date(' &&
+ value.slice(-1) === ')') {
+ d = new Date(value.slice(5, -1));
+ if (d) {
+ return d;
+ }
+ }
+ return value;
+ });
+
+
+ This is a reference implementation. You are free to copy, modify, or
+ redistribute.
+
+ This code should be minified before deployment.
+ See http://javascript.crockford.com/jsmin.html
+
+ USE YOUR OWN COPY. IT IS EXTREMELY UNWISE TO LOAD CODE FROM SERVERS YOU DO
+ NOT CONTROL.
+*/
+
+/*jslint evil: true */
+
+/*members "", "\b", "\t", "\n", "\f", "\r", "\"", JSON, "\\", apply,
+ call, charCodeAt, getUTCDate, getUTCFullYear, getUTCHours,
+ getUTCMinutes, getUTCMonth, getUTCSeconds, hasOwnProperty, join,
+ lastIndex, length, parse, prototype, push, replace, slice, stringify,
+ test, toJSON, toString, valueOf
+*/
+
+// Create a JSON object only if one does not already exist. We create the
+// methods in a closure to avoid creating global variables.
+
+var JSON = JSON || {};
+
+(function () {
+
+ function f(n) {
+ // Format integers to have at least two digits.
+ return n < 10 ? '0' + n : n;
+ }
+
+ if (typeof Date.prototype.toJSON !== 'function') {
+
+ Date.prototype.toJSON = function (key) {
+
+ return isFinite(this.valueOf()) ?
+ this.getUTCFullYear() + '-' +
+ f(this.getUTCMonth() + 1) + '-' +
+ f(this.getUTCDate()) + 'T' +
+ f(this.getUTCHours()) + ':' +
+ f(this.getUTCMinutes()) + ':' +
+ f(this.getUTCSeconds()) + 'Z' : null;
+ };
+
+ String.prototype.toJSON =
+ Number.prototype.toJSON =
+ Boolean.prototype.toJSON = function (key) {
+ return this.valueOf();
+ };
+ }
+
+ var cx = /[\u0000\u00ad\u0600-\u0604\u070f\u17b4\u17b5\u200c-\u200f\u2028-\u202f\u2060-\u206f\ufeff\ufff0-\uffff]/g,
+ escapable = /[\\\"\x00-\x1f\x7f-\x9f\u00ad\u0600-\u0604\u070f\u17b4\u17b5\u200c-\u200f\u2028-\u202f\u2060-\u206f\ufeff\ufff0-\uffff]/g,
+ gap,
+ indent,
+ meta = { // table of character substitutions
+ '\b': '\\b',
+ '\t': '\\t',
+ '\n': '\\n',
+ '\f': '\\f',
+ '\r': '\\r',
+ '"' : '\\"',
+ '\\': '\\\\'
+ },
+ rep;
+
+
+ function quote(string) {
+
+// If the string contains no control characters, no quote characters, and no
+// backslash characters, then we can safely slap some quotes around it.
+// Otherwise we must also replace the offending characters with safe escape
+// sequences.
+
+ escapable.lastIndex = 0;
+ return escapable.test(string) ?
+ '"' + string.replace(escapable, function (a) {
+ var c = meta[a];
+ return typeof c === 'string' ? c :
+ '\\u' + ('0000' + a.charCodeAt(0).toString(16)).slice(-4);
+ }) + '"' :
+ '"' + string + '"';
+ }
+
+
+ function str(key, holder) {
+
+// Produce a string from holder[key].
+
+ var i, // The loop counter.
+ k, // The member key.
+ v, // The member value.
+ length,
+ mind = gap,
+ partial,
+ value = holder[key];
+
+// If the value has a toJSON method, call it to obtain a replacement value.
+
+ if (value && typeof value === 'object' &&
+ typeof value.toJSON === 'function') {
+ value = value.toJSON(key);
+ }
+
+// If we were called with a replacer function, then call the replacer to
+// obtain a replacement value.
+
+ if (typeof rep === 'function') {
+ value = rep.call(holder, key, value);
+ }
+
+// What happens next depends on the value's type.
+
+ switch (typeof value) {
+ case 'string':
+ return quote(value);
+
+ case 'number':
+
+// JSON numbers must be finite. Encode non-finite numbers as null.
+
+ return isFinite(value) ? String(value) : 'null';
+
+ case 'boolean':
+ case 'null':
+
+// If the value is a boolean or null, convert it to a string. Note:
+// typeof null does not produce 'null'. The case is included here in
+// the remote chance that this gets fixed someday.
+
+ return String(value);
+
+// If the type is 'object', we might be dealing with an object or an array or
+// null.
+
+ case 'object':
+
+// Due to a specification blunder in ECMAScript, typeof null is 'object',
+// so watch out for that case.
+
+ if (!value) {
+ return 'null';
+ }
+
+// Make an array to hold the partial results of stringifying this object value.
+
+ gap += indent;
+ partial = [];
+
+// Is the value an array?
+
+ if (Object.prototype.toString.apply(value) === '[object Array]') {
+
+// The value is an array. Stringify every element. Use null as a placeholder
+// for non-JSON values.
+
+ length = value.length;
+ for (i = 0; i < length; i += 1) {
+ partial[i] = str(i, value) || 'null';
+ }
+
+// Join all of the elements together, separated with commas, and wrap them in
+// brackets.
+
+ v = partial.length === 0 ? '[]' :
+ gap ? '[\n' + gap +
+ partial.join(',\n' + gap) + '\n' +
+ mind + ']' :
+ '[' + partial.join(',') + ']';
+ gap = mind;
+ return v;
+ }
+
+// If the replacer is an array, use it to select the members to be stringified.
+
+ if (rep && typeof rep === 'object') {
+ length = rep.length;
+ for (i = 0; i < length; i += 1) {
+ k = rep[i];
+ if (typeof k === 'string') {
+ v = str(k, value);
+ if (v) {
+ partial.push(quote(k) + (gap ? ': ' : ':') + v);
+ }
+ }
+ }
+ } else {
+
+// Otherwise, iterate through all of the keys in the object.
+
+ for (k in value) {
+ if (Object.hasOwnProperty.call(value, k)) {
+ v = str(k, value);
+ if (v) {
+ partial.push(quote(k) + (gap ? ': ' : ':') + v);
+ }
+ }
+ }
+ }
+
+// Join all of the member texts together, separated with commas,
+// and wrap them in braces.
+
+ v = partial.length === 0 ? '{}' :
+ gap ? '{\n' + gap + partial.join(',\n' + gap) + '\n' +
+ mind + '}' : '{' + partial.join(',') + '}';
+ gap = mind;
+ return v;
+ }
+ }
+
+// If the JSON object does not yet have a stringify method, give it one.
+
+ if (typeof JSON.stringify !== 'function') {
+ JSON.stringify = function (value, replacer, space) {
+
+// The stringify method takes a value and an optional replacer, and an optional
+// space parameter, and returns a JSON text. The replacer can be a function
+// that can replace values, or an array of strings that will select the keys.
+// A default replacer method can be provided. Use of the space parameter can
+// produce text that is more easily readable.
+
+ var i;
+ gap = '';
+ indent = '';
+
+// If the space parameter is a number, make an indent string containing that
+// many spaces.
+
+ if (typeof space === 'number') {
+ for (i = 0; i < space; i += 1) {
+ indent += ' ';
+ }
+
+// If the space parameter is a string, it will be used as the indent string.
+
+ } else if (typeof space === 'string') {
+ indent = space;
+ }
+
+// If there is a replacer, it must be a function or an array.
+// Otherwise, throw an error.
+
+ rep = replacer;
+ if (replacer && typeof replacer !== 'function' &&
+ (typeof replacer !== 'object' ||
+ typeof replacer.length !== 'number')) {
+ throw new Error('JSON.stringify');
+ }
+
+// Make a fake root object containing our value under the key of ''.
+// Return the result of stringifying the value.
+
+ return str('', {'': value});
+ };
+ }
+
+
+// If the JSON object does not yet have a parse method, give it one.
+
+ if (typeof JSON.parse !== 'function') {
+ JSON.parse = function (text, reviver) {
+
+// The parse method takes a text and an optional reviver function, and returns
+// a JavaScript value if the text is a valid JSON text.
+
+ var j;
+
+ function walk(holder, key) {
+
+// The walk method is used to recursively walk the resulting structure so
+// that modifications can be made.
+
+ var k, v, value = holder[key];
+ if (value && typeof value === 'object') {
+ for (k in value) {
+ if (Object.hasOwnProperty.call(value, k)) {
+ v = walk(value, k);
+ if (v !== undefined) {
+ value[k] = v;
+ } else {
+ delete value[k];
+ }
+ }
+ }
+ }
+ return reviver.call(holder, key, value);
+ }
+
+
+// Parsing happens in four stages. In the first stage, we replace certain
+// Unicode characters with escape sequences. JavaScript handles many characters
+// incorrectly, either silently deleting them, or treating them as line endings.
+
+ cx.lastIndex = 0;
+ if (cx.test(text)) {
+ text = text.replace(cx, function (a) {
+ return '\\u' +
+ ('0000' + a.charCodeAt(0).toString(16)).slice(-4);
+ });
+ }
+
+// In the second stage, we run the text against regular expressions that look
+// for non-JSON patterns. We are especially concerned with '()' and 'new'
+// because they can cause invocation, and '=' because it can cause mutation.
+// But just to be safe, we want to reject all unexpected forms.
+
+// We split the second stage into 4 regexp operations in order to work around
+// crippling inefficiencies in IE's and Safari's regexp engines. First we
+// replace the JSON backslash pairs with '@' (a non-JSON character). Second, we
+// replace all simple value tokens with ']' characters. Third, we delete all
+// open brackets that follow a colon or comma or that begin the text. Finally,
+// we look to see that the remaining characters are only whitespace or ']' or
+// ',' or ':' or '{' or '}'. If that is so, then the text is safe for eval.
+
+ if (/^[\],:{}\s]*$/.
+test(text.replace(/\\(?:["\\\/bfnrt]|u[0-9a-fA-F]{4})/g, '@').
+replace(/"[^"\\\n\r]*"|true|false|null|-?\d+(?:\.\d*)?(?:[eE][+\-]?\d+)?/g, ']').
+replace(/(?:^|:|,)(?:\s*\[)+/g, ''))) {
+
+// In the third stage we use the eval function to compile the text into a
+// JavaScript structure. The '{' operator is subject to a syntactic ambiguity
+// in JavaScript: it can begin a block or an object literal. We wrap the text
+// in parens to eliminate the ambiguity.
+
+ j = eval('(' + text + ')');
+
+// In the optional fourth stage, we recursively walk the new structure, passing
+// each name/value pair to a reviver function for possible transformation.
+
+ return typeof reviver === 'function' ?
+ walk({'': j}, '') : j;
+ }
+
+// If the text is not JSON parseable, then a SyntaxError is thrown.
+
+ throw new SyntaxError('JSON.parse');
+ };
+ }
+}());
diff --git a/mod/beechat/views/default/js/md5.js.php b/mod/beechat/views/default/js/md5.js.php
new file mode 100644
index 000000000..4284f62bb
--- /dev/null
+++ b/mod/beechat/views/default/js/md5.js.php
@@ -0,0 +1,261 @@
+/*
+ * A JavaScript implementation of the RSA Data Security, Inc. MD5 Message
+ * Digest Algorithm, as defined in RFC 1321.
+ * Version 2.1 Copyright (C) Paul Johnston 1999 - 2002.
+ * Other contributors: Greg Holt, Andrew Kepert, Ydnar, Lostinet
+ * Distributed under the BSD License
+ * See http://pajhome.org.uk/crypt/md5 for more info.
+ */
+
+/*
+ * Configurable variables. You may need to tweak these to be compatible with
+ * the server-side, but the defaults work in most cases.
+ */
+var hexcase = 0; /* hex output format. 0 - lowercase; 1 - uppercase */
+var b64pad = ""; /* base-64 pad character. "=" for strict RFC compliance */
+var chrsz = 8; /* bits per input character. 8 - ASCII; 16 - Unicode */
+
+/*
+ * These are the functions you'll usually want to call
+ * They take string arguments and return either hex or base-64 encoded strings
+ */
+function hex_md5(s){ return binl2hex(core_md5(str2binl(s), s.length * chrsz));}
+function b64_md5(s){ return binl2b64(core_md5(str2binl(s), s.length * chrsz));}
+function str_md5(s){ return binl2str(core_md5(str2binl(s), s.length * chrsz));}
+function hex_hmac_md5(key, data) { return binl2hex(core_hmac_md5(key, data)); }
+function b64_hmac_md5(key, data) { return binl2b64(core_hmac_md5(key, data)); }
+function str_hmac_md5(key, data) { return binl2str(core_hmac_md5(key, data)); }
+
+/*
+ * Perform a simple self-test to see if the VM is working
+ */
+function md5_vm_test()
+{
+ return hex_md5("abc") == "900150983cd24fb0d6963f7d28e17f72";
+}
+
+/*
+ * Calculate the MD5 of an array of little-endian words, and a bit length
+ */
+function core_md5(x, len)
+{
+ /* append padding */
+ x[len >> 5] |= 0x80 << ((len) % 32);
+ x[(((len + 64) >>> 9) << 4) + 14] = len;
+
+ var a = 1732584193;
+ var b = -271733879;
+ var c = -1732584194;
+ var d = 271733878;
+
+ var olda, oldb, oldc, oldd;
+ for(var i = 0; i < x.length; i += 16)
+ {
+ olda = a;
+ oldb = b;
+ oldc = c;
+ oldd = d;
+
+ a = md5_ff(a, b, c, d, x[i+ 0], 7 , -680876936);
+ d = md5_ff(d, a, b, c, x[i+ 1], 12, -389564586);
+ c = md5_ff(c, d, a, b, x[i+ 2], 17, 606105819);
+ b = md5_ff(b, c, d, a, x[i+ 3], 22, -1044525330);
+ a = md5_ff(a, b, c, d, x[i+ 4], 7 , -176418897);
+ d = md5_ff(d, a, b, c, x[i+ 5], 12, 1200080426);
+ c = md5_ff(c, d, a, b, x[i+ 6], 17, -1473231341);
+ b = md5_ff(b, c, d, a, x[i+ 7], 22, -45705983);
+ a = md5_ff(a, b, c, d, x[i+ 8], 7 , 1770035416);
+ d = md5_ff(d, a, b, c, x[i+ 9], 12, -1958414417);
+ c = md5_ff(c, d, a, b, x[i+10], 17, -42063);
+ b = md5_ff(b, c, d, a, x[i+11], 22, -1990404162);
+ a = md5_ff(a, b, c, d, x[i+12], 7 , 1804603682);
+ d = md5_ff(d, a, b, c, x[i+13], 12, -40341101);
+ c = md5_ff(c, d, a, b, x[i+14], 17, -1502002290);
+ b = md5_ff(b, c, d, a, x[i+15], 22, 1236535329);
+
+ a = md5_gg(a, b, c, d, x[i+ 1], 5 , -165796510);
+ d = md5_gg(d, a, b, c, x[i+ 6], 9 , -1069501632);
+ c = md5_gg(c, d, a, b, x[i+11], 14, 643717713);
+ b = md5_gg(b, c, d, a, x[i+ 0], 20, -373897302);
+ a = md5_gg(a, b, c, d, x[i+ 5], 5 , -701558691);
+ d = md5_gg(d, a, b, c, x[i+10], 9 , 38016083);
+ c = md5_gg(c, d, a, b, x[i+15], 14, -660478335);
+ b = md5_gg(b, c, d, a, x[i+ 4], 20, -405537848);
+ a = md5_gg(a, b, c, d, x[i+ 9], 5 , 568446438);
+ d = md5_gg(d, a, b, c, x[i+14], 9 , -1019803690);
+ c = md5_gg(c, d, a, b, x[i+ 3], 14, -187363961);
+ b = md5_gg(b, c, d, a, x[i+ 8], 20, 1163531501);
+ a = md5_gg(a, b, c, d, x[i+13], 5 , -1444681467);
+ d = md5_gg(d, a, b, c, x[i+ 2], 9 , -51403784);
+ c = md5_gg(c, d, a, b, x[i+ 7], 14, 1735328473);
+ b = md5_gg(b, c, d, a, x[i+12], 20, -1926607734);
+
+ a = md5_hh(a, b, c, d, x[i+ 5], 4 , -378558);
+ d = md5_hh(d, a, b, c, x[i+ 8], 11, -2022574463);
+ c = md5_hh(c, d, a, b, x[i+11], 16, 1839030562);
+ b = md5_hh(b, c, d, a, x[i+14], 23, -35309556);
+ a = md5_hh(a, b, c, d, x[i+ 1], 4 , -1530992060);
+ d = md5_hh(d, a, b, c, x[i+ 4], 11, 1272893353);
+ c = md5_hh(c, d, a, b, x[i+ 7], 16, -155497632);
+ b = md5_hh(b, c, d, a, x[i+10], 23, -1094730640);
+ a = md5_hh(a, b, c, d, x[i+13], 4 , 681279174);
+ d = md5_hh(d, a, b, c, x[i+ 0], 11, -358537222);
+ c = md5_hh(c, d, a, b, x[i+ 3], 16, -722521979);
+ b = md5_hh(b, c, d, a, x[i+ 6], 23, 76029189);
+ a = md5_hh(a, b, c, d, x[i+ 9], 4 , -640364487);
+ d = md5_hh(d, a, b, c, x[i+12], 11, -421815835);
+ c = md5_hh(c, d, a, b, x[i+15], 16, 530742520);
+ b = md5_hh(b, c, d, a, x[i+ 2], 23, -995338651);
+
+ a = md5_ii(a, b, c, d, x[i+ 0], 6 , -198630844);
+ d = md5_ii(d, a, b, c, x[i+ 7], 10, 1126891415);
+ c = md5_ii(c, d, a, b, x[i+14], 15, -1416354905);
+ b = md5_ii(b, c, d, a, x[i+ 5], 21, -57434055);
+ a = md5_ii(a, b, c, d, x[i+12], 6 , 1700485571);
+ d = md5_ii(d, a, b, c, x[i+ 3], 10, -1894986606);
+ c = md5_ii(c, d, a, b, x[i+10], 15, -1051523);
+ b = md5_ii(b, c, d, a, x[i+ 1], 21, -2054922799);
+ a = md5_ii(a, b, c, d, x[i+ 8], 6 , 1873313359);
+ d = md5_ii(d, a, b, c, x[i+15], 10, -30611744);
+ c = md5_ii(c, d, a, b, x[i+ 6], 15, -1560198380);
+ b = md5_ii(b, c, d, a, x[i+13], 21, 1309151649);
+ a = md5_ii(a, b, c, d, x[i+ 4], 6 , -145523070);
+ d = md5_ii(d, a, b, c, x[i+11], 10, -1120210379);
+ c = md5_ii(c, d, a, b, x[i+ 2], 15, 718787259);
+ b = md5_ii(b, c, d, a, x[i+ 9], 21, -343485551);
+
+ a = safe_add(a, olda);
+ b = safe_add(b, oldb);
+ c = safe_add(c, oldc);
+ d = safe_add(d, oldd);
+ }
+ return [a, b, c, d];
+}
+
+/*
+ * These functions implement the four basic operations the algorithm uses.
+ */
+function md5_cmn(q, a, b, x, s, t)
+{
+ return safe_add(bit_rol(safe_add(safe_add(a, q), safe_add(x, t)), s),b);
+}
+function md5_ff(a, b, c, d, x, s, t)
+{
+ return md5_cmn((b & c) | ((~b) & d), a, b, x, s, t);
+}
+function md5_gg(a, b, c, d, x, s, t)
+{
+ return md5_cmn((b & d) | (c & (~d)), a, b, x, s, t);
+}
+function md5_hh(a, b, c, d, x, s, t)
+{
+ return md5_cmn(b ^ c ^ d, a, b, x, s, t);
+}
+function md5_ii(a, b, c, d, x, s, t)
+{
+ return md5_cmn(c ^ (b | (~d)), a, b, x, s, t);
+}
+
+/*
+ * Calculate the HMAC-MD5, of a key and some data
+ */
+function core_hmac_md5(key, data)
+{
+ var bkey = str2binl(key);
+ if(bkey.length > 16) { bkey = core_md5(bkey, key.length * chrsz); }
+
+ var ipad = new Array(16), opad = new Array(16);
+ for(var i = 0; i < 16; i++)
+ {
+ ipad[i] = bkey[i] ^ 0x36363636;
+ opad[i] = bkey[i] ^ 0x5C5C5C5C;
+ }
+
+ var hash = core_md5(ipad.concat(str2binl(data)), 512 + data.length * chrsz);
+ return core_md5(opad.concat(hash), 512 + 128);
+}
+
+/*
+ * Add integers, wrapping at 2^32. This uses 16-bit operations internally
+ * to work around bugs in some JS interpreters.
+ */
+function safe_add(x, y)
+{
+ var lsw = (x & 0xFFFF) + (y & 0xFFFF);
+ var msw = (x >> 16) + (y >> 16) + (lsw >> 16);
+ return (msw << 16) | (lsw & 0xFFFF);
+}
+
+/*
+ * Bitwise rotate a 32-bit number to the left.
+ */
+function bit_rol(num, cnt)
+{
+ return (num << cnt) | (num >>> (32 - cnt));
+}
+
+/*
+ * Convert a string to an array of little-endian words
+ * If chrsz is ASCII, characters >255 have their hi-byte silently ignored.
+ */
+function str2binl(str)
+{
+ var bin = [];
+ var mask = (1 << chrsz) - 1;
+ for(var i = 0; i < str.length * chrsz; i += chrsz)
+ {
+ bin[i>>5] |= (str.charCodeAt(i / chrsz) & mask) << (i%32);
+ }
+ return bin;
+}
+
+/*
+ * Convert an array of little-endian words to a string
+ */
+function binl2str(bin)
+{
+ var str = "";
+ var mask = (1 << chrsz) - 1;
+ for(var i = 0; i < bin.length * 32; i += chrsz)
+ {
+ str += String.fromCharCode((bin[i>>5] >>> (i % 32)) & mask);
+ }
+ return str;
+}
+
+/*
+ * Convert an array of little-endian words to a hex string.
+ */
+function binl2hex(binarray)
+{
+ var hex_tab = hexcase ? "0123456789ABCDEF" : "0123456789abcdef";
+ var str = "";
+ for(var i = 0; i < binarray.length * 4; i++)
+ {
+ str += hex_tab.charAt((binarray[i>>2] >> ((i%4)*8+4)) & 0xF) +
+ hex_tab.charAt((binarray[i>>2] >> ((i%4)*8 )) & 0xF);
+ }
+ return str;
+}
+
+/*
+ * Convert an array of little-endian words to a base-64 string
+ */
+function binl2b64(binarray)
+{
+ var tab = "ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/";
+ var str = "";
+ var triplet, j;
+ for(var i = 0; i < binarray.length * 4; i += 3)
+ {
+ triplet = (((binarray[i >> 2] >> 8 * ( i %4)) & 0xFF) << 16) |
+ (((binarray[i+1 >> 2] >> 8 * ((i+1)%4)) & 0xFF) << 8 ) |
+ ((binarray[i+2 >> 2] >> 8 * ((i+2)%4)) & 0xFF);
+ for(j = 0; j < 4; j++)
+ {
+ if(i * 8 + j * 6 > binarray.length * 32) { str += b64pad; }
+ else { str += tab.charAt((triplet >> 6*(3-j)) & 0x3F); }
+ }
+ }
+ return str;
+}
diff --git a/mod/beechat/views/default/js/sha1.js.php b/mod/beechat/views/default/js/sha1.js.php
new file mode 100644
index 000000000..db3bf0544
--- /dev/null
+++ b/mod/beechat/views/default/js/sha1.js.php
@@ -0,0 +1,207 @@
+/*
+ * A JavaScript implementation of the Secure Hash Algorithm, SHA-1, as defined
+ * in FIPS PUB 180-1
+ * Version 2.1a Copyright Paul Johnston 2000 - 2002.
+ * Other contributors: Greg Holt, Andrew Kepert, Ydnar, Lostinet
+ * Distributed under the BSD License
+ * See http://pajhome.org.uk/crypt/md5 for details.
+ */
+
+/*
+ * Configurable variables. You may need to tweak these to be compatible with
+ * the server-side, but the defaults work in most cases.
+ */
+var hexcase = 0; /* hex output format. 0 - lowercase; 1 - uppercase */
+var b64pad = ""; /* base-64 pad character. "=" for strict RFC compliance */
+var chrsz = 8; /* bits per input character. 8 - ASCII; 16 - Unicode */
+
+/*
+ * These are the functions you'll usually want to call
+ * They take string arguments and return either hex or base-64 encoded strings
+ */
+function hex_sha1(s){return binb2hex(core_sha1(str2binb(s),s.length * chrsz));}
+function b64_sha1(s){return binb2b64(core_sha1(str2binb(s),s.length * chrsz));}
+function str_sha1(s){return binb2str(core_sha1(str2binb(s),s.length * chrsz));}
+function hex_hmac_sha1(key, data){ return binb2hex(core_hmac_sha1(key, data));}
+function b64_hmac_sha1(key, data){ return binb2b64(core_hmac_sha1(key, data));}
+function str_hmac_sha1(key, data){ return binb2str(core_hmac_sha1(key, data));}
+
+/*
+ * Perform a simple self-test to see if the VM is working
+ */
+function sha1_vm_test()
+{
+ return hex_sha1("abc") == "a9993e364706816aba3e25717850c26c9cd0d89d";
+}
+
+/*
+ * Calculate the SHA-1 of an array of big-endian words, and a bit length
+ */
+function core_sha1(x, len)
+{
+ /* append padding */
+ x[len >> 5] |= 0x80 << (24 - len % 32);
+ x[((len + 64 >> 9) << 4) + 15] = len;
+
+ var w = new Array(80);
+ var a = 1732584193;
+ var b = -271733879;
+ var c = -1732584194;
+ var d = 271733878;
+ var e = -1009589776;
+
+ var i, j, t, olda, oldb, oldc, oldd, olde;
+ for (i = 0; i < x.length; i += 16)
+ {
+ olda = a;
+ oldb = b;
+ oldc = c;
+ oldd = d;
+ olde = e;
+
+ for (j = 0; j < 80; j++)
+ {
+ if (j < 16) { w[j] = x[i + j]; }
+ else { w[j] = rol(w[j-3] ^ w[j-8] ^ w[j-14] ^ w[j-16], 1); }
+ t = safe_add(safe_add(rol(a, 5), sha1_ft(j, b, c, d)),
+ safe_add(safe_add(e, w[j]), sha1_kt(j)));
+ e = d;
+ d = c;
+ c = rol(b, 30);
+ b = a;
+ a = t;
+ }
+
+ a = safe_add(a, olda);
+ b = safe_add(b, oldb);
+ c = safe_add(c, oldc);
+ d = safe_add(d, oldd);
+ e = safe_add(e, olde);
+ }
+ return [a, b, c, d, e];
+}
+
+/*
+ * Perform the appropriate triplet combination function for the current
+ * iteration
+ */
+function sha1_ft(t, b, c, d)
+{
+ if (t < 20) { return (b & c) | ((~b) & d); }
+ if (t < 40) { return b ^ c ^ d; }
+ if (t < 60) { return (b & c) | (b & d) | (c & d); }
+ return b ^ c ^ d;
+}
+
+/*
+ * Determine the appropriate additive constant for the current iteration
+ */
+function sha1_kt(t)
+{
+ return (t < 20) ? 1518500249 : (t < 40) ? 1859775393 :
+ (t < 60) ? -1894007588 : -899497514;
+}
+
+/*
+ * Calculate the HMAC-SHA1 of a key and some data
+ */
+function core_hmac_sha1(key, data)
+{
+ var bkey = str2binb(key);
+ if (bkey.length > 16) { bkey = core_sha1(bkey, key.length * chrsz); }
+
+ var ipad = new Array(16), opad = new Array(16);
+ for (var i = 0; i < 16; i++)
+ {
+ ipad[i] = bkey[i] ^ 0x36363636;
+ opad[i] = bkey[i] ^ 0x5C5C5C5C;
+ }
+
+ var hash = core_sha1(ipad.concat(str2binb(data)), 512 + data.length * chrsz);
+ return core_sha1(opad.concat(hash), 512 + 160);
+}
+
+/*
+ * Add integers, wrapping at 2^32. This uses 16-bit operations internally
+ * to work around bugs in some JS interpreters.
+ */
+function safe_add(x, y)
+{
+ var lsw = (x & 0xFFFF) + (y & 0xFFFF);
+ var msw = (x >> 16) + (y >> 16) + (lsw >> 16);
+ return (msw << 16) | (lsw & 0xFFFF);
+}
+
+/*
+ * Bitwise rotate a 32-bit number to the left.
+ */
+function rol(num, cnt)
+{
+ return (num << cnt) | (num >>> (32 - cnt));
+}
+
+/*
+ * Convert an 8-bit or 16-bit string to an array of big-endian words
+ * In 8-bit function, characters >255 have their hi-byte silently ignored.
+ */
+function str2binb(str)
+{
+ var bin = [];
+ var mask = (1 << chrsz) - 1;
+ for (var i = 0; i < str.length * chrsz; i += chrsz)
+ {
+ bin[i>>5] |= (str.charCodeAt(i / chrsz) & mask) << (32 - chrsz - i%32);
+ }
+ return bin;
+}
+
+/*
+ * Convert an array of big-endian words to a string
+ */
+function binb2str(bin)
+{
+ var str = "";
+ var mask = (1 << chrsz) - 1;
+ for (var i = 0; i < bin.length * 32; i += chrsz)
+ {
+ str += String.fromCharCode((bin[i>>5] >>> (32 - chrsz - i%32)) & mask);
+ }
+ return str;
+}
+
+/*
+ * Convert an array of big-endian words to a hex string.
+ */
+function binb2hex(binarray)
+{
+ var hex_tab = hexcase ? "0123456789ABCDEF" : "0123456789abcdef";
+ var str = "";
+ for (var i = 0; i < binarray.length * 4; i++)
+ {
+ str += hex_tab.charAt((binarray[i>>2] >> ((3 - i%4)*8+4)) & 0xF) +
+ hex_tab.charAt((binarray[i>>2] >> ((3 - i%4)*8 )) & 0xF);
+ }
+ return str;
+}
+
+/*
+ * Convert an array of big-endian words to a base-64 string
+ */
+function binb2b64(binarray)
+{
+ var tab = "ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/";
+ var str = "";
+ var triplet, j;
+ for (var i = 0; i < binarray.length * 4; i += 3)
+ {
+ triplet = (((binarray[i >> 2] >> 8 * (3 - i %4)) & 0xFF) << 16) |
+ (((binarray[i+1 >> 2] >> 8 * (3 - (i+1)%4)) & 0xFF) << 8 ) |
+ ((binarray[i+2 >> 2] >> 8 * (3 - (i+2)%4)) & 0xFF);
+ for (j = 0; j < 4; j++)
+ {
+ if (i * 8 + j * 6 > binarray.length * 32) { str += b64pad; }
+ else { str += tab.charAt((triplet >> 6*(3-j)) & 0x3F); }
+ }
+ }
+ return str;
+}
diff --git a/mod/beechat/views/default/js/strophe.min.js.php b/mod/beechat/views/default/js/strophe.min.js.php
new file mode 100644
index 000000000..f0eb17906
--- /dev/null
+++ b/mod/beechat/views/default/js/strophe.min.js.php
@@ -0,0 +1 @@
+var Base64=(function(){var keyStr="ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/=";var obj={encode:function(input){var output="";var chr1,chr2,chr3;var enc1,enc2,enc3,enc4;var i=0;do{chr1=input.charCodeAt(i++);chr2=input.charCodeAt(i++);chr3=input.charCodeAt(i++);enc1=chr1>>2;enc2=((chr1&3)<<4)|(chr2>>4);enc3=((chr2&15)<<2)|(chr3>>6);enc4=chr3&63;if(isNaN(chr2)){enc3=enc4=64}else{if(isNaN(chr3)){enc4=64}}output=output+keyStr.charAt(enc1)+keyStr.charAt(enc2)+keyStr.charAt(enc3)+keyStr.charAt(enc4)}while(i<input.length);return output},decode:function(input){var output="";var chr1,chr2,chr3;var enc1,enc2,enc3,enc4;var i=0;input=input.replace(/[^A-Za-z0-9\+\/\=]/g,"");do{enc1=keyStr.indexOf(input.charAt(i++));enc2=keyStr.indexOf(input.charAt(i++));enc3=keyStr.indexOf(input.charAt(i++));enc4=keyStr.indexOf(input.charAt(i++));chr1=(enc1<<2)|(enc2>>4);chr2=((enc2&15)<<4)|(enc3>>2);chr3=((enc3&3)<<6)|enc4;output=output+String.fromCharCode(chr1);if(enc3!=64){output=output+String.fromCharCode(chr2)}if(enc4!=64){output=output+String.fromCharCode(chr3)}}while(i<input.length);return output}};return obj})();var MD5=(function(){var hexcase=0;var b64pad="";var chrsz=8;var safe_add=function(x,y){var lsw=(x&65535)+(y&65535);var msw=(x>>16)+(y>>16)+(lsw>>16);return(msw<<16)|(lsw&65535)};var bit_rol=function(num,cnt){return(num<<cnt)|(num>>>(32-cnt))};var str2binl=function(str){var bin=[];var mask=(1<<chrsz)-1;for(var i=0;i<str.length*chrsz;i+=chrsz){bin[i>>5]|=(str.charCodeAt(i/chrsz)&mask)<<(i%32)}return bin};var binl2str=function(bin){var str="";var mask=(1<<chrsz)-1;for(var i=0;i<bin.length*32;i+=chrsz){str+=String.fromCharCode((bin[i>>5]>>>(i%32))&mask)}return str};var binl2hex=function(binarray){var hex_tab=hexcase?"0123456789ABCDEF":"0123456789abcdef";var str="";for(var i=0;i<binarray.length*4;i++){str+=hex_tab.charAt((binarray[i>>2]>>((i%4)*8+4))&15)+hex_tab.charAt((binarray[i>>2]>>((i%4)*8))&15)}return str};var binl2b64=function(binarray){var tab="ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/";var str="";var triplet,j;for(var i=0;i<binarray.length*4;i+=3){triplet=(((binarray[i>>2]>>8*(i%4))&255)<<16)|(((binarray[i+1>>2]>>8*((i+1)%4))&255)<<8)|((binarray[i+2>>2]>>8*((i+2)%4))&255);for(j=0;j<4;j++){if(i*8+j*6>binarray.length*32){str+=b64pad}else{str+=tab.charAt((triplet>>6*(3-j))&63)}}}return str};var md5_cmn=function(q,a,b,x,s,t){return safe_add(bit_rol(safe_add(safe_add(a,q),safe_add(x,t)),s),b)};var md5_ff=function(a,b,c,d,x,s,t){return md5_cmn((b&c)|((~b)&d),a,b,x,s,t)};var md5_gg=function(a,b,c,d,x,s,t){return md5_cmn((b&d)|(c&(~d)),a,b,x,s,t)};var md5_hh=function(a,b,c,d,x,s,t){return md5_cmn(b^c^d,a,b,x,s,t)};var md5_ii=function(a,b,c,d,x,s,t){return md5_cmn(c^(b|(~d)),a,b,x,s,t)};var core_md5=function(x,len){x[len>>5]|=128<<((len)%32);x[(((len+64)>>>9)<<4)+14]=len;var a=1732584193;var b=-271733879;var c=-1732584194;var d=271733878;var olda,oldb,oldc,oldd;for(var i=0;i<x.length;i+=16){olda=a;oldb=b;oldc=c;oldd=d;a=md5_ff(a,b,c,d,x[i+0],7,-680876936);d=md5_ff(d,a,b,c,x[i+1],12,-389564586);c=md5_ff(c,d,a,b,x[i+2],17,606105819);b=md5_ff(b,c,d,a,x[i+3],22,-1044525330);a=md5_ff(a,b,c,d,x[i+4],7,-176418897);d=md5_ff(d,a,b,c,x[i+5],12,1200080426);c=md5_ff(c,d,a,b,x[i+6],17,-1473231341);b=md5_ff(b,c,d,a,x[i+7],22,-45705983);a=md5_ff(a,b,c,d,x[i+8],7,1770035416);d=md5_ff(d,a,b,c,x[i+9],12,-1958414417);c=md5_ff(c,d,a,b,x[i+10],17,-42063);b=md5_ff(b,c,d,a,x[i+11],22,-1990404162);a=md5_ff(a,b,c,d,x[i+12],7,1804603682);d=md5_ff(d,a,b,c,x[i+13],12,-40341101);c=md5_ff(c,d,a,b,x[i+14],17,-1502002290);b=md5_ff(b,c,d,a,x[i+15],22,1236535329);a=md5_gg(a,b,c,d,x[i+1],5,-165796510);d=md5_gg(d,a,b,c,x[i+6],9,-1069501632);c=md5_gg(c,d,a,b,x[i+11],14,643717713);b=md5_gg(b,c,d,a,x[i+0],20,-373897302);a=md5_gg(a,b,c,d,x[i+5],5,-701558691);d=md5_gg(d,a,b,c,x[i+10],9,38016083);c=md5_gg(c,d,a,b,x[i+15],14,-660478335);b=md5_gg(b,c,d,a,x[i+4],20,-405537848);a=md5_gg(a,b,c,d,x[i+9],5,568446438);d=md5_gg(d,a,b,c,x[i+14],9,-1019803690);c=md5_gg(c,d,a,b,x[i+3],14,-187363961);b=md5_gg(b,c,d,a,x[i+8],20,1163531501);a=md5_gg(a,b,c,d,x[i+13],5,-1444681467);d=md5_gg(d,a,b,c,x[i+2],9,-51403784);c=md5_gg(c,d,a,b,x[i+7],14,1735328473);b=md5_gg(b,c,d,a,x[i+12],20,-1926607734);a=md5_hh(a,b,c,d,x[i+5],4,-378558);d=md5_hh(d,a,b,c,x[i+8],11,-2022574463);c=md5_hh(c,d,a,b,x[i+11],16,1839030562);b=md5_hh(b,c,d,a,x[i+14],23,-35309556);a=md5_hh(a,b,c,d,x[i+1],4,-1530992060);d=md5_hh(d,a,b,c,x[i+4],11,1272893353);c=md5_hh(c,d,a,b,x[i+7],16,-155497632);b=md5_hh(b,c,d,a,x[i+10],23,-1094730640);a=md5_hh(a,b,c,d,x[i+13],4,681279174);d=md5_hh(d,a,b,c,x[i+0],11,-358537222);c=md5_hh(c,d,a,b,x[i+3],16,-722521979);b=md5_hh(b,c,d,a,x[i+6],23,76029189);a=md5_hh(a,b,c,d,x[i+9],4,-640364487);d=md5_hh(d,a,b,c,x[i+12],11,-421815835);c=md5_hh(c,d,a,b,x[i+15],16,530742520);b=md5_hh(b,c,d,a,x[i+2],23,-995338651);a=md5_ii(a,b,c,d,x[i+0],6,-198630844);d=md5_ii(d,a,b,c,x[i+7],10,1126891415);c=md5_ii(c,d,a,b,x[i+14],15,-1416354905);b=md5_ii(b,c,d,a,x[i+5],21,-57434055);a=md5_ii(a,b,c,d,x[i+12],6,1700485571);d=md5_ii(d,a,b,c,x[i+3],10,-1894986606);c=md5_ii(c,d,a,b,x[i+10],15,-1051523);b=md5_ii(b,c,d,a,x[i+1],21,-2054922799);a=md5_ii(a,b,c,d,x[i+8],6,1873313359);d=md5_ii(d,a,b,c,x[i+15],10,-30611744);c=md5_ii(c,d,a,b,x[i+6],15,-1560198380);b=md5_ii(b,c,d,a,x[i+13],21,1309151649);a=md5_ii(a,b,c,d,x[i+4],6,-145523070);d=md5_ii(d,a,b,c,x[i+11],10,-1120210379);c=md5_ii(c,d,a,b,x[i+2],15,718787259);b=md5_ii(b,c,d,a,x[i+9],21,-343485551);a=safe_add(a,olda);b=safe_add(b,oldb);c=safe_add(c,oldc);d=safe_add(d,oldd)}return[a,b,c,d]};var core_hmac_md5=function(key,data){var bkey=str2binl(key);if(bkey.length>16){bkey=core_md5(bkey,key.length*chrsz)}var ipad=new Array(16),opad=new Array(16);for(var i=0;i<16;i++){ipad[i]=bkey[i]^909522486;opad[i]=bkey[i]^1549556828}var hash=core_md5(ipad.concat(str2binl(data)),512+data.length*chrsz);return core_md5(opad.concat(hash),512+128)};var obj={hexdigest:function(s){return binl2hex(core_md5(str2binl(s),s.length*chrsz))},b64digest:function(s){return binl2b64(core_md5(str2binl(s),s.length*chrsz))},hash:function(s){return binl2str(core_md5(str2binl(s),s.length*chrsz))},hmac_hexdigest:function(key,data){return binl2hex(core_hmac_md5(key,data))},hmac_b64digest:function(key,data){return binl2b64(core_hmac_md5(key,data))},hmac_hash:function(key,data){return binl2str(core_hmac_md5(key,data))},test:function(){return MD5.hexdigest("abc")==="900150983cd24fb0d6963f7d28e17f72"}};return obj})();if(!Function.prototype.bind){Function.prototype.bind=function(obj){var func=this;return function(){return func.apply(obj,arguments)}}}if(!Function.prototype.prependArg){Function.prototype.prependArg=function(arg){var func=this;return function(){var newargs=[arg];for(var i=0;i<arguments.length;i++){newargs.push(arguments[i])}return func.apply(this,newargs)}}}if(!Array.prototype.indexOf){Array.prototype.indexOf=function(elt){var len=this.length;var from=Number(arguments[1])||0;from=(from<0)?Math.ceil(from):Math.floor(from);if(from<0){from+=len}for(;from<len;from++){if(from in this&&this[from]===elt){return from}}return -1}}(function(callback){var Strophe;function $build(name,attrs){return new Strophe.Builder(name,attrs)}function $msg(attrs){return new Strophe.Builder("message",attrs)}function $iq(attrs){return new Strophe.Builder("iq",attrs)}function $pres(attrs){return new Strophe.Builder("presence",attrs)}Strophe={VERSION:"1.0.1",NS:{HTTPBIND:"http://jabber.org/protocol/httpbind",BOSH:"urn:xmpp:xbosh",CLIENT:"jabber:client",AUTH:"jabber:iq:auth",ROSTER:"jabber:iq:roster",PROFILE:"jabber:iq:profile",DISCO_INFO:"http://jabber.org/protocol/disco#info",DISCO_ITEMS:"http://jabber.org/protocol/disco#items",MUC:"http://jabber.org/protocol/muc",SASL:"urn:ietf:params:xml:ns:xmpp-sasl",STREAM:"http://etherx.jabber.org/streams",BIND:"urn:ietf:params:xml:ns:xmpp-bind",SESSION:"urn:ietf:params:xml:ns:xmpp-session",VERSION:"jabber:iq:version",STANZAS:"urn:ietf:params:xml:ns:xmpp-stanzas"},addNamespace:function(name,value){Strophe.NS[name]=value},Status:{ERROR:0,CONNECTING:1,CONNFAIL:2,AUTHENTICATING:3,AUTHFAIL:4,CONNECTED:5,DISCONNECTED:6,DISCONNECTING:7,ATTACHED:8},LogLevel:{DEBUG:0,INFO:1,WARN:2,ERROR:3,FATAL:4},ElementType:{NORMAL:1,TEXT:3},TIMEOUT:1.1,SECONDARY_TIMEOUT:0.1,forEachChild:function(elem,elemName,func){var i,childNode;for(i=0;i<elem.childNodes.length;i++){childNode=elem.childNodes[i];if(childNode.nodeType==Strophe.ElementType.NORMAL&&(!elemName||this.isTagEqual(childNode,elemName))){func(childNode)}}},isTagEqual:function(el,name){return el.tagName.toLowerCase()==name.toLowerCase()},_xmlGenerator:null,_makeGenerator:function(){var doc;if(window.ActiveXObject){doc=new ActiveXObject("Microsoft.XMLDOM");doc.appendChild(doc.createElement("strophe"))}else{doc=document.implementation.createDocument("jabber:client","strophe",null)}return doc},xmlElement:function(name){if(!name){return null}var node=null;if(!Strophe._xmlGenerator){Strophe._xmlGenerator=Strophe._makeGenerator()}node=Strophe._xmlGenerator.createElement(name);var a,i,k;for(a=1;a<arguments.length;a++){if(!arguments[a]){continue}if(typeof(arguments[a])=="string"||typeof(arguments[a])=="number"){node.appendChild(Strophe.xmlTextNode(arguments[a]))}else{if(typeof(arguments[a])=="object"&&typeof(arguments[a].sort)=="function"){for(i=0;i<arguments[a].length;i++){if(typeof(arguments[a][i])=="object"&&typeof(arguments[a][i].sort)=="function"){node.setAttribute(arguments[a][i][0],arguments[a][i][1])}}}else{if(typeof(arguments[a])=="object"){for(k in arguments[a]){if(arguments[a].hasOwnProperty(k)){node.setAttribute(k,arguments[a][k])}}}}}}return node},xmlescape:function(text){text=text.replace(/\&/g,"&amp;");text=text.replace(/</g,"&lt;");text=text.replace(/>/g,"&gt;");return text},xmlTextNode:function(text){text=Strophe.xmlescape(text);if(!Strophe._xmlGenerator){Strophe._xmlGenerator=Strophe._makeGenerator()}return Strophe._xmlGenerator.createTextNode(text)},getText:function(elem){if(!elem){return null}var str="";if(elem.childNodes.length===0&&elem.nodeType==Strophe.ElementType.TEXT){str+=elem.nodeValue}for(var i=0;i<elem.childNodes.length;i++){if(elem.childNodes[i].nodeType==Strophe.ElementType.TEXT){str+=elem.childNodes[i].nodeValue}}return str},copyElement:function(elem){var i,el;if(elem.nodeType==Strophe.ElementType.NORMAL){el=Strophe.xmlElement(elem.tagName);for(i=0;i<elem.attributes.length;i++){el.setAttribute(elem.attributes[i].nodeName.toLowerCase(),elem.attributes[i].value)}for(i=0;i<elem.childNodes.length;i++){el.appendChild(Strophe.copyElement(elem.childNodes[i]))}}else{if(elem.nodeType==Strophe.ElementType.TEXT){el=Strophe.xmlTextNode(elem.nodeValue)}}return el},escapeNode:function(node){return node.replace(/^\s+|\s+$/g,"").replace(/\\/g,"\\5c").replace(/ /g,"\\20").replace(/\"/g,"\\22").replace(/\&/g,"\\26").replace(/\'/g,"\\27").replace(/\//g,"\\2f").replace(/:/g,"\\3a").replace(/</g,"\\3c").replace(/>/g,"\\3e").replace(/@/g,"\\40")},unescapeNode:function(node){return node.replace(/\\20/g," ").replace(/\\22/g,'"').replace(/\\26/g,"&").replace(/\\27/g,"'").replace(/\\2f/g,"/").replace(/\\3a/g,":").replace(/\\3c/g,"<").replace(/\\3e/g,">").replace(/\\40/g,"@").replace(/\\5c/g,"\\")},getNodeFromJid:function(jid){if(jid.indexOf("@")<0){return null}return jid.split("@")[0]},getDomainFromJid:function(jid){var bare=Strophe.getBareJidFromJid(jid);if(bare.indexOf("@")<0){return bare}else{var parts=bare.split("@");parts.splice(0,1);return parts.join("@")}},getResourceFromJid:function(jid){var s=jid.split("/");if(s.length<2){return null}s.splice(0,1);return s.join("/")},getBareJidFromJid:function(jid){return jid.split("/")[0]},log:function(level,msg){return},debug:function(msg){this.log(this.LogLevel.DEBUG,msg)},info:function(msg){this.log(this.LogLevel.INFO,msg)},warn:function(msg){this.log(this.LogLevel.WARN,msg)},error:function(msg){this.log(this.LogLevel.ERROR,msg)},fatal:function(msg){this.log(this.LogLevel.FATAL,msg)},serialize:function(elem){var result;if(!elem){return null}if(typeof(elem.tree)==="function"){elem=elem.tree()}var nodeName=elem.nodeName;var i,child;if(elem.getAttribute("_realname")){nodeName=elem.getAttribute("_realname")}result="<"+nodeName;for(i=0;i<elem.attributes.length;i++){if(elem.attributes[i].nodeName!="_realname"){result+=" "+elem.attributes[i].nodeName.toLowerCase()+"='"+elem.attributes[i].value.replace("&","&amp;").replace("'","&apos;").replace("<","&lt;")+"'"}}if(elem.childNodes.length>0){result+=">";for(i=0;i<elem.childNodes.length;i++){child=elem.childNodes[i];if(child.nodeType==Strophe.ElementType.NORMAL){result+=Strophe.serialize(child)}else{if(child.nodeType==Strophe.ElementType.TEXT){result+=child.nodeValue}}}result+="</"+nodeName+">"}else{result+="/>"}return result},_requestId:0,_connectionPlugins:{},addConnectionPlugin:function(name,ptype){Strophe._connectionPlugins[name]=ptype}};Strophe.Builder=function(name,attrs){if(name=="presence"||name=="message"||name=="iq"){if(attrs&&!attrs.xmlns){attrs.xmlns=Strophe.NS.CLIENT}else{if(!attrs){attrs={xmlns:Strophe.NS.CLIENT}}}}this.nodeTree=Strophe.xmlElement(name,attrs);this.node=this.nodeTree};Strophe.Builder.prototype={tree:function(){return this.nodeTree},toString:function(){return Strophe.serialize(this.nodeTree)},up:function(){this.node=this.node.parentNode;return this},attrs:function(moreattrs){for(var k in moreattrs){if(moreattrs.hasOwnProperty(k)){this.node.setAttribute(k,moreattrs[k])}}return this},c:function(name,attrs){var child=Strophe.xmlElement(name,attrs);this.node.appendChild(child);this.node=child;return this},cnode:function(elem){this.node.appendChild(elem);this.node=elem;return this},t:function(text){var child=Strophe.xmlTextNode(text);this.node.appendChild(child);return this}};Strophe.Handler=function(handler,ns,name,type,id,from,options){this.handler=handler;this.ns=ns;this.name=name;this.type=type;this.id=id;this.options=options||{matchbare:false};if(!this.options.matchBare){this.options.matchBare=false}if(this.options.matchBare){this.from=Strophe.getBareJidFromJid(from)}else{this.from=from}this.user=true};Strophe.Handler.prototype={isMatch:function(elem){var nsMatch;var from=null;if(this.options.matchBare){from=Strophe.getBareJidFromJid(elem.getAttribute("from"))}else{from=elem.getAttribute("from")}nsMatch=false;if(!this.ns){nsMatch=true}else{var self=this;Strophe.forEachChild(elem,null,function(elem){if(elem.getAttribute("xmlns")==self.ns){nsMatch=true}});nsMatch=nsMatch||elem.getAttribute("xmlns")==this.ns}if(nsMatch&&(!this.name||Strophe.isTagEqual(elem,this.name))&&(!this.type||elem.getAttribute("type")===this.type)&&(!this.id||elem.getAttribute("id")===this.id)&&(!this.from||from===this.from)){return true}return false},run:function(elem){var result=null;try{result=this.handler(elem)}catch(e){if(e.sourceURL){Strophe.fatal("error: "+this.handler+" "+e.sourceURL+":"+e.line+" - "+e.name+": "+e.message)}else{if(e.fileName){if(typeof(console)!="undefined"){console.trace();console.error(this.handler," - error - ",e,e.message)}Strophe.fatal("error: "+this.handler+" "+e.fileName+":"+e.lineNumber+" - "+e.name+": "+e.message)}else{Strophe.fatal("error: "+this.handler)}}throw e}return result},toString:function(){return"{Handler: "+this.handler+"("+this.name+","+this.id+","+this.ns+")}"}};Strophe.TimedHandler=function(period,handler){this.period=period;this.handler=handler;this.lastCalled=new Date().getTime();this.user=true};Strophe.TimedHandler.prototype={run:function(){this.lastCalled=new Date().getTime();return this.handler()},reset:function(){this.lastCalled=new Date().getTime()},toString:function(){return"{TimedHandler: "+this.handler+"("+this.period+")}"}};Strophe.Request=function(elem,func,rid,sends){this.id=++Strophe._requestId;this.xmlData=elem;this.data=Strophe.serialize(elem);this.origFunc=func;this.func=func;this.rid=rid;this.date=NaN;this.sends=sends||0;this.abort=false;this.dead=null;this.age=function(){if(!this.date){return 0}var now=new Date();return(now-this.date)/1000};this.timeDead=function(){if(!this.dead){return 0}var now=new Date();return(now-this.dead)/1000};this.xhr=this._newXHR()};Strophe.Request.prototype={getResponse:function(){var node=null;if(this.xhr.responseXML&&this.xhr.responseXML.documentElement){node=this.xhr.responseXML.documentElement;if(node.tagName=="parsererror"){Strophe.error("invalid response received");Strophe.error("responseText: "+this.xhr.responseText);Strophe.error("responseXML: "+Strophe.serialize(this.xhr.responseXML));throw"parsererror"}}else{if(this.xhr.responseText){Strophe.error("invalid response received");Strophe.error("responseText: "+this.xhr.responseText);Strophe.error("responseXML: "+Strophe.serialize(this.xhr.responseXML))}}return node},_newXHR:function(){var xhr=null;if(window.XMLHttpRequest){xhr=new XMLHttpRequest();if(xhr.overrideMimeType){xhr.overrideMimeType("text/xml")}}else{if(window.ActiveXObject){xhr=new ActiveXObject("Microsoft.XMLHTTP")}}xhr.onreadystatechange=this.func.prependArg(this);return xhr}};Strophe.Connection=function(service){this.service=service;this.jid="";this.rid=Math.floor(Math.random()*4294967295);this.sid=null;this.streamId=null;this.do_session=false;this.do_bind=false;this.timedHandlers=[];this.handlers=[];this.removeTimeds=[];this.removeHandlers=[];this.addTimeds=[];this.addHandlers=[];this._idleTimeout=null;this._disconnectTimeout=null;this.authenticated=false;this.disconnecting=false;this.connected=false;this.errors=0;this.paused=false;this.hold=1;this.wait=60;this.window=5;this._data=[];this._requests=[];this._uniqueId=Math.round(Math.random()*10000);this._sasl_success_handler=null;this._sasl_failure_handler=null;this._sasl_challenge_handler=null;this._idleTimeout=setTimeout(this._onIdle.bind(this),100);for(var k in Strophe._connectionPlugins){if(Strophe._connectionPlugins.hasOwnProperty(k)){var ptype=Strophe._connectionPlugins[k];var F=function(){};F.prototype=ptype;this[k]=new F();this[k].init(this)}}};Strophe.Connection.prototype={reset:function(){this.rid=Math.floor(Math.random()*4294967295);this.sid=null;this.streamId=null;this.do_session=false;this.do_bind=false;this.timedHandlers=[];this.handlers=[];this.removeTimeds=[];this.removeHandlers=[];this.addTimeds=[];this.addHandlers=[];this.authenticated=false;this.disconnecting=false;this.connected=false;this.errors=0;this._requests=[];this._uniqueId=Math.round(Math.random()*10000)},pause:function(){this.paused=true},resume:function(){this.paused=false},getUniqueId:function(suffix){if(typeof(suffix)=="string"||typeof(suffix)=="number"){return ++this._uniqueId+":"+suffix}else{return ++this._uniqueId+""}},connect:function(jid,pass,callback,wait,hold){this.jid=jid;this.pass=pass;this.connect_callback=callback;this.disconnecting=false;this.connected=false;this.authenticated=false;this.errors=0;this.wait=wait||this.wait;this.hold=hold||this.hold;this.domain=Strophe.getDomainFromJid(this.jid);var body=this._buildBody().attrs({to:this.domain,"xml:lang":"en",wait:this.wait,hold:this.hold,content:"text/xml; charset=utf-8",ver:"1.6","xmpp:version":"1.0","xmlns:xmpp":Strophe.NS.BOSH});this._changeConnectStatus(Strophe.Status.CONNECTING,null);this._requests.push(new Strophe.Request(body.tree(),this._onRequestStateChange.bind(this).prependArg(this._connect_cb.bind(this)),body.tree().getAttribute("rid")));this._throttledRequestHandler()},attach:function(jid,sid,rid,callback,wait,hold,wind){this.jid=jid;this.sid=sid;this.rid=rid;this.connect_callback=callback;this.domain=Strophe.getDomainFromJid(this.jid);this.authenticated=true;this.connected=true;this.wait=wait||this.wait;this.hold=hold||this.hold;this.window=wind||this.window;this._changeConnectStatus(Strophe.Status.ATTACHED,null)},xmlInput:function(elem){return},xmlOutput:function(elem){return},rawInput:function(data){return},rawOutput:function(data){return},send:function(elem){if(elem===null){return}if(typeof(elem.sort)==="function"){for(var i=0;i<elem.length;i++){this._queueData(elem[i])}}else{if(typeof(elem.tree)==="function"){this._queueData(elem.tree())}else{this._queueData(elem)}}this._throttledRequestHandler();clearTimeout(this._idleTimeout);this._idleTimeout=setTimeout(this._onIdle.bind(this),100)},flush:function(){clearTimeout(this._idleTimeout);this._onIdle()},sendIQ:function(elem,callback,errback,timeout){var timeoutHandler=null;var that=this;if(typeof(elem.tree)==="function"){elem=elem.tree()}var id=elem.getAttribute("id");if(!id){id=this.getUniqueId("sendIQ");elem.setAttribute("id",id)}var handler=this.addHandler(function(stanza){if(timeoutHandler){that.deleteTimedHandler(timeoutHandler)}var iqtype=stanza.getAttribute("type");if(iqtype==="result"){if(callback){callback(stanza)}}else{if(iqtype==="error"){if(errback){errback(stanza)}}else{throw {name:"StropheError",message:"Got bad IQ type of "+iqtype}}}},null,"iq",null,id);if(timeout){timeoutHandler=this.addTimedHandler(timeout,function(){that.deleteHandler(handler);if(errback){errback(null)}return false})}this.send(elem);return id},_queueData:function(element){if(element===null||!element.tagName||!element.childNodes){throw {name:"StropheError",message:"Cannot queue non-DOMElement."}}this._data.push(element)},_sendRestart:function(){this._data.push("restart");this._throttledRequestHandler();clearTimeout(this._idleTimeout);this._idleTimeout=setTimeout(this._onIdle.bind(this),100)},addTimedHandler:function(period,handler){var thand=new Strophe.TimedHandler(period,handler);this.addTimeds.push(thand);return thand},deleteTimedHandler:function(handRef){this.removeTimeds.push(handRef)},addHandler:function(handler,ns,name,type,id,from,options){var hand=new Strophe.Handler(handler,ns,name,type,id,from,options);this.addHandlers.push(hand);return hand},deleteHandler:function(handRef){this.removeHandlers.push(handRef)},disconnect:function(reason){this._changeConnectStatus(Strophe.Status.DISCONNECTING,reason);Strophe.info("Disconnect was called because: "+reason);if(this.connected){this._disconnectTimeout=this._addSysTimedHandler(3000,this._onDisconnectTimeout.bind(this));this._sendTerminate()}},_changeConnectStatus:function(status,condition){for(var k in Strophe._connectionPlugins){if(Strophe._connectionPlugins.hasOwnProperty(k)){var plugin=this[k];if(plugin.statusChanged){try{plugin.statusChanged(status,condition)}catch(err){Strophe.error(""+k+" plugin caused an exception changing status: "+err)}}}}if(this.connect_callback){try{this.connect_callback(status,condition)}catch(e){Strophe.error("User connection callback caused an exception: "+e)}}},_buildBody:function(){var bodyWrap=$build("body",{rid:this.rid++,xmlns:Strophe.NS.HTTPBIND});if(this.sid!==null){bodyWrap.attrs({sid:this.sid})}return bodyWrap},_removeRequest:function(req){Strophe.debug("removing request");var i;for(i=this._requests.length-1;i>=0;i--){if(req==this._requests[i]){this._requests.splice(i,1)}}req.xhr.onreadystatechange=function(){};this._throttledRequestHandler()},_restartRequest:function(i){var req=this._requests[i];if(req.dead===null){req.dead=new Date()}this._processRequest(i)},_processRequest:function(i){var req=this._requests[i];var reqStatus=-1;try{if(req.xhr.readyState==4){reqStatus=req.xhr.status}}catch(e){Strophe.error("caught an error in _requests["+i+"], reqStatus: "+reqStatus)}if(typeof(reqStatus)=="undefined"){reqStatus=-1}var time_elapsed=req.age();var primaryTimeout=(!isNaN(time_elapsed)&&time_elapsed>Math.floor(Strophe.TIMEOUT*this.wait));var secondaryTimeout=(req.dead!==null&&req.timeDead()>Math.floor(Strophe.SECONDARY_TIMEOUT*this.wait));var requestCompletedWithServerError=(req.xhr.readyState==4&&(reqStatus<1||reqStatus>=500));if(primaryTimeout||secondaryTimeout||requestCompletedWithServerError){if(secondaryTimeout){Strophe.error("Request "+this._requests[i].id+" timed out (secondary), restarting")}req.abort=true;req.xhr.abort();req.xhr.onreadystatechange=function(){};this._requests[i]=new Strophe.Request(req.xmlData,req.origFunc,req.rid,req.sends);req=this._requests[i]}if(req.xhr.readyState===0){Strophe.debug("request id "+req.id+"."+req.sends+" posting");req.date=new Date();try{req.xhr.open("POST",this.service,true)}catch(e2){Strophe.error("XHR open failed.");if(!this.connected){this._changeConnectStatus(Strophe.Status.CONNFAIL,"bad-service")}this.disconnect();return}var sendFunc=function(){req.xhr.send(req.data)};if(req.sends>1){var backoff=Math.pow(req.sends,3)*1000;setTimeout(sendFunc,backoff)}else{sendFunc()}req.sends++;this.xmlOutput(req.xmlData);this.rawOutput(req.data)}else{Strophe.debug("_processRequest: "+(i===0?"first":"second")+" request has readyState of "+req.xhr.readyState)}},_throttledRequestHandler:function(){if(!this._requests){Strophe.debug("_throttledRequestHandler called with undefined requests")}else{Strophe.debug("_throttledRequestHandler called with "+this._requests.length+" requests")}if(!this._requests||this._requests.length===0){return}if(this._requests.length>0){this._processRequest(0)}if(this._requests.length>1&&Math.abs(this._requests[0].rid-this._requests[1].rid)<this.window-1){this._processRequest(1)}},_onRequestStateChange:function(func,req){Strophe.debug("request id "+req.id+"."+req.sends+" state changed to "+req.xhr.readyState);if(req.abort){req.abort=false;return}var reqStatus;if(req.xhr.readyState==4){reqStatus=0;try{reqStatus=req.xhr.status}catch(e){}if(typeof(reqStatus)=="undefined"){reqStatus=0}if(this.disconnecting){if(reqStatus>=400){this._hitError(reqStatus);return}}var reqIs0=(this._requests[0]==req);var reqIs1=(this._requests[1]==req);if((reqStatus>0&&reqStatus<500)||req.sends>5){this._removeRequest(req);Strophe.debug("request id "+req.id+" should now be removed")}if(reqStatus==200){if(reqIs1||(reqIs0&&this._requests.length>0&&this._requests[0].age()>Math.floor(Strophe.SECONDARY_TIMEOUT*this.wait))){this._restartRequest(0)}Strophe.debug("request id "+req.id+"."+req.sends+" got 200");func(req);this.errors=0}else{Strophe.error("request id "+req.id+"."+req.sends+" error "+reqStatus+" happened");if(reqStatus===0||(reqStatus>=400&&reqStatus<600)||reqStatus>=12000){this._hitError(reqStatus);if(reqStatus>=400&&reqStatus<500){this._changeConnectStatus(Strophe.Status.DISCONNECTING,null);this._doDisconnect()}}}if(!((reqStatus>0&&reqStatus<10000)||req.sends>5)){this._throttledRequestHandler()}}},_hitError:function(reqStatus){this.errors++;Strophe.warn("request errored, status: "+reqStatus+", number of errors: "+this.errors);if(this.errors>4){this._onDisconnectTimeout()}},_doDisconnect:function(){Strophe.info("_doDisconnect was called");this.authenticated=false;this.disconnecting=false;this.sid=null;this.streamId=null;this.rid=Math.floor(Math.random()*4294967295);if(this.connected){this._changeConnectStatus(Strophe.Status.DISCONNECTED,null);this.connected=false}this.handlers=[];this.timedHandlers=[];this.removeTimeds=[];this.removeHandlers=[];this.addTimeds=[];this.addHandlers=[]},_dataRecv:function(req){try{var elem=req.getResponse()}catch(e){if(e!="parsererror"){throw e}this.disconnect("strophe-parsererror")}if(elem===null){return}this.xmlInput(elem);this.rawInput(Strophe.serialize(elem));var i,hand;while(this.removeHandlers.length>0){hand=this.removeHandlers.pop();i=this.handlers.indexOf(hand);if(i>=0){this.handlers.splice(i,1)}}while(this.addHandlers.length>0){this.handlers.push(this.addHandlers.pop())}if(this.disconnecting&&this._requests.length===0){this.deleteTimedHandler(this._disconnectTimeout);this._disconnectTimeout=null;this._doDisconnect();return}var typ=elem.getAttribute("type");var cond,conflict;if(typ!==null&&typ=="terminate"){cond=elem.getAttribute("condition");conflict=elem.getElementsByTagName("conflict");if(cond!==null){if(cond=="remote-stream-error"&&conflict.length>0){cond="conflict"}this._changeConnectStatus(Strophe.Status.CONNFAIL,cond)}else{this._changeConnectStatus(Strophe.Status.CONNFAIL,"unknown")}this.disconnect();return}var self=this;Strophe.forEachChild(elem,null,function(child){var i,newList;newList=self.handlers;self.handlers=[];for(i=0;i<newList.length;i++){var hand=newList[i];if(hand.isMatch(child)&&(self.authenticated||!hand.user)){if(hand.run(child)){self.handlers.push(hand)}}else{self.handlers.push(hand)}}})},_sendTerminate:function(){Strophe.info("_sendTerminate was called");var body=this._buildBody().attrs({type:"terminate"});if(this.authenticated){body.c("presence",{xmlns:Strophe.NS.CLIENT,type:"unavailable"})}this.disconnecting=true;var req=new Strophe.Request(body.tree(),this._onRequestStateChange.bind(this).prependArg(this._dataRecv.bind(this)),body.tree().getAttribute("rid"));this._requests.push(req);this._throttledRequestHandler()},_connect_cb:function(req){Strophe.info("_connect_cb was called");this.connected=true;var bodyWrap=req.getResponse();if(!bodyWrap){return}this.xmlInput(bodyWrap);this.rawInput(Strophe.serialize(bodyWrap));var typ=bodyWrap.getAttribute("type");var cond,conflict;if(typ!==null&&typ=="terminate"){cond=bodyWrap.getAttribute("condition");conflict=bodyWrap.getElementsByTagName("conflict");if(cond!==null){if(cond=="remote-stream-error"&&conflict.length>0){cond="conflict"}this._changeConnectStatus(Strophe.Status.CONNFAIL,cond)}else{this._changeConnectStatus(Strophe.Status.CONNFAIL,"unknown")}return}if(!this.sid){this.sid=bodyWrap.getAttribute("sid")}if(!this.stream_id){this.stream_id=bodyWrap.getAttribute("authid")}var wind=bodyWrap.getAttribute("requests");if(wind){this.window=parseInt(wind,10)}var hold=bodyWrap.getAttribute("hold");if(hold){this.hold=parseInt(hold,10)}var wait=bodyWrap.getAttribute("wait");if(wait){this.wait=parseInt(wait,10)}var do_sasl_plain=false;var do_sasl_digest_md5=false;var do_sasl_anonymous=false;var mechanisms=bodyWrap.getElementsByTagName("mechanism");var i,mech,auth_str,hashed_auth_str;if(mechanisms.length>0){for(i=0;i<mechanisms.length;i++){mech=Strophe.getText(mechanisms[i]);if(mech=="DIGEST-MD5"){do_sasl_digest_md5=true}else{if(mech=="PLAIN"){do_sasl_plain=true}else{if(mech=="ANONYMOUS"){do_sasl_anonymous=true}}}}}else{var body=this._buildBody();this._requests.push(new Strophe.Request(body.tree(),this._onRequestStateChange.bind(this).prependArg(this._connect_cb.bind(this)),body.tree().getAttribute("rid")));this._throttledRequestHandler();return}if(Strophe.getNodeFromJid(this.jid)===null&&do_sasl_anonymous){this._changeConnectStatus(Strophe.Status.AUTHENTICATING,null);this._sasl_success_handler=this._addSysHandler(this._sasl_success_cb.bind(this),null,"success",null,null);this._sasl_failure_handler=this._addSysHandler(this._sasl_failure_cb.bind(this),null,"failure",null,null);this.send($build("auth",{xmlns:Strophe.NS.SASL,mechanism:"ANONYMOUS"}).tree())}else{if(Strophe.getNodeFromJid(this.jid)===null){this._changeConnectStatus(Strophe.Status.CONNFAIL,"x-strophe-bad-non-anon-jid");this.disconnect()}else{if(do_sasl_digest_md5){this._changeConnectStatus(Strophe.Status.AUTHENTICATING,null);this._sasl_challenge_handler=this._addSysHandler(this._sasl_challenge1_cb.bind(this),null,"challenge",null,null);this._sasl_failure_handler=this._addSysHandler(this._sasl_failure_cb.bind(this),null,"failure",null,null);this.send($build("auth",{xmlns:Strophe.NS.SASL,mechanism:"DIGEST-MD5"}).tree())}else{if(do_sasl_plain){auth_str=Strophe.getBareJidFromJid(this.jid);auth_str=auth_str+"\u0000";auth_str=auth_str+Strophe.getNodeFromJid(this.jid);auth_str=auth_str+"\u0000";auth_str=auth_str+this.pass;this._changeConnectStatus(Strophe.Status.AUTHENTICATING,null);this._sasl_success_handler=this._addSysHandler(this._sasl_success_cb.bind(this),null,"success",null,null);this._sasl_failure_handler=this._addSysHandler(this._sasl_failure_cb.bind(this),null,"failure",null,null);hashed_auth_str=Base64.encode(auth_str);this.send($build("auth",{xmlns:Strophe.NS.SASL,mechanism:"PLAIN"}).t(hashed_auth_str).tree())}else{this._changeConnectStatus(Strophe.Status.AUTHENTICATING,null);this._addSysHandler(this._auth1_cb.bind(this),null,null,null,"_auth_1");this.send($iq({type:"get",to:this.domain,id:"_auth_1"}).c("query",{xmlns:Strophe.NS.AUTH}).c("username",{}).t(Strophe.getNodeFromJid(this.jid)).tree())}}}}},_sasl_challenge1_cb:function(elem){var attribMatch=/([a-z]+)=("[^"]+"|[^,"]+)(?:,|$)/;var challenge=Base64.decode(Strophe.getText(elem));var cnonce=MD5.hexdigest(Math.random()*1234567890);var realm="";var host=null;var nonce="";var qop="";var matches;this.deleteHandler(this._sasl_failure_handler);while(challenge.match(attribMatch)){matches=challenge.match(attribMatch);challenge=challenge.replace(matches[0],"");matches[2]=matches[2].replace(/^"(.+)"$/,"$1");switch(matches[1]){case"realm":realm=matches[2];break;case"nonce":nonce=matches[2];break;case"qop":qop=matches[2];break;case"host":host=matches[2];break}}var digest_uri="xmpp/"+this.domain;if(host!==null){digest_uri=digest_uri+"/"+host}var A1=MD5.hash(Strophe.getNodeFromJid(this.jid)+":"+realm+":"+this.pass)+":"+nonce+":"+cnonce;var A2="AUTHENTICATE:"+digest_uri;var responseText="";responseText+="username="+this._quote(Strophe.getNodeFromJid(this.jid))+",";responseText+="realm="+this._quote(realm)+",";responseText+="nonce="+this._quote(nonce)+",";responseText+="cnonce="+this._quote(cnonce)+",";responseText+='nc="00000001",';responseText+='qop="auth",';responseText+="digest-uri="+this._quote(digest_uri)+",";responseText+="response="+this._quote(MD5.hexdigest(MD5.hexdigest(A1)+":"+nonce+":00000001:"+cnonce+":auth:"+MD5.hexdigest(A2)))+",";responseText+='charset="utf-8"';this._sasl_challenge_handler=this._addSysHandler(this._sasl_challenge2_cb.bind(this),null,"challenge",null,null);this._sasl_success_handler=this._addSysHandler(this._sasl_success_cb.bind(this),null,"success",null,null);this._sasl_failure_handler=this._addSysHandler(this._sasl_failure_cb.bind(this),null,"failure",null,null);this.send($build("response",{xmlns:Strophe.NS.SASL}).t(Base64.encode(responseText)).tree());return false},_quote:function(str){return'"'+str.replace(/\\/g,"\\\\").replace(/"/g,'\\"')+'"'},_sasl_challenge2_cb:function(elem){this.deleteHandler(this._sasl_success_handler);this.deleteHandler(this._sasl_failure_handler);this._sasl_success_handler=this._addSysHandler(this._sasl_success_cb.bind(this),null,"success",null,null);this._sasl_failure_handler=this._addSysHandler(this._sasl_failure_cb.bind(this),null,"failure",null,null);this.send($build("response",{xmlns:Strophe.NS.SASL}).tree());return false},_auth1_cb:function(elem){var iq=$iq({type:"set",id:"_auth_2"}).c("query",{xmlns:Strophe.NS.AUTH}).c("username",{}).t(Strophe.getNodeFromJid(this.jid)).up().c("password").t(this.pass);if(!Strophe.getResourceFromJid(this.jid)){this.jid=Strophe.getBareJidFromJid(this.jid)+"/strophe"}iq.up().c("resource",{}).t(Strophe.getResourceFromJid(this.jid));this._addSysHandler(this._auth2_cb.bind(this),null,null,null,"_auth_2");this.send(iq.tree());return false},_sasl_success_cb:function(elem){Strophe.info("SASL authentication succeeded.");this.deleteHandler(this._sasl_failure_handler);this._sasl_failure_handler=null;if(this._sasl_challenge_handler){this.deleteHandler(this._sasl_challenge_handler);this._sasl_challenge_handler=null}this._addSysHandler(this._sasl_auth1_cb.bind(this),null,"stream:features",null,null);this._sendRestart();return false},_sasl_auth1_cb:function(elem){var i,child;for(i=0;i<elem.childNodes.length;i++){child=elem.childNodes[i];if(child.nodeName=="bind"){this.do_bind=true}if(child.nodeName=="session"){this.do_session=true}}if(!this.do_bind){this._changeConnectStatus(Strophe.Status.AUTHFAIL,null);return false}else{this._addSysHandler(this._sasl_bind_cb.bind(this),null,null,null,"_bind_auth_2");var resource=Strophe.getResourceFromJid(this.jid);if(resource){this.send($iq({type:"set",id:"_bind_auth_2"}).c("bind",{xmlns:Strophe.NS.BIND}).c("resource",{}).t(resource).tree())}else{this.send($iq({type:"set",id:"_bind_auth_2"}).c("bind",{xmlns:Strophe.NS.BIND}).tree())}}return false},_sasl_bind_cb:function(elem){if(elem.getAttribute("type")=="error"){Strophe.info("SASL binding failed.");this._changeConnectStatus(Strophe.Status.AUTHFAIL,null);return false}var bind=elem.getElementsByTagName("bind");var jidNode;if(bind.length>0){jidNode=bind[0].getElementsByTagName("jid");if(jidNode.length>0){this.jid=Strophe.getText(jidNode[0]);if(this.do_session){this._addSysHandler(this._sasl_session_cb.bind(this),null,null,null,"_session_auth_2");this.send($iq({type:"set",id:"_session_auth_2"}).c("session",{xmlns:Strophe.NS.SESSION}).tree())}else{this.authenticated=true;this._changeConnectStatus(Strophe.Status.CONNECTED,null)}}}else{Strophe.info("SASL binding failed.");this._changeConnectStatus(Strophe.Status.AUTHFAIL,null);return false}},_sasl_session_cb:function(elem){if(elem.getAttribute("type")=="result"){this.authenticated=true;this._changeConnectStatus(Strophe.Status.CONNECTED,null)}else{if(elem.getAttribute("type")=="error"){Strophe.info("Session creation failed.");this._changeConnectStatus(Strophe.Status.AUTHFAIL,null);return false}}return false},_sasl_failure_cb:function(elem){if(this._sasl_success_handler){this.deleteHandler(this._sasl_success_handler);this._sasl_success_handler=null}if(this._sasl_challenge_handler){this.deleteHandler(this._sasl_challenge_handler);this._sasl_challenge_handler=null}this._changeConnectStatus(Strophe.Status.AUTHFAIL,null);return false},_auth2_cb:function(elem){if(elem.getAttribute("type")=="result"){this.authenticated=true;this._changeConnectStatus(Strophe.Status.CONNECTED,null)}else{if(elem.getAttribute("type")=="error"){this._changeConnectStatus(Strophe.Status.AUTHFAIL,null);this.disconnect()}}return false},_addSysTimedHandler:function(period,handler){var thand=new Strophe.TimedHandler(period,handler);thand.user=false;this.addTimeds.push(thand);return thand},_addSysHandler:function(handler,ns,name,type,id){var hand=new Strophe.Handler(handler,ns,name,type,id);hand.user=false;this.addHandlers.push(hand);return hand},_onDisconnectTimeout:function(){Strophe.info("_onDisconnectTimeout was called");var req;while(this._requests.length>0){req=this._requests.pop();req.abort=true;req.xhr.abort();req.xhr.onreadystatechange=function(){}}this._doDisconnect();return false},_onIdle:function(){var i,thand,since,newList;while(this.removeTimeds.length>0){thand=this.removeTimeds.pop();i=this.timedHandlers.indexOf(thand);if(i>=0){this.timedHandlers.splice(i,1)}}while(this.addTimeds.length>0){this.timedHandlers.push(this.addTimeds.pop())}var now=new Date().getTime();newList=[];for(i=0;i<this.timedHandlers.length;i++){thand=this.timedHandlers[i];if(this.authenticated||!thand.user){since=thand.lastCalled+thand.period;if(since-now<=0){if(thand.run()){newList.push(thand)}}else{newList.push(thand)}}}this.timedHandlers=newList;var body,time_elapsed;if(this.authenticated&&this._requests.length===0&&this._data.length===0&&!this.disconnecting){Strophe.info("no requests during idle cycle, sending blank request");this._data.push(null)}if(this._requests.length<2&&this._data.length>0&&!this.paused){body=this._buildBody();for(i=0;i<this._data.length;i++){if(this._data[i]!==null){if(this._data[i]==="restart"){body.attrs({to:this.domain,"xml:lang":"en","xmpp:restart":"true","xmlns:xmpp":Strophe.NS.BOSH})}else{body.cnode(this._data[i]).up()}}}delete this._data;this._data=[];this._requests.push(new Strophe.Request(body.tree(),this._onRequestStateChange.bind(this).prependArg(this._dataRecv.bind(this)),body.tree().getAttribute("rid")));this._processRequest(this._requests.length-1)}if(this._requests.length>0){time_elapsed=this._requests[0].age();if(this._requests[0].dead!==null){if(this._requests[0].timeDead()>Math.floor(Strophe.SECONDARY_TIMEOUT*this.wait)){this._throttledRequestHandler()}}if(time_elapsed>Math.floor(Strophe.TIMEOUT*this.wait)){Strophe.warn("Request "+this._requests[0].id+" timed out, over "+Math.floor(Strophe.TIMEOUT*this.wait)+" seconds since last activity");this._throttledRequestHandler()}}clearTimeout(this._idleTimeout);this._idleTimeout=setTimeout(this._onIdle.bind(this),100)}};if(callback){callback(Strophe,$build,$msg,$iq,$pres)}})(function(){window.Strophe=arguments[0];window.$build=arguments[1];window.$msg=arguments[2];window.$iq=arguments[3];window.$pres=arguments[4]}); \ No newline at end of file
diff --git a/mod/beechat/views/default/js/strophe.muc.js b/mod/beechat/views/default/js/strophe.muc.js
new file mode 100644
index 000000000..954ca8730
--- /dev/null
+++ b/mod/beechat/views/default/js/strophe.muc.js
@@ -0,0 +1,300 @@
+/*
+Plugin to implement the MUC extension. http://xmpp.org/extensions/xep-0045.html
+*/
+/* jslint configuration: */
+/* global document, window, setTimeout, clearTimeout, console,
+ XMLHttpRequest, ActiveXObject,
+ Base64, MD5,
+ Strophe, $build, $msg, $iq, $pres
+*/
+
+Strophe.addConnectionPlugin('muc', {
+ _connection: null,
+ // The plugin must have the init function
+ /***Function
+ Initialize the MUC plugin. Sets the correct connection object and
+ extends the namesace.
+ */
+ init: function(conn) {
+ this._connection = conn;
+ /* extend name space
+ * NS.MUC - XMPP Multi-user chat namespace
+ * from XEP 45.
+ *
+ */
+ Strophe.addNamespace('MUC_OWNER', Strophe.NS.MUC+"#owner");
+ Strophe.addNamespace('MUC_ADMIN', Strophe.NS.MUC+"#admin");
+ },
+ /***Function
+ Join a multi-user chat room
+ Parameters:
+ (String) room - The multi-user chat room to join.
+ (String) nick - The nickname to use in the chat room. Optional
+ (Function) msg_handler_cb - The function call to handle messages from the
+ specified chat room.
+ (Function) pres_handler_cb - The function call back to handle presence
+ in the chat room.
+ (String) password - The optional password to use. (password protected
+ rooms only)
+ */
+ join: function(room, nick, msg_handler_cb, pres_handler_cb, password) {
+ var room_nick = this.test_append_nick(room, nick);
+ var msg = $pres({from: this._connection.jid,
+ to: room_nick})
+ .c("x",{xmlns: Strophe.NS.MUC});
+ if (password)
+ {
+ var password_elem = Strophe.xmlElement("password",
+ [],
+ password);
+ msg.cnode(password_elem);
+ }
+ if (msg_handler_cb)
+ {
+ this._connection.addHandler(function(stanza) {
+ var from = stanza.getAttribute('from');
+ var roomname = from.split("/");
+ // filter on room name
+ if (roomname.length > 1 && roomname[0] == room)
+ {
+ return msg_handler_cb(stanza);
+ }
+ else
+ {
+ return true;
+ }
+ },
+ null,
+ "message",
+ null,
+ null,
+ null);
+ }
+ if (pres_handler_cb)
+ {
+ this._connection.addHandler(function(stanza) {
+ var xquery = stanza.getElementsByTagName("x");
+ if (xquery.length > 0)
+ {
+ //Handle only MUC user protocol
+ for (var i = 0; i < xquery.length; i++)
+ {
+ var xmlns = xquery[i].getAttribute("xmlns");
+
+ if (xmlns && xmlns.match(Strophe.NS.MUC))
+ {
+ return pres_handler_cb(stanza);
+ }
+ }
+ }
+ return true;
+ },
+ null,
+ "presence",
+ null,
+ null,
+ null);
+ }
+ this._connection.send(msg);
+ },
+ /***Function
+ Leave a multi-user chat room
+ Parameters:
+ (String) room - The multi-user chat room to leave.
+ (String) nick - The nick name used in the room.
+ (Function) handler_cb - Optional function to handle the successful leave.
+ Returns:
+ iqid - The unique id for the room leave.
+ */
+ leave: function(room, nick, handler_cb) {
+ var room_nick = this.test_append_nick(room, nick);
+ var presenceid = this._connection.getUniqueId();
+ var presence = $pres({type: "unavailable",
+ id: presenceid,
+ from: this._connection.jid,
+ to: room_nick})
+ .c("x",{xmlns: Strophe.NS.MUC});
+ this._connection.addHandler(handler_cb,
+ null,
+ "presence",
+ null,
+ presenceid,
+ null);
+ this._connection.send(presence);
+ return presenceid;
+ },
+ /***Function
+ Parameters:
+ (String) room - The multi-user chat room name.
+ (String) nick - The nick name used in the chat room.
+ (String) message - The message to send to the room.
+ Returns:
+ msgiq - the unique id used to send the message
+ */
+ message: function(room, nick, message) {
+ var room_nick = this.test_append_nick(room, nick);
+ var msgid = this._connection.getUniqueId();
+ var msg = $msg({to: room_nick,
+ from: this._connection.jid,
+ type: "groupchat",
+ id: msgid}).c("body",
+ {xmlns: Strophe.NS.CLIENT}).t(message);
+ msg.up().c("x", {xmlns: "jabber:x:event"}).c("composing");
+ this._connection.send(msg);
+ return msgid;
+ },
+ /***Function
+ Start a room configuration.
+ Parameters:
+ (String) room - The multi-user chat room name.
+ Returns:
+ id - the unique id used to send the configuration request
+ */
+ configure: function(room) {
+ //send iq to start room configuration
+ var config = $iq({to:room,
+ type: "get"}).c("query",
+ {xmlns: Strophe.NS.MUC_OWNER});
+ var stanza = config.tree();
+ return this._connection.sendIQ(stanza,
+ function(){},
+ function(){});
+ },
+ /***Function
+ Cancel the room configuration
+ Parameters:
+ (String) room - The multi-user chat room name.
+ Returns:
+ id - the unique id used to cancel the configuration.
+ */
+ cancelConfigure: function(room) {
+ //send iq to start room configuration
+ var config = $iq({to: room,
+ type: "set"})
+ .c("query", {xmlns: Strophe.NS.MUC_OWNER})
+ .c("x", {xmlns: "jabber:x:data", type: "cancel"});
+ var stanza = config.tree();
+ return this._connection.sendIQ(stanza,
+ function(){},
+ function(){});
+ },
+ /***Function
+ Save a room configuration.
+ Parameters:
+ (String) room - The multi-user chat room name.
+ (Array) configarray - an array of form elements used to configure the room.
+ Returns:
+ id - the unique id used to save the configuration.
+ */
+ saveConfiguration: function(room, configarray) {
+ var config = $iq({to: room,
+ type: "set"})
+ .c("query", {xmlns: Strophe.NS.MUC_OWNER})
+ .c("x", {xmlns: "jabber:x:data", type: "submit"});
+ for (var i = 0; i >= configarray.length; i++) {
+ config.cnode(configarray[i]);
+ }
+ var stanza = config.tree();
+ return this._connection.sendIQ(stanza,
+ function(){},
+ function(){});
+ },
+ /***Function
+ Parameters:
+ (String) room - The multi-user chat room name.
+ Returns:
+ id - the unique id used to create the chat room.
+ */
+ createInstantRoom: function(room) {
+ var roomiq = $iq({to: room,
+ type: "set"})
+ .c("query", {xmlns: Strophe.NS.MUC_OWNER})
+ .c("x", {xmlns: "jabber:x:data",
+ type: "submit"});
+ return this._connection.sendIQ(roomiq.tree(),
+ function() {},
+ function() {});
+ },
+ /***
+ Set the topic of the chat room.
+ Parameters:
+ (String) room - The multi-user chat room name.
+ (String) topic - Topic message.
+ */
+ setTopic: function(room, topic) {
+ var msg = $msg({to: room,
+ from: this._connection.jid,
+ type: "groupchat"})
+ .c("subject", {xmlns: "jabber:client"}).t(topic);
+ this._connection.send(msg.tree());
+ },
+ /***Function
+ Changes the role and affiliation of a member of a MUC room.
+ The modification can only be done by a room moderator. An error will be
+ returned if the user doesn't have permission.
+ Parameters:
+ (String) room - The multi-user chat room name.
+ (String) nick - The nick name of the user to modify.
+ (String) role - The new role of the user.
+ (String) affiliation - The new affiliation of the user.
+ (String) reason - The reason for the change.
+ Returns:
+ iq - the id of the mode change request.
+ */
+ modifyUser: function(room, nick, role, affiliation, reason) {
+ var item_attrs = {nick: Strophe.escapeNode(nick)};
+ if (role !== null)
+ {
+ item_attrs.role = role;
+ }
+ if (affiliation !== null)
+ {
+ item_attrs.affiliation = affiliation;
+ }
+ var item = $build("item", item_attrs);
+ if (reason !== null)
+ {
+ item.cnode(Strophe.xmlElement("reason", reason));
+ }
+ var roomiq = $iq({to: room,
+ type: "set"})
+ .c("query", {xmlns: Strophe.NS.MUC_OWNER}).cnode(item.tree());
+ return this._connection.sendIQ(roomiq.tree(),
+ function() {},
+ function() {});
+ },
+ /***Function
+ Change the current users nick name.
+ Parameters:
+ (String) room - The multi-user chat room name.
+ (String) user - The new nick name.
+ */
+ changeNick: function(room, user) {
+ var room_nick = this.test_append_nick(room, user);
+ var presence = $pres({from: this._connection.jid,
+ to: room_nick})
+ .c("x",{xmlns: Strophe.NS.MUC});
+ this._connection.send(presence.tree());
+ },
+ /***Function
+ List all chat room available on a server.
+ Parameters:
+ (String) server - name of chat server.
+ (String) handle_cb - Function to call for room list return.
+ */
+ listRooms: function(server, handle_cb) {
+ var iq = $iq({to: server,
+ from: this._connection.jid,
+ type: "get"})
+ .c("query",{xmlns: Strophe.NS.DISCO_ITEMS});
+ this._connection.sendIQ(iq, handle_cb, function(){});
+ },
+ test_append_nick: function(room, nick) {
+ var room_nick = room;
+ if (nick)
+ {
+ room_nick += "/" + Strophe.escapeNode(nick);
+ }
+ return room_nick;
+ }
+}); \ No newline at end of file
diff --git a/mod/beechat/views/default/js/strophe.muc.js.php b/mod/beechat/views/default/js/strophe.muc.js.php
new file mode 100644
index 000000000..e10750d02
--- /dev/null
+++ b/mod/beechat/views/default/js/strophe.muc.js.php
@@ -0,0 +1,300 @@
+/*
+Plugin to implement the MUC extension. http://xmpp.org/extensions/xep-0045.html
+*/
+/* jslint configuration: */
+/* global document, window, setTimeout, clearTimeout, console,
+ XMLHttpRequest, ActiveXObject,
+ Base64, MD5,
+ Strophe, $build, $msg, $iq, $pres
+*/
+
+Strophe.addConnectionPlugin('muc', {
+ _connection: null,
+ // The plugin must have the init function
+ /***Function
+ Initialize the MUC plugin. Sets the correct connection object and
+ extends the namesace.
+ */
+ init: function(conn) {
+ this._connection = conn;
+ /* extend name space
+ * NS.MUC - XMPP Multi-user chat namespace
+ * from XEP 45.
+ *
+ */
+ Strophe.addNamespace('MUC_OWNER', Strophe.NS.MUC+"#owner");
+ Strophe.addNamespace('MUC_ADMIN', Strophe.NS.MUC+"#admin");
+ },
+ /***Function
+ Join a multi-user chat room
+ Parameters:
+ (String) room - The multi-user chat room to join.
+ (String) nick - The nickname to use in the chat room. Optional
+ (Function) msg_handler_cb - The function call to handle messages from the
+ specified chat room.
+ (Function) pres_handler_cb - The function call back to handle presence
+ in the chat room.
+ (String) password - The optional password to use. (password protected
+ rooms only)
+ */
+ join: function(room, nick, msg_handler_cb, pres_handler_cb, password) {
+ var room_nick = this.test_append_nick(room, nick);
+ var msg = $pres({from: this._connection.jid,
+ to: room_nick})
+ .c("x",{xmlns: Strophe.NS.MUC});
+ if (password)
+ {
+ var password_elem = Strophe.xmlElement("password",
+ [],
+ password);
+ msg.cnode(password_elem);
+ }
+ if (msg_handler_cb)
+ {
+ this._connection.addHandler(function(stanza) {
+ var from = stanza.getAttribute('from');
+ var roomname = from.split("/");
+ // filter on room name
+ if (roomname.length > 1 && roomname[0] == room)
+ {
+ return msg_handler_cb(stanza);
+ }
+ else
+ {
+ return true;
+ }
+ },
+ null,
+ "message",
+ null,
+ null,
+ null);
+ }
+ if (pres_handler_cb)
+ {
+ this._connection.addHandler(function(stanza) {
+ var xquery = stanza.getElementsByTagName("x");
+ if (xquery.length > 0)
+ {
+ //Handle only MUC user protocol
+ for (var i = 0; i < xquery.length; i++)
+ {
+ var xmlns = xquery[i].getAttribute("xmlns");
+
+ if (xmlns && xmlns.match(Strophe.NS.MUC))
+ {
+ return pres_handler_cb(stanza);
+ }
+ }
+ }
+ return true;
+ },
+ null,
+ "presence",
+ null,
+ null,
+ null);
+ }
+ this._connection.send(msg);
+ },
+ /***Function
+ Leave a multi-user chat room
+ Parameters:
+ (String) room - The multi-user chat room to leave.
+ (String) nick - The nick name used in the room.
+ (Function) handler_cb - Optional function to handle the successful leave.
+ Returns:
+ iqid - The unique id for the room leave.
+ */
+ leave: function(room, nick, handler_cb) {
+ var room_nick = this.test_append_nick(room, nick);
+ var presenceid = this._connection.getUniqueId();
+ var presence = $pres({type: "unavailable",
+ id: presenceid,
+ from: this._connection.jid,
+ to: room_nick})
+ .c("x",{xmlns: Strophe.NS.MUC});
+ this._connection.addHandler(handler_cb,
+ null,
+ "presence",
+ null,
+ presenceid,
+ null);
+ this._connection.send(presence);
+ return presenceid;
+ },
+ /***Function
+ Parameters:
+ (String) room - The multi-user chat room name.
+ (String) nick - The nick name used in the chat room.
+ (String) message - The message to send to the room.
+ Returns:
+ msgiq - the unique id used to send the message
+ */
+ message: function(room, nick, message) {
+ var room_nick = this.test_append_nick(room, nick);
+ var msgid = this._connection.getUniqueId();
+ var msg = $msg({to: room_nick,
+ from: this._connection.jid,
+ type: "groupchat",
+ id: msgid}).c("body",
+ {xmlns: Strophe.NS.CLIENT}).t(message);
+ msg.up().c("x", {xmlns: "jabber:x:event"}).c("composing");
+ this._connection.send(msg);
+ return msgid;
+ },
+ /***Function
+ Start a room configuration.
+ Parameters:
+ (String) room - The multi-user chat room name.
+ Returns:
+ id - the unique id used to send the configuration request
+ */
+ configure: function(room) {
+ //send iq to start room configuration
+ var config = $iq({to:room,
+ type: "get"}).c("query",
+ {xmlns: Strophe.NS.MUC_OWNER});
+ var stanza = config.tree();
+ return this._connection.sendIQ(stanza,
+ function(){},
+ function(){});
+ },
+ /***Function
+ Cancel the room configuration
+ Parameters:
+ (String) room - The multi-user chat room name.
+ Returns:
+ id - the unique id used to cancel the configuration.
+ */
+ cancelConfigure: function(room) {
+ //send iq to start room configuration
+ var config = $iq({to: room,
+ type: "set"})
+ .c("query", {xmlns: Strophe.NS.MUC_OWNER})
+ .c("x", {xmlns: "jabber:x:data", type: "cancel"});
+ var stanza = config.tree();
+ return this._connection.sendIQ(stanza,
+ function(){},
+ function(){});
+ },
+ /***Function
+ Save a room configuration.
+ Parameters:
+ (String) room - The multi-user chat room name.
+ (Array) configarray - an array of form elements used to configure the room.
+ Returns:
+ id - the unique id used to save the configuration.
+ */
+ saveConfiguration: function(room, configarray) {
+ var config = $iq({to: room,
+ type: "set"})
+ .c("query", {xmlns: Strophe.NS.MUC_OWNER})
+ .c("x", {xmlns: "jabber:x:data", type: "submit"});
+ for (var i = 0; i >= configarray.length; i++) {
+ config.cnode(configarray[i]);
+ }
+ var stanza = config.tree();
+ return this._connection.sendIQ(stanza,
+ function(){},
+ function(){});
+ },
+ /***Function
+ Parameters:
+ (String) room - The multi-user chat room name.
+ Returns:
+ id - the unique id used to create the chat room.
+ */
+ createInstantRoom: function(room) {
+ var roomiq = $iq({to: room,
+ type: "set"})
+ .c("query", {xmlns: Strophe.NS.MUC_OWNER})
+ .c("x", {xmlns: "jabber:x:data",
+ type: "submit"});
+ return this._connection.sendIQ(roomiq.tree(),
+ function() {},
+ function() {});
+ },
+ /***
+ Set the topic of the chat room.
+ Parameters:
+ (String) room - The multi-user chat room name.
+ (String) topic - Topic message.
+ */
+ setTopic: function(room, topic) {
+ var msg = $msg({to: room,
+ from: this._connection.jid,
+ type: "groupchat"})
+ .c("subject", {xmlns: "jabber:client"}).t(topic);
+ this._connection.send(msg.tree());
+ },
+ /***Function
+ Changes the role and affiliation of a member of a MUC room.
+ The modification can only be done by a room moderator. An error will be
+ returned if the user doesn't have permission.
+ Parameters:
+ (String) room - The multi-user chat room name.
+ (String) nick - The nick name of the user to modify.
+ (String) role - The new role of the user.
+ (String) affiliation - The new affiliation of the user.
+ (String) reason - The reason for the change.
+ Returns:
+ iq - the id of the mode change request.
+ */
+ modifyUser: function(room, nick, role, affiliation, reason) {
+ var item_attrs = {nick: Strophe.escapeNode(nick)};
+ if (role !== null)
+ {
+ item_attrs.role = role;
+ }
+ if (affiliation !== null)
+ {
+ item_attrs.affiliation = affiliation;
+ }
+ var item = $build("item", item_attrs);
+ if (reason !== null)
+ {
+ item.cnode(Strophe.xmlElement("reason", reason));
+ }
+ var roomiq = $iq({to: room,
+ type: "set"})
+ .c("query", {xmlns: Strophe.NS.MUC_OWNER}).cnode(item.tree());
+ return this._connection.sendIQ(roomiq.tree(),
+ function() {},
+ function() {});
+ },
+ /***Function
+ Change the current users nick name.
+ Parameters:
+ (String) room - The multi-user chat room name.
+ (String) user - The new nick name.
+ */
+ changeNick: function(room, user) {
+ var room_nick = this.test_append_nick(room, user);
+ var presence = $pres({from: this._connection.jid,
+ to: room_nick})
+ .c("x",{xmlns: Strophe.NS.MUC});
+ this._connection.send(presence.tree());
+ },
+ /***Function
+ List all chat room available on a server.
+ Parameters:
+ (String) server - name of chat server.
+ (String) handle_cb - Function to call for room list return.
+ */
+ listRooms: function(server, handle_cb) {
+ var iq = $iq({to: server,
+ from: this._connection.jid,
+ type: "get"})
+ .c("query",{xmlns: Strophe.NS.DISCO_ITEMS});
+ this._connection.sendIQ(iq, handle_cb, function(){});
+ },
+ test_append_nick: function(room, nick) {
+ var room_nick = room;
+ if (nick)
+ {
+ room_nick += "/" + Strophe.escapeNode(nick);
+ }
+ return room_nick;
+ }
+});
diff --git a/mod/beechat/views/default/settings/beechat/edit.php b/mod/beechat/views/default/settings/beechat/edit.php
new file mode 100755
index 000000000..ec4ab08de
--- /dev/null
+++ b/mod/beechat/views/default/settings/beechat/edit.php
@@ -0,0 +1,31 @@
+<?php
+/**
+ * Barter Plugin
+ * @package Barters
+ **/
+ $domain = elgg_get_plugin_setting("domain", "beechat");
+ //$group_domain = elgg_get_plugin_setting("groupdomain", "beechat");
+ $xmlrpc_ip = elgg_get_plugin_setting("xmlrpcip", "beechat");
+ $dbname = elgg_get_plugin_setting("dbname", "beechat");
+ $dbhost = elgg_get_plugin_setting("dbhost", "beechat");
+ $dbuser = elgg_get_plugin_setting("dbuser", "beechat");
+ $dbpassword = elgg_get_plugin_setting("dbpassword", "beechat");
+?>
+<p>
+ <?php echo elgg_echo('beechat:domain'); ?>
+ <?php echo elgg_view('input/text', array('internalname' => 'params[domain]','value' => $domain)); ?>
+ <!--<?php echo elgg_echo('beechat:groupdomain'); ?>
+ <?php echo elgg_view('input/text', array('internalname' => 'params[groupdomain]','value' => $group_domain)); ?>-->
+ <?php echo elgg_echo('beechat:xmlrpcip'); ?>
+ <?php echo elgg_view('input/text', array('internalname' => 'params[xmlrpcip]','value' => $xmlrpc_ip)); ?>
+ <?php echo elgg_echo('beechat:dbname'); ?>
+ <?php echo elgg_view('input/text', array('internalname' => 'params[dbname]','value' => $dbname)); ?>
+ <?php echo elgg_echo('beechat:dbhost'); ?>
+ <?php echo elgg_view('input/text', array('internalname' => 'params[dbhost]','value' => $dbhost)); ?>
+ <?php echo elgg_echo('beechat:dbuser'); ?>
+ <?php echo elgg_view('input/text', array('internalname' => 'params[dbuser]','value' => $dbuser)); ?>
+ <?php echo elgg_echo('beechat:dbpassword'); ?>
+ <?php echo elgg_view('input/password', array('internalname' => 'params[dbpassword]','value' => $dbpassword)); ?>
+
+</p>
+
diff --git a/mod/blog/actions/blog/auto_save_revision.php b/mod/blog/actions/blog/auto_save_revision.php
index d400dda90..e33edfaab 100644
--- a/mod/blog/actions/blog/auto_save_revision.php
+++ b/mod/blog/actions/blog/auto_save_revision.php
@@ -6,8 +6,8 @@
*/
$guid = get_input('guid');
-$user = get_loggedin_user();
-$title = get_input('title');
+$user = elgg_get_logged_in_user_entity();
+$title = htmlspecialchars(get_input('title', '', false), ENT_QUOTES, 'UTF-8');
$description = get_input('description');
$excerpt = get_input('excerpt');
@@ -38,8 +38,6 @@ if ($title && $description) {
$blog->title = $title;
$blog->description = $description;
$blog->excerpt = elgg_get_excerpt($excerpt);
- // must be present or doesn't show up when metadata sorting.
- $blog->publish_date = time();
// mark this as a brand new post so we can work out the
// river / revision logic in the real save action.
@@ -65,7 +63,7 @@ if ($title && $description) {
if (!$auto_save) {
$annotation_id = $blog->annotate('blog_auto_save', $description);
} elseif ($auto_save instanceof ElggAnnotation && $auto_save->value != $description) {
- $blog->clearAnnotations('blog_auto_save');
+ $blog->deleteAnnotations('blog_auto_save');
$annotation_id = $blog->annotate('blog_auto_save', $description);
} elseif ($auto_save instanceof ElggAnnotation && $auto_save->value == $description) {
// this isn't an error because we have an up to date annotation.
diff --git a/mod/blog/actions/blog/delete.php b/mod/blog/actions/blog/delete.php
index d338fd2df..ca4eb8a7f 100644
--- a/mod/blog/actions/blog/delete.php
+++ b/mod/blog/actions/blog/delete.php
@@ -12,7 +12,11 @@ if (elgg_instanceof($blog, 'object', 'blog') && $blog->canEdit()) {
$container = get_entity($blog->container_guid);
if ($blog->delete()) {
system_message(elgg_echo('blog:message:deleted_post'));
- forward("pg/blog/$container->username/read/");
+ if (elgg_instanceof($container, 'group')) {
+ forward("blog/group/$container->guid/all");
+ } else {
+ forward("blog/owner/$container->username");
+ }
} else {
register_error(elgg_echo('blog:error:cannot_delete_post'));
}
diff --git a/mod/blog/actions/blog/save.php b/mod/blog/actions/blog/save.php
index 448c76037..82a9e6c51 100644
--- a/mod/blog/actions/blog/save.php
+++ b/mod/blog/actions/blog/save.php
@@ -2,16 +2,25 @@
/**
* Save blog entity
*
+ * Can be called by clicking save button or preview button. If preview button,
+ * we automatically save as draft. The preview button is only available for
+ * non-published drafts.
+ *
+ * Drafts are saved with the access set to private.
+ *
* @package Blog
*/
// start a new sticky form session in case of failure
-//elgg_make_sticky_form();
+elgg_make_sticky_form('blog');
+
+// save or preview
+$save = (bool)get_input('save');
// store errors to pass along
$error = FALSE;
-$error_forward_url = $_SERVER['HTTP_REFERER'];
-$user = get_loggedin_user();
+$error_forward_url = REFERER;
+$user = elgg_get_logged_in_user_entity();
// edit or create a new entity
$guid = get_input('guid');
@@ -22,9 +31,8 @@ if ($guid) {
$blog = $entity;
} else {
register_error(elgg_echo('blog:error:post_not_found'));
- forward(get_input('forward', $_SERVER['HTTP_REFERER']));
+ forward(get_input('forward', REFERER));
}
- $success_forward_url = get_input('forward', $blog->getURL());
// save some data for revisions once we save the new edit
$revision_text = $blog->description;
@@ -32,10 +40,12 @@ if ($guid) {
} else {
$blog = new ElggBlog();
$blog->subtype = 'blog';
- $success_forward_url = get_input('forward');
$new_post = TRUE;
}
+// set the previous status for the hooks to update the time_created and river entries
+$old_status = $blog->status;
+
// set defaults and required values.
$values = array(
'title' => '',
@@ -45,7 +55,7 @@ $values = array(
'comments_on' => 'On',
'excerpt' => '',
'tags' => '',
- 'container_guid' => ''
+ 'container_guid' => (int)get_input('container_guid'),
);
// fail if a required entity isn't set
@@ -53,7 +63,11 @@ $required = array('title', 'description');
// load from POST and do sanity and access checking
foreach ($values as $name => $default) {
- $value = get_input($name, $default);
+ if ($name === 'title') {
+ $value = htmlspecialchars(get_input('title', $default, false), ENT_QUOTES, 'UTF-8');
+ } else {
+ $value = get_input($name, $default);
+ }
if (in_array($name, $required) && empty($value)) {
$error = elgg_echo("blog:error:missing:$name");
@@ -65,20 +79,13 @@ foreach ($values as $name => $default) {
switch ($name) {
case 'tags':
- if ($value) {
- $values[$name] = string_to_tag_array($value);
- } else {
- unset ($values[$name]);
- }
+ $values[$name] = string_to_tag_array($value);
break;
case 'excerpt':
if ($value) {
- $value = elgg_get_excerpt($value);
- } else {
- $value = elgg_get_excerpt($values['description']);
+ $values[$name] = elgg_get_excerpt($value);
}
- $values[$name] = $value;
break;
case 'container_guid':
@@ -94,36 +101,27 @@ foreach ($values as $name => $default) {
}
break;
- // don't try to set the guid
- case 'guid':
- unset($values['guid']);
- break;
-
default:
$values[$name] = $value;
break;
}
}
-// build publish_date
-//$publish_month = get_input('publish_month');
-//$publish_day = get_input('publish_day');
-//$publish_year = get_input('publish_year');
-//$publish_hour = get_input('publish_hour');
-//$publish_minute = get_input('publish_minute');
-//$datetime = "$publish_year-$publish_month-$publish_day $publish_hour:$publish_minute:00";
-//$values['publish_date'] = date('U', strtotime($datetime));
+// if preview, force status to be draft
+if ($save == false) {
+ $values['status'] = 'draft';
+}
-// setting publish_date to now until the problems with locale dates can be resolved.
-$values['publish_date'] = time();
+// if draft, set access to private and cache the future access
+if ($values['status'] == 'draft') {
+ $values['future_access'] = $values['access_id'];
+ $values['access_id'] = ACCESS_PRIVATE;
+}
// assign values to the entity, stopping on error.
if (!$error) {
foreach ($values as $name => $value) {
- if (FALSE === ($blog->$name = $value)) {
- $error = elgg_echo('blog:error:cannot_save' . "$name=$value");
- break;
- }
+ $blog->$name = $value;
}
}
@@ -131,13 +129,13 @@ if (!$error) {
if (!$error) {
if ($blog->save()) {
// remove sticky form entries
- elgg_clear_sticky_form();
+ elgg_clear_sticky_form('blog');
// remove autosave draft if exists
- $blog->clearAnnotations('blog_auto_save');
+ $blog->deleteAnnotations('blog_auto_save');
// no longer a brand new post.
- $blog->clearMetadata('new_post');
+ $blog->deleteMetadata('new_post');
// if this was an edit, create a revision annotation
if (!$new_post && $revision_text) {
@@ -146,11 +144,34 @@ if (!$error) {
system_message(elgg_echo('blog:message:saved'));
- // @todo do we want to alert on updates?
- if ($new_post && $blog->status == 'published') {
- add_to_river('river/object/blog/create', 'create', get_loggedin_userid(), $blog->getGUID());
+ $status = $blog->status;
+
+ // add to river if changing status or published, regardless of new post
+ // because we remove it for drafts.
+ if (($new_post || $old_status == 'draft') && $status == 'published') {
+ add_to_river('river/object/blog/create', 'create', $blog->owner_guid, $blog->getGUID());
+
+ // we only want notifications sent when post published
+ register_notification_object('object', 'blog', elgg_echo('blog:newpost'));
+ elgg_trigger_event('publish', 'object', $blog);
+
+ // reset the creation time for posts that move from draft to published
+ if ($guid) {
+ $blog->time_created = time();
+ $blog->save();
+ }
+ } elseif ($old_status == 'published' && $status == 'draft') {
+ elgg_delete_river(array(
+ 'object_guid' => $blog->guid,
+ 'action_type' => 'create',
+ ));
+ }
+
+ if ($blog->status == 'published' || $save == false) {
+ forward($blog->getURL());
+ } else {
+ forward("blog/edit/$blog->guid");
}
- forward($success_forward_url);
} else {
register_error(elgg_echo('blog:error:cannot_save'));
forward($error_forward_url);
@@ -158,4 +179,4 @@ if (!$error) {
} else {
register_error($error);
forward($error_forward_url);
-} \ No newline at end of file
+}
diff --git a/mod/blog/activate.php b/mod/blog/activate.php
new file mode 100644
index 000000000..a90525291
--- /dev/null
+++ b/mod/blog/activate.php
@@ -0,0 +1,10 @@
+<?php
+/**
+ * Register the ElggBlog class for the object/blog subtype
+ */
+
+if (get_subtype_id('object', 'blog')) {
+ update_subtype('object', 'blog', 'ElggBlog');
+} else {
+ add_subtype('object', 'blog', 'ElggBlog');
+}
diff --git a/mod/blog/blog_lib.php b/mod/blog/blog_lib.php
deleted file mode 100644
index 52d629a99..000000000
--- a/mod/blog/blog_lib.php
+++ /dev/null
@@ -1,276 +0,0 @@
-<?php
-/**
- * Blog helper functions
- *
- * @package Blog
- */
-
-
-/**
- * Returns HTML for a blog post.
- *
- * @param int $guid of a blog entity.
- * @return string html
- */
-function blog_get_page_content_read($owner_guid = NULL, $guid = NULL) {
- global $CONFIG;
-
- if ($guid) {
- $blog = get_entity($guid);
-
- if (!elgg_instanceof($blog, 'object', 'blog') || ($blog->status != 'published' && !$blog->canEdit())) {
- $content = elgg_echo('blog:error:post_not_found');
- } else {
- elgg_push_breadcrumb($blog->title, $blog->getURL());
- $content = elgg_view_entity($blog, TRUE);
- //check to see if comment are on
- if ($blog->comments_on != 'Off') {
- $content .= elgg_view_comments($blog);
- }
- }
- } else {
- $content = elgg_view('page_elements/content_header', array(
- 'context' => $owner_guid ? 'mine' : 'everyone',
- 'type' => 'blog',
- 'all_link' => "{$CONFIG->site->url}pg/blog"
- ));
-
- $options = array(
- 'type' => 'object',
- 'subtype' => 'blog',
- 'full_view' => FALSE,
- //'order_by_metadata' => array('name'=>'publish_date', 'direction'=>'DESC', 'as'=>'int')
- );
-
- $loggedin_userid = get_loggedin_userid();
- if ($owner_guid) {
- $options['owner_guid'] = $owner_guid;
-
- if ($owner_guid != $loggedin_userid) {
- // do not show content header when viewing other users' posts
- $content = elgg_view('page_elements/content_header_member', array('type' => 'blog'));
- }
- }
-
- // show all posts for admin or users looking at their own blogs
- // show only published posts for other users.
- if (!(isadminloggedin() || (isloggedin() && $owner_guid == $loggedin_userid))) {
- $options['metadata_name_value_pairs'] = array(
- array('name' => 'status', 'value' => 'published'),
- //array('name' => 'publish_date', 'operand' => '<', 'value' => time())
- );
- }
-
- $list = elgg_list_entities_from_metadata($options);
- if (!$list) {
- $content .= elgg_echo('blog:none');
- } else {
- $content .= $list;
- }
- }
-
- return array('content' => $content);
-}
-
-/**
- * Returns HTML to edit a blog post.
- *
- * @param int $guid
- * @param int annotation id optional revision to edit
- * @return string html
- */
-function blog_get_page_content_edit($guid, $revision = NULL) {
- $vars = array();
- if ($guid) {
- $blog = get_entity((int)$guid);
-
- if (elgg_instanceof($blog, 'object', 'blog') && $blog->canEdit()) {
- $vars['entity'] = $blog;
-
- if ($revision) {
- $revision = get_annotation((int)$revision);
- $vars['revision'] = $revision;
-
- if (!$revision || !($revision->entity_guid == $guid)) {
- $content = elgg_echo('blog:error:revision_not_found');
- }
- }
-
- elgg_push_breadcrumb($blog->title, $blog->getURL());
- elgg_push_breadcrumb(elgg_echo('edit'));
-
- $content = elgg_view('blog/forms/edit', $vars);
- $sidebar = elgg_view('blog/sidebar_revisions', array('entity' => $blog));
- //$sidebar .= elgg_view('blog/sidebar_related');
- } else {
- $content = elgg_echo('blog:error:post_not_found');
- }
- } else {
- elgg_push_breadcrumb(elgg_echo('blog:new'));
- $content = elgg_view('blog/forms/edit', $vars);
- //$sidebar = elgg_view('blog/sidebar_related');
- }
-
- return array('content' => $content, 'sidebar' => $sidebar);
-}
-
-/**
- * Show blogs with publish dates between $lower and $upper
- *
- * @param unknown_type $owner_guid
- * @param unknown_type $lower
- * @param unknown_type $upper
- */
-function blog_get_page_content_archive($owner_guid, $lower=0, $upper=0) {
- global $CONFIG;
-
- $now = time();
-
- elgg_push_breadcrumb(elgg_echo('blog:archives'));
- $content = elgg_view('page_elements/content_header_member', array('type' => 'blog'));
-
- if ($lower) {
- $lower = (int)$lower;
- }
-
- if ($upper) {
- $upper = (int)$upper;
- }
-
- $options = array(
- 'type' => 'object',
- 'subtype' => 'blog',
- 'full_view' => FALSE,
- 'order_by_metadata' => array('name'=>'publish_date', 'direction'=>'DESC', 'as'=>'int'),
- );
-
- if ($owner_guid) {
- $options['owner_guid'] = $owner_guid;
- }
-
- // admin / owners can see any posts
- // everyone else can only see published posts
- if (!(isadminloggedin() || (isloggedin() && $owner_guid == get_loggedin_userid()))) {
- if ($upper > $now) {
- $upper = $now;
- }
-
- $options['metadata_name_value_pairs'] = array(
- array('name' => 'status', 'value' => 'published')
- );
- }
-
- if ($lower) {
- $options['metadata_name_value_pairs'][] = array(
- 'name' => 'publish_date',
- 'operand' => '>',
- 'value' => $lower
- );
- }
-
- if ($upper) {
- $options['metadata_name_value_pairs'][] = array(
- 'name' => 'publish_date',
- 'operand' => '<',
- 'value' => $upper
- );
- }
-
- $list = elgg_list_entities_from_metadata($options);
- if (!$list) {
- $content .= elgg_echo('blog:none');
- } else {
- $content .= $list;
- }
-
- return array(
- 'content' => $content
- );
-}
-
-/**
- * Returns a view of the user's friend's posts.
- *
- * @param int $user_guid
- * @return string
- */
-function blog_get_page_content_friends($user_guid) {
- global $CONFIG;
-
- elgg_push_breadcrumb(elgg_echo('friends'));
-
- $content = elgg_view('page_elements/content_header', array(
- 'context' => 'friends',
- 'type' => 'blog',
- 'all_link' => "{$CONFIG->site->url}pg/blog"
- ));
-
- if (!$friends = get_user_friends($user_guid, ELGG_ENTITIES_ANY_VALUE, 0)) {
- $content .= elgg_echo('friends:none:you');
- } else {
- $options = array(
- 'type' => 'object',
- 'subtype' => 'blog',
- 'full_view' => FALSE,
- 'order_by_metadata' => array('name'=>'publish_date', 'direction'=>'DESC', 'as'=>'int'),
- );
-
- foreach ($friends as $friend) {
- $options['container_guids'][] = $friend->getGUID();
- }
-
- // admin / owners can see any posts
- // everyone else can only see published posts
- if (!(isadminloggedin() || (isloggedin() && $owner_guid == get_loggedin_userid()))) {
- if ($upper > $now) {
- $upper = $now;
- }
-
- $options['metadata_name_value_pairs'][] = array(
- array('name' => 'status', 'value' => 'published')
- );
- }
-
- $list = elgg_list_entities_from_metadata($options);
- if (!$list) {
- $content .= elgg_echo('blog:none');
- } else {
- $content .= $list;
- }
- }
-
- return array('content' => $content);
-}
-
-/**
- * Returns a list of years and months for all blogs optionally for a user.
- * Very similar to get_entity_dates() except uses a metadata field.
- *
- * @param mixed $user_guid
- */
-function blog_get_blog_months($user_guid = NULL, $container_guid = NULL) {
- global $CONFIG;
-
- $subtype = get_subtype_id('object', 'blog');
-
- $q = "SELECT DISTINCT EXTRACT(YEAR_MONTH FROM FROM_UNIXTIME(mdv.string)) AS yearmonth
- FROM {$CONFIG->dbprefix}entities e, {$CONFIG->dbprefix}metadata, {$CONFIG->dbprefix}metastrings mdn, {$CONFIG->dbprefix}metastrings mdv
- WHERE e.guid = {$CONFIG->dbprefix}metadata.entity_guid
- AND {$CONFIG->dbprefix}metadata.name_id = mdn.id
- AND {$CONFIG->dbprefix}metadata.value_id = mdv.id
- AND mdn.string = 'publish_date'";
-
- if ($user_guid) {
- $user_guid = (int)$user_guid;
- $q .= " AND e.owner_guid = $user_guid";
- }
-
- if ($container_guid) {
- $container_guid = (int)$container_guid;
- $q .= " AND e.container_guid = $container_guid";
- }
-
- $q .= ' AND ' . get_access_sql_suffix('e');
-
- return get_data($q);
-}
diff --git a/mod/blog/classes/ElggBlog.php b/mod/blog/classes/ElggBlog.php
index 7a64a1777..8d4401c57 100644
--- a/mod/blog/classes/ElggBlog.php
+++ b/mod/blog/classes/ElggBlog.php
@@ -1,6 +1,10 @@
<?php
/**
* Extended class to override the time_created
+ *
+ * @property string $status The published status of the blog post (published, draft)
+ * @property string $comments_on Whether commenting is allowed (Off, On)
+ * @property string $excerpt An excerpt of the blog post used when displaying the post
*/
class ElggBlog extends ElggObject {
@@ -14,29 +18,25 @@ class ElggBlog extends ElggObject {
}
/**
- * @todo this won't work until we have date l10n working.
- * Rewrite the time created to be publish time.
- * This is a bit dirty, but required for proper sorting.
+ * Can a user comment on this blog?
+ *
+ * @see ElggObject::canComment()
+ *
+ * @param int $user_guid User guid (default is logged in user)
+ * @return bool
+ * @since 1.8.0
*/
-// public function save() {
-// if (parent::save()) {
-// global $CONFIG;
-//
-// // try to grab the publish date, but default to now.
-// foreach (array('publish_date', 'time_created') as $field) {
-// if (isset($this->$field) && $this->field) {
-// $published = $this->field;
-// break;
-// }
-// }
-// if (!$published) {
-// $published = time();
-// }
-//
-// $sql = "UPDATE {$CONFIG->dbprefix}entities SET time_created = '$published', time_updated = '$published' WHERE guid = '{$this->getGUID()}'";
-// return update_data($sql);
-// }
-//
-// return FALSE;
-// }
+ public function canComment($user_guid = 0) {
+ $result = parent::canComment($user_guid);
+ if ($result == false) {
+ return $result;
+ }
+
+ if ($this->comments_on == 'Off') {
+ return false;
+ }
+
+ return true;
+ }
+
} \ No newline at end of file
diff --git a/mod/blog/deactivate.php b/mod/blog/deactivate.php
new file mode 100644
index 000000000..4a275fa94
--- /dev/null
+++ b/mod/blog/deactivate.php
@@ -0,0 +1,6 @@
+<?php
+/**
+ * Deregister the ElggBlog class
+ */
+
+update_subtype('object', 'blog');
diff --git a/mod/blog/languages/en.php b/mod/blog/languages/en.php
index 7f3954426..5248a6f51 100644
--- a/mod/blog/languages/en.php
+++ b/mod/blog/languages/en.php
@@ -7,25 +7,26 @@
$english = array(
'blog' => 'Blogs',
'blog:blogs' => 'Blogs',
- 'blog:owned_blogs' => '%s',
'blog:revisions' => 'Revisions',
'blog:archives' => 'Archives',
'blog:blog' => 'Blog',
- 'blog:author_by_line' => 'By %s',
'item:object:blog' => 'Blogs',
- 'blog:title:user_blogs' => '%s\'s Blogs',
- 'blog:title:all_blogs' => 'All Site Blogs',
- 'blog:title:friends' => 'All Friends\' Blogs',
+ 'blog:title:user_blogs' => '%s\'s blogs',
+ 'blog:title:all_blogs' => 'All site blogs',
+ 'blog:title:friends' => 'Friends\' blogs',
+
+ 'blog:group' => 'Group blog',
+ 'blog:enableblog' => 'Enable group blog',
+ 'blog:write' => 'Write a blog post',
// Editing
- 'blog:new' => 'New blog post',
+ 'blog:add' => 'Add blog post',
'blog:edit' => 'Edit blog post',
'blog:excerpt' => 'Excerpt',
'blog:body' => 'Body',
'blog:save_status' => 'Last saved: ',
'blog:never' => 'Never',
- 'blog:publish_date' => 'Publish Date',
// Statuses
'blog:status' => 'Status',
@@ -40,15 +41,38 @@ $english = array(
'blog:message:saved' => 'Blog post saved.',
'blog:error:cannot_save' => 'Cannot save blog post.',
'blog:error:cannot_write_to_container' => 'Insufficient access to save blog to group.',
- 'blog:error:post_not_found' => 'This post has been removed or is invalid.',
'blog:messages:warning:draft' => 'There is an unsaved draft of this post!',
'blog:edit_revision_notice' => '(Old version)',
- 'blog:none' => 'No blogs found',
+ 'blog:message:deleted_post' => 'Blog post deleted.',
+ 'blog:error:cannot_delete_post' => 'Cannot delete blog post.',
+ 'blog:none' => 'No blog posts',
'blog:error:missing:title' => 'Please enter a blog title!',
'blog:error:missing:description' => 'Please enter the body of your blog!',
+ 'blog:error:cannot_edit_post' => 'This post may not exist or you may not have permissions to edit it.',
+ 'blog:error:revision_not_found' => 'Cannot find this revision.',
// river
- 'blog:river:create' => '%s wrote a new blog post',
+ 'river:create:object:blog' => '%s published a blog post %s',
+ 'river:comment:object:blog' => '%s commented on the blog %s',
+
+ // notifications
+ 'blog:newpost' => 'A new blog post',
+ 'blog:notification' =>
+'
+%s made a new blog post.
+
+%s
+%s
+
+View and comment on the new blog post:
+%s
+',
+
+ // widget
+ 'blog:widget:description' => 'Display your latest blog posts',
+ 'blog:moreblogs' => 'More blog posts',
+ 'blog:numbertodisplay' => 'Number of blog posts to display',
+ 'blog:noblogs' => 'No blog posts'
);
add_translation('en', $english);
diff --git a/mod/blog/lib/blog.php b/mod/blog/lib/blog.php
new file mode 100644
index 000000000..9753f27a8
--- /dev/null
+++ b/mod/blog/lib/blog.php
@@ -0,0 +1,478 @@
+<?php
+/**
+ * Blog helper functions
+ *
+ * @package Blog
+ */
+
+
+/**
+ * Get page components to view a blog post.
+ *
+ * @param int $guid GUID of a blog entity.
+ * @return array
+ */
+function blog_get_page_content_read($guid = NULL) {
+
+ $return = array();
+
+ $blog = get_entity($guid);
+
+ // no header or tabs for viewing an individual blog
+ $return['filter'] = '';
+
+ if (!elgg_instanceof($blog, 'object', 'blog')) {
+ register_error(elgg_echo('noaccess'));
+ $_SESSION['last_forward_from'] = current_page_url();
+ forward('');
+ }
+
+ $return['title'] = $blog->title;
+
+ $container = $blog->getContainerEntity();
+ $crumbs_title = $container->name;
+ if (elgg_instanceof($container, 'group')) {
+ elgg_push_breadcrumb($crumbs_title, "blog/group/$container->guid/all");
+ } else {
+ elgg_push_breadcrumb($crumbs_title, "blog/owner/$container->username");
+ }
+
+ elgg_push_breadcrumb($blog->title);
+ $return['content'] = elgg_view_entity($blog, array('full_view' => true));
+ // check to see if we should allow comments
+ if ($blog->comments_on != 'Off' && $blog->status == 'published') {
+ $return['content'] .= elgg_view_comments($blog);
+ }
+
+ return $return;
+}
+
+/**
+ * Get page components to list a user's or all blogs.
+ *
+ * @param int $container_guid The GUID of the page owner or NULL for all blogs
+ * @return array
+ */
+function blog_get_page_content_list($container_guid = NULL) {
+
+ $return = array();
+
+ $return['filter_context'] = $container_guid ? 'mine' : 'all';
+
+ $options = array(
+ 'type' => 'object',
+ 'subtype' => 'blog',
+ 'full_view' => false,
+ );
+
+ $current_user = elgg_get_logged_in_user_entity();
+
+ if ($container_guid) {
+ // access check for closed groups
+ group_gatekeeper();
+
+ $options['container_guid'] = $container_guid;
+ $container = get_entity($container_guid);
+ if (!$container) {
+
+ }
+ $return['title'] = elgg_echo('blog:title:user_blogs', array($container->name));
+
+ $crumbs_title = $container->name;
+ elgg_push_breadcrumb($crumbs_title);
+
+ if ($current_user && ($container_guid == $current_user->guid)) {
+ $return['filter_context'] = 'mine';
+ } else if (elgg_instanceof($container, 'group')) {
+ $return['filter'] = false;
+ } else {
+ // do not show button or select a tab when viewing someone else's posts
+ $return['filter_context'] = 'none';
+ }
+ } else {
+ $return['filter_context'] = 'all';
+ $return['title'] = elgg_echo('blog:title:all_blogs');
+ elgg_pop_breadcrumb();
+ elgg_push_breadcrumb(elgg_echo('blog:blogs'));
+ }
+
+ elgg_register_title_button();
+
+ // show all posts for admin or users looking at their own blogs
+ // show only published posts for other users.
+ $show_only_published = true;
+ if ($current_user) {
+ if (($current_user->guid == $container_guid) || $current_user->isAdmin()) {
+ $show_only_published = false;
+ }
+ }
+ if ($show_only_published) {
+ $options['metadata_name_value_pairs'] = array(
+ array('name' => 'status', 'value' => 'published'),
+ );
+ }
+
+ $list = elgg_list_entities_from_metadata($options);
+ if (!$list) {
+ $return['content'] = elgg_echo('blog:none');
+ } else {
+ $return['content'] = $list;
+ }
+
+ return $return;
+}
+
+/**
+ * Get page components to list of the user's friends' posts.
+ *
+ * @param int $user_guid
+ * @return array
+ */
+function blog_get_page_content_friends($user_guid) {
+
+ $user = get_user($user_guid);
+ if (!$user) {
+ forward('blog/all');
+ }
+
+ $return = array();
+
+ $return['filter_context'] = 'friends';
+ $return['title'] = elgg_echo('blog:title:friends');
+
+ $crumbs_title = $user->name;
+ elgg_push_breadcrumb($crumbs_title, "blog/owner/{$user->username}");
+ elgg_push_breadcrumb(elgg_echo('friends'));
+
+ elgg_register_title_button();
+
+ if (!$friends = get_user_friends($user_guid, ELGG_ENTITIES_ANY_VALUE, 0)) {
+ $return['content'] .= elgg_echo('friends:none:you');
+ return $return;
+ } else {
+ $options = array(
+ 'type' => 'object',
+ 'subtype' => 'blog',
+ 'full_view' => FALSE,
+ );
+
+ foreach ($friends as $friend) {
+ $options['container_guids'][] = $friend->getGUID();
+ }
+
+ // admin / owners can see any posts
+ // everyone else can only see published posts
+ $show_only_published = true;
+ $current_user = elgg_get_logged_in_user_entity();
+ if ($current_user) {
+ if (($user_guid == $current_user->guid) || $current_user->isAdmin()) {
+ $show_only_published = false;
+ }
+ }
+ if ($show_only_published) {
+ $options['metadata_name_value_pairs'][] = array(
+ array('name' => 'status', 'value' => 'published')
+ );
+ }
+
+ $list = elgg_list_entities_from_metadata($options);
+ if (!$list) {
+ $return['content'] = elgg_echo('blog:none');
+ } else {
+ $return['content'] = $list;
+ }
+ }
+
+ return $return;
+}
+
+/**
+ * Get page components to show blogs with publish dates between $lower and $upper
+ *
+ * @param int $owner_guid The GUID of the owner of this page
+ * @param int $lower Unix timestamp
+ * @param int $upper Unix timestamp
+ * @return array
+ */
+function blog_get_page_content_archive($owner_guid, $lower = 0, $upper = 0) {
+
+ $now = time();
+
+ $owner = get_entity($owner_guid);
+ elgg_set_page_owner_guid($owner_guid);
+
+ $crumbs_title = $owner->name;
+ if (elgg_instanceof($owner, 'user')) {
+ $url = "blog/owner/{$owner->username}";
+ } else {
+ $url = "blog/group/$owner->guid/all";
+ }
+ elgg_push_breadcrumb($crumbs_title, $url);
+ elgg_push_breadcrumb(elgg_echo('blog:archives'));
+
+ if ($lower) {
+ $lower = (int)$lower;
+ }
+
+ if ($upper) {
+ $upper = (int)$upper;
+ }
+
+ $options = array(
+ 'type' => 'object',
+ 'subtype' => 'blog',
+ 'full_view' => FALSE,
+ );
+
+ if ($owner_guid) {
+ $options['container_guid'] = $owner_guid;
+ }
+
+ // admin / owners can see any posts
+ // everyone else can only see published posts
+ if (!(elgg_is_admin_logged_in() || (elgg_is_logged_in() && $owner_guid == elgg_get_logged_in_user_guid()))) {
+ if ($upper > $now) {
+ $upper = $now;
+ }
+
+ $options['metadata_name_value_pairs'] = array(
+ array('name' => 'status', 'value' => 'published')
+ );
+ }
+
+ if ($lower) {
+ $options['created_time_lower'] = $lower;
+ }
+
+ if ($upper) {
+ $options['created_time_upper'] = $upper;
+ }
+
+ $list = elgg_list_entities_from_metadata($options);
+ if (!$list) {
+ $content = elgg_echo('blog:none');
+ } else {
+ $content = $list;
+ }
+
+ $title = elgg_echo('date:month:' . date('m', $lower), array(date('Y', $lower)));
+
+ return array(
+ 'content' => $content,
+ 'title' => $title,
+ 'filter' => '',
+ );
+}
+
+/**
+ * Get page components to edit/create a blog post.
+ *
+ * @param string $page 'edit' or 'new'
+ * @param int $guid GUID of blog post or container
+ * @param int $revision Annotation id for revision to edit (optional)
+ * @return array
+ */
+function blog_get_page_content_edit($page, $guid = 0, $revision = NULL) {
+
+ elgg_load_js('elgg.blog');
+
+ $return = array(
+ 'filter' => '',
+ );
+
+ $vars = array();
+ $vars['id'] = 'blog-post-edit';
+ $vars['class'] = 'elgg-form-alt';
+
+ $sidebar = '';
+ if ($page == 'edit') {
+ $blog = get_entity((int)$guid);
+
+ $title = elgg_echo('blog:edit');
+
+ if (elgg_instanceof($blog, 'object', 'blog') && $blog->canEdit()) {
+ $vars['entity'] = $blog;
+
+ $title .= ": \"$blog->title\"";
+
+ if ($revision) {
+ $revision = elgg_get_annotation_from_id((int)$revision);
+ $vars['revision'] = $revision;
+ $title .= ' ' . elgg_echo('blog:edit_revision_notice');
+
+ if (!$revision || !($revision->entity_guid == $guid)) {
+ $content = elgg_echo('blog:error:revision_not_found');
+ $return['content'] = $content;
+ $return['title'] = $title;
+ return $return;
+ }
+ }
+
+ $body_vars = blog_prepare_form_vars($blog, $revision);
+
+ elgg_push_breadcrumb($blog->title, $blog->getURL());
+ elgg_push_breadcrumb(elgg_echo('edit'));
+
+ elgg_load_js('elgg.blog');
+
+ $content = elgg_view_form('blog/save', $vars, $body_vars);
+ $sidebar = elgg_view('blog/sidebar/revisions', $vars);
+ } else {
+ $content = elgg_echo('blog:error:cannot_edit_post');
+ }
+ } else {
+ elgg_push_breadcrumb(elgg_echo('blog:add'));
+ $body_vars = blog_prepare_form_vars(null);
+
+ $title = elgg_echo('blog:add');
+ $content = elgg_view_form('blog/save', $vars, $body_vars);
+ }
+
+ $return['title'] = $title;
+ $return['content'] = $content;
+ $return['sidebar'] = $sidebar;
+ return $return;
+}
+
+/**
+ * Pull together blog variables for the save form
+ *
+ * @param ElggBlog $post
+ * @param ElggAnnotation $revision
+ * @return array
+ */
+function blog_prepare_form_vars($post = NULL, $revision = NULL) {
+
+ // input names => defaults
+ $values = array(
+ 'title' => NULL,
+ 'description' => NULL,
+ 'status' => 'published',
+ 'access_id' => ACCESS_DEFAULT,
+ 'comments_on' => 'On',
+ 'excerpt' => NULL,
+ 'tags' => NULL,
+ 'container_guid' => NULL,
+ 'guid' => NULL,
+ 'draft_warning' => '',
+ );
+
+ if ($post) {
+ foreach (array_keys($values) as $field) {
+ if (isset($post->$field)) {
+ $values[$field] = $post->$field;
+ }
+ }
+
+ if ($post->status == 'draft') {
+ $values['access_id'] = $post->future_access;
+ }
+ }
+
+ if (elgg_is_sticky_form('blog')) {
+ $sticky_values = elgg_get_sticky_values('blog');
+ foreach ($sticky_values as $key => $value) {
+ $values[$key] = $value;
+ }
+ }
+
+ elgg_clear_sticky_form('blog');
+
+ if (!$post) {
+ return $values;
+ }
+
+ // load the revision annotation if requested
+ if ($revision instanceof ElggAnnotation && $revision->entity_guid == $post->getGUID()) {
+ $values['revision'] = $revision;
+ $values['description'] = $revision->value;
+ }
+
+ // display a notice if there's an autosaved annotation
+ // and we're not editing it.
+ if ($auto_save_annotations = $post->getAnnotations('blog_auto_save', 1)) {
+ $auto_save = $auto_save_annotations[0];
+ } else {
+ $auto_save = false;
+ }
+
+ if ($auto_save && $auto_save->id != $revision->id) {
+ $values['draft_warning'] = elgg_echo('blog:messages:warning:draft');
+ }
+
+ return $values;
+}
+
+/**
+ * Forward to the new style of URLs
+ *
+ * Pre-1.7.5
+ * Group blogs page: /blog/group:<container_guid>/
+ * Group blog view: /blog/group:<container_guid>/read/<guid>/<title>
+ * 1.7.5-1.8
+ * Group blogs page: /blog/owner/group:<container_guid>/
+ * Group blog view: /blog/read/<guid>
+ *
+ *
+ * @param string $page
+ */
+function blog_url_forwarder($page) {
+
+ $viewtype = elgg_get_viewtype();
+ $qs = ($viewtype === 'default') ? "" : "?view=$viewtype";
+
+ $url = "blog/all";
+
+ // easier to work with & no notices
+ $page = array_pad($page, 4, "");
+
+ // group usernames
+ if (preg_match('~/group\:([0-9]+)/~', "/{$page[0]}/{$page[1]}/", $matches)) {
+ $guid = $matches[1];
+ $entity = get_entity($guid);
+ if (elgg_instanceof($entity, 'group')) {
+ if (!empty($page[2])) {
+ $url = "blog/view/$page[2]/";
+ } else {
+ $url = "blog/group/$guid/all";
+ }
+ register_error(elgg_echo("changebookmark"));
+ forward($url . $qs);
+ }
+ }
+
+ if (empty($page[0])) {
+ return;
+ }
+
+ // user usernames
+ $user = get_user_by_username($page[0]);
+ if (!$user) {
+ return;
+ }
+
+ if (empty($page[1])) {
+ $page[1] = 'owner';
+ }
+
+ switch ($page[1]) {
+ case "read":
+ $url = "blog/view/{$page[2]}/{$page[3]}";
+ break;
+ case "archive":
+ $url = "blog/archive/{$page[0]}/{$page[2]}/{$page[3]}";
+ break;
+ case "friends":
+ $url = "blog/friends/{$page[0]}";
+ break;
+ case "new":
+ $url = "blog/add/$user->guid";
+ break;
+ case "owner":
+ $url = "blog/owner/{$page[0]}";
+ break;
+ }
+
+ register_error(elgg_echo("changebookmark"));
+ forward($url . $qs);
+}
diff --git a/mod/blog/manifest.xml b/mod/blog/manifest.xml
index d88eaa994..29ee1bfc8 100644
--- a/mod/blog/manifest.xml
+++ b/mod/blog/manifest.xml
@@ -1,12 +1,19 @@
<?xml version="1.0" encoding="UTF-8"?>
-<plugin_manifest>
- <field key="author" value="Curverider" />
- <field key="version" value="1.8" />
- <field key="description" value="Elgg blog plugin" />
- <field key="website" value="http://www.elgg.org/" />
- <field key="copyright" value="(C) Curverider 2008-2010" />
- <field key="licence" value="GNU Public License version 2" />
- <field key="elgg_version" value="2010030101" />
- <field key="elgg_install_state" value="enabled" />
- <field key="admin_interface" value="simple" />
+<plugin_manifest xmlns="http://www.elgg.org/plugin_manifest/1.8">
+ <name>Blog</name>
+ <author>Core developers</author>
+ <version>1.8</version>
+ <category>bundled</category>
+ <category>content</category>
+ <category>widget</category>
+ <blurb>Blog plugin</blurb>
+ <description>Adds simple blogging capabilities to your Elgg installation.</description>
+ <website>http://elgg.org/</website>
+ <copyright>See COPYRIGHT.txt</copyright>
+ <license>GNU General Public License version 2</license>
+ <requires>
+ <type>elgg_release</type>
+ <version>1.8</version>
+ </requires>
+ <activate_on_install>true</activate_on_install>
</plugin_manifest>
diff --git a/mod/blog/start.php b/mod/blog/start.php
index 365a21cfa..e724b91c2 100644
--- a/mod/blog/start.php
+++ b/mod/blog/start.php
@@ -5,207 +5,298 @@
* @package Blog
*
* @todo
- * Either drop support for "publish date" or duplicate more entity getter
+ * - Either drop support for "publish date" or duplicate more entity getter
* functions to work with a non-standard time_created.
- * Show friends blog posts
- * Widget
- *
- * Pingbacks
- * Notifications
+ * - Pingbacks
+ * - Notifications
+ * - River entry for posts saved as drafts and later published
*/
+elgg_register_event_handler('init', 'system', 'blog_init');
+
/**
* Init blog plugin.
- *
- * @return TRUE
*/
function blog_init() {
- global $CONFIG;
- require_once dirname(__FILE__) . '/blog_lib.php';
- add_menu(elgg_echo('blog:blogs'), "pg/blog/", array());
+ elgg_register_library('elgg:blog', elgg_get_plugins_path() . 'blog/lib/blog.php');
- // run the setup upon activations or to upgrade old installations.
- run_function_once('blog_runonce', '1269370108');
+ // add a site navigation item
+ $item = new ElggMenuItem('blog', elgg_echo('blog:blogs'), 'blog/all');
+ elgg_register_menu_item('site', $item);
- elgg_extend_view('css', 'blog/css');
+ elgg_register_event_handler('upgrade', 'upgrade', 'blog_run_upgrades');
- elgg_register_event_handler('pagesetup', 'system', 'blog_page_setup');
- register_page_handler('blog', 'blog_page_handler');
- register_entity_url_handler('blog_url_handler', 'object', 'blog');
+ // add to the main css
+ elgg_extend_view('css/elgg', 'blog/css');
- // notifications
- register_notification_object('object', 'blog', elgg_echo('blog:newpost'));
+ // register the blog's JavaScript
+ $blog_js = elgg_get_simplecache_url('js', 'blog/save_draft');
+ elgg_register_simplecache_view('js/blog/save_draft');
+ elgg_register_js('elgg.blog', $blog_js);
+
+ // routing of urls
+ elgg_register_page_handler('blog', 'blog_page_handler');
+
+ // override the default url to view a blog object
+ elgg_register_entity_url_handler('object', 'blog', 'blog_url_handler');
+
+ // notifications - need to register for unique event because of draft/published status
+ elgg_register_event_handler('publish', 'object', 'object_notifications');
elgg_register_plugin_hook_handler('notify:entity:message', 'object', 'blog_notify_message');
+ // add blog link to
+ elgg_register_plugin_hook_handler('register', 'menu:owner_block', 'blog_owner_block_menu');
+
// pingbacks
//elgg_register_event_handler('create', 'object', 'blog_incoming_ping');
//elgg_register_plugin_hook_handler('pingback:object:subtypes', 'object', 'blog_pingback_subtypes');
// Register for search.
- register_entity_type('object', 'blog');
+ elgg_register_entity_type('object', 'blog');
- //add_widget_type('blog', elgg_echo('blog'), elgg_echo('blog:widget:description'), 'profile, dashboard');
+ // Add group option
+ add_group_tool_option('blog', elgg_echo('blog:enableblog'), true);
+ elgg_extend_view('groups/tool_latest', 'blog/group_module');
- $action_path = dirname(__FILE__) . '/actions/blog';
+ // add a blog widget
+ elgg_register_widget_type('blog', elgg_echo('blog'), elgg_echo('blog:widget:description'));
- register_action('blog/save', FALSE, "$action_path/save.php");
- register_action('blog/auto_save_revision', FALSE, "$action_path/auto_save_revision.php");
- register_action('blog/delete', FALSE, "$action_path/delete.php");
+ // register actions
+ $action_path = elgg_get_plugins_path() . 'blog/actions/blog';
+ elgg_register_action('blog/save', "$action_path/save.php");
+ elgg_register_action('blog/auto_save_revision', "$action_path/auto_save_revision.php");
+ elgg_register_action('blog/delete', "$action_path/delete.php");
+
+ // entity menu
+ elgg_register_plugin_hook_handler('register', 'menu:entity', 'blog_entity_menu_setup');
// ecml
elgg_register_plugin_hook_handler('get_views', 'ecml', 'blog_ecml_views_hook');
-
- // Register profile menu hook
- elgg_register_plugin_hook_handler('profile_menu', 'profile', 'blog_profile_menu');
-}
-
-/**
- * Register entity class for object:blog -> ElggBlog
- */
-function blog_runonce() {
- if (!update_subtype('object', 'blog', 'ElggBlog')) {
- add_subtype('object', 'blog', 'ElggBlog');
- }
}
/**
* Dispatches blog pages.
- * To maintain URL backward compatibility, expects old-style URLs like:
- * pg/blog/[username/[read|edit|archive|new/[time_start|guid/[time_end|title]]]]
+ * URLs take the form of
+ * All blogs: blog/all
+ * User's blogs: blog/owner/<username>
+ * Friends' blog: blog/friends/<username>
+ * User's archives: blog/archives/<username>/<time_start>/<time_stop>
+ * Blog post: blog/view/<guid>/<title>
+ * New post: blog/add/<guid>
+ * Edit post: blog/edit/<guid>/<revision>
+ * Preview post: blog/preview/<guid>
+ * Group blog: blog/group/<guid>/all
*
- * Without a username, show all blogs
- * Without an action (read|edit|archive|new), forward to pg/blog/username/read.
- * Without a guid, show all post for that user.
* Title is ignored
*
- * If archive, uses time_start/end
- *
- * @todo There is no way to say "show me archive view for all blog posts" with the
- * current URL scheme because $param[0] is the username instead of an action.
- * Could do something hideous like make '*' mean "all users" (since a username can't be *).
- * Can't change the URL scheme because of URL compatibility.
+ * @todo no archives for all blogs or friends
*
* @param array $page
- * @return NULL
+ * @return bool
*/
function blog_page_handler($page) {
- global $CONFIG;
- // see if we're showing all or just a user's
- if (isset($page[0]) && !empty($page[0])) {
- $username = $page[0];
+ elgg_load_library('elgg:blog');
- // push breadcrumb
- elgg_push_breadcrumb(elgg_echo('blog:blogs'), "{$CONFIG->site->url}pg/blog");
+ // forward to correct URL for blog pages pre-1.8
+ blog_url_forwarder($page);
- // forward away if invalid user.
- if (!$user = get_user_by_username($username)) {
- register_error('blog:error:unknown_username');
- forward(REFERER);
- }
+ // push all blogs breadcrumb
+ elgg_push_breadcrumb(elgg_echo('blog:blogs'), "blog/all");
- set_page_owner($user->getGUID());
- $crumbs_title = elgg_echo('blog:owned_blogs', array($user->name));
- $crumbs_url = "{$CONFIG->site->url}pg/blog/$username/read";
- elgg_push_breadcrumb($crumbs_title, $crumbs_url);
-
- $action = isset($page[1]) ? $page[1] : FALSE;
- // yeah these are crap names, but they're used for different things.
- $page2 = isset($page[2]) ? $page[2] : FALSE;
- $page3 = isset($page[3]) ? $page[3] : FALSE;
-
- switch ($action) {
- case 'read':
- $title = elgg_echo('blog:title:user_blogs', array($user->name));
- $content_info = blog_get_page_content_read($user->getGUID(), $page2);
- break;
-
- case 'new':
- case 'edit':
- gatekeeper();
- $title = elgg_echo('blog:edit');
- $content_info = blog_get_page_content_edit($page2, $page3);
- break;
-
- case 'archive':
- $title = elgg_echo('blog:archives');
- $content_info = blog_get_page_content_archive($user->getGUID(), $page2, $page3);
- break;
-
- case 'friends':
- $title = elgg_echo('blog:title:friends');
- $content_info = blog_get_page_content_friends($user->getGUID());
- break;
-
- default:
- forward("pg/blog/$username/read/");
- break;
- }
- } else {
- $title = elgg_echo('blog:title:all_blogs');
- $content_info = blog_get_page_content_read();
+ if (!isset($page[0])) {
+ $page[0] = 'all';
}
- $sidebar = isset($content_info['sidebar']) ? $content_info['sidebar'] : '';
-
- $sidebar .= elgg_view('blog/sidebar_menu', array(
- 'page' => isset($page[1]) ? $page[1] : FALSE,
- ));
+ $page_type = $page[0];
+ switch ($page_type) {
+ case 'owner':
+ $user = get_user_by_username($page[1]);
+ if (!$user) {
+ forward('', '404');
+ }
+ $params = blog_get_page_content_list($user->guid);
+ break;
+ case 'friends':
+ $user = get_user_by_username($page[1]);
+ if (!$user) {
+ forward('', '404');
+ }
+ $params = blog_get_page_content_friends($user->guid);
+ break;
+ case 'archive':
+ $user = get_user_by_username($page[1]);
+ if (!$user) {
+ forward('', '404');
+ }
+ $params = blog_get_page_content_archive($user->guid, $page[2], $page[3]);
+ break;
+ case 'view':
+ $params = blog_get_page_content_read($page[1]);
+ break;
+ case 'read': // Elgg 1.7 compatibility
+ register_error(elgg_echo("changebookmark"));
+ forward("blog/view/{$page[1]}");
+ break;
+ case 'add':
+ gatekeeper();
+ $params = blog_get_page_content_edit($page_type, $page[1]);
+ break;
+ case 'edit':
+ gatekeeper();
+ $params = blog_get_page_content_edit($page_type, $page[1], $page[2]);
+ break;
+ case 'group':
+ $group = get_entity($page[1]);
+ if (!elgg_instanceof($group, 'group')) {
+ forward('', '404');
+ }
+ if (!isset($page[2]) || $page[2] == 'all') {
+ $params = blog_get_page_content_list($page[1]);
+ } else {
+ $params = blog_get_page_content_archive($page[1], $page[3], $page[4]);
+ }
+ break;
+ case 'all':
+ $params = blog_get_page_content_list();
+ break;
+ default:
+ return false;
+ }
- $content = elgg_view('navigation/breadcrumbs') . $content_info['content'];
+ if (isset($params['sidebar'])) {
+ $params['sidebar'] .= elgg_view('blog/sidebar', array('page' => $page_type));
+ } else {
+ $params['sidebar'] = elgg_view('blog/sidebar', array('page' => $page_type));
+ }
- $params = array(
- 'content' => $content,
- 'sidebar' => $sidebar,
- );
- $body = elgg_view_layout('one_column_with_sidebar', $params);
+ $body = elgg_view_layout('content', $params);
- echo elgg_view_page($title, $body);
+ echo elgg_view_page($params['title'], $body);
+ return true;
}
/**
- * Format and return the correct URL for blogs.
+ * Format and return the URL for blogs.
*
- * @param ElggObject $entity
+ * @param ElggObject $entity Blog object
* @return string URL of blog.
*/
function blog_url_handler($entity) {
- if (!$user = get_entity($entity->owner_guid)) {
+ if (!$entity->getOwnerEntity()) {
// default to a standard view if no owner.
return FALSE;
}
$friendly_title = elgg_get_friendly_title($entity->title);
- return "pg/blog/{$user->username}/read/{$entity->guid}/$friendly_title";
+ return "blog/view/{$entity->guid}/$friendly_title";
}
/**
- * Register blogs with ECML.
- *
- * @param unknown_type $hook
- * @param unknown_type $entity_type
- * @param unknown_type $return_value
- * @param unknown_type $params
+ * Add a menu item to an ownerblock
*/
-function blog_ecml_views_hook($hook, $entity_type, $return_value, $params) {
- $return_value['object/blog'] = elgg_echo('blog:blogs');
+function blog_owner_block_menu($hook, $type, $return, $params) {
+ if (elgg_instanceof($params['entity'], 'user')) {
+ $url = "blog/owner/{$params['entity']->username}";
+ $item = new ElggMenuItem('blog', elgg_echo('blog'), $url);
+ $return[] = $item;
+ } else {
+ if ($params['entity']->blog_enable != "no") {
+ $url = "blog/group/{$params['entity']->guid}/all";
+ $item = new ElggMenuItem('blog', elgg_echo('blog:group'), $url);
+ $return[] = $item;
+ }
+ }
- return $return_value;
+ return $return;
}
-function blog_profile_menu($hook, $entity_type, $return_value, $params) {
- global $CONFIG;
+/**
+ * Add particular blog links/info to entity menu
+ */
+function blog_entity_menu_setup($hook, $type, $return, $params) {
+ if (elgg_in_context('widgets')) {
+ return $return;
+ }
+
+ $entity = $params['entity'];
+ $handler = elgg_extract('handler', $params, false);
+ if ($handler != 'blog') {
+ return $return;
+ }
- if (!($params['owner'] instanceof ElggGroup)) {
- $return_value[] = array(
- 'text' => elgg_echo('blog'),
- 'href' => "pg/blog/{$params['owner']->username}/read",
+ if ($entity->status != 'published') {
+ // draft status replaces access
+ foreach ($return as $index => $item) {
+ if ($item->getName() == 'access') {
+ unset($return[$index]);
+ }
+ }
+
+ $status_text = elgg_echo("blog:status:{$entity->status}");
+ $options = array(
+ 'name' => 'published_status',
+ 'text' => "<span>$status_text</span>",
+ 'href' => false,
+ 'priority' => 150,
);
+ $return[] = ElggMenuItem::factory($options);
}
+ return $return;
+}
+
+/**
+ * Set the notification message body
+ *
+ * @param string $hook Hook name
+ * @param string $type Hook type
+ * @param string $message The current message body
+ * @param array $params Parameters about the blog posted
+ * @return string
+ */
+function blog_notify_message($hook, $type, $message, $params) {
+ $entity = $params['entity'];
+ $to_entity = $params['to_entity'];
+ $method = $params['method'];
+ if (elgg_instanceof($entity, 'object', 'blog')) {
+ $descr = $entity->excerpt;
+ $title = $entity->title;
+ $owner = $entity->getOwnerEntity();
+ return elgg_echo('blog:notification', array(
+ $owner->name,
+ $title,
+ $descr,
+ $entity->getURL()
+ ));
+ }
+ return null;
+}
+
+/**
+ * Register blogs with ECML.
+ */
+function blog_ecml_views_hook($hook, $entity_type, $return_value, $params) {
+ $return_value['object/blog'] = elgg_echo('blog:blogs');
+
return $return_value;
}
-elgg_register_event_handler('init', 'system', 'blog_init');
+/**
+ * Upgrade from 1.7 to 1.8.
+ */
+function blog_run_upgrades($event, $type, $details) {
+ $blog_upgrade_version = elgg_get_plugin_setting('upgrade_version', 'blogs');
+
+ if (!$blog_upgrade_version) {
+ // When upgrading, check if the ElggBlog class has been registered as this
+ // was added in Elgg 1.8
+ if (!update_subtype('object', 'blog', 'ElggBlog')) {
+ add_subtype('object', 'blog', 'ElggBlog');
+ }
+
+ elgg_set_plugin_setting('upgrade_version', 1, 'blogs');
+ }
+}
diff --git a/mod/blog/views/default/blog/css.php b/mod/blog/views/default/blog/css.php
index 7578f5955..12ac4df2a 100644
--- a/mod/blog/views/default/blog/css.php
+++ b/mod/blog/views/default/blog/css.php
@@ -5,42 +5,10 @@
* @package Blog
*/
?>
-.blogpost {
- border-bottom:1px dotted #CCCCCC;
-}
-.blogpost .entity_listing_icon {
- margin-top:6px;
-}
-.blogpost .entity_listing_info {
- margin-top:4px;
-}
-.blog_post {
- border-top:1px solid #CCCCCC;
- margin:10px 0 0;
- padding-bottom:40px;
- padding-top:10px;
-}
-.blog_post p {
- line-height: 1.4em;
- padding-bottom:12px;
-}
-.blog_archives {
- list-style: none;
- margin-bottom:0;
- padding-left:0;
-}
-/* blogs list view */
-.entity_listing.blog .entity_metadata {
- min-width:400px;
- text-align: right;
-}
+/* Blog Plugin */
-/* blogs edit/create form */
-form#blog_post_edit .submit_button {
- margin-right:15px;
-}
/* force tinymce input height for a more useful editing / blog creation area */
-form#blog_post_edit #description_parent #description_ifr {
+form#blog-post-edit #description_parent #description_ifr {
height:400px !important;
-} \ No newline at end of file
+}
diff --git a/mod/blog/views/default/blog/forms/edit.php b/mod/blog/views/default/blog/forms/edit.php
deleted file mode 100644
index 60012dac6..000000000
--- a/mod/blog/views/default/blog/forms/edit.php
+++ /dev/null
@@ -1,316 +0,0 @@
-<?php
-/**
- * Edit blog form
- *
- * @package Blog
- */
-
-// input names => defaults
-$values = array(
- 'title' => NULL,
- 'description' => NULL,
- 'status' => 'published',
- 'publish_date' => NULL,
- 'access_id' => ACCESS_DEFAULT,
- 'comments_on' => 'On',
- 'excerpt' => NULL,
- 'tags' => NULL,
- 'container_guid' => NULL,
- 'guid' => NULL
-);
-
-$forward = $_SERVER['HTTP_REFERER'];
-
-$action_buttons = '';
-$delete_link = '';
-$draft_warning = '';
-
-// if entity is set, we're editing.
-if (isset ($vars['entity'])) {
- $blog = $vars['entity'];
-
- if (elgg_instanceof($blog, 'object', 'blog')) {
- // passed in values override sticky values in input views
- // if in a sticky form, don't send the overrides and let the view figure it out.
- //if (!elgg_is_sticky_form()) {
- foreach (array_keys($values) as $field) {
- $values[$field] = $blog->$field;
- }
-
- // load the revision annotation if requested
- if (isset($vars['revision']) && $vars['revision'] instanceof ElggAnnotation && $vars['revision']->entity_guid == $blog->getGUID()) {
- $revision = $vars['revision'];
- $values['description'] = $vars['revision']->value;
- }
-
- // display a notice if there's an autosaved annotation
- // and we're not editing it.
- if ($auto_save_annotations = $blog->getAnnotations('blog_auto_save', 1)) {
- $auto_save = $auto_save_annotations[0];
- } else {
- $auto_save == FALSE;
- }
-
- if ($auto_save && $auto_save->id != $revision->id) {
- $draft_warning = '<span class="message warning">'
- . elgg_echo('blog:messages:warning:draft')
- . '</span>';
- }
-
- //}
- } else {
- echo elgg_echo('blog:error:post_not_found');
- return FALSE;
- }
-
- // add a delete button if editing
- $delete_url = "action/blog/delete?guid={$blog->getGUID()}";
- $delete_link = elgg_view('output/confirmlink', array(
- 'href' => $delete_url,
- 'text' => elgg_echo('delete'),
- 'class' => 'action_button disabled'
- ));
-}
-
-$save_button = elgg_view('input/submit', array('value' => elgg_echo('save')));
-$action_buttons = $save_button . $delete_link;
-
-$title_label = elgg_echo('title');
-$title_input = elgg_view('input/text', array(
- 'internalname' => 'title',
- 'internalid' => 'blog_title',
- 'value' => $values['title']
-));
-
-$excerpt_label = elgg_echo('blog:excerpt');
-$excerpt_input = elgg_view('input/text', array(
- 'internalname' => 'excerpt',
- 'internalid' => 'blog_excerpt',
- 'value' => html_entity_decode($values['excerpt'], ENT_COMPAT, 'UTF-8')
-));
-
-$body_label = elgg_echo('blog:body');
-$body_input = elgg_view('input/longtext', array(
- 'internalname' => 'description',
- 'internalid' => 'blog_description',
- 'value' => $values['description']
-));
-
-$save_status = elgg_echo('blog:save_status');
-if ($values['publish_date']) {
- $saved = date('F j, Y @ H:i', $values['publish_date']);
-} else {
- $saved = elgg_echo('blog:never');
-}
-
-$status_label = elgg_echo('blog:status');
-$status_input = elgg_view('input/pulldown', array(
- 'internalname' => 'status',
- 'internalid' => 'blog_status',
- 'value' => $values['status'],
- 'options_values' => array(
- 'draft' => elgg_echo('blog:status:draft'),
- 'published' => elgg_echo('blog:status:published')
- )
-));
-
-$comments_label = elgg_echo('comments');
-$comments_input = elgg_view('input/pulldown', array(
- 'internalname' => 'comments_on',
- 'internalid' => 'blog_comments_on',
- 'value' => $values['comments_on'],
- 'options_values' => array('On' => elgg_echo('on'), 'Off' => elgg_echo('off'))
-));
-
-$tags_label = elgg_echo('tags');
-$tags_input = elgg_view('input/tags', array(
- 'internalname' => 'tags',
- 'internalid' => 'blog_tags',
- 'value' => $values['tags']
-));
-
-$access_label = elgg_echo('access');
-$access_input = elgg_view('input/access', array(
- 'internalname' => 'access_id',
- 'internalid' => 'blog_access_id',
- 'value' => $values['access_id']
-));
-
-$publish_date_label = elgg_echo('blog:publish_date');
-$publish_date_input = elgg_view('input/datetime', array(
- 'internalname' => 'publish_date',
- 'internalid' => 'blog_publish_date',
- 'value' => $values['publish_date']
-));
-
-$categories_input = elgg_view('categories', $vars);
-
-// hidden inputs
-//$container_guid_input = elgg_view('input/hidden', array('internalname' => 'container_guid', 'value' => $values['container_guid']));
-$guid_input = elgg_view('input/hidden', array('internalname' => 'guid', 'value' => $values['guid']));
-$forward_input = elgg_view('input/hidden', array('internalname' => 'forward', 'value' => $forward));
-
-// editing or creating.
-if (isset($values['guid'])) {
- $page_title = elgg_echo('blog:edit') . ": \"{$values['title']}\"";
-} else {
- $page_title = elgg_echo('blog:new');
-}
-
-// display notice if editing an old revision
-if (isset($vars['revision']) && $vars['revision'] instanceof ElggAnnotation) {
- $page_title .= ' ' . elgg_echo('blog:edit_revision_notice');
-}
-
-$form_body = <<<___END
-<h2>$page_title</h2>
-
-$draft_warning
-
-<p class="margin_top">
- <label for="blog_title">$title_label</label>
- $title_input
-</p>
-
-<p>
- <label for="blog_excerpt">$excerpt_label</label>
-$excerpt_input
-</p>
-
-<label for="blog_description">$body_label</label>
-$body_input
-<br />
-
-<p>
- <label for="blog_tags">$tags_label</label>
- $tags_input
-</p>
-
-<p>
- <label for="blog_comments_on">$comments_label</label>
- $comments_input
-</p>
-
-<p>
- <label for="blog_access_id">$access_label</label>
- $access_input
-</p>
-
-<p>
- <label for="blog_status">$status_label</label>
- $status_input
-</p>
-
-$categories_input
-
-<div class="divider"></div>
-<p class="margin_none margin_top entity_subtext">
- $save_status <span class="blog_save_status_time">$saved</span>
-</p>
-
-$guid_input
-$container_guid_input
-$forward_input
-
-$action_buttons
-
-___END;
-
-echo elgg_view('input/form', array(
- 'internalid' => 'blog_post_edit',
- 'internalname' => 'blog_post',
- 'action' => "action/blog/save",
- 'body' => $form_body
-));
-
-elgg_clear_sticky_form('blog');
-
-?>
-
-<script type="text/javascript">
- setInterval("blogSaveDraft()", 60000);
-
- /*
- * Attempt to save and update the input with the guid.
- */
- function blogSaveDraftCallback(data, textStatus, XHR) {
- if (textStatus == 'success' && data.success == true) {
- var form = $('form[name=blog_post]');
-
- // update the guid input element for new posts that now have a guid
- form.find('input[name=guid]').val(data.guid);
-
- oldDescription = form.find('textarea[name=description]').val();
-
- var d = new Date();
- var mins = d.getMinutes() + '';
- if (mins.length == 1) mins = '0' + mins;
- $(".blog_save_status_time").html(d.toLocaleDateString() + " @ " + d.getHours() + ":" + mins);
- } else {
- $(".blog_save_status_time").html("<?php echo elgg_echo('error'); ?>");
- }
- }
-
- function blogSaveDraft() {
- if (typeof(tinyMCE) != 'undefined') {
- tinyMCE.triggerSave();
- }
-
- // only save on changed content
- var form = $('form[name=blog_post]');
- var description = form.find('textarea[name=description]').val();
- var title = form.find('input[name=title]').val();
-
- if (!(description && title) || (description == oldDescription)) {
- return false;
- }
-
- var draftURL = "<?php echo elgg_get_site_url(); ?>action/blog/auto_save_revision";
- var postData = form.serializeArray();
-
- // force draft status
- $(postData).each(function(i, e) {
- if (e.name == 'status') {
- e.value = 'draft';
- }
- });
-
- $.post(draftURL, postData, blogSaveDraftCallback, 'json');
- }
-
- $(document).ready(function() {
- // get a copy of the body to compare for auto save
- oldDescription = $('form[name=blog_post]').find('textarea[name=description]').val();
-
-/* we don't seems to be using the text counter anymore锟
- $('#excerpt.excerpt').each(function(){
- var allowed = 200;
-
- // set the initial value
- $('#countervalue').text(allowed);
-
- // bind on key up event
- $(this).keyup(function(){
- var counter_value = ((allowed - ($(this).val().length)));
-
- $("#countervalue").removeClass();
-
- if ((counter_value > 10)) {
- $("#countervalue").addClass("positive");
- }
- else if ((counter_value <= 10) && (counter_value >= 0)) {
- $("#countervalue").addClass("gettingclose");
- }
- else if ((counter_value < 0)) {
- $("#countervalue").addClass("negative");
- }
-
- // insert new length
- $('#countervalue').text(counter_value);
-
- });
- });
-*/
- });
-
-</script> \ No newline at end of file
diff --git a/mod/blog/views/default/blog/group_module.php b/mod/blog/views/default/blog/group_module.php
new file mode 100644
index 000000000..6082cdafd
--- /dev/null
+++ b/mod/blog/views/default/blog/group_module.php
@@ -0,0 +1,46 @@
+<?php
+/**
+ * Group blog module
+ */
+
+$group = elgg_get_page_owner_entity();
+
+if ($group->blog_enable == "no") {
+ return true;
+}
+
+$all_link = elgg_view('output/url', array(
+ 'href' => "blog/group/$group->guid/all",
+ 'text' => elgg_echo('link:view:all'),
+ 'is_trusted' => true,
+));
+
+elgg_push_context('widgets');
+$options = array(
+ 'type' => 'object',
+ 'subtype' => 'blog',
+ 'container_guid' => elgg_get_page_owner_guid(),
+ 'metadata_name_value_pairs' => array('name' => 'status', 'value' => 'published'),
+ 'limit' => 6,
+ 'full_view' => false,
+ 'pagination' => false,
+);
+$content = elgg_list_entities_from_metadata($options);
+elgg_pop_context();
+
+if (!$content) {
+ $content = '<p>' . elgg_echo('blog:none') . '</p>';
+}
+
+$new_link = elgg_view('output/url', array(
+ 'href' => "blog/add/$group->guid",
+ 'text' => elgg_echo('blog:write'),
+ 'is_trusted' => true,
+));
+
+echo elgg_view('groups/profile/module', array(
+ 'title' => elgg_echo('blog:group'),
+ 'content' => $content,
+ 'all_link' => $all_link,
+ 'add_link' => $new_link,
+));
diff --git a/mod/blog/views/default/blog/sidebar.php b/mod/blog/views/default/blog/sidebar.php
new file mode 100644
index 000000000..0ae2b431c
--- /dev/null
+++ b/mod/blog/views/default/blog/sidebar.php
@@ -0,0 +1,30 @@
+<?php
+/**
+ * Blog sidebar
+ *
+ * @package Blog
+ */
+
+// fetch & display latest comments
+if ($vars['page'] == 'all') {
+ echo elgg_view('page/elements/comments_block', array(
+ 'subtypes' => 'blog',
+ ));
+} elseif ($vars['page'] == 'owner') {
+ echo elgg_view('page/elements/comments_block', array(
+ 'subtypes' => 'blog',
+ 'owner_guid' => elgg_get_page_owner_guid(),
+ ));
+}
+
+// only users can have archives at present
+if ($vars['page'] == 'owner' || $vars['page'] == 'group') {
+ echo elgg_view('blog/sidebar/archives', $vars);
+}
+
+if ($vars['page'] != 'friends') {
+ echo elgg_view('page/elements/tagcloud_block', array(
+ 'subtypes' => 'blog',
+ 'owner_guid' => elgg_get_page_owner_guid(),
+ ));
+}
diff --git a/mod/blog/views/default/blog/sidebar/archives.php b/mod/blog/views/default/blog/sidebar/archives.php
new file mode 100644
index 000000000..5098e6e3e
--- /dev/null
+++ b/mod/blog/views/default/blog/sidebar/archives.php
@@ -0,0 +1,34 @@
+<?php
+/**
+ * Blog archives
+ */
+
+$loggedin_user = elgg_get_logged_in_user_entity();
+$page_owner = elgg_get_page_owner_entity();
+
+if (elgg_instanceof($page_owner, 'user')) {
+ $url_segment = 'blog/archive/' . $page_owner->username;
+} else {
+ $url_segment = 'blog/group/' . $page_owner->getGUID() . '/archive';
+}
+
+// This is a limitation of the URL schema.
+if ($page_owner && $vars['page'] != 'friends') {
+ $dates = array_reverse(get_entity_dates('object', 'blog', $page_owner->getGUID()));
+
+ if ($dates) {
+ $title = elgg_echo('blog:archives');
+ $content = '<ul class="blog-archives">';
+ foreach ($dates as $date) {
+ $timestamplow = mktime(0, 0, 0, substr($date,4,2) , 1, substr($date, 0, 4));
+ $timestamphigh = mktime(0, 0, 0, ((int) substr($date, 4, 2)) + 1, 1, substr($date, 0, 4));
+
+ $link = elgg_get_site_url() . $url_segment . '/' . $timestamplow . '/' . $timestamphigh;
+ $month = elgg_echo('date:month:' . substr($date, 4, 2), array(substr($date, 0, 4)));
+ $content .= "<li><a href=\"$link\" title=\"$month\">$month</a></li>";
+ }
+ $content .= '</ul>';
+
+ echo elgg_view_module('aside', $title, $content);
+ }
+} \ No newline at end of file
diff --git a/mod/blog/views/default/blog/sidebar/revisions.php b/mod/blog/views/default/blog/sidebar/revisions.php
new file mode 100644
index 000000000..cd2e7f3d8
--- /dev/null
+++ b/mod/blog/views/default/blog/sidebar/revisions.php
@@ -0,0 +1,79 @@
+<?php
+/**
+ * Blog sidebar menu showing revisions
+ *
+ * @package Blog
+ */
+
+//If editing a post, show the previous revisions and drafts.
+$blog = elgg_extract('entity', $vars, FALSE);
+
+if (elgg_instanceof($blog, 'object', 'blog') && $blog->canEdit()) {
+ $owner = $blog->getOwnerEntity();
+ $revisions = array();
+
+ $auto_save_annotations = $blog->getAnnotations('blog_auto_save', 1);
+ if ($auto_save_annotations) {
+ $revisions[] = $auto_save_annotations[0];
+ }
+
+ // count(FALSE) == 1! AHHH!!!
+ $saved_revisions = $blog->getAnnotations('blog_revision', 10, 0, 'time_created DESC');
+ if ($saved_revisions) {
+ $revision_count = count($saved_revisions);
+ } else {
+ $revision_count = 0;
+ }
+
+ $revisions = array_merge($revisions, $saved_revisions);
+
+ if ($revisions) {
+ $title = elgg_echo('blog:revisions');
+
+ $n = count($revisions);
+ $body = '<ul class="blog-revisions">';
+
+ $load_base_url = "blog/edit/{$blog->getGUID()}";
+
+ // show the "published revision"
+ if ($blog->status == 'published') {
+ $load = elgg_view('output/url', array(
+ 'href' => $load_base_url,
+ 'text' => elgg_echo('blog:status:published'),
+ 'is_trusted' => true,
+ ));
+
+ $time = "<span class='elgg-subtext'>"
+ . elgg_view_friendly_time($blog->time_created) . "</span>";
+
+ $body .= "<li>$load : $time</li>";
+ }
+
+ foreach ($revisions as $revision) {
+ $time = "<span class='elgg-subtext'>"
+ . elgg_view_friendly_time($revision->time_created) . "</span>";
+
+ if ($revision->name == 'blog_auto_save') {
+ $revision_lang = elgg_echo('blog:auto_saved_revision');
+ } else {
+ $revision_lang = elgg_echo('blog:revision') . " $n";
+ }
+ $load = elgg_view('output/url', array(
+ 'href' => "$load_base_url/$revision->id",
+ 'text' => $revision_lang,
+ 'is_trusted' => true,
+ ));
+
+ $text = "$load: $time";
+ $class = 'class="auto-saved"';
+
+ $n--;
+
+ $body .= "<li $class>$text</li>";
+ }
+
+ $body .= '</ul>';
+
+ echo elgg_view_module('aside', $title, $body);
+ }
+} \ No newline at end of file
diff --git a/mod/blog/views/default/blog/sidebar_edit.php b/mod/blog/views/default/blog/sidebar_edit.php
deleted file mode 100644
index 9b56f9a73..000000000
--- a/mod/blog/views/default/blog/sidebar_edit.php
+++ /dev/null
@@ -1,61 +0,0 @@
-<?php
-/**
- * Blog sidebar menu for editing / creating a blog post.
- *
- * @package Blog
- */
-
-//If editing a post, show the previous revisions and drafts.
-$blog_guid = isset($vars['blog_guid']) ? $vars['blog_guid'] : FALSE;
-$blog = get_entity($blog_guid);
-
-if (elgg_instanceof($blog, 'object', 'blog') && $blog->canEdit()) {
- $revisions = array();
- if ($auto_save_annotations = $blog->getAnnotations('blog_auto_save', 1)) {
- $revisions[] = $auto_save_annotations[0];
- }
-
- // count(FALSE) == 1! AHHH!!!
- if ($saved_revisions = $blog->getAnnotations('blog_revision', 10, 0, 'time_created DESC')) {
- $revision_count = count($saved_revisions);
- } else {
- $revision_count = 0;
- }
-
- $revisions = array_merge($revisions, $saved_revisions);
-}
-
-if ($revisions) {
- echo '<ul class="blog_revisions">';
- $load_base_url = "pg/blog/{$owner->username}/edit/{$blog->getGUID()}/";
-
- foreach ($revisions as $revision) {
- $time = elgg_view_friendly_time($revision->time_created);
- $load = elgg_view('output/url', array(
- 'href' => $load_base_url . $revision->id,
- 'text' => elgg_echo('load')
- ));
-
-
- if ($revision->name == 'blog_auto_save') {
- $name = elgg_echo('blog:auto_saved_revision');
- $text = "$name: $time $load";
- $class = 'class="auto_saved"';
- } else {
- $name = elgg_echo('blog:revision');
- $text = "$name: $time $load";
- $class = 'class="auto_saved"';
-
- $revision_count--;
- }
-
- echo <<<___END
-<li $class>
-$text
-</li>
-
-___END;
- }
-
- echo '</ul>';
-} \ No newline at end of file
diff --git a/mod/blog/views/default/blog/sidebar_menu.php b/mod/blog/views/default/blog/sidebar_menu.php
deleted file mode 100644
index 120237ac5..000000000
--- a/mod/blog/views/default/blog/sidebar_menu.php
+++ /dev/null
@@ -1,65 +0,0 @@
-<?php
-/**
- * Blog sidebar menu.
- *
- * @package Blog
- */
-
-// a few cases to consider:
-// 1. looking at all posts
-// 2. looking at a user's post
-// 3. looking at your posts
-
-/*
-Logged in or not doesn't matter unless you're looking at your blog.
- Does it matter then on the side bar?
-
-All blogs:
- Archives
-
-Owned blogs;
- Archives
-*/
-
-$loggedin_user = get_loggedin_user();
-$page_owner = elgg_get_page_owner();
-
-// include a view for plugins to extend
-echo elgg_view("blogs/sidebar", array("object_type" => 'blog'));
-
-// fetch & display latest comments on all blog posts
-$comments = get_annotations(0, "object", "blog", "generic_comment", "", 0, 4, 0, "desc");
-echo elgg_view('annotation/latest_comments', array('comments' => $comments));
-
-// only show archives for users or groups.
-// This is a limitation of the URL schema.
-if ($page_owner) {
- $dates = blog_get_blog_months($user);
-
- if ($dates) {
- echo "<h3>" . elgg_echo('blog:archives') . "</h3>";
- echo '<ul class="blog_archives">';
- foreach($dates as $date) {
- $date = $date->yearmonth;
-
- $timestamplow = mktime(0, 0, 0, substr($date,4,2) , 1, substr($date, 0, 4));
- $timestamphigh = mktime(0, 0, 0, ((int) substr($date, 4, 2)) + 1, 1, substr($date, 0, 4));
-
- if (!isset($page_owner)) {
- $page_owner = elgg_get_page_owner();
- }
-
- $link = elgg_get_site_url() . 'pg/blog/' . $page_owner->username . '/archive/' . $timestamplow . '/' . $timestamphigh;
- $month = elgg_echo('date:month:' . substr($date, 4, 2), array(substr($date, 0, 4)));
- echo "<li><a href=\"$link\" title=\"$month\">$month</a></li>";
- }
-
- echo '</ul>';
- }
-
- // friends page lists all tags; mine lists owner's
- $owner_guid = ($vars['page'] == 'friends') ? '' : $page_owner->getGUID();
- echo display_tagcloud(0, 50, 'tags', 'object', 'blog', $owner_guid);
-} else {
- echo display_tagcloud(0, 50, 'tags', 'object', 'blog');
-}
diff --git a/mod/blog/views/default/blog/sidebar_revisions.php b/mod/blog/views/default/blog/sidebar_revisions.php
deleted file mode 100644
index e53ba9e52..000000000
--- a/mod/blog/views/default/blog/sidebar_revisions.php
+++ /dev/null
@@ -1,77 +0,0 @@
-<?php
-/**
- * Blog sidebar menu showing revisions
- *
- * @package Blog
- */
-
-//If editing a post, show the previous revisions and drafts.
-$blog = isset($vars['entity']) ? $vars['entity'] : FALSE;
-
-if (elgg_instanceof($blog, 'object', 'blog') && $blog->canEdit()) {
- $owner = $blog->getOwnerEntity();
- $revisions = array();
-
- if ($auto_save_annotations = $blog->getAnnotations('blog_auto_save', 1)) {
- $revisions[] = $auto_save_annotations[0];
- }
-
- // count(FALSE) == 1! AHHH!!!
- if ($saved_revisions = $blog->getAnnotations('blog_revision', 10, 0, 'time_created DESC')) {
- $revision_count = count($saved_revisions);
- } else {
- $revision_count = 0;
- }
-
- $revisions = array_merge($revisions, $saved_revisions);
-
- if ($revisions) {
- echo '<h3>' . elgg_echo('blog:revisions') . '</h3>';
-
- $n = count($revisions);
- echo '<ul class="blog_revisions">';
-
- $load_base_url = "pg/blog/{$owner->username}/edit/{$blog->getGUID()}/";
-
- // show the "published revision"
- if ($blog->status == 'published') {
- $load = elgg_view('output/url', array(
- 'href' => $load_base_url,
- 'text' => elgg_echo('blog:status:published')
- ));
-
- $time = "<span class='entity_subtext'>".elgg_view_friendly_time($blog->publish_date)."</span>";
-
- echo '<li>
- ' . $load . ": $time
- </li>";
- }
-
- foreach ($revisions as $revision) {
- $time = "<span class='entity_subtext'>".elgg_view_friendly_time($revision->time_created)."</span>";
- if ($revision->name == 'blog_auto_save') {
- $revision_lang = elgg_echo('blog:auto_saved_revision');
- } else {
- $revision_lang = elgg_echo('blog:revision') . " $n";
- }
- $load = elgg_view('output/url', array(
- 'href' => $load_base_url . $revision->id,
- 'text' => $revision_lang
- ));
-
- $text = "$load: $time";
- $class = 'class="auto_saved"';
-
- $n--;
-
- echo <<<___END
-<li $class>
-$text
-</li>
-
-___END;
- }
-
- echo '</ul>';
- }
-} \ No newline at end of file
diff --git a/mod/blog/views/default/forms/blog/save.php b/mod/blog/views/default/forms/blog/save.php
new file mode 100644
index 000000000..f825acca1
--- /dev/null
+++ b/mod/blog/views/default/forms/blog/save.php
@@ -0,0 +1,166 @@
+<?php
+/**
+ * Edit blog form
+ *
+ * @package Blog
+ */
+
+$blog = get_entity($vars['guid']);
+$vars['entity'] = $blog;
+
+$draft_warning = $vars['draft_warning'];
+if ($draft_warning) {
+ $draft_warning = '<span class="mbm elgg-text-help">' . $draft_warning . '</span>';
+}
+
+$action_buttons = '';
+$delete_link = '';
+$preview_button = '';
+
+if ($vars['guid']) {
+ // add a delete button if editing
+ $delete_url = "action/blog/delete?guid={$vars['guid']}";
+ $delete_link = elgg_view('output/confirmlink', array(
+ 'href' => $delete_url,
+ 'text' => elgg_echo('delete'),
+ 'class' => 'elgg-button elgg-button-delete float-alt'
+ ));
+}
+
+// published blogs do not get the preview button
+if (!$vars['guid'] || ($blog && $blog->status != 'published')) {
+ $preview_button = elgg_view('input/submit', array(
+ 'value' => elgg_echo('preview'),
+ 'name' => 'preview',
+ 'class' => 'mls',
+ ));
+}
+
+$save_button = elgg_view('input/submit', array(
+ 'value' => elgg_echo('save'),
+ 'name' => 'save',
+));
+$action_buttons = $save_button . $preview_button . $delete_link;
+
+$title_label = elgg_echo('title');
+$title_input = elgg_view('input/text', array(
+ 'name' => 'title',
+ 'id' => 'blog_title',
+ 'value' => $vars['title']
+));
+
+$excerpt_label = elgg_echo('blog:excerpt');
+$excerpt_input = elgg_view('input/text', array(
+ 'name' => 'excerpt',
+ 'id' => 'blog_excerpt',
+ 'value' => _elgg_html_decode($vars['excerpt'])
+));
+
+$body_label = elgg_echo('blog:body');
+$body_input = elgg_view('input/longtext', array(
+ 'name' => 'description',
+ 'id' => 'blog_description',
+ 'value' => $vars['description']
+));
+
+$save_status = elgg_echo('blog:save_status');
+if ($vars['guid']) {
+ $entity = get_entity($vars['guid']);
+ $saved = date('F j, Y @ H:i', $entity->time_created);
+} else {
+ $saved = elgg_echo('blog:never');
+}
+
+$status_label = elgg_echo('blog:status');
+$status_input = elgg_view('input/dropdown', array(
+ 'name' => 'status',
+ 'id' => 'blog_status',
+ 'value' => $vars['status'],
+ 'options_values' => array(
+ 'draft' => elgg_echo('blog:status:draft'),
+ 'published' => elgg_echo('blog:status:published')
+ )
+));
+
+$comments_label = elgg_echo('comments');
+$comments_input = elgg_view('input/dropdown', array(
+ 'name' => 'comments_on',
+ 'id' => 'blog_comments_on',
+ 'value' => $vars['comments_on'],
+ 'options_values' => array('On' => elgg_echo('on'), 'Off' => elgg_echo('off'))
+));
+
+$tags_label = elgg_echo('tags');
+$tags_input = elgg_view('input/tags', array(
+ 'name' => 'tags',
+ 'id' => 'blog_tags',
+ 'value' => $vars['tags']
+));
+
+$access_label = elgg_echo('access');
+$access_input = elgg_view('input/access', array(
+ 'name' => 'access_id',
+ 'id' => 'blog_access_id',
+ 'value' => $vars['access_id']
+));
+
+$categories_input = elgg_view('input/categories', $vars);
+
+// hidden inputs
+$container_guid_input = elgg_view('input/hidden', array('name' => 'container_guid', 'value' => elgg_get_page_owner_guid()));
+$guid_input = elgg_view('input/hidden', array('name' => 'guid', 'value' => $vars['guid']));
+
+
+echo <<<___HTML
+
+$draft_warning
+
+<div>
+ <label for="blog_title">$title_label</label>
+ $title_input
+</div>
+
+<div>
+ <label for="blog_excerpt">$excerpt_label</label>
+ $excerpt_input
+</div>
+
+<div>
+ <label for="blog_description">$body_label</label>
+ $body_input
+</div>
+
+<div>
+ <label for="blog_tags">$tags_label</label>
+ $tags_input
+</div>
+
+$categories_input
+
+<div>
+ <label for="blog_comments_on">$comments_label</label>
+ $comments_input
+</div>
+
+<div>
+ <label for="blog_access_id">$access_label</label>
+ $access_input
+</div>
+
+<div>
+ <label for="blog_status">$status_label</label>
+ $status_input
+</div>
+
+<div class="elgg-foot">
+ <div class="elgg-subtext mbm">
+ $save_status <span class="blog-save-status-time">$saved</span>
+ </div>
+
+ $guid_input
+ $container_guid_input
+
+ $action_buttons
+</div>
+
+___HTML;
diff --git a/mod/blog/views/default/input/datetime.php b/mod/blog/views/default/input/datetime.php
deleted file mode 100644
index 5586464f1..000000000
--- a/mod/blog/views/default/input/datetime.php
+++ /dev/null
@@ -1,46 +0,0 @@
-<?php
-/**
- * A date-time view for the blog publication date.
- */
-
-// default date to current time
-$publish_date = ($vars['value']) ? $vars['value'] : time();
-
-$months = array();
-for ($i=1; $i <= 12; $i++) {
- $value = str_pad($i, 2, '0', STR_PAD_LEFT);
- $months[$value] = date('M', strtotime("$value/01/2010"));
-}
-
-$month = elgg_view('input/pulldown', array(
- 'internalname' => 'publish_month',
- 'value' => date('m', $publish_date),
- 'options_values' => $months,
- 'class' => 'blog_publish_month',
-));
-
-$day = elgg_view('input/text', array(
- 'internalname' => 'publish_day',
- 'value' => date('d', $publish_date),
- 'class' => 'blog_publish_day',
-));
-
-$year = elgg_view('input/text', array(
- 'internalname' => 'publish_year',
- 'value' => date('Y', $publish_date),
- 'class' => 'blog_publish_year',
-));
-
-$hour = elgg_view('input/text', array(
- 'internalname' => 'publish_hour',
- 'value' => date('H', $publish_date),
- 'class' => 'blog_publish_hour',
-));
-
-$minute = elgg_view('input/text', array(
- 'internalname' => 'publish_minute',
- 'value' => date('i', $publish_date),
- 'class' => 'blog_publish_minute',
-));
-
-echo "$month $day, $year @ $hour:$minute";
diff --git a/mod/blog/views/default/js/blog/save_draft.php b/mod/blog/views/default/js/blog/save_draft.php
new file mode 100644
index 000000000..8cd07ff5d
--- /dev/null
+++ b/mod/blog/views/default/js/blog/save_draft.php
@@ -0,0 +1,67 @@
+<?php
+/**
+ * Save draft through ajax
+ *
+ * @package Blog
+ */
+?>
+elgg.provide('elgg.blog');
+
+/*
+ * Attempt to save and update the input with the guid.
+ */
+elgg.blog.saveDraftCallback = function(data, textStatus, XHR) {
+ if (textStatus == 'success' && data.success == true) {
+ var form = $('form[id=blog-post-edit]');
+
+ // update the guid input element for new posts that now have a guid
+ form.find('input[name=guid]').val(data.guid);
+
+ oldDescription = form.find('textarea[name=description]').val();
+
+ var d = new Date();
+ var mins = d.getMinutes() + '';
+ if (mins.length == 1) {
+ mins = '0' + mins;
+ }
+ $(".blog-save-status-time").html(d.toLocaleDateString() + " @ " + d.getHours() + ":" + mins);
+ } else {
+ $(".blog-save-status-time").html(elgg.echo('error'));
+ }
+};
+
+elgg.blog.saveDraft = function() {
+ if (typeof(tinyMCE) != 'undefined') {
+ tinyMCE.triggerSave();
+ }
+
+ // only save on changed content
+ var form = $('form[id=blog-post-edit]');
+ var description = form.find('textarea[name=description]').val();
+ var title = form.find('input[name=title]').val();
+
+ if (!(description && title) || (description == oldDescription)) {
+ return false;
+ }
+
+ var draftURL = elgg.config.wwwroot + "action/blog/auto_save_revision";
+ var postData = form.serializeArray();
+
+ // force draft status
+ $(postData).each(function(i, e) {
+ if (e.name == 'status') {
+ e.value = 'draft';
+ }
+ });
+
+ $.post(draftURL, postData, elgg.blog.saveDraftCallback, 'json');
+};
+
+elgg.blog.init = function() {
+ // get a copy of the body to compare for auto save
+ oldDescription = $('form[id=blog-post-edit]').find('textarea[name=description]').val();
+
+ setInterval(elgg.blog.saveDraft, 60000);
+};
+
+elgg.register_hook_handler('init', 'system', elgg.blog.init); \ No newline at end of file
diff --git a/mod/blog/views/default/object/blog.php b/mod/blog/views/default/object/blog.php
index 7f91ae608..4403a6006 100644
--- a/mod/blog/views/default/object/blog.php
+++ b/mod/blog/views/default/object/blog.php
@@ -5,119 +5,95 @@
* @package Blog
*/
-$full = (isset($vars['full'])) ? $vars['full'] : FALSE;
-$blog = (isset($vars['entity'])) ? $vars['entity'] : FALSE;
+$full = elgg_extract('full_view', $vars, FALSE);
+$blog = elgg_extract('entity', $vars, FALSE);
if (!$blog) {
- return '';
+ return TRUE;
}
-$owner = get_entity($blog->owner_guid);
-$container = get_entity($blog->container_guid);
-$linked_title = "<a href=\"{$blog->getURL()}\" title=\"" . htmlentities($blog->title) . "\">{$blog->title}</a>";
-$categories = elgg_view('categories/view', $vars);
+$owner = $blog->getOwnerEntity();
+$container = $blog->getContainerEntity();
+$categories = elgg_view('output/categories', $vars);
$excerpt = $blog->excerpt;
-
-$body = autop($blog->description);
-$owner_icon = elgg_view('profile/icon', array('entity' => $owner, 'size' => 'tiny'));
-$owner_blog_link = "<a href=\"".elgg_get_site_url()."pg/blog/$owner->username\">{$owner->name}</a>";
-$author_text = elgg_echo('blog:author_by_line', array($owner_blog_link));
-if($blog->tags){
- $tags = "<p class=\"tags\">" . elgg_view('output/tags', array('tags' => $blog->tags)) . "</p>";
-}else{
- $tags = "";
+if (!$excerpt) {
+ $excerpt = elgg_get_excerpt($blog->description);
}
-$date = elgg_view_friendly_time($blog->publish_date);
+
+$owner_icon = elgg_view_entity_icon($owner, 'tiny');
+$owner_link = elgg_view('output/url', array(
+ 'href' => "blog/owner/$owner->username",
+ 'text' => $owner->name,
+ 'is_trusted' => true,
+));
+$author_text = elgg_echo('byline', array($owner_link));
+$date = elgg_view_friendly_time($blog->time_created);
// The "on" status changes for comments, so best to check for !Off
if ($blog->comments_on != 'Off') {
- $comments_count = elgg_count_comments($blog);
+ $comments_count = $blog->countComments();
//only display if there are commments
- if($comments_count != 0){
- $comments_link = "<a href=\"{$blog->getURL()}#annotations\">" . elgg_echo("comments") . " (". $comments_count .")</a>";
- }else{
+ if ($comments_count != 0) {
+ $text = elgg_echo("comments") . " ($comments_count)";
+ $comments_link = elgg_view('output/url', array(
+ 'href' => $blog->getURL() . '#blog-comments',
+ 'text' => $text,
+ 'is_trusted' => true,
+ ));
+ } else {
$comments_link = '';
}
} else {
$comments_link = '';
}
-// links to delete or edit.
-
-// access is always shown.
-$edit = elgg_view('output/access', array('entity' => $vars['entity']));
+$metadata = elgg_view_menu('entity', array(
+ 'entity' => $vars['entity'],
+ 'handler' => 'blog',
+ 'sort_by' => 'priority',
+ 'class' => 'elgg-menu-hz',
+));
-if ($blog->canEdit()) {
- $edit_url = elgg_get_site_url()."pg/blog/{$owner->username}/edit/{$blog->getGUID()}/";
- $edit_link = "<span class='entity_edit'><a href=\"$edit_url\">" . elgg_echo('edit') . '</a></span>';
+$subtitle = "$author_text $date $comments_link $categories";
- $delete_url = "action/blog/delete?guid={$blog->getGUID()}";
- $delete_link = "<span class='delete_button'>" . elgg_view('output/confirmlink', array(
- 'href' => $delete_url,
- 'text' => elgg_echo('delete'),
- 'confirm' => elgg_echo('deleteconfirm')
- )) . "</span>";
-
- $status = '';
- if ($blog->status != 'published') {
- $status_text = elgg_echo("blog:status:{$blog->status}");
- $status = "<span class='blog_status'>$status_text</span>";
- }
-
- $edit .= "$status $edit_link $delete_link";
+// do not show the metadata and controls in widget view
+if (elgg_in_context('widgets')) {
+ $metadata = '';
}
- // include a view for plugins to extend
- $edit = elgg_view("blogs/options", array("object_type" => 'blog', 'entity' => $blog)) .
- elgg_view_likes($blog) . // include likes
- $edit;
-
if ($full) {
-echo <<<___END
-<div class="blogpost clearfix">
- <div id="content_header" class="clearfix">
- <div class="content_header_title"><h2>{$blog->title}</h2></div>
- </div>
- <div class="clearfix">
- <div class="entity_listing_icon">
- $owner_icon
- </div>
- <div class="entity_listing_info">
- <div class="entity_metadata">$edit</div>
- <p class="entity_subtext">
- $author_text
- $date
- $categories
- $comments_link
- </p>
- $tags
- </div>
- </div>
- <div class='blog_post'>$body</div>
-</div>
-
-___END;
+ $body = elgg_view('output/longtext', array(
+ 'value' => $blog->description,
+ 'class' => 'blog-post',
+ ));
+
+ $params = array(
+ 'entity' => $blog,
+ 'title' => false,
+ 'metadata' => $metadata,
+ 'subtitle' => $subtitle,
+ );
+ $params = $params + $vars;
+ $summary = elgg_view('object/elements/summary', $params);
+
+ echo elgg_view('object/elements/full', array(
+ 'summary' => $summary,
+ 'icon' => $owner_icon,
+ 'body' => $body,
+ ));
} else {
- echo <<<___END
-<div class="blog $status_class entity_listing clearfix">
- <div class="entity_listing_icon">
- $owner_icon
- </div>
- <div class="entity_listing_info">
- <div class="entity_metadata">$edit</div>
- <p class="entity_title">$linked_title</p>
- <p class="entity_subtext">
- $author_text
- $date
- $categories
- $comments_link
- </p>
- $tags
- <p>$excerpt</p>
- </div>
-</div>
-
-___END;
+ // brief view
+
+ $params = array(
+ 'entity' => $blog,
+ 'metadata' => $metadata,
+ 'subtitle' => $subtitle,
+ 'content' => $excerpt,
+ );
+ $params = $params + $vars;
+ $list_body = elgg_view('object/elements/summary', $params);
+
+ echo elgg_view_image_block($owner_icon, $list_body);
}
diff --git a/mod/blog/views/default/river/object/blog/create.php b/mod/blog/views/default/river/object/blog/create.php
index d311c5883..b808f1bdc 100644
--- a/mod/blog/views/default/river/object/blog/create.php
+++ b/mod/blog/views/default/river/object/blog/create.php
@@ -3,27 +3,13 @@
* Blog river view.
*/
-$performed_by = get_entity($vars['item']->subject_guid);
-$object = get_entity($vars['item']->object_guid);
-$url = $object->getURL();
-$contents = strip_tags($object->excerpt);
+$object = $vars['item']->getObjectEntity();
-$url = "<a href=\"{$performed_by->getURL()}\">{$performed_by->name}</a>";
-$title = elgg_echo('blog:river:create', array($url));
-$string .= $title . " <a href=\"{$object->getURL()}\">{$object->title}</a> <span class='entity_subtext'>" . elgg_view_friendly_time($object->time_created);
+$excerpt = $object->excerpt ? $object->excerpt : $object->description;
+$excerpt = strip_tags($excerpt);
+$excerpt = elgg_get_excerpt($excerpt);
-if (isloggedin()) {
- $string .= '<a class="river_comment_form_button link">' . elgg_echo('generic_comments:text') . '</a>';
- $string .= elgg_view('likes/forms/link', array('entity' => $object));
-}
-$string .= "</span>";
-
-$string .= '<div class="river_content_display">';
-$string .= '<div class="river_object_blog_create"></div>';
-if (strlen($contents) > 200) {
- $string .= substr($contents, 0, strpos($contents, ' ', 200)) . '&hellip;';
-} else {
- $string .= $contents;
-}
-$string .= '</div>';
-echo $string; \ No newline at end of file
+echo elgg_view('river/elements/layout', array(
+ 'item' => $vars['item'],
+ 'message' => $excerpt,
+));
diff --git a/mod/blog/views/default/widgets/blog/content.php b/mod/blog/views/default/widgets/blog/content.php
new file mode 100644
index 000000000..330171662
--- /dev/null
+++ b/mod/blog/views/default/widgets/blog/content.php
@@ -0,0 +1,30 @@
+<?php
+/**
+ * User blog widget display view
+ */
+
+$num = $vars['entity']->num_display;
+
+$options = array(
+ 'type' => 'object',
+ 'subtype' => 'blog',
+ 'container_guid' => $vars['entity']->owner_guid,
+ 'limit' => $num,
+ 'full_view' => FALSE,
+ 'pagination' => FALSE,
+);
+$content = elgg_list_entities($options);
+
+echo $content;
+
+if ($content) {
+ $blog_url = "blog/owner/" . elgg_get_page_owner_entity()->username;
+ $more_link = elgg_view('output/url', array(
+ 'href' => $blog_url,
+ 'text' => elgg_echo('blog:moreblogs'),
+ 'is_trusted' => true,
+ ));
+ echo "<span class=\"elgg-widget-more\">$more_link</span>";
+} else {
+ echo elgg_echo('blog:noblogs');
+}
diff --git a/mod/blog/views/default/widgets/blog/edit.php b/mod/blog/views/default/widgets/blog/edit.php
new file mode 100644
index 000000000..30c3b2e73
--- /dev/null
+++ b/mod/blog/views/default/widgets/blog/edit.php
@@ -0,0 +1,22 @@
+<?php
+/**
+ * User blog widget edit view
+ */
+
+// set default value
+if (!isset($vars['entity']->num_display)) {
+ $vars['entity']->num_display = 4;
+}
+
+$params = array(
+ 'name' => 'params[num_display]',
+ 'value' => $vars['entity']->num_display,
+ 'options' => array(1, 2, 3, 4, 5, 6, 7, 8, 9, 10),
+);
+$dropdown = elgg_view('input/dropdown', $params);
+
+?>
+<div>
+ <?php echo elgg_echo('blog:numbertodisplay'); ?>:
+ <?php echo $dropdown; ?>
+</div>
diff --git a/mod/bookmarks/actions/add.php b/mod/bookmarks/actions/add.php
deleted file mode 100644
index e633244c1..000000000
--- a/mod/bookmarks/actions/add.php
+++ /dev/null
@@ -1,43 +0,0 @@
-<?php
-/**
- * Elgg bookmarks add/save action
- *
- * @package ElggBookmarks
- */
-
-gatekeeper();
-action_gatekeeper();
-//set some required variables
-$title = strip_tags(get_input('title'));
-$address = get_input('address');
-$notes = get_input('notes');
-$access = get_input('access');
-$tags = get_input('tags');
-$tagarray = string_to_tag_array($tags);
-
-if (!$title || !$address) {
- register_error(elgg_echo('bookmarks:save:failed'));
- forward(REFERER);
-}
-
-//create a new bookmark object
-$entity = new ElggObject;
-$entity->subtype = "bookmarks";
-$entity->owner_guid = get_loggedin_userid();
-$entity->container_guid = (int)get_input('container_guid', get_loggedin_userid());
-$entity->title = $title;
-$entity->description = $notes;
-$entity->address = $address;
-$entity->access_id = $access;
-$entity->link_version = create_wire_url_code();//returns a random code in the form {{L:1hp56}}
-$entity->tags = $tagarray;
-
-if ($entity->save()) {
- system_message(elgg_echo('bookmarks:save:success'));
- //add to river
- add_to_river('river/object/bookmarks/create','create',get_loggedin_userid(),$entity->guid);
-} else {
- register_error(elgg_echo('bookmarks:save:failed'));
-}
-$account = get_entity((int)get_input('container_guid', get_loggedin_userid()));
-forward("pg/bookmarks/" . $account->username); \ No newline at end of file
diff --git a/mod/bookmarks/actions/bookmarks/delete.php b/mod/bookmarks/actions/bookmarks/delete.php
new file mode 100644
index 000000000..2e9f41438
--- /dev/null
+++ b/mod/bookmarks/actions/bookmarks/delete.php
@@ -0,0 +1,24 @@
+<?php
+/**
+ * Delete a bookmark
+ *
+ * @package Bookmarks
+ */
+
+$guid = get_input('guid');
+$bookmark = get_entity($guid);
+
+if (elgg_instanceof($bookmark, 'object', 'bookmarks') && $bookmark->canEdit()) {
+ $container = $bookmark->getContainerEntity();
+ if ($bookmark->delete()) {
+ system_message(elgg_echo("bookmarks:delete:success"));
+ if (elgg_instanceof($container, 'group')) {
+ forward("bookmarks/group/$container->guid/all");
+ } else {
+ forward("bookmarks/owner/$container->username");
+ }
+ }
+}
+
+register_error(elgg_echo("bookmarks:delete:failed"));
+forward(REFERER);
diff --git a/mod/bookmarks/actions/bookmarks/save.php b/mod/bookmarks/actions/bookmarks/save.php
new file mode 100644
index 000000000..46090b115
--- /dev/null
+++ b/mod/bookmarks/actions/bookmarks/save.php
@@ -0,0 +1,90 @@
+<?php
+/**
+* Elgg bookmarks save action
+*
+* @package Bookmarks
+*/
+
+$title = htmlspecialchars(get_input('title', '', false), ENT_QUOTES, 'UTF-8');
+$description = get_input('description');
+$address = get_input('address');
+$access_id = get_input('access_id');
+$tags = get_input('tags');
+$guid = get_input('guid');
+$share = get_input('share');
+$container_guid = get_input('container_guid', elgg_get_logged_in_user_guid());
+
+elgg_make_sticky_form('bookmarks');
+
+// don't use elgg_normalize_url() because we don't want
+// relative links resolved to this site.
+if ($address && !preg_match("#^((ht|f)tps?:)?//#i", $address)) {
+ $address = "http://$address";
+}
+
+if (!$title || !$address) {
+ register_error(elgg_echo('bookmarks:save:failed'));
+ forward(REFERER);
+}
+
+// see https://bugs.php.net/bug.php?id=51192
+$php_5_2_13_and_below = version_compare(PHP_VERSION, '5.2.14', '<');
+$php_5_3_0_to_5_3_2 = version_compare(PHP_VERSION, '5.3.0', '>=') &&
+ version_compare(PHP_VERSION, '5.3.3', '<');
+
+$validated = false;
+if ($php_5_2_13_and_below || $php_5_3_0_to_5_3_2) {
+ $tmp_address = str_replace("-", "", $address);
+ $validated = filter_var($tmp_address, FILTER_VALIDATE_URL);
+} else {
+ $validated = filter_var($address, FILTER_VALIDATE_URL);
+}
+if (!$validated) {
+ register_error(elgg_echo('bookmarks:save:failed'));
+ forward(REFERER);
+}
+
+if ($guid == 0) {
+ $bookmark = new ElggObject;
+ $bookmark->subtype = "bookmarks";
+ $bookmark->container_guid = (int)get_input('container_guid', $_SESSION['user']->getGUID());
+ $new = true;
+} else {
+ $bookmark = get_entity($guid);
+ if (!$bookmark->canEdit()) {
+ system_message(elgg_echo('bookmarks:save:failed'));
+ forward(REFERRER);
+ }
+}
+
+$tagarray = string_to_tag_array($tags);
+
+$bookmark->title = $title;
+$bookmark->address = $address;
+$bookmark->description = $description;
+$bookmark->access_id = $access_id;
+$bookmark->tags = $tagarray;
+
+if ($bookmark->save()) {
+
+ elgg_clear_sticky_form('bookmarks');
+
+ // @todo
+ if (is_array($shares) && sizeof($shares) > 0) {
+ foreach($shares as $share) {
+ $share = (int) $share;
+ add_entity_relationship($bookmark->getGUID(), 'share', $share);
+ }
+ }
+ system_message(elgg_echo('bookmarks:save:success'));
+
+ //add to river only if new
+ if ($new) {
+ add_to_river('river/object/bookmarks/create','create', elgg_get_logged_in_user_guid(), $bookmark->getGUID());
+ }
+
+ forward($bookmark->getURL());
+} else {
+ register_error(elgg_echo('bookmarks:save:failed'));
+ forward("bookmarks");
+}
diff --git a/mod/bookmarks/actions/delete.php b/mod/bookmarks/actions/delete.php
deleted file mode 100644
index 23de80146..000000000
--- a/mod/bookmarks/actions/delete.php
+++ /dev/null
@@ -1,20 +0,0 @@
-<?php
-/**
- * Elgg bookmarks delete action
- *
- * @package ElggBookmarks
- */
-
-$guid = get_input('bookmark_guid',0);
-if ($entity = get_entity($guid)) {
- if ($entity->canEdit()) {
- $container = get_entity($entity->container_guid);
- if ($entity->delete()) {
- system_message(elgg_echo("bookmarks:delete:success"));
- forward("pg/bookmarks/$container->username/");
- }
- }
-}
-
-register_error(elgg_echo("bookmarks:delete:failed"));
-forward(REFERER); \ No newline at end of file
diff --git a/mod/bookmarks/actions/edit.php b/mod/bookmarks/actions/edit.php
deleted file mode 100755
index dd0330b2d..000000000
--- a/mod/bookmarks/actions/edit.php
+++ /dev/null
@@ -1,35 +0,0 @@
-<?php
-/**
- * Elgg bookmarks edit action
- *
- */
-
-gatekeeper();
-action_gatekeeper();
-//set some required variables
-$guid = get_input('guid');
-$title = get_input('title');
-$address = get_input('address');
-$notes = get_input('notes');
-$access = get_input('access');
-$tags = get_input('tags');
-$tagarray = string_to_tag_array($tags);
-
-// Make sure we actually have permission to edit
-$bookmark = get_entity($guid);
-if ($bookmark->getSubtype() == "bookmarks" && $bookmark->canEdit()) {
- $bookmark->title = $title;
- $bookmark->description = $notes;
- $bookmark->address = $address;
- $bookmark->access_id = $access;
- $bookmark->tags = $tagarray;
- if ($bookmark->save()) {
- system_message(elgg_echo('bookmarks:edit:success'));
- } else {
- system_message(elgg_echo('bookmarks:edit:fail'));
- }
-}else{
- system_message(elgg_echo('bookmarks:edit:fail'));
-}
-$account = get_entity($bookmark->container_guid);
-forward("pg/bookmarks/" . $account->username); \ No newline at end of file
diff --git a/mod/bookmarks/actions/reference.php b/mod/bookmarks/actions/reference.php
deleted file mode 100755
index 515ac1ed1..000000000
--- a/mod/bookmarks/actions/reference.php
+++ /dev/null
@@ -1,34 +0,0 @@
-<?php
-
-/**
- * Elgg bookmarks add as reference
- * Guid one is the object, guid two is the bookmark, 'reference' is the relationship name
- */
-
-//set some variables
-$object_guid = get_input('object_guid');
-$bookmark_guid = get_input('reference');
-$object = get_entity($object_guid);
-$bookmark = get_entity($bookmark_guid);
-//check both the object and bookmark exist
-if($bookmark && $object){
- //check the user can add a reference
- if($object->canEdit()){
- //create a relationship between the object and bookmark
- if(add_entity_relationship($object_guid, "reference", $bookmark_guid)){
- // Success message
- system_message(elgg_echo("bookmarks:referenceadded"));
- }else{
- // Failure message
- system_message(elgg_echo("bookmarks:referenceerror"));
- }
- }else{
- // Failure message
- system_message(elgg_echo("bookmarks:referenceerror"));
- }
-}else{
- // Failure message
- system_message(elgg_echo("bookmarks:referenceerror"));
-}
-
-forward($object->getURL()); \ No newline at end of file
diff --git a/mod/bookmarks/actions/remove.php b/mod/bookmarks/actions/remove.php
deleted file mode 100755
index f704a5cc3..000000000
--- a/mod/bookmarks/actions/remove.php
+++ /dev/null
@@ -1,34 +0,0 @@
-<?php
-
-/**
- * Elgg bookmarks remove a reference
- * Guid one is the object, guid two is the bookmark, 'reference' is the relationship name
- */
-
-//set some variables
-$object_guid = get_input('object_guid');
-$bookmark_guid = get_input('bookmark');
-$object = get_entity($object_guid);
-$bookmark = get_entity($bookmark_guid);
-//check both the object and bookmark exist
-if($bookmark && $object){
- //check the user can add a reference
- if($object->canEdit()){
- //remove the relationship between the object and bookmark
- if(remove_entity_relationship($object_guid, "reference", $bookmark_guid)){
- // Success message
- system_message(elgg_echo("bookmarks:removed"));
- }else{
- // Failure message
- system_message(elgg_echo("bookmarks:removederror"));
- }
- }else{
- // Failure message
- system_message(elgg_echo("bookmarks:removederror"));
- }
-}else{
- // Failure message
- system_message(elgg_echo("bookmarks:removederror"));
-}
-
-forward($object->getURL()); \ No newline at end of file
diff --git a/mod/bookmarks/bookmarklet.php b/mod/bookmarks/bookmarklet.php
deleted file mode 100644
index 7a2f1a16f..000000000
--- a/mod/bookmarks/bookmarklet.php
+++ /dev/null
@@ -1,36 +0,0 @@
-<?php
-
-/**
- * Elgg bookmarks plugin bookmarklet page
- *
- * @package ElggBookmarks
- */
-
-// Start engine
-require_once(dirname(dirname(dirname(__FILE__))) . "/engine/start.php");
-
-gatekeeper();
-
-// Get the current page's owner
-$page_owner = elgg_get_page_owner();
-if ($page_owner === false || is_null($page_owner) && (get_loggedin_user())) {
- $page_owner = get_loggedin_user();
- set_page_owner($page_owner->getGUID());
-}
-
-// get the content area header
-$area1 = elgg_view('page_elements/content_header', array('context' => "mine", 'type' => 'bookmarks'));
-
-// List bookmarks
-$area2 = elgg_view_title(elgg_echo('bookmarks:bookmarklet'));
-$area2 .= elgg_view('bookmarks/bookmarklet', array('pg_owner' => $page_owner));
-
-// if logged in, get the bookmarklet
-$area3 = elgg_view("bookmarks/bookmarklet");
-
-// Format
-$content = $area1 . $area2 . $area3;
-$body = elgg_view_layout('one_column_with_sidebar', array('content' => $content));
-
-// Draw it
-echo elgg_view_page(elgg_echo('bookmarks:bookmarklet'),$body); \ No newline at end of file
diff --git a/mod/bookmarks/graphics/bookmark.gif b/mod/bookmarks/graphics/bookmark.gif
new file mode 100644
index 000000000..55c5e3e15
--- /dev/null
+++ b/mod/bookmarks/graphics/bookmark.gif
Binary files differ
diff --git a/_graphics/elgg_bookmarklet.gif b/mod/bookmarks/graphics/bookmarklet.gif
index 45b24709b..45b24709b 100644
--- a/_graphics/elgg_bookmarklet.gif
+++ b/mod/bookmarks/graphics/bookmarklet.gif
Binary files differ
diff --git a/mod/bookmarks/languages/en.php b/mod/bookmarks/languages/en.php
index e0c4a9e08..970b39415 100644
--- a/mod/bookmarks/languages/en.php
+++ b/mod/bookmarks/languages/en.php
@@ -1,91 +1,91 @@
<?php
+/**
+ * Bookmarks English language file
+ */
$english = array(
/**
* Menu items and titles
*/
-
'bookmarks' => "Bookmarks",
- 'bookmarks:add' => "Bookmark something",
- 'bookmarks:read' => "Bookmark list",
+ 'bookmarks:add' => "Add a bookmark",
+ 'bookmarks:edit' => "Edit bookmark",
+ 'bookmarks:owner' => "%s's bookmarks",
'bookmarks:friends' => "Friends' bookmarks",
- 'bookmarks:all' => "All site bookmarks",
- 'bookmarks:user' => "%s's bookmarks",
- 'bookmarks:group' => "Bookmarks",
- 'bookmarks:this' => "Bookmark this",
- 'bookmarks:ingroup' => "in the group",
+ 'bookmarks:everyone' => "All site bookmarks",
+ 'bookmarks:this' => "Bookmark this page",
'bookmarks:this:group' => "Bookmark in %s",
'bookmarks:bookmarklet' => "Get bookmarklet",
- 'bookmarks:bookmarklet:group' => "Get community bookmarklet",
- 'bookmarks:browser_bookmarklet' => 'Browser Bookmarklet',
+ 'bookmarks:bookmarklet:group' => "Get group bookmarklet",
'bookmarks:inbox' => "Bookmarks inbox",
- 'bookmarks:more' => "View note",
- 'bookmarks:yours' => "My bookmarks",
- 'bookmarks:shareditem' => "Bookmarked item",
+ 'bookmarks:morebookmarks' => "More bookmarks",
+ 'bookmarks:more' => "More",
'bookmarks:with' => "Share with",
- 'bookmarks:new' => "Add a Bookmark",
- 'bookmarks:via' => "via bookmarks",
- 'bookmarks:address' => "Address of the resource to bookmark",
- 'bookmarks:addnote' => "Add a note",
+ 'bookmarks:new' => "A new bookmark",
+ 'bookmarks:address' => "Address of the bookmark",
+ 'bookmarks:none' => 'No bookmarks',
+
+ 'bookmarks:notification' =>
+'%s added a new bookmark:
+
+%s - %s
+%s
+
+View and comment on the new bookmark:
+%s
+',
+
'bookmarks:delete:confirm' => "Are you sure you want to delete this resource?",
- 'bookmarks:url' => 'URL',
- 'bookmarks:numbertodisplay' => 'Number of bookmarked items to display',
- 'bookmarks:edit:success' => "Bookmark successfully edited",
- 'bookmarks:edit:fail' => "There was a problem editing that bookmark, please try again.",
+
+ 'bookmarks:numbertodisplay' => 'Number of bookmarks to display',
+
'bookmarks:shared' => "Bookmarked",
'bookmarks:visit' => "Visit resource",
'bookmarks:recent' => "Recent bookmarks",
- 'bookmarks:stats' => "Bookmark stats",
- 'bookmarks:resources' => "resources bookmarked",
- 'bookmarks:river:created' => '%s bookmarked',
- 'bookmarks:river:annotate' => 'posted a comment on this bookmarked item',
+
+ 'river:create:object:bookmarks' => '%s bookmarked %s',
+ 'river:comment:object:bookmarks' => '%s commented on a bookmark %s',
+ 'bookmarks:river:annotate' => 'a comment on this bookmark',
'bookmarks:river:item' => 'an item',
- 'item:object:bookmarks' => 'Bookmarked items',
+ 'item:object:bookmarks' => 'Bookmarks',
+ 'bookmarks:group' => 'Group bookmarks',
'bookmarks:enablebookmarks' => 'Enable group bookmarks',
- 'bookmarks:referenceadded' => 'You have added that bookmark as a reference',
- 'bookmarks:referenceerror' => 'There was a problem adding that bookmark as a reference',
- 'bookmarks:none' => 'You don\'t have any bookmarks',
- 'bookmarks:addref' => 'Add a reference',
- 'bookmarks:removed' => 'You have now removed that reference',
- 'bookmarks:removederror' => ' There was a problem removing that reference',
- 'bookmarks:remove:confirm' => 'Are you sure you want to remove this reference?',
+ 'bookmarks:nogroup' => 'This group does not have any bookmarks yet',
+ 'bookmarks:more' => 'More bookmarks',
+
+ 'bookmarks:no_title' => 'No title',
/**
- * More text
+ * Widget and bookmarklet
*/
-
- 'bookmarks:widget:description' =>
- "This widget displays your latest bookmarks.",
+ 'bookmarks:widget:description' => "Display your latest bookmarks.",
'bookmarks:bookmarklet:description' =>
- "The bookmarklet allows you to share a url with your friends, or just bookmark it for yourself. To use it, simply drag the button above to your browser's links bar.",
+ "The bookmarks bookmarklet allows you to share any resource you find on the web with your friends, or just bookmark it for yourself. To use it, simply drag the following button to your browser's links bar:",
- 'bookmarks:bookmarklet:descriptionie' =>
- "If you are using Internet Explorer, you will need to right click on the bookmarklet icon, and select 'add to favorites', and then the Links bar.",
+ 'bookmarks:bookmarklet:descriptionie' =>
+ "If you are using Internet Explorer, you will need to right click on the bookmarklet icon, select 'add to favorites', and then the Links bar.",
'bookmarks:bookmarklet:description:conclusion' =>
- "You can then bookmark any webpage you visit by clicking the button in your browsers toolbar.",
-
- 'bookmarks:no_title' => 'No title',
+ "You can then save any page you visit by clicking it at any time.",
/**
* Status messages
*/
'bookmarks:save:success' => "Your item was successfully bookmarked.",
- 'bookmarks:delete:success' => "Your bookmarked item was successfully deleted.",
+ 'bookmarks:delete:success' => "Your bookmark was deleted.",
/**
* Error messages
*/
- 'bookmarks:save:failed' => "Your bookmarked item could not be saved. Make sure you've entered a title and address and then try again.",
- 'bookmarks:delete:failed' => "Your bookmarked item could not be deleted.",
-
-
+ 'bookmarks:save:failed' => "Your bookmark could not be saved. Make sure you've entered a title and address and then try again.",
+ 'bookmarks:save:invalid' => "The address of the bookmark is invalid and could not be saved.",
+ 'bookmarks:delete:failed' => "Your bookmark could not be deleted. Please try again.",
);
-add_translation("en", $english); \ No newline at end of file
+add_translation('en', $english); \ No newline at end of file
diff --git a/mod/bookmarks/lib/bookmarks.php b/mod/bookmarks/lib/bookmarks.php
new file mode 100644
index 000000000..9a9dff18c
--- /dev/null
+++ b/mod/bookmarks/lib/bookmarks.php
@@ -0,0 +1,46 @@
+<?php
+/**
+ * Bookmarks helper functions
+ *
+ * @package Bookmarks
+ */
+
+/**
+ * Prepare the add/edit form variables
+ *
+ * @param ElggObject $bookmark A bookmark object.
+ * @return array
+ */
+function bookmarks_prepare_form_vars($bookmark = null) {
+ // input names => defaults
+ $values = array(
+ 'title' => get_input('title', ''), // bookmarklet support
+ 'address' => get_input('address', ''),
+ 'description' => '',
+ 'access_id' => ACCESS_DEFAULT,
+ 'tags' => '',
+ 'shares' => array(),
+ 'container_guid' => elgg_get_page_owner_guid(),
+ 'guid' => null,
+ 'entity' => $bookmark,
+ );
+
+ if ($bookmark) {
+ foreach (array_keys($values) as $field) {
+ if (isset($bookmark->$field)) {
+ $values[$field] = $bookmark->$field;
+ }
+ }
+ }
+
+ if (elgg_is_sticky_form('bookmarks')) {
+ $sticky_values = elgg_get_sticky_values('bookmarks');
+ foreach ($sticky_values as $key => $value) {
+ $values[$key] = $value;
+ }
+ }
+
+ elgg_clear_sticky_form('bookmarks');
+
+ return $values;
+}
diff --git a/mod/bookmarks/manifest.xml b/mod/bookmarks/manifest.xml
index f9cf0b4c0..b95af87f8 100644
--- a/mod/bookmarks/manifest.xml
+++ b/mod/bookmarks/manifest.xml
@@ -1,12 +1,19 @@
<?xml version="1.0" encoding="UTF-8"?>
-<plugin_manifest>
- <field key="author" value="Curverider" />
- <field key="version" value="1.7" />
- <field key="description" value="Elgg bookmarks plugin." />
- <field key="website" value="http://www.elgg.org/" />
- <field key="copyright" value="(C) Curverider 2008-2010" />
- <field key="licence" value="GNU Public License version 2" />
- <field key="elgg_version" value="2010030101" />
- <field key="elgg_install_state" value="enabled" />
- <field key="admin_interface" value="simple" />
+<plugin_manifest xmlns="http://www.elgg.org/plugin_manifest/1.8">
+ <name>Bookmarks</name>
+ <author>Core developers</author>
+ <version>1.8</version>
+ <category>bundled</category>
+ <category>content</category>
+ <category>widget</category>
+ <blurb>Add and comment on bookmarks.</blurb>
+ <description>Adds the ability for users to bookmark internal and external sites. Other users can then comment on the bookmarks.</description>
+ <website>http://www.elgg.org/</website>
+ <copyright>See COPYRIGHT.txt</copyright>
+ <license>GNU General Public License version 2</license>
+ <requires>
+ <type>elgg_release</type>
+ <version>1.8</version>
+ </requires>
+ <activate_on_install>true</activate_on_install>
</plugin_manifest>
diff --git a/mod/bookmarks/pages/bookmarks/add.php b/mod/bookmarks/pages/bookmarks/add.php
new file mode 100644
index 000000000..d80d4a4bc
--- /dev/null
+++ b/mod/bookmarks/pages/bookmarks/add.php
@@ -0,0 +1,22 @@
+<?php
+/**
+ * Add bookmark page
+ *
+ * @package Bookmarks
+ */
+
+$page_owner = elgg_get_page_owner_entity();
+
+$title = elgg_echo('bookmarks:add');
+elgg_push_breadcrumb($title);
+
+$vars = bookmarks_prepare_form_vars();
+$content = elgg_view_form('bookmarks/save', array(), $vars);
+
+$body = elgg_view_layout('content', array(
+ 'filter' => '',
+ 'content' => $content,
+ 'title' => $title,
+));
+
+echo elgg_view_page($title, $body); \ No newline at end of file
diff --git a/mod/bookmarks/pages/bookmarks/all.php b/mod/bookmarks/pages/bookmarks/all.php
new file mode 100644
index 000000000..5c6011ad9
--- /dev/null
+++ b/mod/bookmarks/pages/bookmarks/all.php
@@ -0,0 +1,33 @@
+<?php
+/**
+ * Elgg bookmarks plugin everyone page
+ *
+ * @package ElggBookmarks
+ */
+
+elgg_pop_breadcrumb();
+elgg_push_breadcrumb(elgg_echo('bookmarks'));
+
+elgg_register_title_button();
+
+$content = elgg_list_entities(array(
+ 'type' => 'object',
+ 'subtype' => 'bookmarks',
+ 'full_view' => false,
+ 'view_toggle_type' => false,
+));
+
+if (!$content) {
+ $content = elgg_echo('bookmarks:none');
+}
+
+$title = elgg_echo('bookmarks:everyone');
+
+$body = elgg_view_layout('content', array(
+ 'filter_context' => 'all',
+ 'content' => $content,
+ 'title' => $title,
+ 'sidebar' => elgg_view('bookmarks/sidebar'),
+));
+
+echo elgg_view_page($title, $body); \ No newline at end of file
diff --git a/mod/bookmarks/pages/bookmarks/bookmarklet.php b/mod/bookmarks/pages/bookmarks/bookmarklet.php
new file mode 100644
index 000000000..99866e385
--- /dev/null
+++ b/mod/bookmarks/pages/bookmarks/bookmarklet.php
@@ -0,0 +1,36 @@
+<?php
+/**
+* Elgg bookmarks plugin bookmarklet page
+*
+* @package Bookmarks
+*/
+
+gatekeeper();
+
+$container_guid = get_input('container_guid');
+$container = get_entity($container_guid);
+$page_owner = $container;
+
+if (elgg_instanceof($container, 'object')) {
+ $page_owner = $container->getContainerEntity();
+}
+
+elgg_set_page_owner_guid($page_owner->getGUID());
+
+$title = elgg_echo('bookmarks:bookmarklet');
+
+if ($page_owner instanceof ElggGroup) {
+ elgg_push_breadcrumb($page_owner->name, $page_owner->getURL());
+}
+
+elgg_push_breadcrumb($title);
+
+$content = elgg_view("bookmarks/bookmarklet");
+
+$body = elgg_view_layout('content', array(
+ 'content' => $content,
+ 'title' => $title,
+ 'filter' => false
+));
+
+echo elgg_view_page($title, $body); \ No newline at end of file
diff --git a/mod/bookmarks/pages/bookmarks/edit.php b/mod/bookmarks/pages/bookmarks/edit.php
new file mode 100644
index 000000000..93b143c36
--- /dev/null
+++ b/mod/bookmarks/pages/bookmarks/edit.php
@@ -0,0 +1,30 @@
+<?php
+/**
+ * Add bookmark page
+ *
+ * @package ElggBookmarks
+ */
+
+$bookmark_guid = get_input('guid');
+$bookmark = get_entity($bookmark_guid);
+
+if (!elgg_instanceof($bookmark, 'object', 'bookmarks') || !$bookmark->canEdit()) {
+ register_error(elgg_echo('bookmarks:unknown_bookmark'));
+ forward(REFERRER);
+}
+
+$page_owner = elgg_get_page_owner_entity();
+
+$title = elgg_echo('bookmarks:edit');
+elgg_push_breadcrumb($title);
+
+$vars = bookmarks_prepare_form_vars($bookmark);
+$content = elgg_view_form('bookmarks/save', array(), $vars);
+
+$body = elgg_view_layout('content', array(
+ 'filter' => '',
+ 'content' => $content,
+ 'title' => $title,
+));
+
+echo elgg_view_page($title, $body); \ No newline at end of file
diff --git a/mod/bookmarks/pages/bookmarks/friends.php b/mod/bookmarks/pages/bookmarks/friends.php
new file mode 100644
index 000000000..173996346
--- /dev/null
+++ b/mod/bookmarks/pages/bookmarks/friends.php
@@ -0,0 +1,33 @@
+<?php
+/**
+ * Elgg bookmarks plugin friends page
+ *
+ * @package ElggBookmarks
+ */
+
+$page_owner = elgg_get_page_owner_entity();
+if (!$page_owner) {
+ forward('', '404');
+}
+
+elgg_push_breadcrumb($page_owner->name, "bookmarks/owner/$page_owner->username");
+elgg_push_breadcrumb(elgg_echo('friends'));
+
+elgg_register_title_button();
+
+$title = elgg_echo('bookmarks:friends');
+
+$content = list_user_friends_objects($page_owner->guid, 'bookmarks', 10, false);
+if (!$content) {
+ $content = elgg_echo('bookmarks:none');
+}
+
+$params = array(
+ 'filter_context' => 'friends',
+ 'content' => $content,
+ 'title' => $title,
+);
+
+$body = elgg_view_layout('content', $params);
+
+echo elgg_view_page($title, $body);
diff --git a/mod/bookmarks/pages/bookmarks/owner.php b/mod/bookmarks/pages/bookmarks/owner.php
new file mode 100644
index 000000000..b7b907916
--- /dev/null
+++ b/mod/bookmarks/pages/bookmarks/owner.php
@@ -0,0 +1,50 @@
+<?php
+/**
+ * Elgg bookmarks plugin everyone page
+ *
+ * @package Bookmarks
+ */
+
+$page_owner = elgg_get_page_owner_entity();
+if (!$page_owner) {
+ forward('', '404');
+}
+
+elgg_push_breadcrumb($page_owner->name);
+
+elgg_register_title_button();
+
+$content .= elgg_list_entities(array(
+ 'type' => 'object',
+ 'subtype' => 'bookmarks',
+ 'container_guid' => $page_owner->guid,
+ 'full_view' => false,
+ 'view_toggle_type' => false
+));
+
+if (!$content) {
+ $content = elgg_echo('bookmarks:none');
+}
+
+$title = elgg_echo('bookmarks:owner', array($page_owner->name));
+
+$filter_context = '';
+if ($page_owner->getGUID() == elgg_get_logged_in_user_guid()) {
+ $filter_context = 'mine';
+}
+
+$vars = array(
+ 'filter_context' => $filter_context,
+ 'content' => $content,
+ 'title' => $title,
+ 'sidebar' => elgg_view('bookmarks/sidebar'),
+);
+
+// don't show filter if out of filter context
+if ($page_owner instanceof ElggGroup) {
+ $vars['filter'] = false;
+}
+
+$body = elgg_view_layout('content', $vars);
+
+echo elgg_view_page($title, $body); \ No newline at end of file
diff --git a/mod/bookmarks/pages/bookmarks/view.php b/mod/bookmarks/pages/bookmarks/view.php
new file mode 100644
index 000000000..70a6a5bfe
--- /dev/null
+++ b/mod/bookmarks/pages/bookmarks/view.php
@@ -0,0 +1,38 @@
+<?php
+/**
+ * View a bookmark
+ *
+ * @package ElggBookmarks
+ */
+
+$bookmark = get_entity(get_input('guid'));
+if (!$bookmark) {
+ register_error(elgg_echo('noaccess'));
+ $_SESSION['last_forward_from'] = current_page_url();
+ forward('');
+}
+
+$page_owner = elgg_get_page_owner_entity();
+
+$crumbs_title = $page_owner->name;
+
+if (elgg_instanceof($page_owner, 'group')) {
+ elgg_push_breadcrumb($crumbs_title, "bookmarks/group/$page_owner->guid/all");
+} else {
+ elgg_push_breadcrumb($crumbs_title, "bookmarks/owner/$page_owner->username");
+}
+
+$title = $bookmark->title;
+
+elgg_push_breadcrumb($title);
+
+$content = elgg_view_entity($bookmark, array('full_view' => true));
+$content .= elgg_view_comments($bookmark);
+
+$body = elgg_view_layout('content', array(
+ 'content' => $content,
+ 'title' => $title,
+ 'filter' => '',
+));
+
+echo elgg_view_page($title, $body);
diff --git a/mod/bookmarks/start.php b/mod/bookmarks/start.php
index 95922cd22..caea43587 100644
--- a/mod/bookmarks/start.php
+++ b/mod/bookmarks/start.php
@@ -5,255 +5,204 @@
* @package ElggBookmarks
*/
-// Bookmarks initialisation function
+elgg_register_event_handler('init', 'system', 'bookmarks_init');
+
+/**
+ * Bookmark init
+ */
function bookmarks_init() {
- // Grab the config global
- global $CONFIG;
- //add a tools menu option
- add_menu(elgg_echo('bookmarks'), 'pg/bookmarks');
+ $root = dirname(__FILE__);
+ elgg_register_library('elgg:bookmarks', "$root/lib/bookmarks.php");
- // Register a page handler, so we can have nice URLs
- register_page_handler('bookmarks', 'bookmarks_page_handler');
+ // actions
+ $action_path = "$root/actions/bookmarks";
+ elgg_register_action('bookmarks/save', "$action_path/save.php");
+ elgg_register_action('bookmarks/delete', "$action_path/delete.php");
+ elgg_register_action('bookmarks/share', "$action_path/share.php");
- // Add our CSS
- elgg_extend_view('css', 'bookmarks/css');
+ // menus
+ elgg_register_menu_item('site', array(
+ 'name' => 'bookmarks',
+ 'text' => elgg_echo('bookmarks'),
+ 'href' => 'bookmarks/all'
+ ));
- // Register granular notification for this type
- if (is_callable('register_notification_object')) {
- register_notification_object('object', 'bookmarks', elgg_echo('bookmarks:new'));
- }
+ elgg_register_plugin_hook_handler('register', 'menu:page', 'bookmarks_page_menu');
+ elgg_register_plugin_hook_handler('register', 'menu:owner_block', 'bookmarks_owner_block_menu');
- // Listen to notification events and supply a more useful message
- elgg_register_plugin_hook_handler('notify:entity:message', 'object', 'bookmarks_notify_message');
+ elgg_register_page_handler('bookmarks', 'bookmarks_page_handler');
- // Register a URL handler for shared items
- register_entity_url_handler('bookmark_url','object','bookmarks');
+ elgg_extend_view('css/elgg', 'bookmarks/css');
+ elgg_extend_view('js/elgg', 'bookmarks/js');
- // Shares widget
- add_widget_type('bookmarks',elgg_echo("bookmarks"),elgg_echo("bookmarks:widget:description"));
+ elgg_register_widget_type('bookmarks', elgg_echo('bookmarks'), elgg_echo('bookmarks:widget:description'));
- // Register entity type
- register_entity_type('object','bookmarks');
+ if (elgg_is_logged_in()) {
+ $user_guid = elgg_get_logged_in_user_guid();
+ $address = urlencode(current_page_url());
- // Add group menu option
- add_group_tool_option('bookmarks',elgg_echo('bookmarks:enablebookmarks'),true);
+ elgg_register_menu_item('extras', array(
+ 'name' => 'bookmark',
+ 'text' => elgg_view_icon('push-pin-alt'),
+ 'href' => "bookmarks/add/$user_guid?address=$address",
+ 'title' => elgg_echo('bookmarks:this'),
+ 'rel' => 'nofollow',
+ ));
+ }
+ // Register granular notification for this type
+ register_notification_object('object', 'bookmarks', elgg_echo('bookmarks:new'));
- // Extend Groups profile page
- elgg_extend_view('groups/tool_latest','bookmarks/group_bookmarks');
+ // Listen to notification events and supply a more useful message
+ elgg_register_plugin_hook_handler('notify:entity:message', 'object', 'bookmarks_notify_message');
- // Register profile menu hook
- elgg_register_plugin_hook_handler('profile_menu', 'profile', 'bookmarks_profile_menu');
-}
+ // Register bookmarks view for ecml parsing
+ elgg_register_plugin_hook_handler('get_views', 'ecml', 'bookmarks_ecml_views_hook');
-/**
- * Sidebar menu for bookmarks
- *
- */
-function bookmarks_pagesetup() {
- global $CONFIG;
+ // Register a URL handler for bookmarks
+ elgg_register_entity_url_handler('object', 'bookmarks', 'bookmark_url');
- $page_owner = elgg_get_page_owner();
+ // Register entity type for search
+ elgg_register_entity_type('object', 'bookmarks');
- // Add group bookmark menu item
- if (isloggedin()) {
- if ($page_owner instanceof ElggGroup && elgg_get_context() == 'groups') {
- if ($page_owner->bookmarks_enable != "no") {
- //add_submenu_item(elgg_echo("bookmarks:group",array($page_owner->name), "pg/bookmarks/" . $page_owner->username . '/items'));
- }
- }
- }
+ // Groups
+ add_group_tool_option('bookmarks', elgg_echo('bookmarks:enablebookmarks'), true);
+ elgg_extend_view('groups/tool_latest', 'bookmarks/group_module');
}
/**
- * Bookmarks page handler
- * Expects URLs like:
- * pg/bookmarks/username/[friends||items||add||edit||bookmarklet]
+ * Dispatcher for bookmarks.
*
+ * URLs take the form of
+ * All bookmarks: bookmarks/all
+ * User's bookmarks: bookmarks/owner/<username>
+ * Friends' bookmarks: bookmarks/friends/<username>
+ * View bookmark: bookmarks/view/<guid>/<title>
+ * New bookmark: bookmarks/add/<guid> (container: user, group, parent)
+ * Edit bookmark: bookmarks/edit/<guid>
+ * Group bookmarks: bookmarks/group/<guid>/all
+ * Bookmarklet: bookmarks/bookmarklet/<guid> (user)
*
- * @param array $page From the page_handler function
- * @return true|false Depending on success
+ * Title is ignored
+ *
+ * @param array $page
+ * @return bool
*/
function bookmarks_page_handler($page) {
- global $CONFIG;
- // The first component of a bookmarks URL is the username
- // If the username is set_input()'d and has group:NN in it, magic happens
- // and the elgg_get_page_owner() is the group.
- if (isset($page[0])) {
- $owner_name = $page[0];
- set_input('username', $owner_name);
+ elgg_load_library('elgg:bookmarks');
- // grab the page owner here so the group magic works.
- $owner = elgg_get_page_owner();
- } else {
- set_page_owner(get_loggedin_userid());
- }
-
- // owner name passed but invalid.
- if ($owner_name && !$owner) {
- $sidebar = elgg_view('bookmarks/sidebar', array('object_type' => 'bookmarks'));
- $content = elgg_echo("bookmarks:unknown_user");
-
- $params = array(
- 'content' => $content,
- 'sidebar' => $sidebar,
- );
- $body = elgg_view_layout('one_column_with_sidebar', $params);
- echo elgg_view_page(elgg_echo("bookmarks:user", array(elgg_get_page_owner()->name)), $body);
-
- return FALSE;
+ if (!isset($page[0])) {
+ $page[0] = 'all';
}
- $logged_in_user = get_loggedin_user();
- $section = (isset($page[1])) ? $page[1] : $section = 'items';
-
- //don't show the all site bookmarks breadcrumb when on the all site bookmarks page
- if(elgg_get_page_owner_guid() != 0){
- elgg_push_breadcrumb(elgg_echo('bookmarks:all'), $CONFIG->wwwroot . 'pg/bookmarks/');
- }
-
- if ($owner) {
- switch($section) {
- case 'friends':
- elgg_push_breadcrumb(elgg_echo('bookmarks:friends', array($owner->name)));
-
- $content = list_user_friends_objects($owner->getGUID(), 'bookmarks', 10, false, false);
- $context = ($owner == $logged_in_user) ? 'friends' : '';
- break;
-
- default:
- case 'items':
- elgg_push_breadcrumb(elgg_echo('bookmarks:user', array($owner->name)));
-
- group_gatekeeper();
- $options = array(
- 'type' => 'object',
- 'subtype' => 'bookmarks'
- );
-
- if ($owner instanceof ElggGroup) {
- $options['container_guid'] = $owner->getGUID();
- } else {
- $options['owner_guid'] = $owner->getGUID();
- }
-
- $content = elgg_list_entities($options);
-
- if (!$content && ($owner == $logged_in_user)) {
- $content = elgg_view('help/bookmarks');
- }
-
- $context = ($owner == $logged_in_user) ? 'mine' : '';
- break;
-
- case 'add':
- gatekeeper();
- elgg_push_breadcrumb(elgg_echo('bookmarks:add'));
-
- $vars = array();
- if ($owner instanceof ElggGroup) {
- $vars['container_guid'] = $owner->getGUID();
- }
-
- $context = 'action';
- $content = elgg_view('bookmarks/form', $vars);
- break;
-
- case 'edit':
- gatekeeper();
-
- elgg_push_breadcrumb(elgg_echo('bookmarks:edit'));
-
- $vars = array();
- // this will never be the case.
- if ($owner instanceof ElggGroup) {
- $vars['container_guid'] = $owner->getGUID();
- }
-
- $bookmark = (isset($page[2])) ? get_entity($page[2]) : FALSE;
+ elgg_push_breadcrumb(elgg_echo('bookmarks'), 'bookmarks/all');
- if ($bookmark && elgg_instanceof($bookmark, 'object', 'bookmarks') && $bookmark->canEdit()) {
- $vars['entity'] = $bookmark;
- $context = 'action';
- $content = elgg_view('bookmarks/form', $vars);
- } else {
- $content = elgg_echo('bookmarks:cannot_find_bookmark');
- }
-
- break;
-
- // I don't think this is used.
- case 'bookmarklet':
- gatekeeper();
-
- $content = elgg_view_title(elgg_echo('bookmarks:bookmarklet'));
- $content .= elgg_view('bookmarks/bookmarklet');
-
- break;
+ // old group usernames
+ if (substr_count($page[0], 'group:')) {
+ preg_match('/group\:([0-9]+)/i', $page[0], $matches);
+ $guid = $matches[1];
+ if ($entity = get_entity($guid)) {
+ bookmarks_url_forwarder($page);
}
+ }
- } else {
- // no owner name passed, show everything.
- $content = elgg_list_entities(array('type' => 'object', 'subtype' => 'bookmarks'));
- $context = 'everyone';
+ // user usernames
+ $user = get_user_by_username($page[0]);
+ if ($user) {
+ bookmarks_url_forwarder($page);
}
- // sidebar
- if ($logged_in_user != $owner) {
- $area3 = elgg_view('bookmarks/ownerblock');
+ $pages = dirname(__FILE__) . '/pages/bookmarks';
+
+ switch ($page[0]) {
+ case "all":
+ include "$pages/all.php";
+ break;
+
+ case "owner":
+ include "$pages/owner.php";
+ break;
+
+ case "friends":
+ include "$pages/friends.php";
+ break;
+
+ case "view":
+ set_input('guid', $page[1]);
+ include "$pages/view.php";
+ break;
+ case 'read': // Elgg 1.7 compatibility
+ register_error(elgg_echo("changebookmark"));
+ forward("bookmarks/view/{$page[1]}");
+ break;
+
+ case "add":
+ gatekeeper();
+ include "$pages/add.php";
+ break;
+
+ case "edit":
+ gatekeeper();
+ set_input('guid', $page[1]);
+ include "$pages/edit.php";
+ break;
+
+ case 'group':
+ group_gatekeeper();
+ include "$pages/owner.php";
+ break;
+
+ case "bookmarklet":
+ set_input('container_guid', $page[1]);
+ include "$pages/bookmarklet.php";
+ break;
+
+ default:
+ return false;
}
- $sidebar = elgg_view('bookmarks/sidebar', array('object_type' => 'bookmarks'));
+ elgg_pop_context();
+ return true;
+}
- if (isloggedin()){
- $sidebar .= elgg_view('bookmarks/bookmarklet');
- }
+/**
+ * Forward to the new style of URLs
+ *
+ * @param string $page
+ */
+function bookmarks_url_forwarder($page) {
+ global $CONFIG;
- // main content
- //if ($owner != $logged_in_user || $context == 'action') {
- $header = elgg_view('navigation/breadcrumbs');
- //}
- //if no user is set
- if(!$owner_name){
- $owner_name = get_loggedin_user()->username;
+ if (!isset($page[1])) {
+ $page[1] = 'items';
}
- //select the header depending on whether a user is looking at their bookmarks or someone elses
- if($owner){
- if ($owner != $logged_in_user && !($owner instanceof ElggGroup)) {
- $header .= elgg_view("page_elements/content_header_member", array(
- 'type' => 'bookmarks'
- ));
- }else{
- $header .= elgg_view("page_elements/content_header", array(
- 'context' => $context,
- 'type' => 'bookmarks',
- 'all_link' => "pg/bookmarks/",
- 'new_link' => "pg/bookmarks/{$owner_name}/add"
- ));
- }
- }else{
- $header .= elgg_view("page_elements/content_header", array(
- 'context' => $context,
- 'type' => 'bookmarks',
- 'all_link' => "pg/bookmarks/",
- 'new_link' => "pg/bookmarks/{$owner_name}/add"
- ));
+ switch ($page[1]) {
+ case "read":
+ $url = "{$CONFIG->wwwroot}bookmarks/view/{$page[2]}/{$page[3]}";
+ break;
+ case "inbox":
+ $url = "{$CONFIG->wwwroot}bookmarks/inbox/{$page[0]}";
+ break;
+ case "friends":
+ $url = "{$CONFIG->wwwroot}bookmarks/friends/{$page[0]}";
+ break;
+ case "add":
+ $url = "{$CONFIG->wwwroot}bookmarks/add/{$page[0]}";
+ break;
+ case "items":
+ $url = "{$CONFIG->wwwroot}bookmarks/owner/{$page[0]}";
+ break;
+ case "bookmarklet":
+ $url = "{$CONFIG->wwwroot}bookmarks/bookmarklet/{$page[0]}";
+ break;
}
- $content = $header . $content;
- $params = array(
- 'content' => $content,
- 'sidebar' => $sidebar,
- );
- $body = elgg_view_layout('one_column_with_sidebar', $params);
- echo elgg_view_page(elgg_echo("bookmarks:user", array(elgg_get_page_owner()->name)), $body);
-
- return TRUE;
+ register_error(elgg_echo("changebookmark"));
+ forward($url);
}
-
/**
* Populates the ->getUrl() method for bookmarked objects
*
@@ -261,20 +210,44 @@ function bookmarks_page_handler($page) {
* @return string bookmarked item URL
*/
function bookmark_url($entity) {
-
global $CONFIG;
+
$title = $entity->title;
$title = elgg_get_friendly_title($title);
- return "pg/bookmarks/" . $entity->getOwnerEntity()->username . "/read/" . $entity->getGUID() . "/" . $title;
+ return $CONFIG->url . "bookmarks/view/" . $entity->getGUID() . "/" . $title;
}
/**
- * Returns a more meaningful message
+ * Add a menu item to an ownerblock
+ *
+ * @param string $hook
+ * @param string $type
+ * @param array $return
+ * @param array $params
+ */
+function bookmarks_owner_block_menu($hook, $type, $return, $params) {
+ if (elgg_instanceof($params['entity'], 'user')) {
+ $url = "bookmarks/owner/{$params['entity']->username}";
+ $item = new ElggMenuItem('bookmarks', elgg_echo('bookmarks'), $url);
+ $return[] = $item;
+ } else {
+ if ($params['entity']->bookmarks_enable != 'no') {
+ $url = "bookmarks/group/{$params['entity']->guid}/all";
+ $item = new ElggMenuItem('bookmarks', elgg_echo('bookmarks:group'), $url);
+ $return[] = $item;
+ }
+ }
+
+ return $return;
+}
+
+/**
+ * Returns the body of a notification message
*
- * @param unknown_type $hook
- * @param unknown_type $entity_type
- * @param unknown_type $returnvalue
- * @param unknown_type $params
+ * @param string $hook
+ * @param string $entity_type
+ * @param string $returnvalue
+ * @param array $params
*/
function bookmarks_notify_message($hook, $entity_type, $returnvalue, $params) {
$entity = $params['entity'];
@@ -283,65 +256,61 @@ function bookmarks_notify_message($hook, $entity_type, $returnvalue, $params) {
if (($entity instanceof ElggEntity) && ($entity->getSubtype() == 'bookmarks')) {
$descr = $entity->description;
$title = $entity->title;
- global $CONFIG;
- $url = elgg_get_site_url() . "pg/view/" . $entity->guid;
- if ($method == 'sms') {
- $owner = $entity->getOwnerEntity();
- return $owner->name . ' ' . elgg_echo("bookmarks:via") . ': ' . $url . ' (' . $title . ')';
- }
- if ($method == 'email') {
- $owner = $entity->getOwnerEntity();
- return $owner->name . ' ' . elgg_echo("bookmarks:via") . ': ' . $title . "\n\n" . $descr . "\n\n" . $entity->getURL();
- }
- if ($method == 'web') {
- $owner = $entity->getOwnerEntity();
- return $owner->name . ' ' . elgg_echo("bookmarks:via") . ': ' . $title . "\n\n" . $descr . "\n\n" . $entity->getURL();
- }
-
+ $owner = $entity->getOwnerEntity();
+
+ return elgg_echo('bookmarks:notification', array(
+ $owner->name,
+ $title,
+ $entity->address,
+ $descr,
+ $entity->getURL()
+ ));
}
return null;
}
/**
- * A function to generate an internal code to put on the wire in place of the full url
- * to save space.
- **/
-
-function create_wire_url_code(){
- $chars = "abcdefghijkmnopqrstuvwxyz023456789";
- srand((double)microtime()*1000000);
- $i = 0;
- $code = '';
-
- while ($i <= 4) {
- $num = rand() % 33;
- $tmp = substr($chars, $num, 1);
- $code = $code . $tmp;
- $i++;
- }
- $code = "{{L:" . $code . "}}";
- return $code;
-}
+ * Add a page menu menu.
+ *
+ * @param string $hook
+ * @param string $type
+ * @param array $return
+ * @param array $params
+ */
+function bookmarks_page_menu($hook, $type, $return, $params) {
+ if (elgg_is_logged_in()) {
+ // only show bookmarklet in bookmark pages
+ if (elgg_in_context('bookmarks')) {
+ $page_owner = elgg_get_page_owner_entity();
+ if (!$page_owner) {
+ $page_owner = elgg_get_logged_in_user_entity();
+ }
-function bookmarks_profile_menu($hook, $entity_type, $return_value, $params) {
- global $CONFIG;
+ if ($page_owner instanceof ElggGroup) {
+ if (!$page_owner->isMember()) {
+ return $return;
+ }
+ $title = elgg_echo('bookmarks:bookmarklet:group');
+ } else {
+ $title = elgg_echo('bookmarks:bookmarklet');
+ }
- $return_value[] = array(
- 'text' => elgg_echo('bookmarks'),
- 'href' => "pg/bookmarks/{$params['owner']->username}",
- );
+ $return[] = new ElggMenuItem('bookmarklet', $title, 'bookmarks/bookmarklet/' . $page_owner->getGUID());
+ }
+ }
- return $return_value;
+ return $return;
}
-// Make sure the initialisation function is called on initialisation
-elgg_register_event_handler('init','system','bookmarks_init');
-elgg_register_event_handler('pagesetup','system','bookmarks_pagesetup');
-
-// Register actions
-global $CONFIG;
-register_action('bookmarks/add',false,$CONFIG->pluginspath . "bookmarks/actions/add.php");
-register_action('bookmarks/edit',false,$CONFIG->pluginspath . "bookmarks/actions/edit.php");
-register_action('bookmarks/delete',false,$CONFIG->pluginspath . "bookmarks/actions/delete.php");
-register_action('bookmarks/reference',false,$CONFIG->pluginspath . "bookmarks/actions/reference.php");
-register_action('bookmarks/remove',false,$CONFIG->pluginspath . "bookmarks/actions/remove.php");
+/**
+ * Return bookmarks views to parse for ecml
+ *
+ * @param string $hook
+ * @param string $type
+ * @param array $return
+ * @param array $params
+ */
+function bookmarks_ecml_views_hook($hook, $type, $return, $params) {
+ $return['object/bookmarks'] = elgg_echo('item:object:bookmarks');
+ return $return;
+}
diff --git a/mod/bookmarks/views/default/bookmarks/bookmarklet.php b/mod/bookmarks/views/default/bookmarks/bookmarklet.php
index 17a304d78..b3e9737fe 100644
--- a/mod/bookmarks/views/default/bookmarks/bookmarklet.php
+++ b/mod/bookmarks/views/default/bookmarks/bookmarklet.php
@@ -1,32 +1,35 @@
<?php
/**
- * Elgg get bookmarks bookmarklet view
+ * Bookmarklet
*
- * @package ElggBookmarks
+ * @package Bookmarks
*/
-$page_owner = elgg_get_page_owner();
-$bookmarktext = elgg_echo("bookmarks:this");
+$page_owner = elgg_get_page_owner_entity();
if ($page_owner instanceof ElggGroup) {
- $bookmarktext = elgg_echo("bookmarks:this:group", array($page_owner->name));
- $name = "group:$page_owner->guid";
+ $title = elgg_echo("bookmarks:this:group", array($page_owner->name));
} else {
- $name = $page_owner->username;
+ $title = elgg_echo("bookmarks:this");
}
-if (!$name && ($user = get_loggedin_user())) {
+$guid = $page_owner->getGUID();
+
+if (!$name && ($user = elgg_get_logged_in_user_entity())) {
$name = $user->username;
}
-?>
-<h3><?php echo elgg_echo('bookmarks:browser_bookmarklet')?></h3>
-<a href="javascript:location.href='<?php echo elgg_get_site_url(); ?>pg/bookmarks/<?php echo $name; ?>/add?address='+encodeURIComponent(location.href)+'&title='+encodeURIComponent(document.title)"> <img src="<?php echo elgg_get_site_url(); ?>_graphics/elgg_bookmarklet.gif" border="0" title="<?php echo elgg_echo('bookmarks:this');?>" /> </a>
-<br />
-<a class="link" onclick="elgg_slide_toggle(this,'#elgg_sidebar','.bookmarklet');">Instructions</a>
+$url = elgg_get_site_url();
+$img = elgg_view('output/img', array(
+ 'src' => 'mod/bookmarks/graphics/bookmarklet.gif',
+ 'alt' => $title,
+));
+$bookmarklet = "<a href=\"javascript:location.href='{$url}bookmarks/add/$guid?address='"
+ . "+encodeURIComponent(location.href)+'&title='+encodeURIComponent(document.title)\">"
+ . $img . "</a>";
-<div class="bookmarklet hidden">
- <p><?php echo elgg_echo("bookmarks:bookmarklet:description"); ?></p>
- <p><?php echo elgg_echo("bookmarks:bookmarklet:descriptionie"); ?></p>
- <p><?php echo elgg_echo("bookmarks:bookmarklet:description:conclusion"); ?></p>
-</div> \ No newline at end of file
+?>
+<p><?php echo elgg_echo("bookmarks:bookmarklet:description"); ?></p>
+<p><?php echo $bookmarklet; ?></p>
+<p><?php echo elgg_echo("bookmarks:bookmarklet:descriptionie"); ?></p>
+<p><?php echo elgg_echo("bookmarks:bookmarklet:description:conclusion"); ?></p>
diff --git a/mod/bookmarks/views/default/bookmarks/css.php b/mod/bookmarks/views/default/bookmarks/css.php
index 30df44744..0d734c847 100644
--- a/mod/bookmarks/views/default/bookmarks/css.php
+++ b/mod/bookmarks/views/default/bookmarks/css.php
@@ -1,46 +1,3 @@
-<?php
-/**
- * Elgg bookmarks CSS
- *
- * @package ElggBookmarks
- */
-?>
-
-.bookmark_note {
- margin:0 0 0 5px;
-}
-.note {
- margin-top:5px;
-}
-
-
-/* BOOKMARKS WIDGET
-.collapsable_box_content .ContentWrapper.bookmarks {
- margin-bottom:5px;
- line-height:1.2em;
-}
-.collapsable_box_content .ContentWrapper.bookmarks .share_desc {
- display:none;
- line-height: 1.2em;
-}
-.collapsable_box_content .ContentWrapper.bookmarks .share_desc p {
- margin:0 0 5px 0;
-}
-.collapsable_box_content .ContentWrapper.bookmarks .river_object_bookmarks_create p {
- min-height:17px;
- padding:0 0 0 17px;
-}
-.collapsable_box_content .ContentWrapper.bookmarks .shares_timestamp {
- color:#666666;
- margin:0;
- padding:0 0 0 17px;
-}
-.collapsable_box_content .ContentWrapper.bookmarks .shares_title {
- margin:0;
- line-height: 1.1em;
-}
-.collapsable_box_content .ContentWrapper.bookmarks.more {
- margin:0 10px;
- padding:5px 10px;
-}
-*/
+.elgg-icon-bookmark {
+ background: transparent url(<?php echo elgg_get_site_url();?>mod/bookmarks/graphics/bookmark.gif);
+} \ No newline at end of file
diff --git a/mod/bookmarks/views/default/bookmarks/form.php b/mod/bookmarks/views/default/bookmarks/form.php
deleted file mode 100644
index 927ed445d..000000000
--- a/mod/bookmarks/views/default/bookmarks/form.php
+++ /dev/null
@@ -1,109 +0,0 @@
-<?php
-
-/**
- * Elgg bookmarks plugin form
- *
- * @package ElggBookmarks
- */
-
-if(isset($vars['entity'])){
- $guid = $vars['entity']->guid;
- $title = $vars['entity']->title;
- $address = $vars['entity']->address;
- $access_id = $vars['entity']->access_id;
- $tags = $vars['entity']->tags;
- $notes = $vars['entity']->description;
- $owner = get_entity($vars['entity']->container_guid);
- $url = "action/bookmarks/edit";
-}else{
- //set some variables
- $guid = '';
- $title = get_input('title',"");
- $title = stripslashes($title); // strip slashes from URL encoded apostrophes
- $address = get_input('address',"");
- $notes = '';
- if ($address == "previous")
- $address = $_SERVER['HTTP_REFERER'];
- $tags = array();
- if(elgg_get_page_owner() instanceof ElggGroup){
- //if in a group, set the access level to default to the group
- $access_id = elgg_get_page_owner()->group_acl;
- }else{
- $access_id = get_default_access(get_loggedin_user());
- }
- $owner = get_loggedin_user();
- $url = "action/bookmarks/add";
-}
-?>
-<form id="bookmark_edit_form" class="margin_top" action="<?php echo elgg_get_site_url() . $url; ?>" method="post">
- <?php echo elgg_view('input/securitytoken'); ?>
- <p>
- <label>
- <?php echo elgg_echo('title'); ?>
- <?php
- echo elgg_view('input/text',array(
- 'internalname' => 'title',
- 'value' => $title,
- ));
- ?>
- </label>
- </p>
- <p>
- <label>
- <?php echo elgg_echo('bookmarks:address'); ?>
- <?php
- echo elgg_view('input/url',array(
- 'internalname' => 'address',
- 'value' => $address,
- ));
- ?>
- </label>
- </p>
- <p>
- <label>
- <?php echo elgg_echo('bookmarks:addnote'); ?>
- <br />
- <?php
-
- echo elgg_view('input/text',array(
- 'internalname' => 'notes',
- 'value' => $notes,
- ));
-
- ?>
- </label>
- </p>
- <p>
- <label>
- <?php echo elgg_echo('tags'); ?>
- <?php
- echo elgg_view('input/tags',array(
- 'internalname' => 'tags',
- 'value' => $tags,
- ));
- ?>
- </label>
- </p>
- <p>
- <label>
- <?php echo elgg_echo('access'); ?>
- <?php
- //if it is a group, pull out the group access view
- if(elgg_get_page_owner() instanceof ElggGroup){
- $access_options = group_access_options($owner);
- echo elgg_view('input/access', array('internalname' => 'access',
- 'value' => $access_id,
- 'options' => $access_options));
- }else{
- echo elgg_view('input/access', array('internalname' => 'access',
- 'value' => $access_id));
- }
- ?>
- </label>
- </p>
- <p>
- <?php echo $vars['container_guid'] ? elgg_view('input/hidden', array('internalname' => 'container_guid', 'value' => $vars['container_guid'])) : ""; ?>
- <input type="hidden" value="<?php echo $guid; ?>" name="guid" />
- <input type="submit" onfocus="blur()" value="<?php echo elgg_echo('save'); ?>" />
- </p>
-</form>
diff --git a/mod/bookmarks/views/default/bookmarks/group_bookmarks.php b/mod/bookmarks/views/default/bookmarks/group_bookmarks.php
deleted file mode 100755
index 83d6c97ea..000000000
--- a/mod/bookmarks/views/default/bookmarks/group_bookmarks.php
+++ /dev/null
@@ -1,35 +0,0 @@
-<?php
-
-//grab the groups bookmarks
-$bookmarks = elgg_get_entities(array('type' => 'object', 'subtype' => 'bookmarks',
- 'container_guids' => elgg_get_page_owner_guid(), 'limit' => 6));
-?>
-<div class="group_tool_widget bookmarks">
-<span class="group_widget_link"><a href="<?php echo elgg_get_site_url() . "pg/bookmarks/" . elgg_get_page_owner()->username; ?>"><?php echo elgg_echo('link:view:all')?></a></span>
-<h3><?php echo elgg_echo('bookmarks:group') ?></h3>
-<?php
-if($bookmarks){
- foreach($bookmarks as $b){
-
- //get the owner
- $owner = $b->getOwnerEntity();
-
- //get the time
- $friendlytime = elgg_view_friendly_time($b->time_created);
-
- $info = "<div class='entity_listing_icon'>" . elgg_view('profile/icon',array('entity' => $b->getOwnerEntity(), 'size' => 'tiny')) . "</div>";
-
- //get the bookmark entries body
- $info .= "<div class='entity_listing_info'><p class='entity_title'><a href=\"{$b->address}\">{$b->title}</a></p>";
-
- //get the user details
- $info .= "<p class='entity_subtext'>{$friendlytime}</p>";
- $info .= "</div>";
- //display
- echo "<div class='entity_listing clearfix'>" . $info . "</div>";
- }
-} else {
- $create_bookmark = elgg_get_site_url() . "pg/bookmarks/" . elgg_get_page_owner()->username . "/add";
- echo "<p class='margin_top'><a href=\"{$create_bookmark}\">" . elgg_echo("bookmarks:new") . "</a></p>";
-}
-echo "</div>"; \ No newline at end of file
diff --git a/mod/bookmarks/views/default/bookmarks/group_module.php b/mod/bookmarks/views/default/bookmarks/group_module.php
new file mode 100644
index 000000000..60a727819
--- /dev/null
+++ b/mod/bookmarks/views/default/bookmarks/group_module.php
@@ -0,0 +1,47 @@
+<?php
+/**
+ * List most recent bookmarks on group profile page
+ *
+ * @package Bookmarks
+ */
+
+$group = elgg_get_page_owner_entity();
+
+if ($group->bookmarks_enable == "no") {
+ return true;
+}
+
+$all_link = elgg_view('output/url', array(
+ 'href' => "bookmarks/group/$group->guid/all",
+ 'text' => elgg_echo('link:view:all'),
+ 'is_trusted' => true,
+));
+
+elgg_push_context('widgets');
+$options = array(
+ 'type' => 'object',
+ 'subtype' => 'bookmarks',
+ 'container_guid' => elgg_get_page_owner_guid(),
+ 'limit' => 6,
+ 'full_view' => false,
+ 'pagination' => false,
+);
+$content = elgg_list_entities($options);
+elgg_pop_context();
+
+if (!$content) {
+ $content = '<p>' . elgg_echo('bookmarks:none') . '</p>';
+}
+
+$new_link = elgg_view('output/url', array(
+ 'href' => "bookmarks/add/$group->guid",
+ 'text' => elgg_echo('bookmarks:add'),
+ 'is_trusted' => true,
+));
+
+echo elgg_view('groups/profile/module', array(
+ 'title' => elgg_echo('bookmarks:group'),
+ 'content' => $content,
+ 'all_link' => $all_link,
+ 'add_link' => $new_link,
+));
diff --git a/mod/bookmarks/views/default/bookmarks/js.php b/mod/bookmarks/views/default/bookmarks/js.php
new file mode 100644
index 000000000..c36823c09
--- /dev/null
+++ b/mod/bookmarks/views/default/bookmarks/js.php
@@ -0,0 +1,12 @@
+
+elgg.provide('elgg.bookmarks');
+
+elgg.bookmarks.init = function() {
+ // append the title to the url
+ var title = document.title;
+ var e = $('a.elgg-bookmark-page');
+ var link = e.attr('href') + '&title=' + encodeURIComponent(title);
+ e.attr('href', link);
+};
+
+elgg.register_hook_handler('init', 'system', elgg.bookmarks.init);
diff --git a/mod/bookmarks/views/default/bookmarks/sidebar.php b/mod/bookmarks/views/default/bookmarks/sidebar.php
new file mode 100644
index 000000000..811284ef3
--- /dev/null
+++ b/mod/bookmarks/views/default/bookmarks/sidebar.php
@@ -0,0 +1,14 @@
+<?php
+/**
+ * Bookmarks sidebar
+ */
+
+echo elgg_view('page/elements/comments_block', array(
+ 'subtypes' => 'bookmarks',
+ 'owner_guid' => elgg_get_page_owner_guid(),
+));
+
+echo elgg_view('page/elements/tagcloud_block', array(
+ 'subtypes' => 'bookmarks',
+ 'owner_guid' => elgg_get_page_owner_guid(),
+));
diff --git a/mod/bookmarks/views/default/bookmarks/stats.php b/mod/bookmarks/views/default/bookmarks/stats.php
deleted file mode 100755
index 77c3d703f..000000000
--- a/mod/bookmarks/views/default/bookmarks/stats.php
+++ /dev/null
@@ -1,7 +0,0 @@
-<?php
-/**
- * All site bookmark stats
- **/
-
-echo "<h3>".elgg_echo('bookmarks:stats')."</h3>";
-echo "<p>". $vars['count'] . " " . elgg_echo('bookmarks:resources') .".</p>"; \ No newline at end of file
diff --git a/mod/bookmarks/views/default/forms/bookmarks/save.php b/mod/bookmarks/views/default/forms/bookmarks/save.php
new file mode 100644
index 000000000..7d064a55b
--- /dev/null
+++ b/mod/bookmarks/views/default/forms/bookmarks/save.php
@@ -0,0 +1,59 @@
+<?php
+/**
+ * Edit / add a bookmark
+ *
+ * @package Bookmarks
+ */
+
+// once elgg_view stops throwing all sorts of junk into $vars, we can use extract()
+$title = elgg_extract('title', $vars, '');
+$desc = elgg_extract('description', $vars, '');
+$address = elgg_extract('address', $vars, '');
+$tags = elgg_extract('tags', $vars, '');
+$access_id = elgg_extract('access_id', $vars, ACCESS_DEFAULT);
+$container_guid = elgg_extract('container_guid', $vars);
+$guid = elgg_extract('guid', $vars, null);
+$shares = elgg_extract('shares', $vars, array());
+
+?>
+<div>
+ <label><?php echo elgg_echo('title'); ?></label><br />
+ <?php echo elgg_view('input/text', array('name' => 'title', 'value' => $title)); ?>
+</div>
+<div>
+ <label><?php echo elgg_echo('bookmarks:address'); ?></label><br />
+ <?php echo elgg_view('input/text', array('name' => 'address', 'value' => $address)); ?>
+</div>
+<div>
+ <label><?php echo elgg_echo('description'); ?></label>
+ <?php echo elgg_view('input/longtext', array('name' => 'description', 'value' => $desc)); ?>
+</div>
+<div>
+ <label><?php echo elgg_echo('tags'); ?></label>
+ <?php echo elgg_view('input/tags', array('name' => 'tags', 'value' => $tags)); ?>
+</div>
+<?php
+
+$categories = elgg_view('input/categories', $vars);
+if ($categories) {
+ echo $categories;
+}
+
+?>
+<div>
+ <label><?php echo elgg_echo('access'); ?></label><br />
+ <?php echo elgg_view('input/access', array('name' => 'access_id', 'value' => $access_id)); ?>
+</div>
+<div class="elgg-foot">
+<?php
+
+echo elgg_view('input/hidden', array('name' => 'container_guid', 'value' => $container_guid));
+
+if ($guid) {
+ echo elgg_view('input/hidden', array('name' => 'guid', 'value' => $guid));
+}
+
+echo elgg_view('input/submit', array('value' => elgg_echo("save")));
+
+?>
+</div> \ No newline at end of file
diff --git a/mod/bookmarks/views/default/object/bookmarks.php b/mod/bookmarks/views/default/object/bookmarks.php
index aa4874610..83bae2b13 100644
--- a/mod/bookmarks/views/default/object/bookmarks.php
+++ b/mod/bookmarks/views/default/object/bookmarks.php
@@ -5,87 +5,123 @@
* @package ElggBookmarks
*/
-$owner = $vars['entity']->getOwnerEntity();
-$friendlytime = elgg_view_friendly_time($vars['entity']->time_created);
-$address = $vars['entity']->address;
-
-// you used to be able to add without titles, which created unclickable bookmarks
-// putting a fake title in so you can click on it.
-if (!$title = $vars['entity']->title) {
- $title = elgg_echo('bookmarks:no_title');
-}
+$full = elgg_extract('full_view', $vars, FALSE);
+$bookmark = elgg_extract('entity', $vars, FALSE);
-$parsed_url = parse_url($address);
-$faviconurl = $parsed_url['scheme'] . "://" . $parsed_url['host'] . "/favicon.ico";
-
-//sort out the access level for display
-$object_acl = get_readable_access_level($vars['entity']->access_id);
-//files with these access level don't need an icon
-$general_access = array('Public', 'Logged in users', 'Friends');
-//set the right class for access level display - need it to set on groups and shared access only
-$is_group = get_entity($vars['entity']->container_guid);
-if($is_group instanceof ElggGroup){
- //get the membership type open/closed
- $membership = $is_group->membership;
- //we decided to show that the item is in a group, rather than its actual access level
- $object_acl = "Group: " . $is_group->name;
- if($membership == 2)
- $access_level = "class='access_level group_open'";
- else
- $access_level = "class='access_level group_closed'";
-}elseif($object_acl == 'Private'){
- $access_level = "class='access_level private'";
-}else{
- if(!in_array($object_acl, $general_access))
- $access_level = "class='access_level shared_collection'";
- else
- $access_level = "class='access_level entity_access'";
+if (!$bookmark) {
+ return;
}
-if($vars['entity']->description != '')
- $view_notes = "<a class='link' onclick=\"elgg_slide_toggle(this,'.entity_listing','.note');\">note</a>";
-else
- $view_notes = '';
-if (@file_exists($faviconurl)) {
- $icon = "<img src=\"{$faviconurl}\" />";
+$owner = $bookmark->getOwnerEntity();
+$owner_icon = elgg_view_entity_icon($owner, 'tiny');
+$container = $bookmark->getContainerEntity();
+$categories = elgg_view('output/categories', $vars);
+
+$link = elgg_view('output/url', array('href' => $bookmark->address));
+$description = elgg_view('output/longtext', array('value' => $bookmark->description, 'class' => 'pbl'));
+
+$owner_link = elgg_view('output/url', array(
+ 'href' => "bookmarks/owner/$owner->username",
+ 'text' => $owner->name,
+ 'is_trusted' => true,
+));
+$author_text = elgg_echo('byline', array($owner_link));
+
+$date = elgg_view_friendly_time($bookmark->time_created);
+
+$comments_count = $bookmark->countComments();
+//only display if there are commments
+if ($comments_count != 0) {
+ $text = elgg_echo("comments") . " ($comments_count)";
+ $comments_link = elgg_view('output/url', array(
+ 'href' => $bookmark->getURL() . '#comments',
+ 'text' => $text,
+ 'is_trusted' => true,
+ ));
} else {
- $icon = elgg_view("profile/icon", array('entity' => $owner,'size' => 'tiny',));
+ $comments_link = '';
}
+$metadata = elgg_view_menu('entity', array(
+ 'entity' => $vars['entity'],
+ 'handler' => 'bookmarks',
+ 'sort_by' => 'priority',
+ 'class' => 'elgg-menu-hz',
+));
+
+$subtitle = "$author_text $date $comments_link $categories";
-//delete
-if($vars['entity']->canEdit()){
- $delete .= "<span class='delete_button'>" . elgg_view('output/confirmlink',array(
- 'href' => "action/bookmarks/delete?bookmark_guid=" . $vars['entity']->guid,
- 'text' => elgg_echo("delete"),
- 'confirm' => elgg_echo("bookmarks:delete:confirm"),
- )) . "</span>";
+// do not show the metadata and controls in widget view
+if (elgg_in_context('widgets')) {
+ $metadata = '';
}
-$info = "<div class='entity_metadata'><span {$access_level}>{$object_acl}</span>";
+if ($full && !elgg_in_context('gallery')) {
-// include a view for plugins to extend
-$info .= elgg_view("bookmarks/options",array('entity' => $vars['entity']));
-$info .= elgg_view_likes($vars['entity']); // include likes
+ $params = array(
+ 'entity' => $bookmark,
+ 'title' => false,
+ 'metadata' => $metadata,
+ 'subtitle' => $subtitle,
+ );
+ $params = $params + $vars;
+ $summary = elgg_view('object/elements/summary', $params);
-//include edit and delete options
-if($vars['entity']->canEdit()){
- $info .= "<span class='entity_edit'><a href=\"".elgg_get_site_url()."pg/bookmarks/{$owner->username}/edit/{$vars['entity']->getGUID()}\">" . elgg_echo('edit') . "</a></span>";
- $info .= $delete;
-}
+ $bookmark_icon = elgg_view_icon('push-pin-alt');
+ $body = <<<HTML
+<div class="bookmark elgg-content mts">
+ $bookmark_icon<span class="elgg-heading-basic mbs">$link</span>
+ $description
+</div>
+HTML;
- $info .= "</div>";
+ echo elgg_view('object/elements/full', array(
+ 'entity' => $bookmark,
+ 'icon' => $owner_icon,
+ 'summary' => $summary,
+ 'body' => $body,
+ ));
-$info .= "<p class='entity_title'><a href=\"{$address}\" target=\"_blank\">{$title}</a></p>";
-$info .= "<p class='entity_subtext'>Bookmarked by <a href=\"".elgg_get_site_url()."pg/bookmarks/{$owner->username}\">{$owner->name}</a> {$friendlytime} {$view_notes}</p>";
+} elseif (elgg_in_context('gallery')) {
+ echo <<<HTML
+<div class="bookmarks-gallery-item">
+ <h3>$bookmark->title</h3>
+ <p class='subtitle'>$owner_link $date</p>
+</div>
+HTML;
+} else {
+ // brief view
+ $url = $bookmark->address;
+ $display_text = $url;
+ $excerpt = elgg_get_excerpt($bookmark->description);
+ if ($excerpt) {
+ $excerpt = " - $excerpt";
+ }
-$tags = elgg_view('output/tags', array('tags' => $vars['entity']->tags));
-if (!empty($tags)) {
- $info .= '<p class="tags">' . $tags . '</p>';
-}
-if($view_notes != ''){
- $info .= "<div class='note hidden'>". $vars['entity']->description . "</div>";
-}
+ if (strlen($url) > 25) {
+ $bits = parse_url($url);
+ if (isset($bits['host'])) {
+ $display_text = $bits['host'];
+ } else {
+ $display_text = elgg_get_excerpt($url, 100);
+ }
+ }
-//display
-echo elgg_view_listing($icon, $info); \ No newline at end of file
+ $link = elgg_view('output/url', array(
+ 'href' => $bookmark->address,
+ 'text' => $display_text,
+ ));
+
+ $content = elgg_view_icon('push-pin-alt') . "$link{$excerpt}";
+
+ $params = array(
+ 'entity' => $bookmark,
+ 'metadata' => $metadata,
+ 'subtitle' => $subtitle,
+ 'content' => $content,
+ );
+ $params = $params + $vars;
+ $body = elgg_view('object/elements/summary', $params);
+
+ echo elgg_view_image_block($owner_icon, $body);
+}
diff --git a/mod/bookmarks/views/default/river/object/bookmarks/create.php b/mod/bookmarks/views/default/river/object/bookmarks/create.php
index 32434471b..388f54ac9 100644
--- a/mod/bookmarks/views/default/river/object/bookmarks/create.php
+++ b/mod/bookmarks/views/default/river/object/bookmarks/create.php
@@ -1,22 +1,15 @@
<?php
/**
- * Elgg bookmark river entry view
+ * New bookmarks river entry
+ *
+ * @package Bookmarks
*/
-$performed_by = get_entity($vars['item']->subject_guid); // $statement->getSubject();
-$object = get_entity($vars['item']->object_guid);
-$is_group = get_entity($object->container_guid);
-$url = $object->getURL();
-$url = "<a href=\"{$performed_by->getURL()}\">{$performed_by->name}</a>";
-$string = elgg_echo("bookmarks:river:created", array($url)) . " ";
-$string .= "<a href=\"" . $object->address . "\">" . $object->title . "</a>";
-if (($is_group instanceof ElggGroup) && (elgg_get_context() != 'groups')){
- $string .= " " . elgg_echo('bookmarks:ingroup') . " <a href=\"{$is_group->getURL()}\">" . $is_group->name . "</a>";
-}
-$string .= " <span class='entity_subtext'>" . elgg_view_friendly_time($object->time_created);
-if (isloggedin()){
- $string .= "<a class='river_comment_form_button link'>Comment</a>";
- $string .= elgg_view('likes/forms/link', array('entity' => $object));
-}
-$string .= "</span>";
-echo $string; \ No newline at end of file
+$object = $vars['item']->getObjectEntity();
+$excerpt = elgg_get_excerpt($object->description);
+
+echo elgg_view('river/elements/layout', array(
+ 'item' => $vars['item'],
+ 'message' => $excerpt,
+ 'attachments' => elgg_view('output/url', array('href' => $object->address)),
+));
diff --git a/mod/bookmarks/views/default/widgets/bookmarks/content.php b/mod/bookmarks/views/default/widgets/bookmarks/content.php
new file mode 100644
index 000000000..0b85017fc
--- /dev/null
+++ b/mod/bookmarks/views/default/widgets/bookmarks/content.php
@@ -0,0 +1,32 @@
+<?php
+/**
+ * Elgg bookmarks widget
+ *
+ * @package Bookmarks
+ */
+
+$max = (int) $vars['entity']->num_display;
+
+$options = array(
+ 'type' => 'object',
+ 'subtype' => 'bookmarks',
+ 'container_guid' => $vars['entity']->owner_guid,
+ 'limit' => $max,
+ 'full_view' => FALSE,
+ 'pagination' => FALSE,
+);
+$content = elgg_list_entities($options);
+
+echo $content;
+
+if ($content) {
+ $url = "bookmarks/owner/" . elgg_get_page_owner_entity()->username;
+ $more_link = elgg_view('output/url', array(
+ 'href' => $url,
+ 'text' => elgg_echo('bookmarks:more'),
+ 'is_trusted' => true,
+ ));
+ echo "<span class=\"elgg-widget-more\">$more_link</span>";
+} else {
+ echo elgg_echo('bookmarks:none');
+}
diff --git a/mod/bookmarks/views/default/widgets/bookmarks/edit.php b/mod/bookmarks/views/default/widgets/bookmarks/edit.php
index edb6afdf2..ed9c7fd88 100644
--- a/mod/bookmarks/views/default/widgets/bookmarks/edit.php
+++ b/mod/bookmarks/views/default/widgets/bookmarks/edit.php
@@ -1,28 +1,24 @@
<?php
/**
* Elgg bookmark widget edit view
- *
- * @package ElggBookmarks
+ *
+ * @package Bookmarks
*/
// set default value
if (!isset($vars['entity']->num_display)) {
$vars['entity']->num_display = 4;
}
-?>
-<p>
- <?php echo elgg_echo('bookmarks:numbertodisplay'); ?>:
- <select name="params[num_display]">
-<?php
-for ($i=1; $i<=10; $i++) {
- $selected = '';
- if ($vars['entity']->num_display == $i) {
- $selected = "selected='selected'";
- }
+$params = array(
+ 'name' => 'params[num_display]',
+ 'value' => $vars['entity']->num_display,
+ 'options' => array(1, 2, 3, 4, 5, 6, 7, 8, 9, 10),
+);
+$dropdown = elgg_view('input/dropdown', $params);
- echo " <option value='{$i}' $selected >{$i}</option>\n";
-}
?>
- </select>
-</p> \ No newline at end of file
+<div>
+ <?php echo elgg_echo('bookmarks:numbertodisplay'); ?>:
+ <?php echo $dropdown; ?>
+</div>
diff --git a/mod/bookmarks/views/default/widgets/bookmarks/view.php b/mod/bookmarks/views/default/widgets/bookmarks/view.php
deleted file mode 100644
index cbd0012bb..000000000
--- a/mod/bookmarks/views/default/widgets/bookmarks/view.php
+++ /dev/null
@@ -1,57 +0,0 @@
-<?php
-
-/**
- * Elgg bookmark widget view
- *
- * @package ElggBookmarks
- */
-//get the num of shares the user want to display
-$num = $vars['entity']->num_display;
-
-$options = array(
- 'type' => 'object',
- 'subtype' => 'bookmarks',
- 'container_guid' => $vars['entity']->owner_guid,
- 'limit' => $num,
-);
-$bookmarks = elgg_get_entities($options);
-
-$options['count'] = true;
-$num_bookmarks = elgg_get_entities($options);
-
-
-if ($bookmarks) {
-
- foreach ($bookmarks as $b) {
-
- //get the owner
- $owner = $b->getOwnerEntity();
-
- //get the time
- $friendlytime = elgg_view_friendly_time($b->time_created);
-
- //get the bookmark title
- $info = "<div class='river_object_bookmarks_create'><p class=\"shares_title\"><a href=\"{$b->address}\">{$b->title}</a></p></div>";
-
- //get the user details
- $info .= "<p class=\"shares_timestamp\"><small>{$friendlytime} ";
-
- //get the bookmark description
- if ($b->description) {
- $info .= "<a href=\"javascript:void(0);\" class=\"share_more_info\">" . elgg_echo('bookmarks:more') . "</a></small></p><div class=\"share_desc\"><p>{$b->description}</p></div>";
- } else {
- $info .= "</small></p>";
- }
-
- //display
- echo "<div class='ContentWrapper bookmarks'>";
- echo "<div class='shares_widget_content'>" . $info . "</div></div>";
- }
-
- if ($num_bookmarks > $num) {
- $user_inbox = elgg_get_site_url() . "pg/bookmarks/" . elgg_get_page_owner()->username;
- echo "<div class='ContentWrapper bookmarks more'><a href=\"{$user_inbox}\">" . elgg_echo('bookmarks:read') . "</a></div>";
- }
-} else {
- echo "<div class='ContentWrapper'>" . elgg_echo("bookmarks:widget:description") . "</div>";
-}
diff --git a/mod/bookmarks/views/rss/object/bookmarks.php b/mod/bookmarks/views/rss/object/bookmarks.php
index f17ae87d7..1abda4710 100644
--- a/mod/bookmarks/views/rss/object/bookmarks.php
+++ b/mod/bookmarks/views/rss/object/bookmarks.php
@@ -1,22 +1,37 @@
<?php
/**
- * Elgg bookmark rss view
- *
+ * Bookmark RSS object view
+ *
* @package ElggBookmarks
*/
- $title = $vars['entity']->title;
- if (empty($title)) {
- $title = substr($vars['entity']->description,0,32);
- if (strlen($vars['entity']->description) > 32)
- $title .= " ...";
- }
-?>
+$title = $vars['entity']->title;
+if (empty($title)) {
+ $title = strip_tags($vars['entity']->description);
+ $title = elgg_get_excerpt($title, 32);
+}
- <item>
- <guid isPermaLink='true'><?php echo $vars['entity']->getURL(); ?></guid>
- <pubDate><?php echo date("r",$vars['entity']->time_created) ?></pubDate>
- <link><?php echo $vars['entity']->address; ?></link>
- <title><![CDATA[<?php echo $title; ?>]]></title>
- <description><![CDATA[<?php echo (autop($vars['entity']->description)); ?>]]></description>
- </item>
+$permalink = htmlspecialchars($vars['entity']->getURL(), ENT_NOQUOTES, 'UTF-8');
+$pubdate = date('r', $vars['entity']->getTimeCreated());
+
+$url_text = elgg_echo('bookmarks:address');
+$link = elgg_view('output/url', array('href' => $vars['entity']->address));
+$description = $vars['entity']->description . "<p>$url_text: $link</p>";
+
+$creator = elgg_view('page/components/creator', $vars);
+$georss = elgg_view('page/components/georss', $vars);
+$extension = elgg_view('extensions/item');
+
+$item = <<<__HTML
+<item>
+ <guid isPermaLink="true">$permalink</guid>
+ <pubDate>$pubdate</pubDate>
+ <link>$permalink</link>
+ <title><![CDATA[$title]]></title>
+ <description><![CDATA[$description]]></description>
+ $creator$georss$extension
+</item>
+
+__HTML;
+
+echo $item;
diff --git a/mod/captcha/backgrounds/bg1.jpg b/mod/captcha/backgrounds/bg1.jpg
deleted file mode 100644
index 0e16b2265..000000000
--- a/mod/captcha/backgrounds/bg1.jpg
+++ /dev/null
Binary files differ
diff --git a/mod/captcha/backgrounds/bg2.jpg b/mod/captcha/backgrounds/bg2.jpg
deleted file mode 100644
index 3357164a6..000000000
--- a/mod/captcha/backgrounds/bg2.jpg
+++ /dev/null
Binary files differ
diff --git a/mod/captcha/backgrounds/bg3.jpg b/mod/captcha/backgrounds/bg3.jpg
deleted file mode 100644
index 96610abf4..000000000
--- a/mod/captcha/backgrounds/bg3.jpg
+++ /dev/null
Binary files differ
diff --git a/mod/captcha/backgrounds/bg4.jpg b/mod/captcha/backgrounds/bg4.jpg
deleted file mode 100644
index 5123ed70e..000000000
--- a/mod/captcha/backgrounds/bg4.jpg
+++ /dev/null
Binary files differ
diff --git a/mod/captcha/backgrounds/bg5.jpg b/mod/captcha/backgrounds/bg5.jpg
deleted file mode 100644
index 7ae7e6c22..000000000
--- a/mod/captcha/backgrounds/bg5.jpg
+++ /dev/null
Binary files differ
diff --git a/mod/captcha/captcha.php b/mod/captcha/captcha.php
deleted file mode 100644
index c4b9135f9..000000000
--- a/mod/captcha/captcha.php
+++ /dev/null
@@ -1,35 +0,0 @@
-<?php
-/**
- * Elgg captcha plugin graphics file generator
- *
- * @package ElggCaptcha
- */
-
-global $CONFIG;
-$token = get_input('captcha_token');
-
-// Output captcha
-if ($token) {
- // Set correct header
- header("Content-type: image/jpeg");
-
- // Generate captcha
- $captcha = captcha_generate_captcha($token);
-
- // Pick a random background image
- $n = rand(1, $CONFIG->captcha_num_bg);
- $image = imagecreatefromjpeg($CONFIG->pluginspath . "captcha/backgrounds/bg$n.jpg");
-
- // Create a colour (black so its not a simple matter of masking out one colour and ocring the rest)
- $colour = imagecolorallocate($image, 0,0,0);
-
- // Write captcha to image
- //imagestring($image, 5, 30, 4, $captcha, $black);
- imagettftext($image, 30, 0, 10, 30, $colour, $CONFIG->pluginspath . "captcha/fonts/1.ttf", $captcha);
-
- // Output image
- imagejpeg($image);
-
- // Free memory
- imagedestroy($image);
-}
diff --git a/mod/captcha/fonts/1.ttf b/mod/captcha/fonts/1.ttf
deleted file mode 100644
index f5534f943..000000000
--- a/mod/captcha/fonts/1.ttf
+++ /dev/null
Binary files differ
diff --git a/mod/captcha/fonts/Liberation_serif_License.txt b/mod/captcha/fonts/Liberation_serif_License.txt
deleted file mode 100644
index 41751f278..000000000
--- a/mod/captcha/fonts/Liberation_serif_License.txt
+++ /dev/null
@@ -1,77 +0,0 @@
-LICENSE AGREEMENT AND LIMITED PRODUCT WARRANTY LIBERATION FONT SOFTWARE
-This agreement governs the use of the Software and any updates to the
-Software, regardless of the delivery mechanism. Subject to the following
-terms, Red Hat, Inc. ("Red Hat") grants to the user ("Client") a license to
-this collective work pursuant to the GNU General Public License v.2 with the
-exceptions set forth below and such other terms as our set forth in this End
-User License Agreement.
-1. The Software and License Exception. LIBERATION font software (the
-"Software") consists of TrueType-OpenType formatted font software for
-rendering LIBERATION typefaces in sans serif, serif, and monospaced character
-styles. You are licensed to use, modify, copy, and distribute the Software
-pursuant to the GNU General Public License v.2 with the following exceptions:
-1) As a special exception, if you create a document which uses this font, and
-embed this font or unaltered portions of this font into the document, this
-font does not by itself cause the resulting document to be covered by the GNU
-General Public License. This exception does not however invalidate any other
-reasons why the document might be covered by the GNU General Public License.
-If you modify this font, you may extend this exception to your version of the
-font, but you are not obligated to do so. If you do not wish to do so, delete
-this exception statement from your version.
-
-2) As a further exception, any distribution of the object code of the Software
-in a physical product must provide you the right to access and modify the
-source code for the Software and to reinstall that modified version of the
-Software in object code form on the same physical product on which you
-received it.
-2. Intellectual Property Rights. The Software and each of its components,
-including the source code, documentation, appearance, structure and
-organization are owned by Red Hat and others and are protected under copyright
-and other laws. Title to the Software and any component, or to any copy,
-modification, or merged portion shall remain with the aforementioned, subject
-to the applicable license. The "LIBERATION" trademark is a trademark of Red
-Hat, Inc. in the U.S. and other countries. This agreement does not permit
-Client to distribute modified versions of the Software using Red Hat's
-trademarks. If Client makes a redistribution of a modified version of the
-Software, then Client must modify the files names to remove any reference to
-the Red Hat trademarks and must not use the Red Hat trademarks in any way to
-reference or promote the modified Software.
-3. Limited Warranty. To the maximum extent permitted under applicable law, the
-Software is provided and licensed "as is" without warranty of any kind,
-expressed or implied, including the implied warranties of merchantability,
-non-infringement or fitness for a particular purpose. Red Hat does not warrant
-that the functions contained in the Software will meet Client's requirements
-or that the operation of the Software will be entirely error free or appear
-precisely as described in the accompanying documentation.
-4. Limitation of Remedies and Liability. To the maximum extent permitted by
-applicable law, Red Hat or any Red Hat authorized dealer will not be liable to
-Client for any incidental or consequential damages, including lost profits or
-lost savings arising out of the use or inability to use the Software, even if
-Red Hat or such dealer has been advised of the possibility of such damages.
-5. Export Control. As required by U.S. law, Client represents and warrants
-that it: (a) understands that the Software is subject to export controls under
-the U.S. Commerce Department's Export Administration Regulations ("EAR"); (b)
-is not located in a prohibited destination country under the EAR or U.S.
-sanctions regulations (currently Cuba, Iran, Iraq, Libya, North Korea, Sudan
-and Syria); (c) will not export, re-export, or transfer the Software to any
-prohibited destination, entity, or individual without the necessary export
-license(s) or authorizations(s) from the U.S. Government; (d) will not use or
-transfer the Software for use in any sensitive nuclear, chemical or biological
-weapons, or missile technology end-uses unless authorized by the U.S.
-Government by regulation or specific license; (e) understands and agrees that
-if it is in the United States and exports or transfers the Software to
-eligible end users, it will, as required by EAR Section 740.17(e), submit
-semi-annual reports to the Commerce Department's Bureau of Industry & Security
-(BIS), which include the name and address (including country) of each
-transferee; and (f) understands that countries other than the United States
-may restrict the import, use, or export of encryption products and that it
-shall be solely responsible for compliance with any such import, use, or
-export restrictions.
-6. General. If any provision of this agreement is held to be unenforceable,
-that shall not affect the enforceability of the remaining provisions. This
-agreement shall be governed by the laws of the State of North Carolina and of
-the United States, without regard to any conflict of laws provisions, except
-that the United Nations Convention on the International Sale of Goods shall
-not apply.
-Copyright 2007 Red Hat, Inc. All rights reserved. LIBERATION is a trademark
-of Red Hat, Inc.
diff --git a/mod/captcha/languages/en.php b/mod/captcha/languages/en.php
deleted file mode 100644
index 4b7514bdc..000000000
--- a/mod/captcha/languages/en.php
+++ /dev/null
@@ -1,15 +0,0 @@
-<?php
-/**
- * Elgg diagnostics language pack.
- *
- * @package ElggDiagnostics
- */
-
-$english = array(
-
- 'captcha:entercaptcha' => 'Enter text from image',
- 'captcha:captchafail' => 'Sorry, the text that you entered didn\'t match the text in the image.',
-
-);
-
-add_translation("en", $english);
diff --git a/mod/captcha/manifest.xml b/mod/captcha/manifest.xml
deleted file mode 100644
index ba1b4571f..000000000
--- a/mod/captcha/manifest.xml
+++ /dev/null
@@ -1,11 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<plugin_manifest>
- <field key="author" value="Curverider Ltd" />
- <field key="version" value="1.8" />
- <field key="description" value="Provides captcha support (Requires the php_gd library)." />
- <field key="website" value="http://www.elgg.org/" />
- <field key="copyright" value="(C) Curverider 2008-2010" />
- <field key="licence" value="GNU Public License version 2" />
- <field key="elgg_version" value="2010030101" />
- <field key="admin_interface" value="advanced" />
-</plugin_manifest>
diff --git a/mod/captcha/start.php b/mod/captcha/start.php
deleted file mode 100644
index 3458e02a5..000000000
--- a/mod/captcha/start.php
+++ /dev/null
@@ -1,136 +0,0 @@
-<?php
-/**
- * Elgg captcha plugin
- *
- * @package captcha
- */
-
-function captcha_init() {
- global $CONFIG;
-
- // Register page handler for captcha functionality
- register_page_handler('captcha','captcha_page_handler');
-
- // Extend CSS
- elgg_extend_view('css','captcha/css');
-
- // Number of background images
- $CONFIG->captcha_num_bg = 5;
-
- // Default length
- $CONFIG->captcha_length = 5;
-
- // Register a function that provides some default override actions
- elgg_register_plugin_hook_handler('actionlist', 'captcha', 'captcha_actionlist_hook');
-
- // Register actions to intercept
- $actions = array();
- $actions = elgg_trigger_plugin_hook('actionlist', 'captcha', NULL, $actions);
-
- if (($actions) && (is_array($actions))) {
- foreach ($actions as $action) {
- elgg_register_plugin_hook_handler("action", $action, "captcha_verify_action_hook");
- }
- }
-}
-
-function captcha_page_handler($page) {
- global $CONFIG;
-
- if (isset($page[0])) {
- set_input('captcha_token', $page[0]);
- }
-
- include($CONFIG->pluginspath . "captcha/captcha.php");
-}
-
-/**
- * Generate a token to act as a seed value for the captcha algorithm.
- */
-function captcha_generate_token() {
- // Use action token plus some random for uniqueness
- return md5(generate_action_token(time()) . rand());
-}
-
-/**
- * Generate a captcha based on the given seed value and length.
- *
- * @param string $seed_token
- * @return string
- */
-function captcha_generate_captcha($seed_token) {
- global $CONFIG;
-
- /*
- * We generate a token out of the random seed value + some session data,
- * this means that solving via pr0n site or indian cube farm becomes
- * significantly more tricky (we hope).
- *
- * We also add the site secret, which is unavailable to the client and so should
- * make it very very hard to guess values before hand.
- *
- */
-
- return strtolower(substr(md5(generate_action_token(0) . $seed_token), 0, $CONFIG->captcha_length));
-}
-
-/**
- * Verify a captcha based on the input value entered by the user and the seed token passed.
- *
- * @param string $input_value
- * @param string $seed_token
- * @return bool
- */
-function captcha_verify_captcha($input_value, $seed_token) {
- if (strcasecmp($input_value, captcha_generate_captcha($seed_token)) == 0) {
- return TRUE;
- }
-
- return FALSE;
-}
-
-/**
- * Listen to the action plugin hook and check the captcha.
- *
- * @param string $hook
- * @param string $entity_type
- * @param mixed $returnvalue
- * @param array $params
- */
-function captcha_verify_action_hook($hook, $entity_type, $returnvalue, $params) {
- $token = get_input('captcha_token');
- $input = get_input('captcha_input');
-
- if (($token) && (captcha_verify_captcha($input, $token))) {
- return TRUE;
- }
-
- register_error(elgg_echo('captcha:captchafail'));
-
- // forward to referrer or else action code sends to front page
- forward(REFERER);
-
- return FALSE;
-}
-
-/**
- * This function returns an array of actions the captcha will expect a captcha for.
- * Other plugins may add their own to this list thereby extending the use.
- *
- * @param string $hook
- * @param string $entity_type
- * @param mixed $returnvalue
- * @param array $params
- */
-function captcha_actionlist_hook($hook, $entity_type, $returnvalue, $params) {
- if (!is_array($returnvalue)) {
- $returnvalue = array();
- }
-
- $returnvalue[] = 'register';
- $returnvalue[] = 'user/requestnewpassword';
-
- return $returnvalue;
-}
-
-elgg_register_event_handler('init', 'system', 'captcha_init');
diff --git a/mod/captcha/views/default/captcha/css.php b/mod/captcha/views/default/captcha/css.php
deleted file mode 100644
index 861d26d83..000000000
--- a/mod/captcha/views/default/captcha/css.php
+++ /dev/null
@@ -1,8 +0,0 @@
-<?php
-/**
- * Elgg Captcha CSS
- *
- * @package captcha
- */
-
-?> \ No newline at end of file
diff --git a/mod/captcha/views/default/input/captcha.php b/mod/captcha/views/default/input/captcha.php
deleted file mode 100644
index c113d5baf..000000000
--- a/mod/captcha/views/default/input/captcha.php
+++ /dev/null
@@ -1,22 +0,0 @@
-<?php
-/**
- * Elgg captcha plugin captcha hook view override.
- *
- * @package ElggCaptcha
- */
-
-// Generate a token which is then passed into the captcha algorithm for verification
-$token = captcha_generate_token();
-?>
-<div class="captcha">
- <input type="hidden" name="captcha_token" value="<?php echo $token; ?>" />
- <label>
- <?php echo elgg_echo('captcha:entercaptcha'); ?>
- <div class="captcha_image">
- <img class="captcha-input-image" alt="captcha" src="<?php echo elgg_get_site_url() . "pg/captcha/$token"; ?>" />
- </div>
- <div class="captcha_input">
- <?php echo elgg_view('input/text', array('internalname' => 'captcha_input')); ?>
- </div>
- </label>
-</div> \ No newline at end of file
diff --git a/mod/categories/actions/save.php b/mod/categories/actions/save.php
deleted file mode 100644
index dc2c7943b..000000000
--- a/mod/categories/actions/save.php
+++ /dev/null
@@ -1,17 +0,0 @@
-<?php
-/**
- * Elgg categories plugin category saver
- *
- * @package ElggCategories
- */
-
-$categories = get_input('categories');
-$categories = string_to_tag_array($categories);
-
-$site = $CONFIG->site;
-$site->categories = $categories;
-system_message(elgg_echo("categories:save:success"));
-
-elgg_delete_admin_notice('categories_admin_notice_no_categories');
-
-forward(REFERER); \ No newline at end of file
diff --git a/mod/categories/activate.php b/mod/categories/activate.php
new file mode 100644
index 000000000..80159d089
--- /dev/null
+++ b/mod/categories/activate.php
@@ -0,0 +1,11 @@
+<?php
+/**
+ * Prompt the user to add categories after activating
+ */
+
+//categories_admin_notice_no_categories
+$site = get_config('site');
+if (!$site->categories) {
+ $message = elgg_echo('categories:on_activate_reminder', array(elgg_normalize_url('admin/plugin_settings/categories')));
+ elgg_add_admin_notice('categories_admin_notice_no_categories', $message);
+} \ No newline at end of file
diff --git a/mod/categories/deactivate.php b/mod/categories/deactivate.php
new file mode 100644
index 000000000..e15e2c6e9
--- /dev/null
+++ b/mod/categories/deactivate.php
@@ -0,0 +1,6 @@
+<?php
+/**
+ * Remove admin notice to populate categories.
+ */
+
+elgg_delete_admin_notice('categories_admin_notice_no_categories');
diff --git a/mod/categories/languages/en.php b/mod/categories/languages/en.php
index cbe5a608f..422fe81a4 100644
--- a/mod/categories/languages/en.php
+++ b/mod/categories/languages/en.php
@@ -1,4 +1,7 @@
<?php
+/**
+ * Categories English language file
+ */
$english = array(
'categories' => 'Categories',
@@ -6,7 +9,7 @@ $english = array(
'categories:explanation' => 'To set some predefined site-wide categories that will be used throughout your system, enter them below, separated with commas. Compatible tools will then display them when the user creates or edits content.',
'categories:save:success' => 'Site categories were successfully saved.',
'categories:results' => "Results for the site category: %s",
- 'categories:on_enable_reminder' => "You haven't added any categories yet! <a href=\"%s\">Add categories now.</a>",
+ 'categories:on_activate_reminder' => "Site-wide Categories won't work until you add categories. <a href=\"%s\">Add categories now.</a>",
);
-add_translation("en",$english); \ No newline at end of file
+add_translation("en", $english); \ No newline at end of file
diff --git a/mod/categories/listing.php b/mod/categories/listing.php
deleted file mode 100644
index 19fb65069..000000000
--- a/mod/categories/listing.php
+++ /dev/null
@@ -1,38 +0,0 @@
-<?php
-/**
- * Elgg categories listing page
- *
- * @package ElggCategories
- */
-
-require_once(dirname(dirname(dirname(__FILE__))) . "/engine/start.php");
-
-$limit = get_input("limit", 10);
-$offset = get_input("offset", 0);
-$category = get_input("category");
-$owner_guid = get_input("owner_guid", 0);
-$subtype = get_input("subtype", ELGG_ENTITIES_ANY_VALUE);
-$type = get_input("type", 'object');
-
-$params = array(
- 'metadata_name' => 'universal_categories',
- 'metadata_value' => $category,
- 'types' => $type,
- 'subtypes' => $subtype,
- 'owner_guid' => $owner_guid,
- 'limit' => $limit,
- 'full_view' => FALSE,
- 'metadata_case_sensitive' => FALSE,
-);
-elgg_push_context('search');
-$objects = elgg_list_entities_from_metadata($params);
-elgg_pop_context();
-
-$title = elgg_echo('categories:results', array($category));
-
-$content = elgg_view_title($title);
-$content .= $objects;
-
-$body = elgg_view_layout('two_column_left_sidebar', '', $content);
-
-echo elgg_view_page($title, $body);
diff --git a/mod/categories/manifest.xml b/mod/categories/manifest.xml
index 635543ee3..4a6bd0864 100644
--- a/mod/categories/manifest.xml
+++ b/mod/categories/manifest.xml
@@ -1,14 +1,17 @@
<?xml version="1.0" encoding="UTF-8"?>
-<plugin_manifest>
- <field key="name" value="Site-wide Categories" />
- <field key="author" value="Curverider" />
- <field key="version" value="1.7" />
- <field key="description" value="Site-wide Categories lets administrators define categories that users across the site can add content to." />
- <field key="website" value="http://www.elgg.org/" />
- <field key="copyright" value="(C) Curverider 2008-2010" />
- <field key="licence" value="GNU Public License version 2" />
- <field key="elgg_version" value="2010030101" />
- <field key="admin_interface" value="advanced" />
- <field key="on_enable" value="categories_on_enable" />
- <field key="on_disable" value="categories_on_disable" />
+<plugin_manifest xmlns="http://www.elgg.org/plugin_manifest/1.8">
+ <name>Site-wide Categories</name>
+ <author>Core developers</author>
+ <version>1.8</version>
+ <category>bundled</category>
+ <category>enhancement</category>
+ <blurb>Add site-wide categories</blurb>
+ <description>Site-wide Categories lets administrators define categories that users across the site can add content to.</description>
+ <website>http://www.elgg.org/</website>
+ <copyright>See COPYRIGHT.txt</copyright>
+ <license>GNU General Public License version 2</license>
+ <requires>
+ <type>elgg_release</type>
+ <version>1.8</version>
+ </requires>
</plugin_manifest>
diff --git a/mod/categories/pages/categories/listing.php b/mod/categories/pages/categories/listing.php
new file mode 100644
index 000000000..d51e6c19e
--- /dev/null
+++ b/mod/categories/pages/categories/listing.php
@@ -0,0 +1,39 @@
+<?php
+/**
+ * List entities by category
+ *
+ * @package ElggCategories
+ */
+
+$limit = get_input("limit", 10);
+$offset = get_input("offset", 0);
+$category = get_input("category");
+$owner_guid = get_input("owner_guid", ELGG_ENTITIES_ANY_VALUE);
+$subtype = get_input("subtype", ELGG_ENTITIES_ANY_VALUE);
+$type = get_input("type", 'object');
+
+$params = array(
+ 'metadata_name' => 'universal_categories',
+ 'metadata_value' => $category,
+ 'type' => $type,
+ 'subtype' => $subtype,
+ 'owner_guid' => $owner_guid,
+ 'limit' => $limit,
+ 'full_view' => FALSE,
+ 'metadata_case_sensitive' => FALSE,
+);
+$objects = elgg_list_entities_from_metadata($params);
+
+$title = elgg_echo('categories:results', array($category));
+
+$content = elgg_view_title($title);
+$content .= $objects;
+
+$body = elgg_view_layout('content', array(
+ 'content' => $content,
+ 'title' => $title,
+ 'filter' => '',
+ 'header' => '',
+));
+
+echo elgg_view_page($title, $body);
diff --git a/mod/categories/readme.txt b/mod/categories/readme.txt
index 49241b2f4..48d07fe8f 100644
--- a/mod/categories/readme.txt
+++ b/mod/categories/readme.txt
@@ -1,7 +1,7 @@
Site-wide categories
--------------------
-NOTES FOR PROGRAMMERS:
+NOTES FOR DEVELOPERS:
If you're not a programmer, don't worry! All the main Elgg tools
are already adapted to use categories, and a growing number of
@@ -15,12 +15,11 @@ This is a two-line addition to any plugin.
In your edit/create form:
- echo elgg_view('categories', $vars);
+ echo elgg_view('input/categories', $vars);
In your object view:
- echo elgg_view('categories/view', $vars);
+ echo elgg_view('output/categories', $vars);
Note that in both cases, $vars['entity'] MUST be populated with
-the entity the categories apply to, if it exists. (i.e., there's
-no need for this on a create form.) \ No newline at end of file
+the entity the categories apply to, if it exists. \ No newline at end of file
diff --git a/mod/categories/start.php b/mod/categories/start.php
index b717294f7..0aacf11e7 100644
--- a/mod/categories/start.php
+++ b/mod/categories/start.php
@@ -1,40 +1,42 @@
<?php
-
/**
* Elgg categories plugin
*
* @package ElggCategories
*/
+elgg_register_event_handler('init', 'system', 'categories_init');
+
/**
* Initialise categories plugin
*
*/
function categories_init() {
- global $CONFIG;
- elgg_extend_view('css', 'categories/css');
+ elgg_extend_view('css/elgg', 'categories/css');
- register_action('settings/categories/save', FALSE, $CONFIG->pluginspath . 'categories/actions/save.php', TRUE);
+ elgg_register_page_handler('categories', 'categories_page_handler');
- register_page_handler('categories', 'categories_page_handler');
+ elgg_register_event_handler('update', 'all', 'categories_save');
+ elgg_register_event_handler('create', 'all', 'categories_save');
- elgg_register_event_handler('update','all','categories_save');
- elgg_register_event_handler('create','all','categories_save');
+ // To keep the category plugins in the settings area and because we have to do special stuff,
+ // handle saving ourself.
+ elgg_register_plugin_hook_handler('action', 'plugins/settings/save', 'categories_save_site_categories');
}
/**
- * Page handler
- *
+ * Category page handler
+ * @return bool
*/
function categories_page_handler() {
- include(dirname(__FILE__) . "/listing.php");
- return TRUE;
+ include(dirname(__FILE__) . "/pages/categories/listing.php");
+ return true;
}
/**
- * Save site categories to and object upon save / edit
+ * Save categories to object upon save / edit
*
*/
function categories_save($event, $object_type, $object) {
@@ -55,22 +57,27 @@ function categories_save($event, $object_type, $object) {
}
/**
- * Add a reminder to set default categories.
+ * Saves the site categories.
+ *
+ * @param type $hook
+ * @param type $type
+ * @param type $value
+ * @param type $params
*/
-function categories_on_enable() {
- if (!$site->categories) {
- global $CONFIG;
- $message = elgg_echo('categories:on_enable_reminder', array("{$CONFIG->url}pg/admin/plugin_settings/categories"));
- elgg_add_admin_notice('categories_admin_notice_no_categories', $message);
+function categories_save_site_categories($hook, $type, $value, $params) {
+ $plugin_id = get_input('plugin_id');
+ if ($plugin_id != 'categories') {
+ return $value;
}
- return TRUE;
-}
-/**
- * Clean up admin notices on disable.
- */
-function categories_on_disable() {
+ $categories = get_input('categories');
+ $categories = string_to_tag_array($categories);
+
+ $site = elgg_get_site_entity();
+ $site->categories = $categories;
+ system_message(elgg_echo("categories:save:success"));
+
elgg_delete_admin_notice('categories_admin_notice_no_categories');
-}
-elgg_register_event_handler('init','system','categories_init'); \ No newline at end of file
+ forward(REFERER);
+} \ No newline at end of file
diff --git a/mod/categories/views/default/categories.php b/mod/categories/views/default/categories.php
index eb81a7311..8577ef01b 100644
--- a/mod/categories/views/default/categories.php
+++ b/mod/categories/views/default/categories.php
@@ -1,48 +1,12 @@
<?php
-
/**
- * Elgg categories plugin
+ * Categories input view
*
* @package ElggCategories
+ *
+ * @deprecated 1.8
*/
-if (isset($vars['entity']) && $vars['entity'] instanceof ElggEntity) {
- $selected_categories = $vars['entity']->universal_categories;
-}
-$categories = $vars['config']->site->categories;
-if (empty($categories)) {
- $categories = array();
-}
-if (empty($selected_categories)) {
- $selected_categories = array();
-}
-
-if (!empty($categories)) {
- if (!is_array($categories)) {
- $categories = array($categories);
- }
-
- ?>
-
-<div id="content_area_user_title"><h2 class="categoriestitle"><?php echo elgg_echo('categories'); ?></h2></div>
-<div class="categories">
- <p>
-
- <?php
-
- echo elgg_view('input/checkboxes',array(
- 'options' => $categories,
- 'value' => $selected_categories,
- 'internalname' => 'universal_categories_list'
- ));
-
- ?>
- <input type="hidden" name="universal_category_marker" value="on" />
- </p>
-</div>
-
- <?php
+elgg_deprecated_notice("Use input/categories instead of categories", 1.8);
-} else {
- echo '<input type="hidden" name="universal_category_marker" value="on" />';
-}
+echo elgg_view('input/categories', $vars);
diff --git a/mod/categories/views/default/categories/css.php b/mod/categories/views/default/categories/css.php
index 87916c412..5f38e2867 100644
--- a/mod/categories/views/default/categories/css.php
+++ b/mod/categories/views/default/categories/css.php
@@ -6,54 +6,7 @@
*/
?>
-.categories .input-checkboxes {
- padding:0;
- margin:2px 5px 0 0;
-}
-.categories label {
+.categories li label {
font-size: 100%;
- line-height:1.2em;
-}
-
-#two_column_left_sidebar_maincontent .contentWrapper h2.categoriestitle {
- padding: 0 0 3px 0;
- margin:0;
- font-size:120%;
- color:#333333;
-}
-#two_column_left_sidebar_maincontent .contentWrapper .categories {
- border:1px solid #CCCCCC;
- -webkit-border-radius: 4px;
- -moz-border-radius: 4px;
- padding:5px;
- margin:0 0 15px 0;
-}
-#two_column_left_sidebar_maincontent .contentWrapper .categories p {
- margin:0;
-}
-#two_column_left_sidebar_maincontent .contentWrapper .blog_post .categories {
- border:none;
- margin:0;
- padding:0;
-}
-
-#two_column_left_sidebar .blog_categories {
- background:white;
- -webkit-border-radius: 8px;
- -moz-border-radius: 8px;
- padding:10px;
- margin:0 10px 10px 10px;
-}
-#two_column_left_sidebar .blog_categories h2 {
- background:none;
- border-top:none;
- margin:0;
- padding:0 0 5px 0;
- font-size:1.25em;
- line-height:1.2em;
- color:#0054A7;
+ line-height: 1.2em;
}
-#two_column_left_sidebar .blog_categories ul {
- color:#0054A7;
- margin:5px 0 0 0;
-} \ No newline at end of file
diff --git a/mod/categories/views/default/categories/list.php b/mod/categories/views/default/categories/list.php
deleted file mode 100644
index 9b62eff51..000000000
--- a/mod/categories/views/default/categories/list.php
+++ /dev/null
@@ -1,62 +0,0 @@
-<?php
-
-$categories = $vars['config']->site->categories;
-
-if ($categories) {
- if (!is_array($categories)) {
- $categories = array($categories);
- }
-
- if (!empty($vars['subtype'])) {
- $flag = array();
- $owner_guid = '';
- if (isset($vars['owner_guid'])) {
- $owner_guid = (int) $vars['owner_guid'];
- }
-
- elgg_register_tag_metadata_name('universal_categories');
- $params = array(
- 'threshold' => 1,
- 'limit' => 999,
- 'tag_names' => array('universal_categories'),
- 'types' => 'object',
- 'subtypes' => $vars['subtype'],
- 'owner_guid' => $owner_guid,
- );
- $cats = elgg_get_tags($params);
- if ($cats) {
- foreach($cats as $cat) {
- $flag[] = $cat->tag;
- }
- }
-
- } else {
- $flag = null;
- }
-
- if (is_null($flag) || !empty($flag)) {
-
- ?>
-
-<h2><?php echo elgg_echo('categories'); ?></h2>
-<div class="categories">
- <?php
-
- $catstring = '';
- if (!empty($categories)) {
- foreach($categories as $category) {
- if (is_null($flag) || (is_array($flag) && in_array($category,$flag))) {
- $catstring .= '<li><a href="'.$vars['baseurl'].urlencode($category).'">'. $category .'</a></li>';
- }
- }
- }
- if (!empty($catstring)) {
- echo "<ul>{$catstring}</ul>";
- }
-
- ?>
-</div>
- <?php
- }
-
-}
diff --git a/mod/categories/views/default/categories/view.php b/mod/categories/views/default/categories/view.php
index 043b1d78e..6d1f7c1bb 100644
--- a/mod/categories/views/default/categories/view.php
+++ b/mod/categories/views/default/categories/view.php
@@ -1,22 +1,8 @@
<?php
+/**
+ * @deprecated 1.8
+ */
-$linkstr = '';
-if (isset($vars['entity']) && $vars['entity'] instanceof ElggEntity) {
+elgg_deprecated_notice("Use output/categories instead of categories/view", 1.8);
- $categories = $vars['entity']->universal_categories;
- if (!empty($categories)) {
- if (!is_array($categories)) {
- $categories = array($categories);
- }
- foreach($categories as $category) {
- $link = elgg_get_site_url() . 'pg/categories/list/?category=' . urlencode($category);
- if (!empty($linkstr)) {
- $linkstr .= ', ';
- }
- $linkstr .= '<a href="'.$link.'">' . $category . '</a>';
- }
- }
-
-}
-
-echo $linkstr;
+echo elgg_view('output/categories', $vars);
diff --git a/mod/categories/views/default/input/categories.php b/mod/categories/views/default/input/categories.php
new file mode 100644
index 000000000..b543cde45
--- /dev/null
+++ b/mod/categories/views/default/input/categories.php
@@ -0,0 +1,56 @@
+<?php
+/**
+ * Categories input view
+ *
+ * @package ElggCategories
+ *
+ * @uses $vars['entity'] The entity being edited or created
+ */
+
+if (isset($vars['entity']) && $vars['entity'] instanceof ElggEntity) {
+ $selected_categories = $vars['entity']->universal_categories;
+}
+
+// use sticky values if set
+if (isset($vars['universal_categories_list'])) {
+ $selected_categories = $vars['universal_categories_list'];
+}
+
+$categories = elgg_get_site_entity()->categories;
+if (empty($categories)) {
+ $categories = array();
+}
+if (empty($selected_categories)) {
+ $selected_categories = array();
+}
+
+if (!empty($categories)) {
+ if (!is_array($categories)) {
+ $categories = array($categories);
+ }
+
+ // checkboxes want Label => value, so in our case we need category => category
+ $categories = array_flip($categories);
+ array_walk($categories, create_function('&$v, $k', '$v = $k;'));
+
+ ?>
+
+<div class="categories">
+ <label><?php echo elgg_echo('categories'); ?></label><br />
+ <?php
+ echo elgg_view('input/checkboxes', array(
+ 'options' => $categories,
+ 'value' => $selected_categories,
+ 'name' => 'universal_categories_list',
+ 'align' => 'horizontal',
+ ));
+
+ ?>
+ <input type="hidden" name="universal_category_marker" value="on" />
+</div>
+
+ <?php
+
+} else {
+ echo '<input type="hidden" name="universal_category_marker" value="on" />';
+}
diff --git a/mod/categories/views/default/output/categories.php b/mod/categories/views/default/output/categories.php
new file mode 100644
index 000000000..4b3a3fb6a
--- /dev/null
+++ b/mod/categories/views/default/output/categories.php
@@ -0,0 +1,29 @@
+<?php
+/**
+ * View categories on an entity
+ *
+ * @uses $vars['entity']
+ */
+
+$linkstr = '';
+if (isset($vars['entity']) && $vars['entity'] instanceof ElggEntity) {
+
+ $categories = $vars['entity']->universal_categories;
+ if (!empty($categories)) {
+ if (!is_array($categories)) {
+ $categories = array($categories);
+ }
+ foreach($categories as $category) {
+ $link = elgg_get_site_url() . 'categories/list?category=' . urlencode($category);
+ if (!empty($linkstr)) {
+ $linkstr .= ', ';
+ }
+ $linkstr .= '<a href="'.$link.'">' . $category . '</a>';
+ }
+ }
+
+}
+
+if ($linkstr) {
+ echo '<p class="elgg-output-categories">' . elgg_echo('categories') . ": $linkstr</p>";
+}
diff --git a/mod/categories/views/default/plugins/categories/settings.php b/mod/categories/views/default/plugins/categories/settings.php
new file mode 100644
index 000000000..3802da95a
--- /dev/null
+++ b/mod/categories/views/default/plugins/categories/settings.php
@@ -0,0 +1,22 @@
+<?php
+/**
+ * Administrator sets the categories for the site
+ *
+ * @package ElggCategories
+ */
+
+// Get site categories
+$site = elgg_get_site_entity();
+$categories = $site->categories;
+
+if (empty($categories)) {
+ $categories = array();
+}
+
+?>
+<div>
+ <p><?php echo elgg_echo('categories:explanation'); ?></p>
+<?php
+ echo elgg_view('input/tags', array('value' => $categories, 'name' => 'categories'));
+?>
+</div> \ No newline at end of file
diff --git a/mod/categories/views/default/settings/categories/edit.php b/mod/categories/views/default/settings/categories/edit.php
deleted file mode 100644
index de2b8ad62..000000000
--- a/mod/categories/views/default/settings/categories/edit.php
+++ /dev/null
@@ -1,24 +0,0 @@
-<?php
-/**
- * Elgg categories plugin settings page
- *
- * @package ElggCategories
- */
-
-// Get site and categories
-$site = $CONFIG->site;
-$categories = $site->categories;
-
-if (empty($categories)) {
- $categories = array();
-}
-
-?>
-<div class="contentWrapper">
- <p>
- <?php echo elgg_echo('categories:explanation'); ?>
- </p>
- <?php
- echo elgg_view('input/tags', array('value' => $categories, 'internalname' => 'categories'));
- ?>
-</div> \ No newline at end of file
diff --git a/mod/colorbox/COPYING b/mod/colorbox/COPYING
new file mode 100644
index 000000000..dba13ed2d
--- /dev/null
+++ b/mod/colorbox/COPYING
@@ -0,0 +1,661 @@
+ GNU AFFERO GENERAL PUBLIC LICENSE
+ Version 3, 19 November 2007
+
+ Copyright (C) 2007 Free Software Foundation, Inc. <http://fsf.org/>
+ Everyone is permitted to copy and distribute verbatim copies
+ of this license document, but changing it is not allowed.
+
+ Preamble
+
+ The GNU Affero General Public License is a free, copyleft license for
+software and other kinds of works, specifically designed to ensure
+cooperation with the community in the case of network server software.
+
+ The licenses for most software and other practical works are designed
+to take away your freedom to share and change the works. By contrast,
+our General Public Licenses are intended to guarantee your freedom to
+share and change all versions of a program--to make sure it remains free
+software for all its users.
+
+ When we speak of free software, we are referring to freedom, not
+price. Our General Public Licenses are designed to make sure that you
+have the freedom to distribute copies of free software (and charge for
+them if you wish), that you receive source code or can get it if you
+want it, that you can change the software or use pieces of it in new
+free programs, and that you know you can do these things.
+
+ Developers that use our General Public Licenses protect your rights
+with two steps: (1) assert copyright on the software, and (2) offer
+you this License which gives you legal permission to copy, distribute
+and/or modify the software.
+
+ A secondary benefit of defending all users' freedom is that
+improvements made in alternate versions of the program, if they
+receive widespread use, become available for other developers to
+incorporate. Many developers of free software are heartened and
+encouraged by the resulting cooperation. However, in the case of
+software used on network servers, this result may fail to come about.
+The GNU General Public License permits making a modified version and
+letting the public access it on a server without ever releasing its
+source code to the public.
+
+ The GNU Affero General Public License is designed specifically to
+ensure that, in such cases, the modified source code becomes available
+to the community. It requires the operator of a network server to
+provide the source code of the modified version running there to the
+users of that server. Therefore, public use of a modified version, on
+a publicly accessible server, gives the public access to the source
+code of the modified version.
+
+ An older license, called the Affero General Public License and
+published by Affero, was designed to accomplish similar goals. This is
+a different license, not a version of the Affero GPL, but Affero has
+released a new version of the Affero GPL which permits relicensing under
+this license.
+
+ The precise terms and conditions for copying, distribution and
+modification follow.
+
+ TERMS AND CONDITIONS
+
+ 0. Definitions.
+
+ "This License" refers to version 3 of the GNU Affero General Public License.
+
+ "Copyright" also means copyright-like laws that apply to other kinds of
+works, such as semiconductor masks.
+
+ "The Program" refers to any copyrightable work licensed under this
+License. Each licensee is addressed as "you". "Licensees" and
+"recipients" may be individuals or organizations.
+
+ To "modify" a work means to copy from or adapt all or part of the work
+in a fashion requiring copyright permission, other than the making of an
+exact copy. The resulting work is called a "modified version" of the
+earlier work or a work "based on" the earlier work.
+
+ A "covered work" means either the unmodified Program or a work based
+on the Program.
+
+ To "propagate" a work means to do anything with it that, without
+permission, would make you directly or secondarily liable for
+infringement under applicable copyright law, except executing it on a
+computer or modifying a private copy. Propagation includes copying,
+distribution (with or without modification), making available to the
+public, and in some countries other activities as well.
+
+ To "convey" a work means any kind of propagation that enables other
+parties to make or receive copies. Mere interaction with a user through
+a computer network, with no transfer of a copy, is not conveying.
+
+ An interactive user interface displays "Appropriate Legal Notices"
+to the extent that it includes a convenient and prominently visible
+feature that (1) displays an appropriate copyright notice, and (2)
+tells the user that there is no warranty for the work (except to the
+extent that warranties are provided), that licensees may convey the
+work under this License, and how to view a copy of this License. If
+the interface presents a list of user commands or options, such as a
+menu, a prominent item in the list meets this criterion.
+
+ 1. Source Code.
+
+ The "source code" for a work means the preferred form of the work
+for making modifications to it. "Object code" means any non-source
+form of a work.
+
+ A "Standard Interface" means an interface that either is an official
+standard defined by a recognized standards body, or, in the case of
+interfaces specified for a particular programming language, one that
+is widely used among developers working in that language.
+
+ The "System Libraries" of an executable work include anything, other
+than the work as a whole, that (a) is included in the normal form of
+packaging a Major Component, but which is not part of that Major
+Component, and (b) serves only to enable use of the work with that
+Major Component, or to implement a Standard Interface for which an
+implementation is available to the public in source code form. A
+"Major Component", in this context, means a major essential component
+(kernel, window system, and so on) of the specific operating system
+(if any) on which the executable work runs, or a compiler used to
+produce the work, or an object code interpreter used to run it.
+
+ The "Corresponding Source" for a work in object code form means all
+the source code needed to generate, install, and (for an executable
+work) run the object code and to modify the work, including scripts to
+control those activities. However, it does not include the work's
+System Libraries, or general-purpose tools or generally available free
+programs which are used unmodified in performing those activities but
+which are not part of the work. For example, Corresponding Source
+includes interface definition files associated with source files for
+the work, and the source code for shared libraries and dynamically
+linked subprograms that the work is specifically designed to require,
+such as by intimate data communication or control flow between those
+subprograms and other parts of the work.
+
+ The Corresponding Source need not include anything that users
+can regenerate automatically from other parts of the Corresponding
+Source.
+
+ The Corresponding Source for a work in source code form is that
+same work.
+
+ 2. Basic Permissions.
+
+ All rights granted under this License are granted for the term of
+copyright on the Program, and are irrevocable provided the stated
+conditions are met. This License explicitly affirms your unlimited
+permission to run the unmodified Program. The output from running a
+covered work is covered by this License only if the output, given its
+content, constitutes a covered work. This License acknowledges your
+rights of fair use or other equivalent, as provided by copyright law.
+
+ You may make, run and propagate covered works that you do not
+convey, without conditions so long as your license otherwise remains
+in force. You may convey covered works to others for the sole purpose
+of having them make modifications exclusively for you, or provide you
+with facilities for running those works, provided that you comply with
+the terms of this License in conveying all material for which you do
+not control copyright. Those thus making or running the covered works
+for you must do so exclusively on your behalf, under your direction
+and control, on terms that prohibit them from making any copies of
+your copyrighted material outside their relationship with you.
+
+ Conveying under any other circumstances is permitted solely under
+the conditions stated below. Sublicensing is not allowed; section 10
+makes it unnecessary.
+
+ 3. Protecting Users' Legal Rights From Anti-Circumvention Law.
+
+ No covered work shall be deemed part of an effective technological
+measure under any applicable law fulfilling obligations under article
+11 of the WIPO copyright treaty adopted on 20 December 1996, or
+similar laws prohibiting or restricting circumvention of such
+measures.
+
+ When you convey a covered work, you waive any legal power to forbid
+circumvention of technological measures to the extent such circumvention
+is effected by exercising rights under this License with respect to
+the covered work, and you disclaim any intention to limit operation or
+modification of the work as a means of enforcing, against the work's
+users, your or third parties' legal rights to forbid circumvention of
+technological measures.
+
+ 4. Conveying Verbatim Copies.
+
+ You may convey verbatim copies of the Program's source code as you
+receive it, in any medium, provided that you conspicuously and
+appropriately publish on each copy an appropriate copyright notice;
+keep intact all notices stating that this License and any
+non-permissive terms added in accord with section 7 apply to the code;
+keep intact all notices of the absence of any warranty; and give all
+recipients a copy of this License along with the Program.
+
+ You may charge any price or no price for each copy that you convey,
+and you may offer support or warranty protection for a fee.
+
+ 5. Conveying Modified Source Versions.
+
+ You may convey a work based on the Program, or the modifications to
+produce it from the Program, in the form of source code under the
+terms of section 4, provided that you also meet all of these conditions:
+
+ a) The work must carry prominent notices stating that you modified
+ it, and giving a relevant date.
+
+ b) The work must carry prominent notices stating that it is
+ released under this License and any conditions added under section
+ 7. This requirement modifies the requirement in section 4 to
+ "keep intact all notices".
+
+ c) You must license the entire work, as a whole, under this
+ License to anyone who comes into possession of a copy. This
+ License will therefore apply, along with any applicable section 7
+ additional terms, to the whole of the work, and all its parts,
+ regardless of how they are packaged. This License gives no
+ permission to license the work in any other way, but it does not
+ invalidate such permission if you have separately received it.
+
+ d) If the work has interactive user interfaces, each must display
+ Appropriate Legal Notices; however, if the Program has interactive
+ interfaces that do not display Appropriate Legal Notices, your
+ work need not make them do so.
+
+ A compilation of a covered work with other separate and independent
+works, which are not by their nature extensions of the covered work,
+and which are not combined with it such as to form a larger program,
+in or on a volume of a storage or distribution medium, is called an
+"aggregate" if the compilation and its resulting copyright are not
+used to limit the access or legal rights of the compilation's users
+beyond what the individual works permit. Inclusion of a covered work
+in an aggregate does not cause this License to apply to the other
+parts of the aggregate.
+
+ 6. Conveying Non-Source Forms.
+
+ You may convey a covered work in object code form under the terms
+of sections 4 and 5, provided that you also convey the
+machine-readable Corresponding Source under the terms of this License,
+in one of these ways:
+
+ a) Convey the object code in, or embodied in, a physical product
+ (including a physical distribution medium), accompanied by the
+ Corresponding Source fixed on a durable physical medium
+ customarily used for software interchange.
+
+ b) Convey the object code in, or embodied in, a physical product
+ (including a physical distribution medium), accompanied by a
+ written offer, valid for at least three years and valid for as
+ long as you offer spare parts or customer support for that product
+ model, to give anyone who possesses the object code either (1) a
+ copy of the Corresponding Source for all the software in the
+ product that is covered by this License, on a durable physical
+ medium customarily used for software interchange, for a price no
+ more than your reasonable cost of physically performing this
+ conveying of source, or (2) access to copy the
+ Corresponding Source from a network server at no charge.
+
+ c) Convey individual copies of the object code with a copy of the
+ written offer to provide the Corresponding Source. This
+ alternative is allowed only occasionally and noncommercially, and
+ only if you received the object code with such an offer, in accord
+ with subsection 6b.
+
+ d) Convey the object code by offering access from a designated
+ place (gratis or for a charge), and offer equivalent access to the
+ Corresponding Source in the same way through the same place at no
+ further charge. You need not require recipients to copy the
+ Corresponding Source along with the object code. If the place to
+ copy the object code is a network server, the Corresponding Source
+ may be on a different server (operated by you or a third party)
+ that supports equivalent copying facilities, provided you maintain
+ clear directions next to the object code saying where to find the
+ Corresponding Source. Regardless of what server hosts the
+ Corresponding Source, you remain obligated to ensure that it is
+ available for as long as needed to satisfy these requirements.
+
+ e) Convey the object code using peer-to-peer transmission, provided
+ you inform other peers where the object code and Corresponding
+ Source of the work are being offered to the general public at no
+ charge under subsection 6d.
+
+ A separable portion of the object code, whose source code is excluded
+from the Corresponding Source as a System Library, need not be
+included in conveying the object code work.
+
+ A "User Product" is either (1) a "consumer product", which means any
+tangible personal property which is normally used for personal, family,
+or household purposes, or (2) anything designed or sold for incorporation
+into a dwelling. In determining whether a product is a consumer product,
+doubtful cases shall be resolved in favor of coverage. For a particular
+product received by a particular user, "normally used" refers to a
+typical or common use of that class of product, regardless of the status
+of the particular user or of the way in which the particular user
+actually uses, or expects or is expected to use, the product. A product
+is a consumer product regardless of whether the product has substantial
+commercial, industrial or non-consumer uses, unless such uses represent
+the only significant mode of use of the product.
+
+ "Installation Information" for a User Product means any methods,
+procedures, authorization keys, or other information required to install
+and execute modified versions of a covered work in that User Product from
+a modified version of its Corresponding Source. The information must
+suffice to ensure that the continued functioning of the modified object
+code is in no case prevented or interfered with solely because
+modification has been made.
+
+ If you convey an object code work under this section in, or with, or
+specifically for use in, a User Product, and the conveying occurs as
+part of a transaction in which the right of possession and use of the
+User Product is transferred to the recipient in perpetuity or for a
+fixed term (regardless of how the transaction is characterized), the
+Corresponding Source conveyed under this section must be accompanied
+by the Installation Information. But this requirement does not apply
+if neither you nor any third party retains the ability to install
+modified object code on the User Product (for example, the work has
+been installed in ROM).
+
+ The requirement to provide Installation Information does not include a
+requirement to continue to provide support service, warranty, or updates
+for a work that has been modified or installed by the recipient, or for
+the User Product in which it has been modified or installed. Access to a
+network may be denied when the modification itself materially and
+adversely affects the operation of the network or violates the rules and
+protocols for communication across the network.
+
+ Corresponding Source conveyed, and Installation Information provided,
+in accord with this section must be in a format that is publicly
+documented (and with an implementation available to the public in
+source code form), and must require no special password or key for
+unpacking, reading or copying.
+
+ 7. Additional Terms.
+
+ "Additional permissions" are terms that supplement the terms of this
+License by making exceptions from one or more of its conditions.
+Additional permissions that are applicable to the entire Program shall
+be treated as though they were included in this License, to the extent
+that they are valid under applicable law. If additional permissions
+apply only to part of the Program, that part may be used separately
+under those permissions, but the entire Program remains governed by
+this License without regard to the additional permissions.
+
+ When you convey a copy of a covered work, you may at your option
+remove any additional permissions from that copy, or from any part of
+it. (Additional permissions may be written to require their own
+removal in certain cases when you modify the work.) You may place
+additional permissions on material, added by you to a covered work,
+for which you have or can give appropriate copyright permission.
+
+ Notwithstanding any other provision of this License, for material you
+add to a covered work, you may (if authorized by the copyright holders of
+that material) supplement the terms of this License with terms:
+
+ a) Disclaiming warranty or limiting liability differently from the
+ terms of sections 15 and 16 of this License; or
+
+ b) Requiring preservation of specified reasonable legal notices or
+ author attributions in that material or in the Appropriate Legal
+ Notices displayed by works containing it; or
+
+ c) Prohibiting misrepresentation of the origin of that material, or
+ requiring that modified versions of such material be marked in
+ reasonable ways as different from the original version; or
+
+ d) Limiting the use for publicity purposes of names of licensors or
+ authors of the material; or
+
+ e) Declining to grant rights under trademark law for use of some
+ trade names, trademarks, or service marks; or
+
+ f) Requiring indemnification of licensors and authors of that
+ material by anyone who conveys the material (or modified versions of
+ it) with contractual assumptions of liability to the recipient, for
+ any liability that these contractual assumptions directly impose on
+ those licensors and authors.
+
+ All other non-permissive additional terms are considered "further
+restrictions" within the meaning of section 10. If the Program as you
+received it, or any part of it, contains a notice stating that it is
+governed by this License along with a term that is a further
+restriction, you may remove that term. If a license document contains
+a further restriction but permits relicensing or conveying under this
+License, you may add to a covered work material governed by the terms
+of that license document, provided that the further restriction does
+not survive such relicensing or conveying.
+
+ If you add terms to a covered work in accord with this section, you
+must place, in the relevant source files, a statement of the
+additional terms that apply to those files, or a notice indicating
+where to find the applicable terms.
+
+ Additional terms, permissive or non-permissive, may be stated in the
+form of a separately written license, or stated as exceptions;
+the above requirements apply either way.
+
+ 8. Termination.
+
+ You may not propagate or modify a covered work except as expressly
+provided under this License. Any attempt otherwise to propagate or
+modify it is void, and will automatically terminate your rights under
+this License (including any patent licenses granted under the third
+paragraph of section 11).
+
+ However, if you cease all violation of this License, then your
+license from a particular copyright holder is reinstated (a)
+provisionally, unless and until the copyright holder explicitly and
+finally terminates your license, and (b) permanently, if the copyright
+holder fails to notify you of the violation by some reasonable means
+prior to 60 days after the cessation.
+
+ Moreover, your license from a particular copyright holder is
+reinstated permanently if the copyright holder notifies you of the
+violation by some reasonable means, this is the first time you have
+received notice of violation of this License (for any work) from that
+copyright holder, and you cure the violation prior to 30 days after
+your receipt of the notice.
+
+ Termination of your rights under this section does not terminate the
+licenses of parties who have received copies or rights from you under
+this License. If your rights have been terminated and not permanently
+reinstated, you do not qualify to receive new licenses for the same
+material under section 10.
+
+ 9. Acceptance Not Required for Having Copies.
+
+ You are not required to accept this License in order to receive or
+run a copy of the Program. Ancillary propagation of a covered work
+occurring solely as a consequence of using peer-to-peer transmission
+to receive a copy likewise does not require acceptance. However,
+nothing other than this License grants you permission to propagate or
+modify any covered work. These actions infringe copyright if you do
+not accept this License. Therefore, by modifying or propagating a
+covered work, you indicate your acceptance of this License to do so.
+
+ 10. Automatic Licensing of Downstream Recipients.
+
+ Each time you convey a covered work, the recipient automatically
+receives a license from the original licensors, to run, modify and
+propagate that work, subject to this License. You are not responsible
+for enforcing compliance by third parties with this License.
+
+ An "entity transaction" is a transaction transferring control of an
+organization, or substantially all assets of one, or subdividing an
+organization, or merging organizations. If propagation of a covered
+work results from an entity transaction, each party to that
+transaction who receives a copy of the work also receives whatever
+licenses to the work the party's predecessor in interest had or could
+give under the previous paragraph, plus a right to possession of the
+Corresponding Source of the work from the predecessor in interest, if
+the predecessor has it or can get it with reasonable efforts.
+
+ You may not impose any further restrictions on the exercise of the
+rights granted or affirmed under this License. For example, you may
+not impose a license fee, royalty, or other charge for exercise of
+rights granted under this License, and you may not initiate litigation
+(including a cross-claim or counterclaim in a lawsuit) alleging that
+any patent claim is infringed by making, using, selling, offering for
+sale, or importing the Program or any portion of it.
+
+ 11. Patents.
+
+ A "contributor" is a copyright holder who authorizes use under this
+License of the Program or a work on which the Program is based. The
+work thus licensed is called the contributor's "contributor version".
+
+ A contributor's "essential patent claims" are all patent claims
+owned or controlled by the contributor, whether already acquired or
+hereafter acquired, that would be infringed by some manner, permitted
+by this License, of making, using, or selling its contributor version,
+but do not include claims that would be infringed only as a
+consequence of further modification of the contributor version. For
+purposes of this definition, "control" includes the right to grant
+patent sublicenses in a manner consistent with the requirements of
+this License.
+
+ Each contributor grants you a non-exclusive, worldwide, royalty-free
+patent license under the contributor's essential patent claims, to
+make, use, sell, offer for sale, import and otherwise run, modify and
+propagate the contents of its contributor version.
+
+ In the following three paragraphs, a "patent license" is any express
+agreement or commitment, however denominated, not to enforce a patent
+(such as an express permission to practice a patent or covenant not to
+sue for patent infringement). To "grant" such a patent license to a
+party means to make such an agreement or commitment not to enforce a
+patent against the party.
+
+ If you convey a covered work, knowingly relying on a patent license,
+and the Corresponding Source of the work is not available for anyone
+to copy, free of charge and under the terms of this License, through a
+publicly available network server or other readily accessible means,
+then you must either (1) cause the Corresponding Source to be so
+available, or (2) arrange to deprive yourself of the benefit of the
+patent license for this particular work, or (3) arrange, in a manner
+consistent with the requirements of this License, to extend the patent
+license to downstream recipients. "Knowingly relying" means you have
+actual knowledge that, but for the patent license, your conveying the
+covered work in a country, or your recipient's use of the covered work
+in a country, would infringe one or more identifiable patents in that
+country that you have reason to believe are valid.
+
+ If, pursuant to or in connection with a single transaction or
+arrangement, you convey, or propagate by procuring conveyance of, a
+covered work, and grant a patent license to some of the parties
+receiving the covered work authorizing them to use, propagate, modify
+or convey a specific copy of the covered work, then the patent license
+you grant is automatically extended to all recipients of the covered
+work and works based on it.
+
+ A patent license is "discriminatory" if it does not include within
+the scope of its coverage, prohibits the exercise of, or is
+conditioned on the non-exercise of one or more of the rights that are
+specifically granted under this License. You may not convey a covered
+work if you are a party to an arrangement with a third party that is
+in the business of distributing software, under which you make payment
+to the third party based on the extent of your activity of conveying
+the work, and under which the third party grants, to any of the
+parties who would receive the covered work from you, a discriminatory
+patent license (a) in connection with copies of the covered work
+conveyed by you (or copies made from those copies), or (b) primarily
+for and in connection with specific products or compilations that
+contain the covered work, unless you entered into that arrangement,
+or that patent license was granted, prior to 28 March 2007.
+
+ Nothing in this License shall be construed as excluding or limiting
+any implied license or other defenses to infringement that may
+otherwise be available to you under applicable patent law.
+
+ 12. No Surrender of Others' Freedom.
+
+ If conditions are imposed on you (whether by court order, agreement or
+otherwise) that contradict the conditions of this License, they do not
+excuse you from the conditions of this License. If you cannot convey a
+covered work so as to satisfy simultaneously your obligations under this
+License and any other pertinent obligations, then as a consequence you may
+not convey it at all. For example, if you agree to terms that obligate you
+to collect a royalty for further conveying from those to whom you convey
+the Program, the only way you could satisfy both those terms and this
+License would be to refrain entirely from conveying the Program.
+
+ 13. Remote Network Interaction; Use with the GNU General Public License.
+
+ Notwithstanding any other provision of this License, if you modify the
+Program, your modified version must prominently offer all users
+interacting with it remotely through a computer network (if your version
+supports such interaction) an opportunity to receive the Corresponding
+Source of your version by providing access to the Corresponding Source
+from a network server at no charge, through some standard or customary
+means of facilitating copying of software. This Corresponding Source
+shall include the Corresponding Source for any work covered by version 3
+of the GNU General Public License that is incorporated pursuant to the
+following paragraph.
+
+ Notwithstanding any other provision of this License, you have
+permission to link or combine any covered work with a work licensed
+under version 3 of the GNU General Public License into a single
+combined work, and to convey the resulting work. The terms of this
+License will continue to apply to the part which is the covered work,
+but the work with which it is combined will remain governed by version
+3 of the GNU General Public License.
+
+ 14. Revised Versions of this License.
+
+ The Free Software Foundation may publish revised and/or new versions of
+the GNU Affero General Public License from time to time. Such new versions
+will be similar in spirit to the present version, but may differ in detail to
+address new problems or concerns.
+
+ Each version is given a distinguishing version number. If the
+Program specifies that a certain numbered version of the GNU Affero General
+Public License "or any later version" applies to it, you have the
+option of following the terms and conditions either of that numbered
+version or of any later version published by the Free Software
+Foundation. If the Program does not specify a version number of the
+GNU Affero General Public License, you may choose any version ever published
+by the Free Software Foundation.
+
+ If the Program specifies that a proxy can decide which future
+versions of the GNU Affero General Public License can be used, that proxy's
+public statement of acceptance of a version permanently authorizes you
+to choose that version for the Program.
+
+ Later license versions may give you additional or different
+permissions. However, no additional obligations are imposed on any
+author or copyright holder as a result of your choosing to follow a
+later version.
+
+ 15. Disclaimer of Warranty.
+
+ THERE IS NO WARRANTY FOR THE PROGRAM, TO THE EXTENT PERMITTED BY
+APPLICABLE LAW. EXCEPT WHEN OTHERWISE STATED IN WRITING THE COPYRIGHT
+HOLDERS AND/OR OTHER PARTIES PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY
+OF ANY KIND, EITHER EXPRESSED OR IMPLIED, INCLUDING, BUT NOT LIMITED TO,
+THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
+PURPOSE. THE ENTIRE RISK AS TO THE QUALITY AND PERFORMANCE OF THE PROGRAM
+IS WITH YOU. SHOULD THE PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF
+ALL NECESSARY SERVICING, REPAIR OR CORRECTION.
+
+ 16. Limitation of Liability.
+
+ IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING
+WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MODIFIES AND/OR CONVEYS
+THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, INCLUDING ANY
+GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING OUT OF THE
+USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED TO LOSS OF
+DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY YOU OR THIRD
+PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER PROGRAMS),
+EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE POSSIBILITY OF
+SUCH DAMAGES.
+
+ 17. Interpretation of Sections 15 and 16.
+
+ If the disclaimer of warranty and limitation of liability provided
+above cannot be given local legal effect according to their terms,
+reviewing courts shall apply local law that most closely approximates
+an absolute waiver of all civil liability in connection with the
+Program, unless a warranty or assumption of liability accompanies a
+copy of the Program in return for a fee.
+
+ END OF TERMS AND CONDITIONS
+
+ How to Apply These Terms to Your New Programs
+
+ If you develop a new program, and you want it to be of the greatest
+possible use to the public, the best way to achieve this is to make it
+free software which everyone can redistribute and change under these terms.
+
+ To do so, attach the following notices to the program. It is safest
+to attach them to the start of each source file to most effectively
+state the exclusion of warranty; and each file should have at least
+the "copyright" line and a pointer to where the full notice is found.
+
+ <one line to give the program's name and a brief idea of what it does.>
+ Copyright (C) <year> <name of author>
+
+ This program is free software: you can redistribute it and/or modify
+ it under the terms of the GNU Affero General Public License as published by
+ the Free Software Foundation, either version 3 of the License, or
+ (at your option) any later version.
+
+ This program is distributed in the hope that it will be useful,
+ but WITHOUT ANY WARRANTY; without even the implied warranty of
+ MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ GNU Affero General Public License for more details.
+
+ You should have received a copy of the GNU Affero General Public License
+ along with this program. If not, see <http://www.gnu.org/licenses/>.
+
+Also add information on how to contact you by electronic and paper mail.
+
+ If your software can interact with users remotely through a computer
+network, you should also make sure that it provides a way for users to
+get its source. For example, if your program is a web application, its
+interface could display a "Source" link that leads users to an archive
+of the code. There are many ways you could offer source, and different
+solutions will be better for different programs; see section 13 for the
+specific requirements.
+
+ You should also get your employer (if you work as a programmer) or school,
+if any, to sign a "copyright disclaimer" for the program, if necessary.
+For more information on this, and how to apply and follow the GNU AGPL, see
+<http://www.gnu.org/licenses/>.
diff --git a/mod/colorbox/languages/ca.php b/mod/colorbox/languages/ca.php
new file mode 100644
index 000000000..214d327aa
--- /dev/null
+++ b/mod/colorbox/languages/ca.php
@@ -0,0 +1,29 @@
+<?php
+/**
+ * Colorbox -- Translation strings for Catalan
+ *
+ * @package Lorea
+ * @subpackage Colorbox
+ *
+ * Copyright 2011-2012 Lorea Faeries <federation@lorea.org>
+ *
+ * This program is free software: you can redistribute it and/or
+ * modify it under the terms of the GNU Affero General Public License
+ * as published by the Free Software Foundation, either version 3 of
+ * the License, or (at your option) any later version.
+ *
+ * This program is distributed in the hope that it will be useful, but
+ * WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
+ * Affero General Public License for more details.
+ *
+ * You should have received a copy of the GNU Affero General Public
+ * License along with this program. If not, see
+ * <http://www.gnu.org/licenses/>.
+ */
+
+$catalan = array(
+ "js:lightbox:current" => "imatge %s de %s",
+);
+
+add_translation("ca", $catalan);
diff --git a/mod/colorbox/languages/en.php b/mod/colorbox/languages/en.php
new file mode 100644
index 000000000..70bab4e05
--- /dev/null
+++ b/mod/colorbox/languages/en.php
@@ -0,0 +1,29 @@
+<?php
+/**
+ * Colorbox -- Translation strings for English
+ *
+ * @package Lorea
+ * @subpackage Colorbox
+ *
+ * Copyright 2011-2012 Lorea Faeries <federation@lorea.org>
+ *
+ * This program is free software: you can redistribute it and/or
+ * modify it under the terms of the GNU Affero General Public License
+ * as published by the Free Software Foundation, either version 3 of
+ * the License, or (at your option) any later version.
+ *
+ * This program is distributed in the hope that it will be useful, but
+ * WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
+ * Affero General Public License for more details.
+ *
+ * You should have received a copy of the GNU Affero General Public
+ * License along with this program. If not, see
+ * <http://www.gnu.org/licenses/>.
+ */
+
+$english = array(
+ "js:lightbox:current" => "image %s of %s",
+);
+
+add_translation("en", $english);
diff --git a/mod/colorbox/languages/es.php b/mod/colorbox/languages/es.php
new file mode 100644
index 000000000..e49d0db47
--- /dev/null
+++ b/mod/colorbox/languages/es.php
@@ -0,0 +1,29 @@
+<?php
+/**
+ * Colorbox -- Translation strings for Spanish
+ *
+ * @package Lorea
+ * @subpackage Colorbox
+ *
+ * Copyright 2011-2012 Lorea Faeries <federation@lorea.org>
+ *
+ * This program is free software: you can redistribute it and/or
+ * modify it under the terms of the GNU Affero General Public License
+ * as published by the Free Software Foundation, either version 3 of
+ * the License, or (at your option) any later version.
+ *
+ * This program is distributed in the hope that it will be useful, but
+ * WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
+ * Affero General Public License for more details.
+ *
+ * You should have received a copy of the GNU Affero General Public
+ * License along with this program. If not, see
+ * <http://www.gnu.org/licenses/>.
+ */
+
+$spanish = array(
+ "js:lightbox:current" => "imagen %s de %s",
+);
+
+add_translation("es", $spanish);
diff --git a/mod/colorbox/manifest.xml b/mod/colorbox/manifest.xml
new file mode 100644
index 000000000..ec5f22e90
--- /dev/null
+++ b/mod/colorbox/manifest.xml
@@ -0,0 +1,29 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<plugin_manifest xmlns="http://www.elgg.org/plugin_manifest/1.8">
+ <name>Colorbox</name>
+ <author>Lorea developers</author>
+ <version>1.8.0</version>
+ <category>lorea</category>
+ <category>enhancement</category>
+ <category>multimedia</category>
+ <description>Elgg lightbox replacement for Fancybox. Colorbox is the default Elgg lightbox in 1.9.</description>
+ <website>https://lorea.org/plugin/colorbox</website>
+ <copyright>Copyright 2012 Lorea Faeries &lt;federation@lorea.org&gt;</copyright>
+ <repository>https://gitorious.org/lorea/colorbox</repository>
+ <donations>https://lorea.org/support</donations>
+ <license>GNU Affero General Public License version 3, or later</license>
+ <requires>
+ <type>elgg_release</type>
+ <version>1.8</version>
+ </requires>
+ <requires>
+ <type>priority</type>
+ <priority>after</priority>
+ <plugin>embed</plugin>
+ </requires>
+ <requires>
+ <type>elgg_release</type>
+ <version>1.9</version>
+ <comparison>lt</comparison>
+ </requires>
+</plugin_manifest>
diff --git a/mod/colorbox/start.php b/mod/colorbox/start.php
new file mode 100644
index 000000000..b19b2efcf
--- /dev/null
+++ b/mod/colorbox/start.php
@@ -0,0 +1,36 @@
+<?php
+/**
+ * Colorbox -- A lightweight, customizable lightbox plugin for jQuery
+ *
+ * @package Lorea
+ * @subpackage Colorbox
+ * @homepage https://lorea.org/plugin/colorbox
+ * @copyright 2011-2012 Lorea Faeries <federation@lorea.org>
+ * @license COPYING, http://www.gnu.org/licenses/agpl
+ *
+ * Copyright 2011-2012 Lorea Faeries <federation@lorea.org>
+ *
+ * This program is free software: you can redistribute it and/or
+ * modify it under the terms of the GNU Affero General Public License
+ * as published by the Free Software Foundation, either version 3 of
+ * the License, or (at your option) any later version.
+ *
+ * This program is distributed in the hope that it will be useful, but
+ * WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
+ * Affero General Public License for more details.
+ *
+ * You should have received a copy of the GNU Affero General Public
+ * License along with this program. If not, see
+ * <http://www.gnu.org/licenses/>.
+ */
+
+elgg_register_event_handler('init', 'system', 'colorbox_init');
+
+/**
+ * Initialize the colorbox plugin.
+ *
+ */
+function colorbox_init() {
+
+}
diff --git a/mod/colorbox/vendors/jquery/colorbox/README.md b/mod/colorbox/vendors/jquery/colorbox/README.md
new file mode 100644
index 000000000..2a53feac8
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/README.md
@@ -0,0 +1,318 @@
+## About ColorBox:
+A customizable lightbox plugin for jQuery. See the [project page](http://jacklmoore.com/colorbox/) for documentation and a demonstration, and the [FAQ](http://jacklmoore.com/colorbox/faq/) for solutions and examples to common issues. Released under the [MIT license](http://www.opensource.org/licenses/mit-license.php).
+
+## Changelog:
+
+### Version 1.3.19 - December 08 2011
+Files Changed:jquery.colorbox.js/jquery.colorbox-min.js, colorbox.css (all)
+
+* Fixed bug related to using the 'fixed' property.
+* Optimized the setup procedure to be more efficient.
+* Removed $.colorbox.init() as it will no longer be needed (will self-init when called).
+* Removed use of $.browser.
+
+### Version 1.3.18 - October 07 2011
+Files Changed:jquery.colorbox.js/jquery.colorbox-min.js, colorbox.css (all) and example 1's controls.png
+
+* Fixed a regression where Flash content displayed in ColorBox would be reloaded if the browser window was resized.
+* Added safety check to make sure that ColorBox's markup is only added to the DOM a single time, even if $.colorbox.init() is called multiple times. This will allow site owners to manually initialize ColorBox if they need it before the DOM has finished loading.
+* Updated the example index.html files to be HTML5 compliant.
+* Changed the slideshow behavior so that it immediately moves to the next slide when the slideshow is started.
+* Minor regex bugfix to allow automatic detection of image URLs that include fragments.
+
+### Version 1.3.17 - May 11 2011
+Files Changed:jquery.colorbox.js/jquery.colorbox-min.js
+
+* Added properties "top", "bottom", "left" and "right" to specify a position relative to the viewport, rather than using the default centering.
+* Added property "data" to specify GET or POST data when using Ajax. ColorBox's ajax functionality is handled by jQuery's .load() method, so the data property works the same way as it does with .load().
+* Added property "fixed" which can provide fixed positioning for ColorBox, rather than absolute positioning. This will allow ColorBox to remain in a fixed position within the visitors viewport, despite scrolling. IE6 support for this was not added, it will continue to use the default absolute positioning.
+* Fixed ClearType problem with IE7.
+* Minor fixes.
+
+### Version 1.3.16 - March 01 2011
+Files Changed:jquery.colorbox.js/jquery.colorbox-min.js, colorbox.css (all) and example 4 background png files
+
+* Better IE related transparency workarounds. IE7 and up now uses the same background image sprite as other browsers.
+* Added error handling for broken image links. A message will be displayed telling the user that the image could not be loaded.
+* Added new property: 'fastIframe' and set it to true by default. Setting to fastIframe:false will delay the loading graphic removal and onComplete event until iframe has completely loaded.
+* Ability to redefine $.colorbox.close (or prev, or next) at any time.
+
+### Version 1.3.15 - October 27 2010
+Files Changed: jquery.colorbox.js/jquery.colorbox-min.js
+
+* Minor fixes for specific cases.
+
+### Version 1.3.14 - October 27 2010
+Files Changed: jquery.colorbox.js/jquery.colorbox-min.js
+
+* In IE6, closing an iframe when using HTTPS no longer generates a security warning.
+
+### Version 1.3.13 - October 22 2010
+Files Changed: jquery.colorbox.js/jquery.colorbox-min.js
+
+* Changed the index.html example files to use YouTube's new embedded link format.
+* By default, ColorBox returns focus to the element it was launched from once it closes. This can now be disabled by setting the 'returnFocus' property to false. Focus was causing problems for some users who had their anchor elements inside animated containers.
+* Minor bug fix involved in using a combination of slideshow and non-slideshow content.
+
+### Version 1.3.12 - October 20 2010
+Files Changed: jquery.colorbox.js/jquery.colorbox-min.js
+
+* Minor bug fix involved in preloading images when using a function as a value for the href property.
+
+### Version 1.3.11 - October 19 2010
+Files Changed: jquery.colorbox.js/jquery.colorbox-min.js
+
+* Fixed the slideshow functionality that broke with 1.3.10
+* The slideshow now respects the loop property.
+
+### Version 1.3.10 - October 16 2010
+Files Changed: jquery.colorbox.js/jquery.colorbox-min.js
+
+* Fixed compatibility with jQuery 1.4.3
+* The 'open' property now accepts a function as a value, like all of the other properties.
+* Preloading now loads the correct href for images when using a dynamic (function) value for the href property.
+* Fixed bug in Safari 3 for Win where ColorBox centered on the document, rather than the visitor's viewport.
+* May have fixed an issue in Opera 10.6+ where ColorBox would rarely/randomly freeze up while switching between photos in a group.
+* Some functionality better encapsulated & minor performance improvements.
+
+### Version 1.3.9 - July 7 2010
+Files Changed: jquery.colorbox.js/jquery.colorbox-min.js/ all colorbox.css (the core styles)
+
+* Fixed a problem where iframed youtube videos would cause a security alert in IE.
+* More code is event driven now, making the source easier to grasp.
+* Removed some unnecessary style from the core CSS.
+
+### Version 1.3.8 - June 21 2010
+Files Changed: jquery.colorbox.js/jquery.colorbox-min.js
+
+* Fixed a bug in Chrome where it would sometimes render photos at 0 by 0 width and height (behavior introduced in recent update to Chrome).
+* Fixed a bug where the onClosed callback would fire twice (only affected 1.3.7).
+* Fixed a bug in IE7 that existed with some iframed websites that use JS to reposition the viewport caused ColorBox to move out of position.
+* Abstracted the identifiers (HTML ids & classes, and JS plugin name, method, and events) so that the plugin can be easily rebranded.
+* Small changes to improve either code readability or compression.
+
+### Version 1.3.7 - June 13 2010
+Files Changed: jquery.colorbox.js/jquery.colorbox-min.js/index.html
+
+* $.colorbox can now be used for direct calls and accessing public methods. Example: $.colorbox.close();
+* Resize now accepts 'width', 'innerWidth', 'height' and 'innerHeight'. Example: $.colorbox.resize({width:"100%"})
+* Added option (loop:false) to disable looping in a group.
+* Added options (escKey:false, arrowKey:false) to disable esc-key and arrow-key bindings.
+* Added method for removing ColorBox from a document: $.colorbox.remove();
+* Fixed a bug where iframed URLs would be truncated if they contained an unencoded apostrophe.
+* Now uses the exact href specified on an anchor, rather than the version returned by 'this.href'. This was causing "#example" to be normalized to "http://domain/#example" which interfered with how some users were setting up links to inline content.
+* Changed example documents over to HTML5.
+
+### Version 1.3.6 - Jan 13 2010
+Files Changed: jquery.colorbox.js/jquery.colorbox-min.js
+
+* Small change to make ColorBox compatible with jQuery 1.4
+
+### Version 1.3.5 - December 15 2009
+Files Changed: jquery.colorbox.js/jquery.colorbox-min.js
+
+* Fixed a bug introduced in 1.3.4 with IE7's display of example 2 and 3, and auto-width in Opera.
+* Fixed a bug introduced in 1.3.4 where colorbox could not be launched by triggering an element's click event through JavaScript.
+* Minor refinements.
+
+### Version 1.3.4 - December 5 2009
+Files Changed: jquery.colorbox.js/jquery.colorbox-min.js
+
+* Event delegation is now used for elements that ColorBox is assigned to, rather than individual click events.
+* Additional callbacks have been added to represent other stages of ColorBox's lifecycle. Available callbacks, in order of their execution: onOpen, onLoad, onComplete, onCleanup, onClosed These take place at the same time as the event hooks, but will be better suited than the hooks for targeting specific instances of ColorBox.
+* Ajax content is now immediately added to the DOM to be more compatible if that content contains script tags.
+* Focus is now returned to the calling element on closing.
+* Fixed a bug where maxHeight and maxWidth did not work for non-photo content.
+* Direct calls no longer need 'open:true', it is assumed. Example: `$.fn.colorbox({html:'<p>Hi</p>'});`
+
+### Version 1.3.3 - November 7 2009
+Files Changed: jquery.colorbox.js/jquery.colorbox-min.js
+
+* Changed $.fn.colorbox.element() to return a jQuery object rather the DOM element.
+* jQuery.colorbox-min.js is compressed with Google's Closure Compiler rather than YUI Compressor.
+
+### Version 1.3.2 - October 27 2009
+Files Changed: jquery.colorbox.js/jquery.colorbox-min.js
+
+* Added 'innerWidth' and 'innerHeight' options to allow people to easily set the size dimensions for ColorBox, without having to anticipate the size of the borders and buttons.
+* Renamed 'scrollbars' option to 'scrolling' to be in keeping with the existing HTML attribute. The option now also applies to iframes.
+* Bug fix: In Safari, positioning occassionally incorrect when using '100%' dimensions.
+* Bug fix: In IE6, the background overlay is briefly not full size when first viewing.
+* Bug fix: In Firefox, opening ColorBox causes a split second shift with a small minority of webpage layouts.
+* Simplified code in a few areas.
+
+### Version 1.3.1 - September 16 2009
+Files Changed: jquery.colorbox.js/jquery.colorbox-min.js/colorbox.css/colorbox-ie.css(removed)
+
+* Removed the IE-only stylesheets and conditional comments for example styles 1 & 4. All CSS is handled by a single CSS file for all examples.
+* Removed user-agent sniffing from the js and replaced it with feature detection. This will allow correct rendering for visitors masking their agent type.
+
+### Version 1.3.0 - September 15 2009
+Files Changed: jquery.colorbox.js/jquery.colorbox-min.js/colorbox.css
+
+* Added $.fn.colorbox.resize() method to allow ColorBox to resize it's height if it's contents change.
+* Added 'scrollbars' option to allow users to turn off scrollbars when using the resize() method.
+* Renamed the 'resize' option to be less ambiguous. It's now 'scalePhotos'.
+* Renamed the 'cbox_close' event to be less ambiguous. It's now 'cbox_cleanup'. It is the first thing to happen in the close method while the 'cbox_closed' event is the last to happen.
+* Fixed a bug with the slideshow mouseover graphics that appeared after ColorBox is opened a 2nd time.
+* Fixed a bug where ClearType may not work in IE6&7 if using the fade transition.
+* Minor code optimizations to increase compression.
+
+### Version 1.2.9 - August 7 2009
+Files Changed: jquery.colorbox.js/jquery.colorbox-min.js
+
+* Minor change to enable use with $.getScript();
+* Minor change to the timing of the 'cbox_load' event so that it is more useful.
+* Added a direct link to a YouTube video to the examples.
+
+### Version 1.2.8 - August 5 2009
+Files Changed: jquery.colorbox.js/jquery.colorbox-min.js
+
+* Fixed a bug with the overlay in IE6
+* Fixed a bug where left & right keypress events might be prematurely unbound.
+
+### Version 1.2.7 - July 31 2009
+Files Changed: jquery.colorbox.js/jquery.colorbox-min.js, example stylesheets and background images (core styles have not changed and the updates will not affect existing user themes / old example themes)
+
+* Code cleanup and reduction, better organization and documentation in the full source.
+* Added ability to use functions in place of static values for ColorBox's options (thanks Ken!).
+* Added an option for straight HTML. Example: `$.fn.colorbox({html:'<p>Howdy</p>', open:true})`
+* Added an event for the beginning of the closing process. This is in addition to the event that already existed for when ColorBox had completely closed. 'cbox_close' and 'cbox_closed' respectively.
+* Fixed a minor bug in IE6 that would cause a brief content shift in the parent document when opening ColorBox.
+* Fixed a minor bug in IE6 that would reveal select elements that had a hidden visibility after closing ColorBox.
+* The 'esc' key is unbound now when ColorBox is not open, to avoid any potential conflicts.
+* Used background sprites for examples 1 & 4. Put IE-only (non-sprite) background images in a separate folder.
+* Example themes 1, 3, & 4 received slight visual tweaks.
+* Optimized pngs for smaller file size.
+* Added slices, grid, and correct sizing to the Adobe Illustrator file, all theme files are now export ready!
+
+### Version 1.2.6 - July 15 2009
+Files Changed: jquery.colorbox.js/jquery.colorbox-min.js
+
+* Fixed a bug with fixed width/height images in Opera 9.64.
+* Fixed a bug with trying to set a value for rel during a direct call to ColorBox. Example: `$.fn.colorbox({rel:'foo', open:true});`
+* Changed how href/rel/title settings are determined to avoid users having to manually update ColorBox settings if they use JavaScript to update any of those attributes, after ColorBox has been defined.
+* Fixed a FF3 bug where the back button was disabled after closing an iframe.
+
+### Version 1.2.5 - June 23 2009
+Files Changed: jquery.colorbox.js/jquery.colorbox-min.js
+
+* Changed the point at which iframe srcs are set (to eliminate the need to refresh the iframe once it has been added to the DOM).
+* Removed unnecessary return values for a very slight code reduction.
+
+### Version 1.2.4 - June 9 2009
+Files Changed: jquery.colorbox.js, jquery.colorbox-min.js
+
+* Fixed an issue where ColorBox may not close completely if it is closed during a transition animation.
+* Minor code reduction.
+
+### Version 1.2.3 - June 4 2009
+* Fixed a png transparency stacking issue in IE.
+* More accurate Ajax auto-sizing if the user was depending on the #cboxLoadedContent ID for CSS styling.
+* Added a public function for returning the current html element that ColorBox is associated with. Example use: var that = $.fn.colorbox.element();
+* Added bicubic scaling for resized images in the original IE7.
+* Removed the IE6 stylesheet and png files from Example 3. It now uses the same png file for the controls that the rest of the browsers use (an alpha transparency PNG8). This example now only has 2 graphics files and 1 stylesheet.
+
+### Version 1.2.2 - May 28 2009
+* Fixed an issue with the 'resize' option.
+
+### Version 1.2.1 - May 28 2009
+* Note: If you are upgrading, update your jquery.colorbox.js and colorbox.css files.
+* Added photo resizing.
+* Added a maximum width and maximum height. Example: {height:800, maxHeight:'100%'}, would allow the box to be a maximum potential height of 800px, instead of a fixed height of 800px. With maxHeight of 100% the height of ColorBox cannot exceed the height of the browser window.
+* Added 'rel' setting to add the ability to set an alternative rel for any ColorBox call. This allows the user to group any combination of elements together for a gallery, or to override an existing rel. attribute so those element are not grouped together, without having to alter their rel in the HTML.
+* Added a 'photo' setting to force ColorBox to display a link as a photo. Use this when automatic photo detection fails (such as using a url like 'photo.php' instead of 'photo.jpg', 'photo.jpg#1', or 'photo.jpg?pic=1')
+* Removed the need to ever create disposable elements to call colorbox on. ColorBox can now be called directly, without being associated with any existing element, by using the following format:
+ `$.fn.colorbox({open:true, href:'yourLink.xxx'});`
+* ColorBox settings are now persistent and unique for each element. This allows for extremely flexible options for individual elements. You could use this to create a gallery in which each page in the gallery has different settings. One could be a photo with a fade transition, next could be an inline element with an elastic transition with a set width and height, etc.
+* For user callbacks, 'this' now refers to the element colorbox was opened from.
+* Fixed a minor grouping issue with IE6, when transition type is set to 'none'.
+* Added an Adobe Illustrator file that contains the borders and buttons used in the various examples.
+
+### Version 1.2 - May 13 2009
+* Added a slideshow feature.
+* Added re-positioning on browser resize. If the browser is resized, ColorBox will recenter itself onscreen.
+* Added hooks for key events: cbox_open, cbox_load, cbox_complete, cbox_closed.
+* Fixed an IE transparency-stacking problem, where transparent PNGs would show through to the background overlay.
+* Fixed an IE iframe issue where the ifame might shift up and to the left under certain circumstances.
+* Fixed an IE6 bug where the loading overlay was not at full height.
+* Removed the delay in switching between same-sized gallery content when using transitions.
+* Changed how iframes are loaded to make it more compatible with iframed pages that use DOM dependent JavaScript.
+* Changed how the JS is structured to be better organized and increase compression. Increased documentation.
+* Changed CSS :hover states to a .hover class. This sidesteps a minor IE8 bug with css hover states and allows easier access to hover state user styles from the JavaScript.
+* Changed: elements added to the DOM have new ID's. The naming is more consistent and less likely to cause conflicts with existing website stylesheets. All stylesheets have been updated.
+* Changed the behavior for prev/next links so that ColorBox does not get hung up on broken links. A visitor can now skip through broken or long-loading links by clicking prev/next buttons.
+* Changed the naming of variables in the parameter map to be more concise and intuitive.
+* Removed colorbox.css. Combined the colorbox.css styles with jquery.colorbox.js: the css file was not large enough to warrant being a separate file.
+
+### Version 1.1.6 - April 28 2009
+* Prevented the default action of the next & previous anchors and the left and right keys for gallery mode.
+* Fixed a bug where the title element was being added back to the DOM when closing ColorBox while using inline content.
+* Fixed a bug where IE7 would crash for example 2.
+* Smaller filesize: removed a small amount of unused code and rewrote the HTML injection with less syntax.
+* Added a public method for closing ColorBox: $.fn.colorbox.close(). This will allow iframe users to add an event to close ColorBox without having to create an additional function.
+
+### Version 1.1.5 - April 11 2009
+* Fixed minor issues with exiting ColorBox.
+
+### Version 1.1.4 - April 08 2009
+* Fixed a bug in the fade transition where ColorBox not close completely if instructed to close during the fade-in portion of the transition.
+
+### Version 1.1.3 - April 06 2009
+* Fixed an IE6&7 issue with using ColorBox to display animated GIFs.
+
+### Version 1.1.2 - April 05 2009
+* Added ability to change content when ColorBox is already open.
+* Added vertical photo centering now works for all browsers (this feature previously excluded IE6&7).
+* Added namespacing to the esc-key keydown event for people who want to disable it: "keydown.colorClose"
+* Added 'title' setting to add the ability to set an alternative title for any ColorBox call.
+* Fixed rollover navigation issue with IE8. (Added JS-based rollover state due to a browser-bug.)
+* Fixed an overflow issue for when the fixed width/height is smaller than the size of a photo.
+* Fixed a bug in the fade transition where the border would still come up if ColorBox was closed mid-transition.
+* Switch from JSMin to Yui Compressor for minification. Minified code now under 7KB.
+
+### Version 1.1.1 - March 31 2009
+* More robust image detection regex. Now detects image file types with url fragments and/or query strings.
+* Added 'nofollow' exception to rel grouping.
+* Changed how images are loaded into the DOM to prevent premature size calculation by ColorBox.
+* Added timestamp to iframe name to prevent caching - this was a problem in some browsers if the user had multiple iframes and the visitor left the page and came back, or if they refreshed the page.
+
+### Version 1.1.0 - March 21 2009
+* Animation is now much smoother and less resource intensive.
+* Added support for % sizing.
+* Callback option added.
+* Inline content now preserves JavaScript events, and changes made while ColorBox is open are also preserved.
+* Added 'href' setting to add the ability to set an alternative href for any anchor, or to assign the ColorBox event to non-anchors.
+ Example: $('button').colorbox({'href':'process.php'})
+ Example: $('a[href='http://msn.com']).colorbox({'href':'http://google.com', iframe:true});
+* Photos are now horizontally centered if they are smaller than the lightbox size. Also vertically centered for browsers newer than IE7.
+* Buttons in the examples are now included in the 'protected zone'. The lightbox will never expand it's borders or buttons beyond an accessible area of the screen.
+* Keypress events don't queue up by holding down the arrow keys.
+* Added option to close ColorBox by clicking on the background overlay.
+* Added 'none' transition setting.
+* Changed 'contentIframe' and 'contentInline' to 'inline' and 'iframe'. Removed 'contentAjax' because it is automatically assumed for non-image file types.
+* Changed 'contentWidth' and 'contentHeight' to 'fixedWidth' and 'fixedHeight'. These sizes now reflect the total size of the lightbox, not just the inner content. This is so users can accurately anticipate % sizes without fear of creating scrollbars.
+* Clicking on a photo will now switch to the next photo in a set.
+* Loading.gif is more stable in it's position.
+* Added a minified version.
+* Code passes JSLint.
+
+### Version 1.0.5 - March 11 2009
+* Redo: Fixed a bug where IE would cut off the bottom portion of a photo, if the photo was larger than the document dimensions.
+
+### Version 1.0.4 - March 10 2009
+* Added an option to allow users to automatically open the lightbox. Example usage: $(".colorbox").colorbox({open:true});
+* Fixed a bug where IE would cut off the bottom portion of a photo, if the photo was larger than the document dimensions.
+
+### Version 1.0.3 - March 09 2009
+* Fixed vertical centering for Safari 3.0.x.
+
+### Version 1.0.2 - March 06 2009
+* Corrected a typo.
+* Changed the content-type check so that it does not assume all links to photos should actually display photos. This allows for Ajax/inline/and iframe calls on anchors linking to picture file types.
+
+### Version 1.0.1 - March 05 2009
+* Fixed keydown events (esc, left arrow, right arrow) for Webkit browsers.
+
+### Version 1.0 - March 03 2009
+* First release
diff --git a/mod/colorbox/vendors/jquery/colorbox/colorbox.ai b/mod/colorbox/vendors/jquery/colorbox/colorbox.ai
new file mode 100644
index 000000000..1b51881af
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/colorbox.ai
@@ -0,0 +1,1811 @@
+%PDF-1.4 %忏嫌
+1 0 obj <</Metadata 2 0 R/Pages 3 0 R/Type/Catalog>> endobj 2 0 obj <</Length 48282/Subtype/XML/Type/Metadata>>stream
+<?xpacket begin="锘" id="W5M0MpCehiHzreSzNTczkc9d"?>
+<x:xmpmeta xmlns:x="adobe:ns:meta/" x:xmptk="Adobe XMP Core 4.2.2-c063 53.351735, 2008/07/22-18:11:12 ">
+ <rdf:RDF xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#">
+ <rdf:Description rdf:about=""
+ xmlns:dc="http://purl.org/dc/elements/1.1/">
+ <dc:format>application/vnd.adobe.illustrator</dc:format>
+ <dc:title>
+ <rdf:Alt>
+ <rdf:li xml:lang="x-default">colorbox</rdf:li>
+ </rdf:Alt>
+ </dc:title>
+ </rdf:Description>
+ <rdf:Description rdf:about=""
+ xmlns:xmp="http://ns.adobe.com/xap/1.0/"
+ xmlns:xmpGImg="http://ns.adobe.com/xap/1.0/g/img/">
+ <xmp:CreatorTool>Adobe Illustrator CS4</xmp:CreatorTool>
+ <xmp:CreateDate>2009-05-27T04:22:39-04:00</xmp:CreateDate>
+ <xmp:ModifyDate>2009-07-30T21:43:35-05:00</xmp:ModifyDate>
+ <xmp:MetadataDate>2009-07-30T21:43:35-05:00</xmp:MetadataDate>
+ <xmp:Thumbnails>
+ <rdf:Alt>
+ <rdf:li rdf:parseType="Resource">
+ <xmpGImg:width>208</xmpGImg:width>
+ <xmpGImg:height>256</xmpGImg:height>
+ <xmpGImg:format>JPEG</xmpGImg:format>
+ <xmpGImg:image>/9j/4AAQSkZJRgABAgEBLAEsAAD/7QAsUGhvdG9zaG9wIDMuMAA4QklNA+0AAAAAABABLAAAAAEA&#xA;AQEsAAAAAQAB/+4ADkFkb2JlAGTAAAAAAf/bAIQABgQEBAUEBgUFBgkGBQYJCwgGBggLDAoKCwoK&#xA;DBAMDAwMDAwQDA4PEA8ODBMTFBQTExwbGxscHx8fHx8fHx8fHwEHBwcNDA0YEBAYGhURFRofHx8f&#xA;Hx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8f/8AAEQgBAADQAwER&#xA;AAIRAQMRAf/EAaIAAAAHAQEBAQEAAAAAAAAAAAQFAwIGAQAHCAkKCwEAAgIDAQEBAQEAAAAAAAAA&#xA;AQACAwQFBgcICQoLEAACAQMDAgQCBgcDBAIGAnMBAgMRBAAFIRIxQVEGE2EicYEUMpGhBxWxQiPB&#xA;UtHhMxZi8CRygvElQzRTkqKyY3PCNUQnk6OzNhdUZHTD0uIIJoMJChgZhJRFRqS0VtNVKBry4/PE&#xA;1OT0ZXWFlaW1xdXl9WZ2hpamtsbW5vY3R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo+Ck5SVlpeYmZ&#xA;qbnJ2en5KjpKWmp6ipqqusra6voRAAICAQIDBQUEBQYECAMDbQEAAhEDBCESMUEFURNhIgZxgZEy&#xA;obHwFMHR4SNCFVJicvEzJDRDghaSUyWiY7LCB3PSNeJEgxdUkwgJChgZJjZFGidkdFU38qOzwygp&#xA;0+PzhJSktMTU5PRldYWVpbXF1eX1RlZmdoaWprbG1ub2R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo&#xA;+DlJWWl5iZmpucnZ6fkqOkpaanqKmqq6ytrq+v/aAAwDAQACEQMRAD8A9U4q7FXYq7FXYq7FXYq7&#xA;FXYq7FXYq7FXYq7FXnn5b+fNd85T6us6W9jHpkiRL6KOzOzl9zzagACdMVZt9U1D/lt/5Jr/AFxV&#xA;gf5ZfmZrHmfzJrei39vBGNIaSNbiEODIYpjFUqzNStK4q9JxV2KuxV2KuxV2KuxV2KuxV2KvG/Pf&#xA;5jfmBY/mDL5Z8twpdMyRNb2/pKzktEHf4jTYbmpxVQ/xJ/zkV/1Y1/4G3/6qYqh9G/ND8zYvPml+&#xA;WvMdtHZSXcsXqwtEgYwyEjkjKSCDQioPXFXueKvBB+aX5r6n5k1HSdAtI717OSX4EiT4Y0fiCzOV&#xA;H44qmH+JP+civ+rGv/A2/wD1UxVd5F/Nbzde6/qVh5lMVnHpcEj3aNGIzG0TANyPgBiqbeU/z18v&#xA;eZdcl0izkZZ2kZLEPEw9aONOTS1pRQTUKCa+OKqdh+fvlm882Dy+kzAycYoLkxsFN16jRtbsCOuy&#xA;lWFVNaVr1VRfnv8AN5/Jt9AmpWLvp1zbyPBexFWrcx7iEx1BFV/aO340VR+r/mFqWj+Sl8x6lYGG&#xA;aOOGS808MrPH6jKHVW2VivLbpX2xVMtC8622vaLFq+lzLPaTKxRuJHxLUMpBoQQRirDfIf5y6x5n&#xA;1DSrWaxhtl1HT7i/dkZmKmC7a2CCoHXjyriqH/5x3/vvNf8AzFQ/rmxV7Lirwb8hv/JkedP+M9z/&#xA;ANRhxV7zirsVdirsVdirsVdirsVdirsVeNSf+tKx/wDMKf8AqDOKvZcVeHfmF/60T5T/AOYO0/6i&#xA;7nFXuOKvDvyS/wDJleb/AJN/1EHFXuOKvCPKoB/O/wA3AioLSgg/OPFWbeXvLflPTby/m0e1gjnl&#xA;n5XRjCnhLxHJVP7O1KqPn1OKtQ+W/KaebH1VLaAa4LZVQhVBWIu9XVRtyZmYM3XtiqC8x+VvI2q6&#xA;79Y19I7m7WzkRbe5c+mkBPxyIhPFH/yx8W3XbFVeXQvK8/km20meQyeX1jgQPJI3xRqylQ7k1AOw&#xA;O+2Kp/bWdpb2iWtpEkNsiCOKKIBVVQOICgbAAbDFWE+SPKfkrTL7TZ9Eu7i4ltrCeCzEtaNbPdM8&#xA;jtVE3EzFR0+XfFVH/nHf++81/wDMVD+ubFXsuKvBvyG/8mR50/4z3P8A1GHFXvOKuxV2KuxV2Kux&#xA;V2KuxV2KuxV4tfgH/nISYHcfUl/6h1xV6LwT+Ufdil5J5sAH/OQPlWgp/o1r0/5ibjFD3/FXg/5T&#xA;gHzt5xqK/vk6/wDGWbFXqnBP5R92KXlnlqJJPzo80xNUI8AU8SVNDFCNitCD7jFDLfL3kW10fUZL&#xA;xLiWQh2MKsduDClHH7RB74qttvIVnD5iGrfWZSqfvEj5GplZmJJbrwoR8Pfvttiqt5q8pyeYJ4Vl&#xA;uBDawRuU4oDJ6zbAlj+xtuBT5+CqM1DRrrUPLf6LnlRJ5I40mljWiAggsVTbw2GKo3SNNg0uwgso&#xA;Gd4oBRWkYsx+k/qG2KpD5W8sajpU1k9y0TC3spraT02J+OS5MwIqo24/jiqS/wDOO/8Afea/+YqH&#xA;9c2KvZcVeDfkN/5Mjzp/xnuf+ow4q95xV2KuxV2KuxV2KuxV2KuxV2KvA/OPmPTvL356y6jqJZbM&#xA;W0cUsiKXKc4AAxUbkA9ab4qyL/ldX5a/9XZv+kW7/wCqWKsFm8z6R5m/PLy5qGkO81lALa19Z0aM&#xA;O6yySEqrgNQeqBuBvXtvir6UxV81eTfOmheWPPHmY6zI8EF5MwjnVHkUNFK54ssYZvi57bYqzv8A&#xA;5XV+Wv8A1dm/6Rbv/qlirFfy41m11v8ANXXtVtAwtbqImHmKMVT04wxHblwrir2LFLsVdirsVdir&#xA;sVeef847/wB95r/5iof1zYoey4q8G/Ib/wAmR50/4z3P/UYcVe84q7FXYq7FXYq7FXYq7FXYq7FU&#xA;p1Dyj5X1G6a7v9Ktbq6cAPNNEjuQooKkiuwGKob/AJV95G/6sNh/0jx/0xVVtfJPlC0uY7m10azg&#xA;uIWDxSxworKw6EEDY4qnWKpHN5F8mTSvLLollJLISzu0EZJYmpJNMVWf8q+8jf8AVhsP+keP+mKo&#xA;rT/KfljTpjNYaXa2sxHEyQxIjFT2qoGKph9Utv8AfS/dirvqlt/vpfuxV31S2/30v3Yq76pbf76X&#xA;7sVd9Utv99L92Ku+qW3++l+7FXj/APzjwQLjzUhNGNzCwU9aVl3pir2XFXg35DD/AJCN5zb9lprk&#xA;q3Yj62emKvecVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVSyfy1oU2&#xA;5soUbpySNUNPCoGKqH+D9B/5ZhiqN03RdK0xOFhaRWwIofSRUJHvxAxVG4q7FXYq7FXYq7FXYq7F&#xA;XYqg9H1jTdZ0u11XTLhLqwvI1mt54yCrI4qP7R2xVL/OHm6x8qaNca1qNrdTabaL6l3NaRiYxJWh&#xA;ZkDB+I7kKaDc7Yq84/6Gu/Kj0DcV1P0AokMv1GTgELcA3KtKc/hr47Yq9J8pea7PzTo1vrNha3UG&#xA;nXiCW0lu41iMsbfZdU5F+LdQSBUbjbFUdrOs6ZoulXWq6pcJa2FlG01zPIaKqIKn5nwHfFUZirsV&#xA;dirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdir8t7NtVW2nltHmS3gCvcNGzKq8mCK&#xA;TQjck0xV9Tf84pyar5v/AC088eUtS1CZ7GaM2dq8hMpgXULeaOXhyPTYNx6Vr44qxf8A6Fe/OhtP&#xA;Hllv0WNHEwYXv1iSgYOT6/GnPdTTjx+iu+Kss/5y2utV8sfl/wCTPLWnX80diA1vcshMbTixgiSI&#xA;vxPT4i3HpX5DFXyrdvqzW0El28z209XgaRmZGKEoSKkioNRir9SMVdirsVdirsVdirsVdirsVdir&#xA;sVdirsVdirsVdirsVdirsVdirsVfnX5S85aNpmjNFND6bwAGSJAC0xJA5DkRU+IJxV71/wA4TyrN&#xA;a+dJUHFZLq0dR4BhOR0xV9NYq+ZP+c25FjsvJsjCqpdXbMPYLCcVeC+YvOOiXnl4WyQCea4B9KNw&#xA;AYCCRzPGtG8ADv32xV+iuKuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KvhDQ&#xA;P+cTvzc1nSbbU1gsrGO6jWWKC7uCk3BxyUskaScag9CajuMVfSX/ADjl+TmsflroGpx61cwz6nqs&#xA;8ckkVsWeKOOFWVBzZULMeZJ28MVeu4q8h/5yN/JzWfzK0HS49EuoYNS0qeR0iuSyRSRzqqv8aq5D&#xA;LwUjanXFXzb5h/5xQ/NzRdJudTaCzv4rSNpZobOcvNwQcmKo6R8qDsu57DFX3hirsVdirsVdirsV&#xA;dirsVdirsVdirsVdirsVdirsVdirsVdirsVdir4I0T/nKf8AOHSNKttMi1CC5htIxFFLc26SS8FF&#xA;FDPsWoNqnfxxV9Mf843fnBrf5j+XtSbXIYk1TSZ445Li3UokscysyEoSeLDgwNNumKvX8VePf85J&#xA;fnDrv5ceX9LbQoIX1LVp5I1uLhS6RRwKrOQgK1di60rt12xV80a7/wA5S/m/rGkXWlzahb28F5G0&#xA;M0ltbpHL6bijBX+LjUbVG/hir74xV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2K&#xA;uxV8lfkx+Uv5Sa1+Wlxd6peLeXGprGupX/NIZbF0kST6vGZAwiPNQGcirg7fCcVZD/zhpbW1sfPd&#xA;tauZLaC/t44HJDFo09dVNRQGoHbFX0pir5q/5zNt7e5/wLb3LcLea+uI5nqFojegGNTsNjiqTfnD&#xA;+T/5PaP+U8OoWF/Hp+oaajrpV7zWaTUHaR5DbycKeqxZyA4HwAb/AAjFX1dirsVdirsVdirsVdir&#xA;sVdirsVdirsVdirsVdirsVdirsVdirsVdir8vLF9eSxu7exFx9Sv1WO8jiDmOUROJEDgCh4uoI8M&#xA;VfRv/OPmm+ffKv5QeePN1gq6dLEgvbFb+BnjuYtPhlkn+Csb7g8UcNTlXFV7f85FfnGvkL/GBuNH&#xA;+r8liFl9RufW9RpjHUn1eAi4rX1a0LfB9rFW/wDnI7SPPnmL8qfJPmbUE/SVwVa61L6hAVhthfQx&#xA;yRfADJJxAXizs1OVOlaYq+br+XX3sbS2vvrP1HT1eOyilDiOISyGVwgIoOTsSfHFX6h4q7FXYq7F&#xA;XYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYqhNI0nT9I0y10vToEtrGzjWG3gjAVVRBQA&#xA;AUGKq91bW91bS2tzGs1vOjRTROKq6OOLKw7gg0xV45H/AM4lflEmofWfT1BrblU6cbtvq5Tlz9I/&#xA;D6vDlvTnir2SCCG3gjggRYoYlCRRoAqqqiiqoHQAYqhtY0jTtZ0q70rUoFubC9iaC5gcAqyOKEb/&#xA;AIYqjMVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirs&#xA;VdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsV&#xA;dirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVd&#xA;irsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdi&#xA;rsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdir&#xA;sVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirs&#xA;VdirsVdirsVdirqitO/hirsVcSBudsVdirSujEhWBI60NaYq3irsVdirsVdirsVdirsVdirsVdir&#xA;sVdirsVdirsVdirsVdiq2WP1Inj5MnNSvNDRlqKVB33GKpL5U8sy6DbzwyahPf8ArSM49diQlXZv&#xA;hBrQtyq57nfFVlj5WmtvM9zrbalczJPHwWzd6otWZuO/7CcvgHY1xVT82eU5tdmtJI7sWwtg/JeD&#xA;MJQzI3pycXSsZ4bjFU21vTm1LSLuwWT0muomiEtK8eQpWm3TFVawtTaWUFqZpLgwoqGeU8pH4inJ&#xA;j3JxVJvKflmfQ2vDJOswuW5LxBFP3ssm9f8AjLirIMVdirsVdirsVdirsVdirsVdirsVdirsVdir&#xA;sVdirsVdirsVU7o3ItpTaqj3QRjAkrFIzJT4Q7KHIWvUhT8sVYV+VN9+a93pt8fzEsbOyu0uHFj9&#xA;UapaMyyVDgM6hUHERnqVoWq1TiqjomofnBJ+Z+p2urafYxeREgrYXUTn1i3qSek37RaRlH71DRVH&#xA;EjeoZVT/ADT1L807S90lPI9s1xayrINZcQwStDF6sIEsHrSwhp1Vn4Rn4WFSegxVlPnWbzFD5S1e&#xA;Xy2nq6+lrI2lx0VuVwF/dij/AA7t44qi9Bk1qTRbF9cihg1hoUOoRWrM8KzcfjEbMAeNf9s9cVYT&#xA;+UepfmTevrI86JOoikA0717eO3BT61dL8PppHy/crD1r2Pc4q9FxV2KuxV2KuxV2KuxV2KuxV2Ku&#xA;xV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2Kux&#xA;V2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxVQmmkDiOIcpCK09vE4qome+hHO&#xA;ZF9MHcqa0riqMRgyhh3xVvFXYq7FXYq7FXYq7FVOW5ghkhjkfi9w5ihG/wAThGkI/wCAjY4q3PPF&#xA;bwSTzNwiiUvI57KoqTt7YqlekebvLusXLWum3q3M6IZGRVcUQEKT8SgdWGKppJNDEAZHVAenIgV+&#xA;/FWzJGE9QsAlK86ilPGuKujlikFY3VwNiVIIr9GKtLPA7lFkVnFaqCCduu2KpTqnnLyxpdwba/1G&#xA;KG4H2ovidl7/ABBA1PpxVB/8rJ8kf9XWP/gJf+aMVTzTtSsNStVurC4S5t2NBJGaio6g+B9sVS1f&#xA;OnlhtT/Ra36m/wDVNv6HF6+qG4la8adffFU7xV2KuxV2KuxVBqf9ytP+KW/4kuKrtV/4583yH6xi&#xA;qpZ/7zp8hiqtirsVdirsVdirsVdiqFvbH6zc2E3Ph9SnafjSvPlBLDxrUU/vq19sVUfMP/HA1P8A&#xA;5hJ/+TbYq8l/JT/lKrr/AJgZP+T0WKvSPM/6aEMg0uWK3vXdfSmncRp6QQ1XkUk35e21a+2Kooi4&#xA;FoZEAjFWMRk+JFkMYCu1APh9Tlv71xVC6IuuNYn9IzQ3V6FkEklqCE4FgY4+RJ5OFrvXb8SqttDr&#xA;bapcrNc2sun8h+joIUYTxkFd5KmihRy5Cn9MVeIaDpF75m8wpZ+uFuLx3kmuJKt0Bd2I7nFWXa/+&#xA;TtzpmkXWoQamt0bWNpXhaH0qogqxDc33A36Yqj/yMkflrMfI8ALdgvap9QVxVjdt/wCTYP8A22ZP&#xA;+ohsVe9Yq7FVK5mEMTOe2KqKQ3MirJ63HkAeIWvXfrXFVS3lYu8TmrxkAn5iuKqKf8dX/ni3/Elx&#xA;Vdqv/HPm+Q/WMVVbP/eZPkMVVsVdirsVdirsVdirsVQ9zexW81pC4YteSmCIrSgYRSTVapG3GI/T&#xA;iqH8w/8AHA1P/mEn/wCTbYq8l/JT/lKrr/mBk/5PRYq9G83+ZH0Wyku/q0t2ElWJbWBpFkasZcuP&#xA;TSRiB37AVPtlmLHxmrphOXCLR0uozLZSSAPP6aPIFg+KSULEsojj2WrNyoNq5ADemV7IHy55jn1P&#xA;ShffU7mxEglCw3gIb92VHqry+P0zy/a8NttzPJDhNXaISsWssfMc82vX2miwvofqFC19Op+rzfEg&#xA;4KT8PJ/UqnHw+jDLHUQbG6BOzVPGPJ2uxeX/ADJb6hcxNJFDzSaNaBwHUoaVpuK9MqZvQPM35t6B&#xA;eaDfWVjDcPcXcLwL6iKiKJFKsxIZjsDttiqF/Iz+91n/AFbf9cmKsdtv/JsH/tsyf9RDYq96xV2K&#xA;oe+hMtuyDqRiqHi1KKONIpI5A6gKaCo2264qq2il5pZypUSEEA9aAU/hiq1P+Or/AM8W/wCJLiq7&#xA;Vf8AjnzfIfrGKqtn/vMnyGKq2KuxV2KuxV2KuxV2Koe5soria0mcsGs5TPEFpQsYpIaNUHbjKfpx&#xA;VdeWsd3Zz2khIjuI3icrQMFdSppWu++Ksd8r/l5ovlvUJL6xmuZJZIjAyztGy8WZWqOKIa1Qd8VZ&#xA;HJbRSPzNVelOSMVJHgeJFcVd9Vg9IRcfgB5ChIIbx5V5V964q2ltChY0LFxRi5Lkjw+Inb2xVYll&#xA;AjKRyITdFZ2ZR8gSRirFta/Kvytqt9JeuJ7WaYl5hbOqqzHqxV1cAn2xVL/+VKeVf+Wq+/5GQ/8A&#xA;VLFWV+XvLOkeX7RrbTYiiueUsjHlI5HQs3t2HTFUmT8s9Bj8wnXhcXX1v6y15wLx+n6jOXIp6fLj&#xA;U/zYqyWXUbWI0dwDiqn+l7L/AH4MVd+l7L/fgxVb+k9PrXmMVXDVrEdHGKoe0vbe41grGwYiBj9H&#xA;NcVROsMF02dj0AH6xiqrYsGtYyOlBiqvirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdiqnc&#xA;kiFyOtDiqG0tFayR3UF3LFiRufiIxVF+lF/Iv3DFXelF/Iv3DFXelF/Iv3DFXelF/Iv3DFUPLA0c&#xA;3rwopfiVI6VBNeo+WKqMxuruMwPCscbbOeXKo8OgxVU0tStvw7KSo+QNMVRmKuxV2KuxV2KuxV2K&#xA;uxV2KuxV2KuxV2KuxV2KuxV2KtMoZSD0OKoJrGdaiCZo1JrxFCPxxVr6nf8A/LW/3D+mKu+p3/8A&#xA;y1v9w/pirvqd/wD8tb/cP6Yq76nf/wDLW/3D+mKu+p3/APy1v9w/piraWl6D8VyxHyAxVFwxLEgU&#xA;dsVf/9k=</xmpGImg:image>
+ </rdf:li>
+ </rdf:Alt>
+ </xmp:Thumbnails>
+ </rdf:Description>
+ <rdf:Description rdf:about=""
+ xmlns:xmpMM="http://ns.adobe.com/xap/1.0/mm/"
+ xmlns:stEvt="http://ns.adobe.com/xap/1.0/sType/ResourceEvent#"
+ xmlns:stRef="http://ns.adobe.com/xap/1.0/sType/ResourceRef#">
+ <xmpMM:RenditionClass>default</xmpMM:RenditionClass>
+ <xmpMM:OriginalDocumentID>uuid:65E6390686CF11DBA6E2D887CEACB407</xmpMM:OriginalDocumentID>
+ <xmpMM:DocumentID>xmp.did:8BC7D877974ADE11BCECCFF09938C3CC</xmpMM:DocumentID>
+ <xmpMM:InstanceID>uuid:30267148-672c-4d34-8534-e8cce420f815</xmpMM:InstanceID>
+ <xmpMM:History>
+ <rdf:Seq>
+ <rdf:li rdf:parseType="Resource">
+ <stEvt:action>converted</stEvt:action>
+ <stEvt:params>from application/pdf to &lt;unknown&gt;</stEvt:params>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <stEvt:action>saved</stEvt:action>
+ <stEvt:instanceID>xmp.iid:D47F11740720681191099C3B601C4548</stEvt:instanceID>
+ <stEvt:when>2008-04-17T14:19:21+05:30</stEvt:when>
+ <stEvt:softwareAgent>Adobe Illustrator CS4</stEvt:softwareAgent>
+ <stEvt:changed>
+ <rdf:Bag>
+ <rdf:li>/</rdf:li>
+ </rdf:Bag>
+ </stEvt:changed>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <stEvt:action>converted</stEvt:action>
+ <stEvt:params>from application/pdf to &lt;unknown&gt;</stEvt:params>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <stEvt:action>converted</stEvt:action>
+ <stEvt:params>from application/pdf to &lt;unknown&gt;</stEvt:params>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <stEvt:action>saved</stEvt:action>
+ <stEvt:instanceID>xmp.iid:FD7F11740720681197C1BF14D1759E83</stEvt:instanceID>
+ <stEvt:when>2008-05-16T17:01:20-07:00</stEvt:when>
+ <stEvt:softwareAgent>Adobe Illustrator CS4</stEvt:softwareAgent>
+ <stEvt:changed>
+ <rdf:Bag>
+ <rdf:li>/</rdf:li>
+ </rdf:Bag>
+ </stEvt:changed>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <stEvt:action>saved</stEvt:action>
+ <stEvt:instanceID>xmp.iid:F77F117407206811BC18AC99CBA78E83</stEvt:instanceID>
+ <stEvt:when>2008-05-19T18:10:15-07:00</stEvt:when>
+ <stEvt:softwareAgent>Adobe Illustrator CS4</stEvt:softwareAgent>
+ <stEvt:changed>
+ <rdf:Bag>
+ <rdf:li>/</rdf:li>
+ </rdf:Bag>
+ </stEvt:changed>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <stEvt:action>converted</stEvt:action>
+ <stEvt:params>from application/vnd.adobe.illustrator to application/vnd.adobe.illustrator</stEvt:params>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <stEvt:action>saved</stEvt:action>
+ <stEvt:instanceID>xmp.iid:FB7F117407206811B628E3BF27C8C41B</stEvt:instanceID>
+ <stEvt:when>2008-05-22T14:26:44-07:00</stEvt:when>
+ <stEvt:softwareAgent>Adobe Illustrator CS4</stEvt:softwareAgent>
+ <stEvt:changed>
+ <rdf:Bag>
+ <rdf:li>/</rdf:li>
+ </rdf:Bag>
+ </stEvt:changed>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <stEvt:action>converted</stEvt:action>
+ <stEvt:params>from application/vnd.adobe.illustrator to application/vnd.adobe.illustrator</stEvt:params>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <stEvt:action>saved</stEvt:action>
+ <stEvt:instanceID>xmp.iid:08C3BD25102DDD1181B594070CEB88D9</stEvt:instanceID>
+ <stEvt:when>2008-05-28T16:51:46-07:00</stEvt:when>
+ <stEvt:softwareAgent>Adobe Illustrator CS4</stEvt:softwareAgent>
+ <stEvt:changed>
+ <rdf:Bag>
+ <rdf:li>/</rdf:li>
+ </rdf:Bag>
+ </stEvt:changed>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <stEvt:action>converted</stEvt:action>
+ <stEvt:params>from application/vnd.adobe.illustrator to application/vnd.adobe.illustrator</stEvt:params>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <stEvt:action>saved</stEvt:action>
+ <stEvt:instanceID>xmp.iid:F77F11740720681192B0DFFC927805D7</stEvt:instanceID>
+ <stEvt:when>2008-05-30T21:26:38-07:00</stEvt:when>
+ <stEvt:softwareAgent>Adobe Illustrator CS4</stEvt:softwareAgent>
+ <stEvt:changed>
+ <rdf:Bag>
+ <rdf:li>/</rdf:li>
+ </rdf:Bag>
+ </stEvt:changed>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <stEvt:action>converted</stEvt:action>
+ <stEvt:params>from application/vnd.adobe.illustrator to application/vnd.adobe.illustrator</stEvt:params>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <stEvt:action>saved</stEvt:action>
+ <stEvt:instanceID>xmp.iid:F87F11740720681192B0DFFC927805D7</stEvt:instanceID>
+ <stEvt:when>2008-05-30T21:27-07:00</stEvt:when>
+ <stEvt:softwareAgent>Adobe Illustrator CS4</stEvt:softwareAgent>
+ <stEvt:changed>
+ <rdf:Bag>
+ <rdf:li>/</rdf:li>
+ </rdf:Bag>
+ </stEvt:changed>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <stEvt:action>converted</stEvt:action>
+ <stEvt:params>from application/vnd.adobe.illustrator to application/vnd.adobe.illustrator</stEvt:params>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <stEvt:action>saved</stEvt:action>
+ <stEvt:instanceID>xmp.iid:F97F1174072068119098B097FDA39BEF</stEvt:instanceID>
+ <stEvt:when>2008-06-02T13:26:10-07:00</stEvt:when>
+ <stEvt:softwareAgent>Adobe Illustrator CS4</stEvt:softwareAgent>
+ <stEvt:changed>
+ <rdf:Bag>
+ <rdf:li>/</rdf:li>
+ </rdf:Bag>
+ </stEvt:changed>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <stEvt:action>saved</stEvt:action>
+ <stEvt:instanceID>xmp.iid:8BC7D877974ADE11BCECCFF09938C3CC</stEvt:instanceID>
+ <stEvt:when>2009-05-27T04:22:07-04:00</stEvt:when>
+ <stEvt:softwareAgent>Adobe Illustrator CS4</stEvt:softwareAgent>
+ <stEvt:changed>/</stEvt:changed>
+ </rdf:li>
+ </rdf:Seq>
+ </xmpMM:History>
+ <xmpMM:DerivedFrom rdf:parseType="Resource">
+ <stRef:instanceID>uuid:32300939-b1c4-8440-b812-b255b7b0d326</stRef:instanceID>
+ <stRef:documentID>xmp.did:F97F1174072068119098B097FDA39BEF</stRef:documentID>
+ <stRef:originalDocumentID>uuid:65E6390686CF11DBA6E2D887CEACB407</stRef:originalDocumentID>
+ <stRef:renditionClass>proof:pdf</stRef:renditionClass>
+ </xmpMM:DerivedFrom>
+ </rdf:Description>
+ <rdf:Description rdf:about=""
+ xmlns:illustrator="http://ns.adobe.com/illustrator/1.0/">
+ <illustrator:StartupProfile>Web</illustrator:StartupProfile>
+ <illustrator:Type>Document</illustrator:Type>
+ </rdf:Description>
+ <rdf:Description rdf:about=""
+ xmlns:xmpTPg="http://ns.adobe.com/xap/1.0/t/pg/"
+ xmlns:stDim="http://ns.adobe.com/xap/1.0/sType/Dimensions#"
+ xmlns:xmpG="http://ns.adobe.com/xap/1.0/g/">
+ <xmpTPg:NPages>1</xmpTPg:NPages>
+ <xmpTPg:HasVisibleTransparency>True</xmpTPg:HasVisibleTransparency>
+ <xmpTPg:HasVisibleOverprint>False</xmpTPg:HasVisibleOverprint>
+ <xmpTPg:MaxPageSize rdf:parseType="Resource">
+ <stDim:w>1024.000000</stDim:w>
+ <stDim:h>768.000000</stDim:h>
+ <stDim:unit>Pixels</stDim:unit>
+ </xmpTPg:MaxPageSize>
+ <xmpTPg:PlateNames>
+ <rdf:Seq>
+ <rdf:li>Cyan</rdf:li>
+ <rdf:li>Magenta</rdf:li>
+ <rdf:li>Yellow</rdf:li>
+ <rdf:li>Black</rdf:li>
+ </rdf:Seq>
+ </xmpTPg:PlateNames>
+ <xmpTPg:SwatchGroups>
+ <rdf:Seq>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:groupName>Default Swatch Group</xmpG:groupName>
+ <xmpG:groupType>0</xmpG:groupType>
+ <xmpG:Colorants>
+ <rdf:Seq>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>White</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>255</xmpG:red>
+ <xmpG:green>255</xmpG:green>
+ <xmpG:blue>255</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>Black</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>0</xmpG:red>
+ <xmpG:green>0</xmpG:green>
+ <xmpG:blue>0</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>RGB Red</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>255</xmpG:red>
+ <xmpG:green>0</xmpG:green>
+ <xmpG:blue>0</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>RGB Yellow</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>255</xmpG:red>
+ <xmpG:green>255</xmpG:green>
+ <xmpG:blue>0</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>RGB Green</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>0</xmpG:red>
+ <xmpG:green>255</xmpG:green>
+ <xmpG:blue>0</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>RGB Cyan</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>0</xmpG:red>
+ <xmpG:green>255</xmpG:green>
+ <xmpG:blue>255</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>RGB Blue</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>0</xmpG:red>
+ <xmpG:green>0</xmpG:green>
+ <xmpG:blue>255</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>RGB Magenta</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>255</xmpG:red>
+ <xmpG:green>0</xmpG:green>
+ <xmpG:blue>255</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=193 G=39 B=45</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>193</xmpG:red>
+ <xmpG:green>39</xmpG:green>
+ <xmpG:blue>45</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=237 G=28 B=36</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>237</xmpG:red>
+ <xmpG:green>28</xmpG:green>
+ <xmpG:blue>36</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=241 G=90 B=36</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>241</xmpG:red>
+ <xmpG:green>90</xmpG:green>
+ <xmpG:blue>36</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=247 G=147 B=30</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>247</xmpG:red>
+ <xmpG:green>147</xmpG:green>
+ <xmpG:blue>30</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=251 G=176 B=59</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>251</xmpG:red>
+ <xmpG:green>176</xmpG:green>
+ <xmpG:blue>59</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=252 G=238 B=33</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>252</xmpG:red>
+ <xmpG:green>238</xmpG:green>
+ <xmpG:blue>33</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=217 G=224 B=33</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>217</xmpG:red>
+ <xmpG:green>224</xmpG:green>
+ <xmpG:blue>33</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=140 G=198 B=63</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>140</xmpG:red>
+ <xmpG:green>198</xmpG:green>
+ <xmpG:blue>63</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=57 G=181 B=74</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>57</xmpG:red>
+ <xmpG:green>181</xmpG:green>
+ <xmpG:blue>74</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=0 G=146 B=69</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>0</xmpG:red>
+ <xmpG:green>146</xmpG:green>
+ <xmpG:blue>69</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=0 G=104 B=55</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>0</xmpG:red>
+ <xmpG:green>104</xmpG:green>
+ <xmpG:blue>55</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=34 G=181 B=115</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>34</xmpG:red>
+ <xmpG:green>181</xmpG:green>
+ <xmpG:blue>115</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=0 G=169 B=157</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>0</xmpG:red>
+ <xmpG:green>169</xmpG:green>
+ <xmpG:blue>157</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=41 G=171 B=226</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>41</xmpG:red>
+ <xmpG:green>171</xmpG:green>
+ <xmpG:blue>226</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=0 G=113 B=188</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>0</xmpG:red>
+ <xmpG:green>113</xmpG:green>
+ <xmpG:blue>188</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=46 G=49 B=146</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>46</xmpG:red>
+ <xmpG:green>49</xmpG:green>
+ <xmpG:blue>146</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=27 G=20 B=100</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>27</xmpG:red>
+ <xmpG:green>20</xmpG:green>
+ <xmpG:blue>100</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=102 G=45 B=145</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>102</xmpG:red>
+ <xmpG:green>45</xmpG:green>
+ <xmpG:blue>145</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=147 G=39 B=143</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>147</xmpG:red>
+ <xmpG:green>39</xmpG:green>
+ <xmpG:blue>143</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=158 G=0 B=93</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>158</xmpG:red>
+ <xmpG:green>0</xmpG:green>
+ <xmpG:blue>93</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=212 G=20 B=90</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>212</xmpG:red>
+ <xmpG:green>20</xmpG:green>
+ <xmpG:blue>90</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=237 G=30 B=121</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>237</xmpG:red>
+ <xmpG:green>30</xmpG:green>
+ <xmpG:blue>121</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=199 G=178 B=153</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>199</xmpG:red>
+ <xmpG:green>178</xmpG:green>
+ <xmpG:blue>153</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=153 G=134 B=117</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>153</xmpG:red>
+ <xmpG:green>134</xmpG:green>
+ <xmpG:blue>117</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=115 G=99 B=87</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>115</xmpG:red>
+ <xmpG:green>99</xmpG:green>
+ <xmpG:blue>87</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=83 G=71 B=65</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>83</xmpG:red>
+ <xmpG:green>71</xmpG:green>
+ <xmpG:blue>65</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=198 G=156 B=109</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>198</xmpG:red>
+ <xmpG:green>156</xmpG:green>
+ <xmpG:blue>109</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=166 G=124 B=82</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>166</xmpG:red>
+ <xmpG:green>124</xmpG:green>
+ <xmpG:blue>82</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=140 G=98 B=57</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>140</xmpG:red>
+ <xmpG:green>98</xmpG:green>
+ <xmpG:blue>57</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=117 G=76 B=36</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>117</xmpG:red>
+ <xmpG:green>76</xmpG:green>
+ <xmpG:blue>36</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=96 G=56 B=19</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>96</xmpG:red>
+ <xmpG:green>56</xmpG:green>
+ <xmpG:blue>19</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=66 G=33 B=11</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>66</xmpG:red>
+ <xmpG:green>33</xmpG:green>
+ <xmpG:blue>11</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=0 G=0 B=0</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>0</xmpG:red>
+ <xmpG:green>0</xmpG:green>
+ <xmpG:blue>0</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=26 G=26 B=26</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>26</xmpG:red>
+ <xmpG:green>26</xmpG:green>
+ <xmpG:blue>26</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=51 G=51 B=51</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>51</xmpG:red>
+ <xmpG:green>51</xmpG:green>
+ <xmpG:blue>51</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=77 G=77 B=77</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>77</xmpG:red>
+ <xmpG:green>77</xmpG:green>
+ <xmpG:blue>77</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=102 G=102 B=102</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>102</xmpG:red>
+ <xmpG:green>102</xmpG:green>
+ <xmpG:blue>102</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=128 G=128 B=128</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>128</xmpG:red>
+ <xmpG:green>128</xmpG:green>
+ <xmpG:blue>128</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=153 G=153 B=153</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>153</xmpG:red>
+ <xmpG:green>153</xmpG:green>
+ <xmpG:blue>153</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=179 G=179 B=179</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>179</xmpG:red>
+ <xmpG:green>179</xmpG:green>
+ <xmpG:blue>179</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=204 G=204 B=204</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>204</xmpG:red>
+ <xmpG:green>204</xmpG:green>
+ <xmpG:blue>204</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=230 G=230 B=230</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>230</xmpG:red>
+ <xmpG:green>230</xmpG:green>
+ <xmpG:blue>230</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=242 G=242 B=242</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>242</xmpG:red>
+ <xmpG:green>242</xmpG:green>
+ <xmpG:blue>242</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=63 G=169 B=245</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>63</xmpG:red>
+ <xmpG:green>169</xmpG:green>
+ <xmpG:blue>245</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=122 G=201 B=67</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>122</xmpG:red>
+ <xmpG:green>201</xmpG:green>
+ <xmpG:blue>67</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=255 G=147 B=30</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>255</xmpG:red>
+ <xmpG:green>147</xmpG:green>
+ <xmpG:blue>30</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=255 G=29 B=37</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>255</xmpG:red>
+ <xmpG:green>29</xmpG:green>
+ <xmpG:blue>37</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=255 G=123 B=172</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>255</xmpG:red>
+ <xmpG:green>123</xmpG:green>
+ <xmpG:blue>172</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=189 G=204 B=212</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>189</xmpG:red>
+ <xmpG:green>204</xmpG:green>
+ <xmpG:blue>212</xmpG:blue>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>R=138 G=138 B=138 1</xmpG:swatchName>
+ <xmpG:mode>RGB</xmpG:mode>
+ <xmpG:type>PROCESS</xmpG:type>
+ <xmpG:red>138</xmpG:red>
+ <xmpG:green>138</xmpG:green>
+ <xmpG:blue>138</xmpG:blue>
+ </rdf:li>
+ </rdf:Seq>
+ </xmpG:Colorants>
+ </rdf:li>
+ </rdf:Seq>
+ </xmpTPg:SwatchGroups>
+ </rdf:Description>
+ <rdf:Description rdf:about=""
+ xmlns:pdf="http://ns.adobe.com/pdf/1.3/">
+ <pdf:Producer>Adobe PDF library 9.00</pdf:Producer>
+ </rdf:Description>
+ </rdf:RDF>
+</x:xmpmeta>
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+<?xpacket end="w"?>
+endstream endobj 3 0 obj <</Count 1/Kids[155 0 R]/Type/Pages>> endobj 155 0 obj <</ArtBox[0.0 73.0 508.0 701.0]/BleedBox[0.0 0.0 1024.0 768.0]/Contents 156 0 R/Group 157 0 R/LastModified(D:20090730214335-05'00')/MediaBox[0.0 0.0 1024.0 768.0]/Parent 3 0 R/PieceInfo<</Illustrator 158 0 R>>/Resources<</ColorSpace<</DefaultRGB 159 0 R>>/XObject<</Fm0 160 0 R>>>>/Thumb 161 0 R/TrimBox[0.0 0.0 1024.0 768.0]/Type/Page>> endobj 156 0 obj <</Filter/FlateDecode/Length 130>>stream
+H墝-0 哸頢蹯' 熎媣┌澓麅,$掯<=伇FC醔杠锓8A惎-傗F舺(V(蘚E癯勉3>堪吸濞IGIykF降軸><H傦ぉ7)d5?鶯3燊`
+endstream endobj 157 0 obj <</CS 162 0 R/I false/K false/S/Transparency>> endobj 161 0 obj <</BitsPerComponent 8/ColorSpace 163 0 R/Filter[/ASCII85Decode/FlateDecode]/Height 79/Length 284/Width 106>>stream
+8;Z\ui<k5l%"j!.(Yn<rGVB89DS9W38A;imV+n")c,d,Cr$jiKcnTe_@#q%%4(.C8
+HN;BSQ0K3*YFS=EP`>nRqnec:hag&4%a](-!f93L:A4D\h\gZ/n@lX;HcTPGfEHCj
+Q%6lr1C^ia9#<W0(GV,aU!d`IC%Y0XNP6><b7'6om5]6K!.0N/D61*)F/P7m\Pl,G
+gpGL0adN#/Z594=1XIk%(grE!EB@RojEgmQOd52KE0$6o\NS1_@3+<#Mt:Yj;B;Fb
+IK^@:(iq@&!<@UeLT(~>
+endstream endobj 163 0 obj [/Indexed/DeviceRGB 255 164 0 R] endobj 164 0 obj <</Filter[/ASCII85Decode/FlateDecode]/Length 428>>stream
+8;X]O>EqN@%''O_@%e@?J;%+8(9e>X=MR6S?i^YgA3=].HDXF.R$lIL@"pJ+EP(%0
+b]6ajmNZn*!='OQZeQ^Y*,=]?C.B+\Ulg9dhD*"iC[;*=3`oP1[!S^)?1)IZ4dup`
+E1r!/,*0[*9.aFIR2&b-C#s<Xl5FH@[<=!#6V)uDBXnIr.F>oRZ7Dl%MLY\.?d>Mn
+6%Q2oYfNRF$$+ON<+]RUJmC0I<jlL.oXisZ;SYU[/7#<&37rclQKqeJe#,UF7Rgb1
+VNWFKf>nDZ4OTs0S!saG>GGKUlQ*Q?45:CI&4J'_2j<etJICj7e7nPMb=O6S7UOH<
+PO7r\I.Hu&e0d&E<.')fERr/l+*W,)q^D*ai5<uuLX.7g/>$XKrcYp0n+Xl_nU*O(
+l[$6Nn+Z_Nq0]s7hs]`XX1nZ8&94a\~>
+endstream endobj 160 0 obj <</BBox[-103.108 71.3223 103.108 -71.3213]/Length 854/Matrix[1.0 0.0 0.0 1.0 0.0 0.0]/Resources<</ColorSpace<</CS0 165 0 R>>/ExtGState<</GS0 166 0 R>>/Font<</T1_0 154 0 R>>/ProcSet[/PDF/Text]>>/Subtype/Form>>stream
+BT
+/CS0 cs 0 0 0 scn
+/GS0 gs
+/T1_0 1 Tf
+0 Tc 0 Tw 0 Ts 100 Tz 0 Tr 12 0 0 -12 -103.0967 -61.2773 Tm
+[(T)7(his is an A)12(dobe\256 I)-10(llustr)5(a)4(t)6(or\256 F)26(ile tha)4(t w)4(as)]TJ
+0 -1.2 TD
+[(sa)8(v)10(ed without PDF C)11(on)4(t)6(en)4(t)3(.)]TJ
+0 -1.2 TD
+[(T)71(o P)5(lac)6(e or open this \037le in other)]TJ
+0 -1.2 TD
+[(applica)4(tions)11(, it should be r)10(e)-28(-sa)8(v)10(ed fr)10(om)]TJ
+0 -1.2 TD
+[(A)12(dobe I)-10(llustr)5(a)4(t)6(or with the ")3(C)3(r)10(ea)4(t)6(e PDF)]TJ
+0 -1.2 TD
+[(C)11(ompa)4(tible F)26(ile" option tur)-4(ned on. )41(T)7(his)]TJ
+T*
+[(option is in the I)-10(llustr)5(a)4(t)6(or Na)4(tiv)10(e F)31(or)-4(ma)4(t)]TJ
+0 -1.2 TD
+[(Options dialog bo)14(x, which appears when)]TJ
+0 -1.2 TD
+[(sa)8(ving an A)12(dobe I)-10(llustr)5(a)4(t)6(or \037le using the)]TJ
+0 -1.2 TD
+[(S)-3(a)8(v)10(e A)6(s c)6(ommand)10(.)]TJ
+ET
+
+endstream endobj 154 0 obj <</BaseFont/OUPLQW+MyriadPro-Regular/Encoding 167 0 R/FirstChar 31/FontDescriptor 168 0 R/LastChar 174/Subtype/Type1/Type/Font/Widths[523 212 0 337 0 0 0 0 0 0 0 0 0 207 307 207 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 612 0 580 666 0 487 0 0 239 0 0 0 0 658 689 532 0 0 493 497 0 0 0 0 0 0 0 0 0 0 0 0 482 569 448 564 501 292 559 555 234 0 0 236 834 555 549 569 0 327 396 331 551 481 736 463 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 419]>> endobj 167 0 obj <</BaseEncoding/WinAnsiEncoding/Differences[31/f_i]/Type/Encoding>> endobj 168 0 obj <</Ascent 952/CapHeight 674/CharSet(/f_i/space/quotedbl/comma/hyphen/period/A/C/D/F/I/N/O/P/S/T/a/b/c/d/e/f/g/h/i/l/m/n/o/p/r/s/t/u/v/w/x/registered)/Descent -250/Flags 32/FontBBox[-157 -250 1126 952]/FontFamily(Myriad Pro)/FontFile3 169 0 R/FontName/OUPLQW+MyriadPro-Regular/FontStretch/Normal/FontWeight 400/ItalicAngle 0/StemV 88/Type/FontDescriptor/XHeight 484>> endobj 169 0 obj <</Filter/FlateDecode/Length 3130/Subtype/Type1C>>stream
+H墊TyPw頵fz $艺D#hD擺-o!b(/a笣@e0 
+D%"g擟 笶d=
+1芃軁?琹[[暱丢膈机{飣針胵|帡煼荳䦆<︰
+ou遭硎鄻餈1萺熱躭!鼯
+伲镞{堗弿瓜g祙6c槓O旍攉Z蚦噃e鏊;ビ磘禃9,]簍Z:芍(2烠2B#)廟GG礘厺lMx竘B#S+5Ju慎戍,钀滔螄黟賾|:'g'[鏴vv/SidJ6D〇
+鍉実0喭4连0 沉/1l 鎰c瞀槯!癛 ?乤6F=1o,饲拾椼 d璉旧刞矦! ! :A惸N"8+洑泎橿00s62觯VsQjz骱 珏M倀!:=旿@jP*鳹$C(0S9)b洗o\3e詯褣: Z另勩at豀熰驿&
+ 5X褒qWA羍1卒>0螜<趣R阯叙闊濎莼狭D
+x让m窓o嘖h搅#v軆:y<粸忕秩1岴[l!/UXFV #夌莔
+骙蒃~郝>竓 捛,轻<憆$瓟”-(貉.剑m 韭T=9与}搹Y>s1珰炶躦縯U&箐AV挙鋘 勂Y 翤讛Q毷.鉴笸鲔埁c*.wH疻軂賌餳1C謼瘥.屲Y皯F:筜-铢
+L潇 U鍉O镕]^>g/(Irq,鼹> 豨i郶C旋k 悙年築c!fvYT p攍潇5-Z巴Z螠/o瓤N可萻WH撃+c轛d&]叽岱捩蜿餀,侺荣&!R属譲. 嬴MZRjt$H緯瞢,m鹇_醅錇甇斯魾x溑8T>#aE&煊鐲!俵<9"$<猌{h览d 謤惦飄徥/溦肙N镍;u:骉Vs垫gz贄H喾趎M{<洑洊'書蜊曲痍g捂 &~M/Z 逻<翇睤]1{甗敮贊颫#圌G 褌`輚0<+i柞+l38斡c3@礁:&燽5嵦" 偌X
+衖{~)$!x63狷'(&}篃榆hg1虆H 浥临_歳 L 胕 湋? 倩bd[澼!犿脘著eh1禋碓旪亦缴hh歓S5櫅_淽甇鳂"5鋲81讀楋酶鸔辭夈誩b翂归Ci鏷IW壽+簒骒;迳6猚s-r愸籿e祧}䦅,*LTa伞B稴N 怷扷皼偙 s竱伣Ilg軫.>迼譢鋺S:.▅do氛辎uAL嗜e [`l怕h距'M8矑7掳2岥賀VA
+endstream endobj 166 0 obj <</AIS false/BM/Normal/CA 1.0/OP false/OPM 1/SA true/SMask/None/Type/ExtGState/ca 1.0/op false>> endobj 165 0 obj [/ICCBased 170 0 R] endobj 170 0 obj <</Filter/FlateDecode/Length 2574/N 3>>stream
+H墱杫TSwo蔀悤懊c [5laQIBHADED劒2謒tFOE.甤謢暌0觇8讕8G漀gτ秣9鱳镲葸谨濗
+ 
+峍伋)g滲0駃淲8#8w诈曱8_刨ナ≦泓玅蔶@&籄)/琴g>'K傮
+x兑-
+陣啞衝梓蠶t}MA狅牀0觓l涣景巵Sx 瑐k&^粒>0|>_'釃,翯!"F$H:R垟!z镕慟d?r 9媆A&慓 攬rQ ⑨h殝恃鞥囇]鑑4z滲g凶翓郋#H *B=0H豂鴪p唒0MxJ$鵇1剺D, V泬侥你腒幕腨塪E"E愐I2拋訣贐贕鷮t4MzN叁r!YK 掲?%_&#竣(當0J:EAiQ(()訑WT6U@崰鍼+!陗阭嶆D 以村!陲h熡/']B/殡覐涌?a0n宧F!美X秦8砒汃軐k鎐&5S樀檷6籰鰳Ia2c楰橫藺!鍱#呭茠癲琕(k柾e嬝閘 粭絿}巬烠飧q9
+N')蝅.聈鍶竢
+ w欸 xR^瘒鱗o茰ch瀏轥>b䦃$峄癀*~ :滶寘襜嵟~嬎,m,-晼輺,痀敬卢猸*6X峓荼F=3Y煴~d贸 窇踭垂i 踷趂6踾`{羦治.袾g放顢#{緘磢咠j囅䦅檆1X6劃苀m崕;'_9 渞:8輖:嫕藴淥:细8袱勾胳u归Jq粬籲v=膪蘉鄸锒蕀茼纠R 4
+n3埽躪蹽莜z=[=爵=<=G</z羄羄j^椉 蕖轟颭B0FX'+滘猁t鴮<鰑-糨鄘主礯恄曔樳-G,}顼/窨Hh 8餸燱2p[酂兏AiA珎N#8$X?鳤圞HI葅!7<q喐W鼀(!46-翥a羇啺僡哤嗭 靠@癅筦l凛Y膸埳H,$螨壬((Y詇7盐褗铦痒b<b*b雠<庻嬚莮鸏&Y&9嚹%苪荕膕鈙銍憧NpJP%霱業 JlN<濪HJI趷tCj'昁wKg扖挆%烴¨d 撽櫔O=%L唤衭醲:H棪oL繐!权渗C&13#s$/Y柆迟莒忪=貽sbs鷕n搴sO1髪騰=藦孙蠠\浠h佗陚#叅录聺叧嬨oZ<]T訳t}塦I脪sK瓧V-榊,+>TB(/賁騼,]6*-晼網:#椚7*e框^YDY賫U刯jAyT鵣#礑="゜{懦属+:!kJ4Gmヶt祡uC%潡甂7YV畅fF煝遈 .=b噌?S岊茣譬喝簯虹鮵鯂 趩 崬峩5%4m7焞qlio橺砽G+訸趜餐弓砿zy怛]碓鍪?u鴘魒|"疟N晃鍧wW&踖芝锖*|睁砧j蹶5k冬y莪棹⑶痝扮嘵ykEk囍甽軩_p叨跄踮踝7Dm卣项o昕1m汜l爗帑M艣 n週輑<9旡O
+緞縵旷纏漓羐零耞论肵迷腝奈臟湃艶泼茿强=燃:晒8史6硕5痰5偷6味7细9泻<丫?伊覦悠訧运誑昭諹重譢奏豥罔賚亳趘邴踿軍輺蔻)忒6嘟酓崽釹廑鉩汶鋝潼鍎 鏂绌2杓镕樾闧赍雙臌靻頊(畲顯锾餢疱駌驅螫4袈鮌蹀鰉鳆鲓8鶺鹷鼧)﨣m
+endstream endobj 159 0 obj [/ICCBased 171 0 R] endobj 171 0 obj <</Length 3144/N 3>>stream
+
+
+
+'
+=
+T
+j
+
+
+
+
+
+ " 9 Q i  * C \ u & @ Z t .Id %A^z &Ca~1Om&Ed#Cc'Ij4Vx&IlAe@e Ek*Qw;c*R{Gp@j>i  A l !!H!u!!!"'"U"""#
+#8#f###$$M$|$$% %8%h%%%&'&W&&&''I'z''( (?(q(())8)k))**5*h**++6+i++,,9,n,,- -A-v--..L.../$/Z///050l0񍯥1J1㯔2*2c2𲪁 3F33򌏨+4e4𼠫5M55򫩪76r6񮘯$7`7𷑸8P8899B999:6:t::;-;k;;<'<e<<="=a==> >`>>?!?a??釦#@d@鏏)AjA珹頑0BrB礏鰿:C}C繢DGD奃蜤EUE欵轋"FgF獸餑5G{G繦HKH慔譏IcI㊣餔7J}J腒 KSK欿釲*LrL篗MJM揗躈%NnN稯
+乲佂0倰傯僕兒剙勩匞叓唕喿;嚐坕埼3墮夻奷娛0嫋孅宑屖1崢巉幬6彏恘愔?懆抸掋揗摱 攰旚昣暽4枱
+梪椸楲樃$檺欬歨氄汢洴湁滣漝澮濦灝煁燏爄犡《&fで8ォо≧7┅獜玼琝璂-瘚
+緞縵旷纏漓羐零耞论肵迷腝奈臟湃艶泼茿强=燃:晒8史6硕5痰5偷6味7细9泻<丫?伊覦悠訧运誑昭諹重譢奏豥罔賚亳趘邴踿軍輺蔻)忒6嘟酓崽釹廑鉩汶鋝潼鍎 鏂绌2杓镕樾闧赍雙臌靻頊(畲顯锾餢疱駌驅螫4袈鮌蹀鰉鳆鲓8鶺鹷鼧)﨣m
+endstream endobj 158 0 obj <</LastModified(D:20090730214335-05'00')/Private 172 0 R>> endobj 172 0 obj <</AIMetaData 173 0 R/AIPrivateData1 174 0 R/AIPrivateData10 175 0 R/AIPrivateData11 176 0 R/AIPrivateData12 177 0 R/AIPrivateData13 178 0 R/AIPrivateData14 179 0 R/AIPrivateData15 180 0 R/AIPrivateData16 181 0 R/AIPrivateData2 182 0 R/AIPrivateData3 183 0 R/AIPrivateData4 184 0 R/AIPrivateData5 185 0 R/AIPrivateData6 186 0 R/AIPrivateData7 187 0 R/AIPrivateData8 188 0 R/AIPrivateData9 189 0 R/ContainerVersion 9/CreatorVersion 14/NumBlock 16/RoundtripVersion 11>> endobj 173 0 obj <</Length 894>>stream
+%!PS-Adobe-3.0
+%%Creator: Adobe Illustrator(R) 11.0
+%%AI8_CreatorVersion: 14.0.0
+%%For: (Administrator) ()
+%%Title: (colorbox.ai)
+%%CreationDate: 7/30/2009 9:43 PM
+%%Canvassize: 16383
+%%BoundingBox: 0 73 508 701
+%%HiResBoundingBox: 0 73 508 701
+%%DocumentProcessColors: Cyan Magenta Yellow Black
+%AI5_FileFormat 7.0
+%AI3_ColorUsage: Color
+%AI7_ImageSettings: 0
+%%RGBProcessColor: 0 0 0 ([Registration])
+%AI3_TemplateBox: 512.5 383.5 512.5 383.5
+%AI3_TileBox: 116 78 908 690
+%AI3_DocumentPreview: None
+%AI5_ArtSize: 14400 14400
+%AI5_RulerUnits: 6
+%AI9_ColorModel: 1
+%AI5_ArtFlags: 0 0 0 1 0 0 1 0 0
+%AI5_TargetResolution: 800
+%AI5_NumLayers: 1
+%AI9_OpenToView: 93.25 700 8 1780 1006 18 0 0 45 111 1 0 1 1 1 0 1
+%AI5_OpenViewLayers: 7
+%%PageOrigin:0 0
+%AI7_GridSettings: 100 4 100 4 1 0 0.8 0.8 0.8 0.9 0.9 0.9
+%AI9_Flatten: 1
+%AI12_CMSettings: 00.MS
+%%EndComments
+
+endstream endobj 174 0 obj <</Length 6746>>stream
+%%BoundingBox: 0 73 508 701
+%%HiResBoundingBox: 0 73 508 701
+%AI7_Thumbnail: 104 128 8
+%%BeginData: 6616 Hex Bytes
+%0000330000660000990000CC0033000033330033660033990033CC0033FF
+%0066000066330066660066990066CC0066FF009900009933009966009999
+%0099CC0099FF00CC0000CC3300CC6600CC9900CCCC00CCFF00FF3300FF66
+%00FF9900FFCC3300003300333300663300993300CC3300FF333300333333
+%3333663333993333CC3333FF3366003366333366663366993366CC3366FF
+%3399003399333399663399993399CC3399FF33CC0033CC3333CC6633CC99
+%33CCCC33CCFF33FF0033FF3333FF6633FF9933FFCC33FFFF660000660033
+%6600666600996600CC6600FF6633006633336633666633996633CC6633FF
+%6666006666336666666666996666CC6666FF669900669933669966669999
+%6699CC6699FF66CC0066CC3366CC6666CC9966CCCC66CCFF66FF0066FF33
+%66FF6666FF9966FFCC66FFFF9900009900339900669900999900CC9900FF
+%9933009933339933669933999933CC9933FF996600996633996666996699
+%9966CC9966FF9999009999339999669999999999CC9999FF99CC0099CC33
+%99CC6699CC9999CCCC99CCFF99FF0099FF3399FF6699FF9999FFCC99FFFF
+%CC0000CC0033CC0066CC0099CC00CCCC00FFCC3300CC3333CC3366CC3399
+%CC33CCCC33FFCC6600CC6633CC6666CC6699CC66CCCC66FFCC9900CC9933
+%CC9966CC9999CC99CCCC99FFCCCC00CCCC33CCCC66CCCC99CCCCCCCCCCFF
+%CCFF00CCFF33CCFF66CCFF99CCFFCCCCFFFFFF0033FF0066FF0099FF00CC
+%FF3300FF3333FF3366FF3399FF33CCFF33FFFF6600FF6633FF6666FF6699
+%FF66CCFF66FFFF9900FF9933FF9966FF9999FF99CCFF99FFFFCC00FFCC33
+%FFCC66FFCC99FFCCCCFFCCFFFFFF33FFFF66FFFF99FFFFCC110000001100
+%000011111111220000002200000022222222440000004400000044444444
+%550000005500000055555555770000007700000077777777880000008800
+%000088888888AA000000AA000000AAAAAAAABB000000BB000000BBBBBBBB
+%DD000000DD000000DDDDDDDDEE000000EE000000EEEEEEEE0000000000FF
+%00FF0000FFFFFF0000FF00FFFFFF00FFFFFF
+%524C45FDA0FFA8A8A8FFA8FFA8A87DA8A8FD5DFF5227FD06FF7D27A8FD5D
+%FF5252FD06FF52277DFD5DFF5252FD06FF7D27A8FD2AFF7D527D527D527D
+%527D52A8FD04FF7D7D527D527D527D527D7DFFFFFFA8FFA8FFA8FFA8FFA8
+%FFA8FFA8FFFFFFA8FFA8A8A8FF5252FD06FF5227A8FD2AFF522727522752
+%27522752A8FD04FF7D2752275227522752277DFFA852A8A8FF527DA8FF7D
+%52527DFFA852A8CAFFA8525252A85252FD06FF7D27A8FD2AFF5227A8A8FF
+%A8A8A85227A8FD04FF52277DFFA8FFA8FF7D277DA8F82727A85227F87DA8
+%A827F87DFFA82727A8A8A8275252FF5252FD06FF5227A8FD2AFF5252FD06
+%FF7D27A8FD04FF7D27A8FD06FF277DA87D52A8A8A85252A8FF7D52527DFF
+%FF277DA8FFA8525252FF7D52FD06FF7D27A8FD2AFF5252FD06FF7D27A8FD
+%04FF5227A8FD05FF7D277DFFA8A8A8FFA8A8A8FFA8A8A8FF7DFFA8A8A8FF
+%A8A87DA87DFF5252FD06FF5227A8FD2AFF5252FD06FF7D27A8FD04FF7D27
+%A8FD05FFA8277DFFFFA8FFA8FFA8FFA8FFA8FFFFFFA8FFFFFFA8FFA8FFA8
+%FFA85252FD06FF7D27A8FD2AFF5227FFFFFFA8FFFF7DF8A8FD04FF7D277D
+%FFFFFFA8FF7D277DFFA8A8A8FFA8A87DFFA8A87DA87DFFA8A8A8FFA8FF7D
+%7D7DFF5252A8FD05FF52277DFD2AFF527DA8FFA8FFA8FF7D27A8FD04FF7D
+%27A8FFFFA8FFFFA827A8A87D52A8CAA8527DA8FFA87D52A8A8FF527DA8FF
+%A87D7DA8FF5252FD06FF7D27A8FD2AFF5252FFA8FFA8FFFF7DF8A8FD04FF
+%7D27A8FFA8FFA8FFA8277DFF7D7D7DFFA87D7DFFA8A8527D7DFFA87D7DFF
+%A8FF527D7DFF5252FD06FF5227A8FD2AFF5252A8FFA8FFA8FF7D27A8FD04
+%FF7D27A8A8FFA8FFA8A8277DFFFFA8FFA8FFA8FFA8FFFD04A8CAFFA8FFA8
+%FFFD05A85252FD06FF7D27A8FD2AFF5252FFA8FFA8FFA87D27A8FD04FF52
+%27A8FFA8FFA8FF7D277DFFA8FFA8FFA8FFA8FFA8FFA8FFA8FFA8FFA8FFA8
+%FFA8FFA8FF5252FD06FF5227A8FD2AFF5252A8FFA8FFA8FF7D27A8FD04FF
+%7D27A8A8FFA8FFA8A8277DFFFFA8FFA8FFA8FFA8FFA8FFA8FFA8FFA8FFA8
+%FFA8FFA8FFA87D52FD06FF7D27A8FD2AFF5252FFA8FFA8FFFF7D27A8FD04
+%FF5252A8FFA8FFA8FFA8527DFFA8FFA8FFA8FFA8FFA8FFA8FFA8FFA8FFA8
+%FFA8FFA8FFA8FF5252FD06FF5227A8FD2AFF5252A8FFA8FFA8FF5227A8FD
+%04FF7D277DA8FFA8FFA87D277DFFFFA8FFA8FFA8FFA8FFA8FFA8FFA8FFA8
+%FFA8FFA8FFA8FFA85252FD06FF7D27A8FD2AFF52FD0927A8FD04FF52FD09
+%2752FFA8CAA8FFA8CAA8FFA8CAA8FFA8CAA8FFA8CAA8FFA8CAA8FF5252A8
+%FD05FF52277DFD2AFF7DA87D7D7DA87D7D7DA8A8FD04FFA87DA87D7D7DA8
+%7D7D7DA8FD19FF5252FD06FF7D27A8FD5DFF5252FD06FF5227A8FD5DFF52
+%52FD06FF7D27A8FD5DFF5252A8FFA8FFFFFF5227A8FD5EFFFD09A8FD62FF
+%A8FFA8FDFCFFFDD3FFA87DA87DA87DA8A8FD5AFF52FD05FFA827F827F8F8
+%27FFFFFFA8FFFF7DA8FD53FFF8F82727277DFF52F85252F87DFFA8FD0427
+%F8FD54FFFD05F827FF7DF827F8F87DFF27FD05F8A8FD53FFFD05F827FFFF
+%7D272752FFFF27FD05F8FD54FFF8F827F8F827A8FD07FFF8F8F827F8F8A8
+%FD53FFF8277D522727FD08FF27F8525252F8FD54FFF852277DF827FD08FF
+%F8F852525227A8FD53FFF8275227F827FD08FF27F8275227F8FD54FFFD05
+%F827FD08FFF8F8F827F8F8A8FD53FFFD05F827FD08FF27FD05F8FD54FFFD
+%05F827FD08FF27FD05F8A8FD53FFF827525252A8FD09FFFD0452F8FD54FF
+%7DFD05FFA8FD0CFFA8A8FD53FFA8FD12FF7DFD54FFF827275227A8FD08FF
+%A852272727F8A8FD53FFFD05F827FD08FF27FD05F8FD54FFFD05F827FD08
+%FFFD06F8A8FD53FFF8F852F8F827FD08FF27F82752F8F8FD54FFF87DFF7D
+%F827FD08FFF8277DFF52F8A8FD53FF52FFFFFF52F8FD08FF2727FFFFFF52
+%FD54FFF87DA8A8F827A8FD07FFF8277DFF5227A8FD53FFF8F852F8F827FD
+%08FF27F82752F8F8FD54FFFD05F8277D525252275252A8FD05F827A8FD53
+%FFFD05F827FF27F85252F852FF27FD05F8FD54FFF8272727F8A8FF76F87D
+%52F87DFF7D27F827F8F8A8FD53FF7DFD06FFA827F8F8F8FD07FF52FD5CFF
+%A87D7DA8FDFCFFFDFCFFFDFCFFFDFCFFFDFCFFFDFCFFFDFCFFFDFCFFFDFC
+%FFFDFCFFFDFCFFFDFCFFFD80FFA8FD07FFA8FD53FF7DA8FFFFFF7DA8FFFF
+%FF7D7DFFFFFF7DA8FFFFFFA87DFD52FF52A8FFFFA87D7DFFFFFF7D7DFFFF
+%FF7D7DFFFFFF7D7DFD67FFA8FDFCFFFD26FF277DFFFFA82752FFFFFF2752
+%FFFFFF7D7DFFFFFF2752A8FD50FFA8F827FFFFA8F852FFFFFF2727FFFFFF
+%277DFFFFFF5227FD52FFA8FD09FFA8A8A8FD07FF7DA8FDFCFFFDFCFFFDA2
+%FFA8FFA8FD64FFA8FFA8FFA8FD62FFA8FD05FFA8FD66FFA8FD62FFA8FD04
+%FFA8A8FD60FFA8FD05FFA8FD22FFA8FD04FFA8527D7DFFFFFFA8FFA8FFFF
+%FFA8FFFFFFA8FFA8FFFFA8527D7D7D527D7DFFFFFFA87D52A8FD16FFA8FD
+%05FFA8FD21FFA8FD04FFA87D52A8FFFFA9FD0EFFA8527D527D527D527D7D
+%FFFFA8527DA8FD15FFA8FD05FFA8FD22FFA8FD04FFA852527DFFFFFFA87D
+%A8FFA8FF52A8A8FFA87DA8FF527D527D527D527D527DA8FF7D5252A8FD0C
+%FFA8FFA8FFA8FD05FFA8FD05FFA8FD26FFA87D52A8FFFFFFA97DFFFFFFA8
+%A8A8FFA8FF7DFFA87D527DA8FFFFFF7D7D52FFFFA8527DA8FD0BFFA8FD09
+%FFA8FD05FFA8FD27FFA852527DFFFFFFA8FFA8FFCFFFA8FFFFFFA8FFA8FF
+%52527DFD04FFA8527DA8FF7D5252A8FD0BFFA8A8FFFFFFA8FD05FFA8FD04
+%FFA8A8FD26FFA87D52A8FD04FFA8FD09FFA8FFFF7D527DFD04FFA87D7DFF
+%FFA8527DA8FD0BFFA8FD05FFA8FD09FFA8FD27FFA852527DFFFFFFA8FFA8
+%FFA8FD05FFA8FFCFFF527D7DFD04FFA8527DA8FF7D5252A8FD0BFFA8A8FD
+%09FFA8FD04FFA8A8FD26FFA87D52A8FFFFCFAF53FFA8FFA85AA8FFFFAF53
+%A9FF7D527D7DA8A8A8527D52FFFFA8527DA8FD0DFFA8FFFFFFA8FFFFFFA8
+%FD05FFA8FD22FF7DFD04FFA852527DFFFFFF847EA8FFA8A953A9A8FFA85A
+%A8FFFD07527D527DA8FF7D5252A8FD0CFFA8A8A8FFA8FD05FFA8FD05FFA8
+%FD21FFA8FD04FFA85252A8FD0AFFA8FD06FFA8527D527D527D527D7DFFFF
+%A8527DA8FD15FFA8FD05FFA8FD22FFA8FD05FF7DA8A8FD13FF7DA87DA87D
+%A8A8FFFFFFA8A87DFD17FFA8FD05FFA8FD60FFA8FD05FFA8FD4CFFA8FFA8
+%A8A8FFA8A8A8FFA8A8A8FFA8A8A8FFFD05A8FD04FFA8A8FD60FFA8FD05FF
+%A8FD4CFFA8FD15FFA8FFFFFFA8FFA8FD62FFA8FFA8FFFFFFA8FD4AFFA8FD
+%19FFA8FFA8FD4CFFA8FD68FFA8FFA8A8A8FFA8A8A8FFA8A8A8FFA8A8A8FF
+%A8A8A8FFA8A8A8FDD4FFFF
+%%EndData
+
+endstream endobj 175 0 obj <</Filter[/FlateDecode]/Length 15093>>stream
+H夓Wiw浫蝭o蕏Y嫹$趍yつ;/{ ZvC摝Q禊鮏 榁V湕|樸泛隫UW2餭i肟Y鹸$e41;荓&燑见狠zN5,zW覷甋被`uk
+耧顚!=NV (兛 ! 6[K埾TJ匯rO萾粇婽檃
+Q俭数 $\.,B噻T舴"T搅Z斟fN技lyM嫇麘^4 $~79嗑[u谕黍AA缮匼异
+燳闘婩闃^I`拮 
+hI* U癰(输廄G|=犎R蘤soz`#闷脻8U6姐9X&蕋>4剎G櫏倉騪a:卢峫鐖楞 U坱村釐N/艽墤趺?B饛
+羅0/$1紲I2?:YN"tn墮奙1睭袲歘p3喳| 6
+耧iB鉪-l~')姓禚-R臞d哅蚥媧DHG鼫婠vV劒7X锬厳-訫纀qr?耀孽蹒好`9竧D圸\7怺P﹔填I鍴C}g举崻!:鰙璞6謙菒.癕夈斤爎SQぺ腄俠p,〢\1ob&3lc.=熯
+^>,I憐筘倲L(輼0<u?V #庒Tlj愞┏鵜謀'谪微獗稣颇$熗e縻螶l%B 蟐脼p鍏-爾郘謜:g却-颣絻搖 7 w+緋'ζ飗"x%柡鴸Ku笑ě澾厰3l!s酄栁5藎F身;诧邤 噽%f啹9b饞!詖蓁a頊丫N疬.
+獷UD!`{h9CC1kXwO藁WG簓唩c~凱▽ l9赳i:+╆f璌ヲ澌.f蘀誳-矢嫬v:薄
+╊)лvK 跗碹&5 )#>湇恇睒U鍱eU跸渄ぴ嵝y痩<瘠l齋3牮笻孎凶歝]u'S殘''
+>#椦]轻0帩呯堙D僯J?9:屋l.郶滙绘>j簫 BMz'所i僜0鞯唟S谬% 秤j9X襊YIv御(fcK>mt 復_6xTL瑩1IH0+~漎犭题J,,迢疚,鹵fJdK矑CT扷Lu陪闶+45fV圞疞6糊鳴m ?鐹75冭a╄?猧寻艉}3歙uwO嬿2 Nńg1畎md箳'裡擃|雿e3x穠|x奭! p|荁h-~W艅D璋E谝Gxf偅C􌥦8 测]鉾 0骆#椞鶖乱q8蘠畤
+蹂砠鋓儈耱遨w錸u2㑇?铕}轼︸総h浀59漾p/淶齍盉J漉a2湔a駦埲毆>棊焟\ы陣
+5垫J鳋鮦钩絙帩x49肝l猽52S>椬鲋7+戏>m柂U禳豸騦exお杵齂攥鱗民諍紜奓_齮8*d碣6踻鐢[濙.伹ィ翅\S8簗_*涥啽}碵4=褘臸鸰肢t=Y潒^媄瓓爞 *aRQ隓k:醆m裏$ 犿麨>琨
+X;兑9. y/颾
+疖苉禀髌赋凪d鐺vU輏暮楙)9诸劙i冝萱f羐7頄阵i腰禌{哈蛲s-?晁夜t檄;译mx蕷恰枯魖葄s0钅裯unY1瀐燮請鲚礀鷇GJ朁9d,眨cy'6,咴<.`狺Z菘t侻觮9主闬Og漠 . ; 绛鉢d'捧閎聅忕`t.Am6fjaw' C觋AF?dv湟肘M3}P>窰擞鷧鹬@锞gN熐(o曪{姙3醞4-芵 7W"馑c%e精 5%缨飄豛喀亴榺V梳
+庭<2翲谝y熁
+f部釤V箥j∶m{ k:8jk&8kA5蹷r~6e埀f"P唙>1BX<乒 hE暐_w<曱=i慯 *j,詧8鯰$黈H4秇l会A{嵠(Vレ躅楜;ES钨2L焮钌"#钣翄i埿缑澠!9鱸跆忶神jX簝?#2臸l桀壖 ,嘯
+陣k9v酠(2洁遵rDgd沦,桓"毊#43营蔷晷償陼ax搽垴1V輍0镓L嶮4 輩酯饊械^q0ZLx~衃c縬*鏌aatt1@.澨>B鼳 軉qq 稝67迎陎陥Cr麬負梩[l尬H#偤芼,=e9曅睠鑳垬>Z雘w辢焵+议
+噒#1獴Y怭秶掗侮z(;俹3锫
+\;7 (u哴,g鵲蛝猿/輨i陈怞[D幧q'今幘[=W鬣"欔2驌櫮豯PfQ鈋鵭ゴ愦鷈d眍?淁m
+"樕=瞇nV@搶笚K烱聛惉嶞
+kx*y简:c霧幬漮:wS
+0&^軚鼒3逨汓S'H諽lHdZ1櫧鍢艆I艼Hδ埍{瑳9E骻',i巅A薸皜8{XNRy嬈ct<Mh剎擫t撹 穼h
+婭榄(rG3I5gb軐醁D儌*j徝塨2儤,篜x戄荎閗詁$擪C1@巃rN 3噮 a砡聚"丞塅
+1茐{鏱]勢逬蝦秢蓳,$薚蚭顂h輘,3%n,啰餻K翾x40袃 r"HYl/4 tT驕稹棾"橔昻7/獞鴻H遆莉h蠑K{-O鱕鷼9j1&r岆eG"t +g嫴%,@oa3牓lm枋l矡朼蠭1频3臠xK&埓3)yK擟諓巐螹祚=B眇Pb鷣遒鏪蹾\"9]h趇\嫧荊0j竫訴遴虿qP
+ &0`2械∣, ℅ 擞lMB4~b ~.H招p兟棰婒
+棔zm酖婳漎^=ⅸ眔;n勭堍娘,QS4'烯y0' -姩菮蹴C-槃""|g肝轟權璪/肒R瑤誆橠橅%/腫[每_D彨\椆鏷鞬]脂8嬊D1~锺r7=夂T妶2om騅g"麽挮聠D>釪q 2鵅E `q#賓降e 阢亭殪O 扄戬有璀伐谬郥黽v=K葹襛g彁c5贳暘u?┋}5uc^┖;吽眖澞F毼ZI揔淍+O罬n艨 襭(釬袙s榘2緝蹿@暼b6M獕鳽t锸閜琏1翩!S"夋跌瞯琦R冑艵1L6嫲Fhb ;薙%i=>孽IK4DI⑩凙\"/N护6pH鈄 麪
+.嚌霦"TR渉 ║n2C喀XGLu慕守畿B"?6"侐h H櫕篻雠饤9鐋 v涐\:U┖sy簢xq#擆媎孴 i& ΠS忍挧茑K綅:褩9蘳>5(mD芀僒,齅噴蘀/={壤2U崵w/}迊潹gB躹 簐R+'麳&r3儖WwDUw;P篙u嫙鄤軫煩鎪斖Ё} 9>6S1り ?A#`R幇魻鈅i袔>RQg4灳HF)饍鲾)4ro`姾弨鴎KNX爫皰b劤忸嘠a縵 洨6姳Ke`I|蒽>H
+鞪}1l梓麯铿6汲ryV龚hR缃$T.x/*荹韟v|) 綹澱鬣<癶nNy<Q<#CX/N麟g'斋Ic8q耡c豒>o褴4 获}m屈竫竩擙玙濇畯N兎俑#応2wZ搃+{魮=7弹.>楖盧鲷| 懈駔8O螷帚3檪蝈=O+94凭褩L籟(<=tJ犢oa8檥*瘲巜玾x7綸 }汞稐质萘峛}/镦}kK琵捦:/1e嚕b隕鵫蝯猖卪o#寱{c芥6wね0w'ル(_O7昿烛鉙AhVomV^w讌焽淂A芞乞E$霔R剖b~N脙,歼梈Ci X鼢~贋蟉{圹伡袊f氣nC犋S鸏_=蝂[Mml骖髅Y崑棵X伷&j6q獿9,~ g介靚糤璶+0殏蚸徫w/%进蝂<fk邶M+T觃欇逞`4Nj:A畁lh-傰癀 糪鬋}]Xm-0
+4 货痝k<葏 膈祖銣鮭琖gc-6癋心
+X0栘Fh44(owAAX矧吇赴颩{35犉诘MOA迤謼<Uq
+{qZN嫳痣/闏8д焀ki馃腼嶺渵锒⊥2a82m8i濬k箸钄滏娱畐TF涕l
+旻 OMz磄抍B糙iV籁# T((NP鈣媒$O>/h鍘姶遤爢褍 *謉ZV 羱>氶T鍍&潷焻丱{譞麍靨
+7妁馃誊嘨\9袨齀篩芨∵檌f!癎偾俐<8裢l賅w 電骂醱1駰(谔
+
+q涽徠!'u癕K狒A7q亿殙V]Jy_皽
+^52O醕钡-b#厳dWF
+;g榩#靡gづt承 1e!狓鳡塑xl,持?[酷U.讽=唪~`5銀Z舷樷<鉻犢報sN鯙`栕阷y渵!,k7托/韶痯)/Y6f磹%l屿C襈'`濻6栧ay羜:I83
+, 澗<挤 ,覹犵移0k8偝U瑿砎xs皃塭搯粥 '|垪1U(E反v:雚`櫽~懺,邀君训8瞛ih劆#C児 44縑唂竧nh07c魹e怮嫪鏈提s3 锷mh掴$/gx ╱爑環L切渘名2w细偺有`6蔲犖 6H$n鮕F巆h`库豘纉恂壌隬A滯vc驯噮L鄾F7*$g堝朹V4,Cx:侒@扥杁z邔嚮0鷢g喁O纸!G迱磝:I汣=菗飣镊凬;騙VL'-莮丅緈龏I|贅B7茕徣寔% 拳臣参阊嚅4$K/+,崱)< 厰赈k浧蝶d葯邂粈g?返嘨X偳Яq弩x嵃.磽卑 x^ m2L,嵶伪磿冧0^#b $ㄉ桍W@L4嚲馀sa*U框}h
+3嬬,y`侸(桖鴃47沿鶲邂黹鞡zTz鞡旆t#疐箺\G毲衰X鞟騇km)诸#a~軃(+2箿呕bG鷚&榸L軹僅緋暘焹聩.斪梿_9M\'椽oZ6昜9鼃+ヂ 詠?#榄#%c烽
+鏌>笫%L鈻-弩-S洝M橿錧碝 5礓麼z祶>鐖&躄gyU11倱m 4-]'/堆}#舥獬)~+[﹏讵蛣'击M?啍 '谨9忬W洍鶋醏_x:{o潔A史舸UI W脓*e 痓4|棦璶X駵=夎_5[6r=檔崌Y摯t砝沌嶂鹵:蓙c.餐鸉苯& M蓓hMB跞f蕰lk$餠@偝盤, ]4劌,舊S3Huv磿蘌╥ a 摚`壼9R琛俑誾崁嚪V鴮迌;嘨@熋廥桳"Tb"甗p蜂U嗐|捘榍捶 u凒w'~Z鶳C73练啦_b阷塱頣猇j歁譬.悆鹇 运@tq猝澎7u.Qz棘1;W纅创5愁桳9^en割 [.韪iQ[+眰襩訦N<oJu邌>
+PB鳀?O踂m巩傕欌湃協燩瀅TR骄,]_V垌洦$餡禼o ぱG_#霿e+n2繹Y玘6&j\~^(;(蛰%h蕁幢鎇竔溓%H顏嶘Q峑坒I果2鵭滱鉸腶$ -;饘玜|[fG歇~l RH嚔0找Lq;O唶┼瀬5v势接
+?<俇0趁"i+K呙呒嗄龆$%(+奡菲 $rぃ崞&P亨3 P( b
+(褛FS1鲚穘=Z调脹杣N虓05幋@N氓鬁蕯5榄2\伩轤晳豿M^zn蠨 幅委勧V'邰N欱椄]员)1畝龜阾荥/
+endstream endobj 176 0 obj <</Filter[/FlateDecode]/Length 17828>>stream
+H壃WYW馓}縦亜!B2 燼i@凇UUB萈_j賛<筚{熈,$踙(Z犙U婬57蒈d/}誴;礛谘
+1 猽6罉蔓 n呜8F喞 VCパ<窖{,Y鑧阤Zc笧槢lW~;入芚菅6粿丏框盢}袵茦熔乱7{K鱕>(fN巟薉鎑痏$4v 憵鬇搊\摵嚱獒&岻玓
+鯚1P1S纋頜娜巰[Cg3l@/鯊穤-s覺 e朝蛥!3l羴b1洭M騐鰛{搰"HQ@Kj盪@0甖驋BX"刃} 2蔄宇 L"趆!偘5i鬒俹郔┳汋偘hOg岉觥胏虩縺j7m恑襭p傣畝{谠肽[啤hhl`蝃蛬o鰯 軜YT.Ux糀 鷏V~w伟>+咩膊墥癒V>萿| ,趠仁4u蓙潦p6pY贁疵$ :铟 畴e潦&
+U摃<V6;4KV螀h[V助燿4瑴 1廄*3嫙~<䲢妊鑁硗抋dO#镃揋缗絼Jk.鴧{羴l!1.竌茨6歑zⅱs蝘5病 潚`Q?卑9{慈卋&碢#菌4彔C1%@誰賁诹K圦_>Q躳T┊25遠l糕U-穰'8Ku璭z骑㈠Tq霉#欇吊$T[
+嘕沃@
+ 缋)盜锲.冰臰򷵨L蒡S鳍m
+賊n両L賈痤o肱5&匰磍-/櫉竄珦
+挻f睇lk憨瓍鞍唝7馠隺Ti 'S緎r輳j{曽Rn>(BM噿↓Q㈦蠍>鋟朁3嫹爬箁z褜晄衪呩FG.┩协蹈%7陦k塼鷨k-7Y潎l徾蛃k苊諐iD`]筬j宂9GD#0u皕飹Z4謀:c 脍眑0钧雹"饉S> 墽装:
+ m譔焰啚恐輼鏫茶qi7軖S(DE儑(槚歮X埃A庚)s,K挍<^k秵p犂蕣+y&+堉N1旔蝸<4劤鏧汎Ц厊鉎L}c;懱矙[-為颈诮菅A剂诅
+⊿;搊呱曨疅奢闗^嚊&嘈氭 F蒄*3aFk 24鑤版霯﹞_墆b tP:.箹谾#W茺P实[鏣蜨pF銸|FA-S斿=mW('蚼鱨%0?^-趡黛剜瓗$蕢;P皉X的 ,L@@D攞 @t烹孩#vw抰簱N郞緳荨隃S髯惼噅箃.醢┆n}N羣鋖^傔mёP抬Yг榛頊辊淓〈犀撪懬鎬QI錫l瓐嬊Z0QhDZ瑁<uq#鵏冕"y謖讦<z」=-弆o4S濮+C匇w惼WYa
+グW藭`4rmPSH秴嫩R-Sx籔醟h怎僳匤潚M姬洵N寤漫x"薄PoK傿
+F悊#鵱( okM5┗oAM&"
+晻疯(,kg轟 攰Qw?g/OHC出52娵6GV蘾審$娄乼G5帎U`tC%/~甙韂鐽漋唫!}竰-鳹琴臌=羢漟<罋b$嬂[ 6b嚲^h5P蝸*闩顉
+ih續4桧0嚼覨蹬穠L m
+_愕昦H縖嫥n鍇4N菔 诶骉碍d闢蜧E讁暸賨怢邈瑁o咀t 媑奙>*'6^蕦伐'A縓/8忻巠遆8ZGG%I絛)#gC~s枒F5a玁S佄7撁呧~E>殸H#sT0鼦豕魫/ ,瀗振惡.鷡餟p匩:Wj叕j瘳%T 5檈阶'U昭評橾w
+隦 <蕂}9澕数DI縒咱:肥)鮈)>_[踝鉹$啿z恌 菨mу蔒|1悍#潰<;鏆舼韣l4葟懀"={趙鲿湍F夢遨VHy蜬  耩食,9砼=
+OH忭 7iju衒
+w7`(Ph&迧旮颋憝漵瓃c兖8sU葵粶b萌>.辖薞怌滰蘑/鱤`^厰g,-硾 )4k逧唄?虺3脥U啣iY幙渳L舰)b蕹癨9羹镪懦禟!Z鋉墺i- J v⒏J}U鷷鷂贚覑y屯$ _BAp镦i~`鏱鰜/啁-, (`靴剿/滯"Y>/\)愠(U'飏񉢱BG鳍艠M鶄毳q蒇7D&飉汞虱i圡72翐蓭輏3d蠤Q<堉^裵k疑摤 矁~壇W)2暠;膙駪AP郡P簛m臥橀裬\n$髐l漵:HO紷!捶 a,R伬s;洌樶刾媯4[湻(庿^g煶.樭灨笯栮迍U #臷L枠Fd稠j4譸6萙[N榴q攖
+J嚂骴@攼:鑌獄w洲W.37囱闙英YJ.忧P创Xu卮Rw總*琄鯂旴
+覙汛犀撪i' 绣鵜穗饓足贕P;覑7>v垈睑A>貇z+痷Ru7抸蓆)*瘡厐铭d翾7Hc焎< Ш8Ij睫4[鲿%隮搲x)薡揅
+X(K*嫵 <朎靆耴优,Ll為/7~~橘6=勎%<趚G&&/嵲忙猙6殕~;侤$蔏鴬姑喒%屯2>4g<[儸瓙鲀+袅s舙彐+糅ㄧzI+9 l/牍旾籚R <扶U燱u濐: 6阔@:3{ 攅w樱}&癍門架,0墩E?i4孯緖E!瓦0r縇栔 擣 $R偂 鸄L泄0玷C卅婇k柢氼=44*D.=tc Ms怷y]肀嘝l犐魿媮"(顎じΖ瓔,W+.n|匘霔獛>B-57x0y6M俇&/i0甂樒砋琕笽鹕9H喬{3]霪X+陦 p$X動
+媌?毚%$恡X齦皷C給` 琼(E;r:l拨Y6u[-q rCvs鞽襽2蔿砥诫憴xoJ昜觽
+厛袟gn庅H囇 7W\&万畨僋k;{牄熕葎G逼.矾c琀л "Vs}||[
+殉H/号◢6浶豌 綀 癀f⒁~=擪易贬?^钋h輹Y袗b=Ann斷冿 >D愅挎Q靻鷰劐裢kk#$nRB双jV苭{钔- 翿渷a劏-揼豛4;5响睑塬 y nk8"?9樮 浉^蝎壻稼瞇e惩[焺S?!B稍鯿嘓:t崾偩遧桡䏝奲ワ呛LLot*璩g苟婍梜 桗]e3Q[榁濛gpe⺧聱蜗鈭梬4w碰晇}痈罒赆鬳=h驓俽%喀$璘L
+~懞溌h攸g) 蛚鴩3呜哰滁о粓魾葚痔4狘&固幱莅官暎鶰凢40H"葘俈╧
+h? \忮初
+ 泏"╮AG&-R@( V嗴藆洉;柼+_P册>嬿O則諣鋗A +-彫|1牄`ek唷X Z/XMn冎⻊;柆鏵; 鼲B捅蚺P8V赮.褪G睐7琹<膀吔}'+=$荾ce劙v桄赚 }5主蝓妑悖>牮沿氺X謮&7鱉^硣苘1倥冔)韇夳滓6V蜆#閾窜 xf7+no1霹翣*
+(粘iq噗*髑?氩wЭ棠Z鰻沖榎{4Tr噘D榄吜{缆装#楗僚爮w錈刣琄*uk稣g&顯;圿崬闙筆C"y'it鬆IH箯-掙譑篒箯-释P0&)譲&+=/z糵iho' }:+o坪6G搊w缔&T嵝*旉莗ht6豐x麗<28%&曄;蕋轨[诞鮖腩kn垂叻粬E蟄林魽Cc8擏髤9+饮鵥噷S+叕镈b軿虜ㄒ鱩}蟆椅l @迸A揥 吿<穦畋{9狙
+m恳?g圾{糃.H_J񱫻鑖{琷2綉<ó荧倱4L讒 /彰%*[h]徰B架榼 `x(榮^蘡tu庇
+ 恑詛 隲y@懪烜滨,騡*鴏*Ti>w%衸潹牴鰄爼r螥踄皟v魸刵t嶋+旧璜#"肫屎&:僜+0#贴1吓藮;$: gKq跮*:衵鳞臜萊J鬈畳捗泫鑰A郻 _騰焨=@朶1妼9儿>曇伨ㄥ乗 遏誈
+椟洉6箒旡z指娶蓗o頁l*s 菛弚鯏d霗V橈;ON闑:}棺杝95W4陰\呝
+G菱)~孞-a:郼{!{P鋤o$0囇灮A$懊/制鐫_*久拀鬁迚+品3vq#諘&YYl&
+/b呗y籽4鏬鮕%l濅*嚛毰訆銎' 嵱K.P颱愸48Tb(鷎)|狡煚R酈栩椧q?M膽玭5J 冮鹀章豹6tI攠蒚姳妹p萎塸
+錧奓g 俾E4b尷鼲|g 吰/璬&rlz僑盏边?]C}OO3q迥Q%?奟*f冰剦)摔8j6鞝4瑽4僣CXx-,"j=h狽飜懕釆9l='V茯嵾!﹊(1wy*癒┫ゼ?Rパ砳煁檈&騃榷+聇喕%!S)?9瞅%﹍C3-5語
+1o』
+M鹝45~杢.処鍿W赮G%W$疺玸a陲搢慠*U犆勾;貸H(5<E6c蕒搇\14菬讪n
+3C汗赕欔楈a6咅
+a俹x$枂>騄z瀜'汼S裎蠀$/-!慀厴^N漶蔏/擖,瞼鎪"!垔>霡nlO樀pxi理珳婊c楯L榙哲CO 崔竊!
+w 2谱橽毐痸E癒d掅q糇>){句 ~X谕庨蔵彠_琕$f` 啱wh灕Y{W=q 璧矡段TjK㏎Z鎧枔
+ 0(\涞瞀-ZB; 犿i狺z笐&弘 赊)]賝T瓖)&饸 松(z琓猘幡^f<+*d涫筛?钘盭6淤闎蕂 m=鰃熦蟠4)饻=&経蛪艭b[灜噺a兠}赦s*\0犦a微嬆#玖&OpF;y3Y蕜呞(溏j桟茐鹥e鹛I岧燢亊u蹈幹.襶a録]罏 ~T扫趋b琝鴧呜2+鬐赈机 u>)疚賚v糴姮朲[6tiG沜&%赭珻g<鴸dK苿$;9雙觧苭N 剙
+苁w&轋^]0鰴}L璇糘T槒牎E2n碿u Qg狜.
+73dxK&~8╕褐if諙6z莞匶r6啻D朗壉螑)YyLs筌詵簦K2tG籨峍8E7鲨F h?;楹:Iu栩tnC韷*絓[ 讗jQ窐鑐58铧搈蓫#=檿靛賥F}s嫃漲A]虐Ud鰊鯠mi3匼g5摸魟妉|&0蓉N韽-皭讂b杚剖潼陕鱚
+n@铫疳&箏R灅>!襺"标韝i剝L媠胡Β刎溌3坴8]Q>*攉e昙y>X但@l (y跋胧L`2 oh铫7{棗%濃血喆脝贎#g淧7^4屭盌{uD逧N墈9-'4x<捴i爹PN(1-8c宪*B踺桐a~vU鬋9!瞴$瓱迥柙i鴤.:wsj漵BFZ厝R^轍孆aN妗蓧;鐒OQ灏苁I霙cZ树z#-
+漑秸_熑員塔嗴o勫佘磠t0/滬M魦f湋U-痽
+o硞须炔3.v耳虸D%f%鞵虲 AB ' \夋] 譫吰(挭o徵菅_K鐼俙蛯春W競0A E韣ZW競0A+賦捊!礊JQ 亣燯靗頏婼*紐抡売弆瓈.W:鈐0Ea~は_@
+WBZa臃∣w)+ a觷Z~獎a菩J癧磡 嫙qu许8k荮 Bkg2炰*幸ULb喺E }}n#+T櫼漵5q+Y娕7X7{久'婍=N睏笸cm婍*l罖?To7遜Y|冢R,匇.>J眔g\A橈察o(鰖慕刹齙廆w< 74墿+\]V欲 挫lk3F序箊A彵1碏撺豙)礀!e⻊殿S
+搐怜叓k@佃3噕(Rw嫘p匵 -辩qC 鮂/lDY犝v脆憃B9W □绞e栬鸇籡@EqDv鱍l躮7鱙#晶oho闾澂锾嬔teV迳sN甞q卝竺`匍櫭茣c9岄邲9轆郷A霔3荾什嚼;$k黉q棽騽<鬫9l\∮6ku.?7喛VC蠓fc䦅芀縺V储=蕑 亅j皐%掶眩虔狌W7麵hMf伬\;-桹頺?,#棃輫謉~$>嚓.? -锁)e魺g颭n@霝輖鲛,麕>;褌0g蟞q{l篋鎣段p缵@躎笵T霥
+QqU!<S旆銘r3謫q 农JT]'$p1痋*隔隷Ek曄鬰鮄`EHI劁谫7襜8克愭=#lv渲Za 怎繦.b8獵
+@v0刣p彍:剤倱-]ce嬱%+3疘篪P螹 a 舕V覩招t貱TT疲3<K箮餢陭5{ p溾A
+z
+[t囪m9骪R妈襢6 觇A葝顟3#瀁)奆K蜑r5u造
+O劵"U2[4k!Po5嶍e`SLr;肭攭之8(叏,瘴IJ=~颈[g-M[翓li!b䥺狣巒98>,粍侈鬺雓@b L6O俑(1W<(獴脾宄: 6咾遐$桴箭積-坁=$叒d龉ㄎ囫6帾Js捍縵侕9楽u>NQ峀Z.aF'.l[?妚{zt]毻N眛∠#渘箇M儆醙3V賊/馸蒦K1嬼b惔郋籿臈团畻箐鷰J鈛7奣{紀跁F竲捦6{y壂h鄏%/鋽!
+褲S蠮竟鈴雇Z.M諐68蹸臷芔?R暯}8容{皡:镉枰Ta=捃V0 H+撼3瞒*痓梳豩蕊q漿')郈隣鄭狱蟜I諒C6 淸沫捍XRa`局-潯"隡Dz婯7擦<娖N和9,杲2"}@糱е抜n鐁(D祼 螦谨弔搙8c.B颵
+a盆f6诣R].L#"叄粧%ub户i苃N,*硿l悼c瑋X緜
+壘Ka 跳霣O 砎弋碾G詨|U 围艅7年艊!绿酥劔 ?璺l敕泥nv鋟穻f#鑱 #yIG*
+;{D俀錂萘 a@:楶_稞 臵*m岠5扱_Lt_K癿
+梌))鎢|n脫:椢u]c嗿穹B j"芈睖佐/Ru耚)釁F泉b蒵%劘原2:ㄉp∕M>龂叇柙穌侼| /k骕=.f嬳粪/套/a4队漽4M蔹,飳似転骏繀'㏄H哗夻根迆俾癟\
+麬`駆u难9e瞊澼*A*b塨膦=痌1v哔峳縳敃澷贆罩?8$摟M<凰S矃
+2yR伣殤泉耥1怭虓R穻 唼慜
+[D弧蠋6遚睾x3--_q尹竄~;o9]貸c,焎+:绥豃4瀱悟9澠衁>荲0t栂睍鷛8绥豃47單9C硘幁牟娜Y>荲0狂磿赣寽錽l%Cg[墪⿷硘幁D m,焎+19绥厥G岂|m灞礏剛塊$nz傅VD]]>
+夶]藖薡0.
+j艁魄禐Mo!k&W
+簰Xx徛絍絯*/-:1&劰q获"3晿)友J縕n0?靨cZ豍烒cz`短.佢8zj 談h捅瞁 瑻$禐]旫卞E斤D絣諩5鱩Z逺7橖癑邭N~|鑓兩-K0劇窮3/|1霎濼倬DRF;葺;Rbn嫄8脰懂!戽淬NO佾搓I5>T獢lQ2>軕HreA殭怤摀镤┛bM &3`Wi覼xmG,~59p%]u弞錣K嶃膅r畝卣2$E霯╤@?钊)F菅!:@像/粻瑀E鹓撡N+裕<C疡>j8ttCPN荓O懰馅d燵榪aW9O謿 6π閬,佣0(P8硕%(齯悺5髮Ss對e5k挮t;耘秩旨<莽yy楖' G岭姏
+Ne;=喽d/OY=羨0驳湟HTlM传:舐!爻瀕1垀燗n怓韦fTf斥U>`jJ* 甉糾'踓2锩*NJ,▕s'|泬瀁C澲窡'圓 ,镎颽鹍E(昪/挲屣/犳CuT渫7MA1FH&馳闔n{ 牑佊 S\銹Q
+填0|觳
+[rx /N*\&猹oдVL魯J2c]辞蹃d泲胒忊YZ,燻V*Aю隠絙3?,1S詻x綘縴齔
+Pl膴"a粸亩
+.9峄鵴Z解脺H墜a犮$n@ S币$}t 9毮.拫憙瑑銄貨斆0P惓inl)/肪E%淑)"緣wY)茧@邷y=舥M槵嬵~爄5蓎綠 宪秳i}cA穮 )丮U[U^/m鉔晕兒.攞u=±^7竅状S=ǐ~+單铳$馑cZ欭藰 搠/a﹑)脒m搽溞x阨糙愦诨噜醬霑x溣 道:鍰楓渴6?M92飇cND穡荀#
+贗黗
+庎2収h7}uА烞077|l亣5㧟k粭Mq丫譥[祘}l戯蹙脹M$痂萶磑除h邏q产i岓}萝阛緦.L做L輁蚼膫纘{X`臛釧腷 區H 
+x抄賘舜绋^a庨?喝?t鐻oij郬檟龀/[|.:q@苪?糡r龎緼伿3樎
+爿巂楇闧 |g23s 粈0贱碚丹:禖遫拯兩哑'B3監CC搃h偭M籪嗡|鹔涁g苇/猏都-ioIKK
+iT浗N~菳诒Sz嗸 LM礿<^'錏殼>B 譪罰e颯rN 症pJ蟠>焽捜P隁K.D敜=4Z\谺蠆%Vrk笮l袘m洦g諯-0ZL駮 肒6)跷韱7W H4歔媓傹旘嶩鵾E廠d巔r的M+$K兕c8姻N襕桿6嘰Di蔭N珊阀攆饷W厝4+筱厠呆矬襑*媌
+喥hH▇菪镈蜥8栊d#1J骐麳瓁淯Q%q(麘儼 浺3b倐F籍5?悧5衉罠V潪A/庯皣拳?釷v ]圭石藓h4螦>/QfK 0N脐J砡"瘉7!唎粬1*讞颢礪%泄緻9縖慤课媞< 澖.藀_玪S?
+-崩 _袨忽藓R矽H梵虯簟P[Z6趰篫E箥篡蕍$娈萼,"パ>k腱C}O;箼躒進e+剟2Q芝I=吋5枍T畯哗,鎘gp((礚0罶硓%A襵姮v*/]禷膋%懍矐让:>H "3pT翠:鋃2Thz8/z+f蠡恫{?G(鋋scdsR晥錀谷7r柂篽,xBM$o4P*幀烖鑕y誫6g)G&
+@o憣',┶>]*
+ 1逯盨Bm奃盜茙灋睼鄜#篊Mr
+Mr揚v﹉鲮碻綕*_2P)W^綐 cU誗'=GLZ&<辡[鵷P谖S,B愽溃6hSp9-湵z.侐0毐夜該_豶N闟螜Ks礝)鯥AK"匪ㄢ耟5瑜啃/@t粻汗蝠酈烢3则 e埯<塚z} Z馇$庾V&]*vMU蚯ㄐ圃+馆i燈烈峖!f媍,.欠x蓘)J征垵e餆M璟癐椑2猞鷯稖z3q抴菲|$rツ罊k 勔攎po饏.7A虰礁┃踗媪1趽謞s{8乨 O=痌啢D:*伌濉┃綧 ,鞺薺>1CuSE-覌5敄EP齻qdh関虈NSn5ZZx硜J鳚a蜻
+w_ 薭/豴Lb?tU歡 8EBgXd盥鷥愩7犴筮
+?7[8.g狴莵蝷郰扚;=s氵4M7蚭;儅
+)噀i灎x丟斡$Aga鮉<溔2w- )攅罍(2
+endstream endobj 177 0 obj <</Filter[/FlateDecode]/Length 8499>>stream
+H壧W]o\}2P狱㭎$(l喇QE!(掛:XI #蹩伤{魇襫"Q几{3螜檏淭;aev*酟:(扵90o帍>?>zq酤//>咻^&;8>殊浈忋/x2厀Y捀)楴P惼d懎LQ|芬z+V*c鹷l>m
+!+淧屣瞄駪?-~练_廋.他S0cV CL飵廘:蛆R$頱g?鼆织6J搃 椢6 * !嘗觀88n鉅攧\7褼& ~|愌=aAI暈<O@轁璦-韧i ,睟8懗C鰶V閘V葄:%阵挗=k櫌嶠 ~〇
+r'=a!:磘q
+70 +痨岕 ═凱棛YdCJ3o喉歳_Gt鄨A7sg冊讦娰lu翆ei礖P5}霰靣5鍆*骹皑T,X磡R濤\+g&)澕拷礁咕燁/>_>m^俖澀B蚣R%T1h?-D岺=9 r4h]迮 >ajd爢柧聴"嚧,
+ 趢<) 樜藖# ^眍犴蹂蔟"齘B+絶{}饼疋狺蒁溂9xw每絛忂墦荧粺O肯鐖栎米眭F[粕 z膰玗喭! sn'比膭Z滯囮撀
+裕j孉缸^暻M}屽/Z|鍏烶+Yivo*彌.+リt鼷62*#^P魘媣喓垐s)3)L):.ZF坢k
+rI4嬩a贃罤磅2積;?"喢ㄞ*堏b1l燯( =-篓H裰柫z畁巯巒侐5"懨笢Q攊*綮m
+瀒嬕" b丞! (rl輩錟怿 嶰+賱e藸褗A娕@'1Ri睢0缗╗h5J脕訿慓%w8摙5邙豇5R’1I
+y*揄惂\8lE敡X氛Ho7N)W悳偔攀sO萧娟,5$0紵歓V8 鉦G/5春.乡蠦= 颲H *个b8S-鯐5>}i J7
+G統L劮3"]頢 q滟eM3x?E掗&>H昹海紵2蓆E の懓A x厭鍔F>H0]))昪傺*⿻橼+脟(v-綗3轨鏝.(鼱! 假I飹寷厯ux>_鐮串親h緌*妈捽Y=tFn嚛h8O.歖5樠RUZ屁~
+啽^g醅\樇鱏0= B渧zV,屚R琖
+倢7yR肽d6晧T$0jB%(蘆熭崎=瘨碟0^朊 i鮝鰾熧演o殍徃~e%h蛸?作~摿o*紡4F覻;=Xd"欘垊罜dB+Ba ⿶閵X樐冧瞯褅从g嬫欗t:y%雈潗xT&'せp&谽'V彎pF嗽 w#y^'軆慹'鼄$幒窟垫{`险F芓Fz }C7 鶷鴨2.oT﹙櫔MR{镽:暿e$0$e
+h攪褾肽0陳魷娂P6 P匰陦F孮胉W渁鑾 iWC密峉瘥PQ: 鎴TC颚0pcb焽駨懆@5螒铦浨<
+挷娱 Zi
+叟W傶紱頴 關畂B Ov5鎭伣l<0悧#`者袻Ю矦?9u渼md偍棨L噅$s 雞諿翑炾86]^X揑b膏﹜P 嫹1cl-痾 =鮂3t錼H娱H鎞4,l_髩瓯U疁甚M咱V媳m睧QQ趢$鍤嬨g[D婠娖豟M*瓒wp棆:嚧t峔 `r
+d{弗g欻L嗡0 Z結喖X婸]M穷w噷>鳝钎章k|χ媒_ `7 汹r<8蠀劣2BT[S簗冖
+t淛
+倪茦VZ?皮i磿釓汛8( 劗调>g飣罟3鏭3悳蝲匙谶^{蕉嫯珳爏O蟚,洜 %>嘲!C戠陜0凄#&4衫:
+职[乬臖>懠B兵D*D調Dx睩!c}5帩e5 啞劮匶I鸫儎殕宝AA  菼T瑌@$.4DYK'`M凓\V79; !>刬c畫\笝<P=> |▓墲8TY嫲# [+壨8"m8攒犓+凥,}?燫[冦3
+CmM翷M4-榅F*銑Y+Sjz+塖殹
+~GRJ[vI篓-;陜
+陼;
+Kk*+捙垛8Hl_mZk)7朏Rl,栅貥^毖g纭Wj瑡扟c輼TI*M齾 耓 榐菄罬2fEm77堒傹鎏,官搧浬O枕-d憜[#T$ 稈K揗n)烶5'4[施紜n维vvo/锯夹t/嫲(4^(瀒紇底x=哔芠悉X鉳耺倨蹫bQ瑏7o7-灢0Ro*E爩豫@莗垺P=HWbZ卝檘橮猣`F$洮婬艵臩 iik0揈*Q≮袶we
+u,38諨牔P'`-敳o簣:E矛I6%lz.珱溳贠'`朦6'腩='`]W9戦0炈1卖?鍽 !描<屟恕q2%燘`
+郈腂5A 咮僷**鈾繢綒g唱gZ:L9 <o`L凘'墍RP91lI4脛q
+聳q蕛&暾<
+荅/?洴V;?=楮
+堽卷鹡奚矤}r浶h 9鉙溮糚殭<RjDl≤灛鏇嫊扷q)Y5%!%玣U\JV钮dU\JV钮dU\JV钮dU\JV钮dU\JV钮dU\JV钮dU\JV钮dU\JV钮dU\JV钮dU\JV钮dU\JV钮dU\n&2逥< Y輙d竟X)Y棝咎7#!珱y2撙験/盕旀懾虜鏋X>s森Y>?蟰q楪古[鑘$ wvv.鳦gV Vwuu黥6悶烆畢澁NGgW息净栧衫隐%媨籄'UX亟h衫=鳢|pphhh'
+ㄥq;}屜O{x:軳pwY氠N坞笱^房袓醸r煝2 雂継I儮坶鯏媽; wS陾M絣㈱I魛篢匏隋ESEXL櫀釻,_豏盙(6B1衇vz稷远 x79擨ε:礢
+镝歜嶵膕痍髀搢$ '#e"湹<炩暃+婐毰䞍葥 耀<9>轫艸7 z.9薯3塊I兵>匒稺I鈛刃郣>7C嶆罻6
+郥栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T栲T<,r訟J<,悒硵扩衴='>翾'<氷葍U宼戳楱=13螁炵)c-O9鳘C8NЙ8駡 糁 茪C0魖郣鬎QORTC(姮'LF}櫏`[,璵V]赯--峊澤P]`57Y::.栥8
+ .Gg嚗蒤k(帰記掏韛'塅4DpO7goo6涺:yc]S# #)QL旁鑸 鞰u坡 Uze麉翡蕴燔U s3S搃?h稰&届 ;赴01=堪复溦凹锤0?=!劰嶧⑧闧:籧殚k贂盏 雓+賙娱XwgK紸殘蜨Z=落|鳅嬷鑫M ;踇涀丑揃徝Bu夷k╒Wxdjae笃頭.wKE.贩{cseaj$靔嘣k662:掣旱{{?熆"熯拷坏83a郇氷偅潦ES矺k踸鹷頸8富糠蕉4泭r謫r8芳緭犪嗀>烦<'j庹炱蚛鞳盍絴铈F霆8P頷 ぁ阒滼;窢#=鄆炖炖炖炖炖炖炖炖w嚂#棷淙鐮C喱鍘8芳緭犪嗀>烦<'F5幵煲邛撖輧{铑飉-挺T漎\葳浇熛逹懴镞掭Z]嵃mT痢Z]釕﹨曂粄管-管揞嵧晠⿷矮暘1潙8z勆跬潧v斗6痝'噮4陰A嚒茎;枮緰]Y][咝熬逗捊6潕uw对$G暈h爨侣聂庖rV抿意曼魟: }U羇,鯼繍灉殭澔猘nvfj2-$;t坪G0FFSV!姪!:跊陮夷罝&ss粷腩 G⒀ 裩$苡唾蹧&4 8垺周d殍t竂庛<*篮&s瑼轉儵巎l贝禮v@hk荡4Ru&Cu
+竧'篱c暔:剨澭辟螉媒馤$$a 躐P^媉$艻"J$列》H翸髀
+鸩Eu蜡>&6馚*汣*'I卟犙LQ3%3詍帱`
+endstream endobj 178 0 obj <</Filter[/FlateDecode]/Length 15049>>stream
+H夓梷l漊厉З6%,_4-l;-╙籿]:0蹔e)w]e]返澺bd32晛1,3G愣 剟@岒p€5? K`壠@怏湳骷穡Y溠各讹秋剧枷y误1钟拗1洲F嫯揲澯7#涄埚?R纛俢MYaXgN 昑聟4\Q┿0ZS+Q觿獴IQ餬噰翽[B$LZ#9B搸pMh%)JD獻蛒1!A亐
+颰x埌銅\hQb)嬱} 1# $(虭^$?0m-51趜釻
+峏K笄dM耚嶩-XNhxc琛5%e#傿7T眍橴~vc嚅Dp.MjBkZB ^芚懤灒6*s"k轶5"晩Hj
+if) R脭+(Snwu儹R禃
+)& ,RH未[焑Q/A筗冡I鯗8D筥磩$`啠!区$RL,% 宫 v譆"师4BZx刯,,蕟鎰.畟傊Hqv\樴椚罤碞;珲'馱雖蓦劐营擆蘄$X 1鵦猼7蕼洦痶U榭神髄RB
+蔪X6+$$╔C瓳>舼伢髢 凘樺D摾0*您 膞躟玽輜 c卿础絤ViP焟moSE/麁賦肟~<軉蒐芒0a)謕wAs)嬂沚罻I\瓊疷饈
+綳誡蚵[錧k晈甎薜驶gy1盯wfr|nr鐃}f秧刳v9r 鬣習}x趩!96095褲頥Nl洔^W煗洏Ao伳6垪叝嚗H( z嬈閡s藛?铑?嬁裏IO揃罈8i:(箊w!9ooj[p艧n6鴝瞓褆9竳矮v魩皖 t{縴艋鳢錭忼糸?|栉A混贖椶辖鳆/烖蝳7趉騇~疖稔z犴?緗曦≥穟:\ 8鼡W藓倔鎷'忁毭嬜>蛟珋裎~|衾(q鴼涳z帏箸犴?剪城同_赀x]哮蠠=w鰠'樅愠嫞(+:~3utuY0 N6喵盹C汊o簘菞鯩籼釉{毽5随kp璃8疆 ^梆k啹鴥v瞛z+Z壋粽蓯仏f<*倗椻辫绻盭喿碭眗缥kxrz諪:4墮鼓I鋧S摶vMNok潺摮-S轾x諓C繉橔V佮鑜俗垒=p躠m1簷[哿怪' 蘊倃0u灭=挖Be吜&Pq堅pbTB}UT澍C猑M撾扌@3FE崞铂>/IJ坋濕Q6嚲/
+ 巔鎷 F鼕
+猅q&T肗疙Q {6\仼媺髼漀櫫捿$q&﹦羄0禸IG+=刉<瀿涨屩"k崉]',魬R臽c諑<瀃m}k4
+'VAYhw!衶.C=lT嶚cjF|轶懵`澩懨H テCeHf(氎{?啩EB瑑杛悻f業0÷V燽0Y6;镺c蘩綆Ksm搓緁贋蠽嘶级(u`荞傕vAnIE[e]麗杣眝讳秺竀*鈀E\珗kq"甤lE锾\澍茕伍郾吊夙䌷<盍+铕 O紺rl`rj;莪溫69疆>;71 l/G
+_V%,EPa7`郵 茌裣IO揃罈8i:(箊w!)皯《W瘗菺浹k:艇潾之\2徻⿳礔湖+G苈引%煊k:~暝塷t癃3<蛟瘇KЬCЁ薌~鈾|崧[療yt攮78赎彎y觞{锟逕~y怆=烜J仦~妩>竫O~c3N铄涼 岿嘶稔韻g 戅7蝴kG7_{骜儯K甖Lo弘袕烚派G]^Y彭~{;玨煕轍W艿鷖}潔p立Z睑鶜l粃醸鴂| VMoEsp&齩霁&D汐
+w)慲A\X薅擫H3?飡R鑭i +塵!纳d堄Ng襱掖 ]圦QWJ蘸袇燻]偟h眀AWR7叾<甾s9鳑)
+.$纨灩锝鐬哏汞e,爳瘢訢6傍~ P%;褓G柖7噮晬璆/危薣O琠賗竌|豆q浦沏6埴秽ㄥ| afp鶤鯿U=呭|= 弋A逕螢3门齈EмK/F杔ъGN颸 噮ゥ蛔之>zj{g鲉8CV虧tu/A驑P~8;鵄z !戲B沑t菨R匑b憻 喞8RD剦 ℉I愦鞾粓J 8f膪e押,戩r菎繪蘭-q,4糏D抴4@GCE擗mH擗覦臣 澗隮呷 畔笱橅啉圕躣`憕`G溒?%鼀>:Eマ5蓛@p磩5忬ag;褍噤戂拌q>b=圠杊衄檲拌Apt(Y魌H=闑=r=b 睎D徿IT廫^qq# 穸=B&9 CD誚t廈鷍藍U[鎗##觐:杴匴眶* ?/爏YN)^!BT鬢丏e娴蔘緎T0&羲矡&】蝐:&哐_宎L苭c?N$o欮芦嬛涇堰R粞鋷Xd6I/";a`C壎&5cD~>D~k徤#空奥垍+糗忿掉#峋+18颙囐^9虍7#K汐{T
+ qh鹍`H`灏 +塧?懺甌現鍙C黑"喣, Gj乪劶P惘棔憹8戻@蜀歳HCb/y墣A7丛勱3署ZzY-経):琅=裫促哧竇睍祸剠k簈宜"n膈V\
+gPwx 襃C*j恈 Hr&IP)×9gH)8叢剆晐2蹡褕x曭蚪諈侸耔Mj侀v^鄠%/鋓評-拙E@6G腈这!項ct呱g{祑猢85馏瓤崃M榡䎬剏D?(0mht鋎CCk範C倛"謟螻4憆d粗!*hb琋$$丠泡銭9x畯\鮀懘+ -IM` 8W2刕"H9鬡胜殮
+}
+ks badC=c褝*$-缫(+ 粗%礱arA)1*喰&涻2 *3+` 楷! 淟8lL! 垺摆夐7&i凢矩@oB}懚姌鹊陉墍=ZZGs笇m蹌腸蔿5Y?($.c:b4=舞赚N<瓶8慁錟嫝ㄈ*滌鸟籐
+骂楓(JLSR}<跩<~U
+"d爝蘞3黠 寐玲藽它|迭3豴蘰?綞b抂 rG爄帻q0錹O謽R嚜鶣撊!刪苍锈秈d奋歵A0Q+?#!T艗^V魯迚x8nW錂κ,廒毼k椩, k4媕攅,e+覠M2墽畵5欕 聑@^$貮峛俓伇螺cT2乨潚*聑F僓舁潽*溢阯"嫐J壛w铆华54Q' I?迖怠Fr苶6蹶禘&[5_i甓臺/+)";q"iq跼鸍 溾%/K獳7丛勱E 飩P喿B兴j辫璲M褃j駃Om龇:nW詌FY坪R禸]褎*壼sH吀r,I躡KP)×9gH)H{鷺+瘫俇崍W)/遊](忁>獢a.X駼濬]讉p硭嬙"f<A-垫这!項ct呱槐 䎬秫P鰞倅. "J 硁铺騐S~腹墧E帎 霫AX偃$r姬&P緿铂硦\gU觵倫旝猑0謐k)奂郁';癐T盃⒇Tj[VY嬣
+璬Y閫韾鋺{U慍槶>铡Z f口b<撐I%/祰k0S榠6(:撩虣803潙貨@iPR[悠[}IK|m邝'S沊_溲鸂}把q瓆]1a3鹼旖鯶k{韔昡GB莩濇z磀*磖aCv功彟"鰡1? 閧$s鐵哟斜&S"+^<瀿紷k騮 杫R鴲 硶捎7]NYG嶲难0-鬱瓁H]q-r?!醻5v1G馸<苹K_稍哠∮苌%S醼廡棱]"p1敕尾
+覚>皲sビ,崜%硝盁8頡衆幕搄擫)胫){z(k崤L絎BZ咼/噺ai娎%柞焰"6囇`銥 Io浹4-4R鑛﹌蜡傖痑S=D1鏩▌怤媯!Kw 綕鏯Q鶺7v乹f給i+Z洗5╲}鑔噧诂41憖t(FJ?4+\7lXax_萨9蔖"尝饺靖gzH坓M覤脷L吘柡O淩J扦贄3/9ImBB:JA攈)g啌鱗@8! ,―G"奃%0P2
+磵;v[連趑馀$檔{wQ璇^~靅,嶗.撡俄佟痆lssg咛蹳5讬漎葀Yl哞靹~炂<;鄜臺淃咲sOg步+~圯>跈zltv=bn/G`勠#抺)袬m{2摿
+苦=
+橺BT/V:鐔磽豬b梛鸓(┝尃=+K啸2K姹r5f@3:乭L f k%JKI'燞"畝!猦>EH]謀%嚀賮в柔!)?x 8旞
+檪圥t峴'5"誁A6蓗l渉牪.PZF茁壥捼OH膦C\褈対騼振#<暓$<橧0钇YE6凐Q燤璦i
+N掗襆'悆詟皰睷RK間)觩J晿 讙豋蓆i鈯鯯燧y氙K鍹匥諹茒-U才T?眻陸椴涢~奅Z%'訒^掗uDo}r1阉,櫙菹盚+p2箃(k
+D.k擌0A
+frXy丒K洸粝0チ濦鯘}K顬莔<廜'*醥&@`弬偩(栃⺮嘄ng瓜汯J禌穘^s钻玲絡->趌7嚩鈖纭3S_k鄲Yxv㘚%e麢鐭n 珶;缈瞑曃z夤\鑘毶鏮紉 7_|蜯星/\>昭颺zv\釶礊拣漄幟蝠'O8|噜奶你V唎倩嚝謇鄝剥蕹z銝峩zoQ躅浚U/鄯Z7n瑫嫼伐QB酊ǜ 處3%}栶S槇jd 竍dj邵733昵愺糗t尕轩v{{扼窆8痶,阌c&蝸辟鎏欥x笱鯞油氻nQ鄂MMOe
+琬0k擣>「O湏衻敠TfG刯
+鸴?楶8ぴ悠A7T.
+褐4樜9氱
+6L9?霡1]0!P禿P酻D
+傥@ 吊龙奅rЛ韎'T畦,堉Be)A/D殏N恥
+ms09埀~沸 骴酭AA^鄣R+ X!
+0蝨5i T5i%灢衁:J*孄毹砶 櫴沽{R奬耸 t垎粧Z齗6喖鹱F俌GW@Gk奣箳譹%d禺錏)眈.瞲;糤d-/ d釁+瑤+,pEh糓< eW<R鍔}.6苄愓*B賿妆%lQ&媑_"狧Bx-嬯4w槭~倰燦m3郶1Beb悋arf,&Y K/ |/r[顃辢囸糳毬岗iT\[A(萚F`5s攰[ 嫖-z6钉zg9燲"@Jr鉈G3葿A鹏 訖O矺`鈤 [{╘]>U衞腡A@[鄼tH`DXC1唵明鰰@\(罦e3繿BisY@矂W+G恆J寢Z@薮򐁦H瘂 9^厔阜湱佺橷俉2j谼鮏讗Ma縵c񍁒#RWQu敛l0>咸䴖盋Z菛滵8S莚鹍藤冂U5$iMP!埗!DU悮
+┐T5(%%猂(斝mE锜DH R*扂尢焯禚
+U頝怀繘輢箧谨=貳P烮愷X$aG粮`"D9#y滅莌*1尃P藕1 $酦
+豫; b;+FW^r67v$壓^"3RP&摉倖祅8P*}U楂J_U襑暰䶮鬠7谲6S,帟婼碳"眮N5趢9<HJ糜炾趜醚钼d∨\&姤Mra1x [嘺劘 %箻薼联z#*鴣m踥:P诱彌赡 郖-u覑蝲9哜吘縝鵓矧e伏广蜫7逤歐-E雥{瞏]坭燔樔犽摅#?~r06.薵~v鄳眫e6>螋塛啐优7N墲[腯黵又}?}鯊况醎轟<vp龊w踂邕譬#3'5}焴}t_7L$|捉忣?^乡翙罠跗籟瀨礅鍕th咪眠X摌壹磬3瘻};m1湆<衩yrsv耠邗呏%灙鱫韀吭兯炬踊+浪j菌-0N篔%2󐻾q7d耔5@戡踔銟z晚SS揯C贝0濫{Kf' 1Y湠.&瞲gq6縨姨o匴鯅Q淌 圛仼69W;鄇っ7<B捡怺>O漖D?:s鸤騭o葂
+﹤9TiJ]惼 "际$0斄qi聜(4吂`0y+c\^菕!
+ e7c〗伓量e绢
+
+T朻+剉[cM粩{獉餯7涫撴? 讗-駹i釋熭N@P賜閼T8讬谀+&r騘璤揯諺'崵猄鐷_p*苇1.O!囚矵<厱蔛様
+_[灗緁Q鎂硃匕0嵏鯄W狾<㎡寙j阍柛睙穡:#趫鬬U7g=J橻D#md謕砨[,G踩m"隿較D櫗慃e6?毝&y緈},嚈1婧]u摩@記欮蚮Oe
+佑纼佊1鰸0軽 〦1c,輦B墅"篩U窼r8v怠4昤 蠋鬖p檹mzb眽rL蠢妌"闒 B1$鰷GATU矆R.唯*ㄒ6棍扜!B謾再業緞J2*圕聵)U朙\唊6;*咜M汥慥|2捪杏 闧濠*OUySU灙蛟耂uMm3逦釾8U氏蘽-认頣谩 x溍冧.<M喋7.NZ蘒{a跀-f兎
+3o_哈賕庄ワ.韓诤烉嚳}?.津路k]1见籊~笾鬻=麾聀k杞綇丝=u赳幡*Zx鲑粼ё2V.m≠7l|埃> .[曀莩該孙琘
+ 埉8唭 鑽&^笛趰F涣銯<z #k锦8s预仔_,,実艳襝厵擦I倃LЁ嫢,轞溚o4骩酻絙/A饊TF 򂚿ф1欫鄇\韥饐G7抙隅I魡&?G
+<X 絾鲻侗惫]洣蕐湝6勮#趭虥鎾煫vC▽P烡T^C凣,+ PC賱B堲' 8f胜o1@j)%wG沋袘塘跤8諶kD倢)$;う艛 壞Bp&b墍m抭?⒕xB!銲
+0o拿Z幔m踥:P诱憶Z樌#覗:ivk-^H闿~+m適稺BW6原Z娭w 醋.AE~麂朶|牮釁m蘚自阐鶩_\<tw滷譼嵦铘涳]:盔鎧6 =t廛甝粃犰呀鳢(/;鸰霁.篇
+3 盉JZ 楜 2w J ;za渷a(C圴圖殾IM 抵鴅R咬R+鳯4嵍>!1JM+93M%苑39筹7浸追{眄鬓{/W~纹鍊爵沰锛;讆u蓖N飖 斛7饗|觜捣!杭=t湓/?Ol4帮霴~~閰Ь6串f喷O;魍凎躚穖Cgz鈰糹q秭w7翞キ'g{r菱踗兎鹆勜:1婇z{蔠@s秐脟珗4腶骦|絇#藡鮹摀鉋颼w庑豩榵hlj:,忭耦崦輭M伎{tdx诳▎秉" f?衷 ,痦 |;y砍谒娧匬D籵π{譏湷簰珛貅OO亞Eo_邧N缲WvON忇婧",T Z4N:)硌陋
+飅JI釨郘姥胻i盕攝巺鉈z鱃f* "聇樧F胾2'毱䦷m捗龜a浤Y"旊箑邱3荰0裐x翾&(璏F:﨓﹎U]. fb櫸CL,VUY1T倷栒姩鎮貚W:0 僳4:跼QFき;C弛洪霕稲觼*鮃Z恄zeKSz晶猦;In斢遆頶痓F7;峤滦.$c!x点Jml"d皭A^ 次 c`捼i犀UQ书@肃笌-`d)假p蛻湘覶,檊茄牙r蹵忶p
+珛2G訍1トF$'
+"悬[x .!CEC爬廐c=聐牛Y扗2鶳11f}B@俹PV~ J 耍蝼 w魫q緡)] 3
+o_恫1稗l叺侕蘖{/瘄0斥jH\5饗6槭嘕:*w爗/&h稴^癨衪Li|F嬈Hl哛U鮩宬NE1,T 
+U謁-賄
+=V栖輕?}VR柖6<捪(萱8K
+ 呫媯"誑頠&籐澭垧裚k叾
+}#=ΜJ^陇Bf?
+搙t" V倷
+V]咐将=8瑠隿钭Q7l:錒\dj葛?髷m灢蚐秠6O冁)<e洤l髷G賄 25葸鞮w''F秙滂!寇|脟
+齄鐭胺L鄽齇\~?^⺷\倦镊_^晪O熫鍀X补s戛.<3/<鬏S7哚秐痣>~v3v驊鸉w`⑺M?2z孕憴﹠[ g本whh椊;唵枕N饾bS固棘苣%>䦃臦蒃K齇"L埈.シ4珉6|笟H丶烢/T申庥告批旧神;qhl磯L<465漰聆x黟犷膩&捱=:<磉G T肵r樺栿鴙嚰遈 韊盆Bá7S闍z汴指筰hd需47Biws珮啚5纫g/:荁2Ч菄甾2 }挸*儓h研J3 欉姻飝P櫀 M弨0 ,;璳媹8i@釙Di贠e4y 4T~FL枛括 I|<j戹\^筝b阏b饝.&答?悮潐T"幎fb姫)屙湫)R酚 ;=堊"眉~篖QC蚛啔( 釽Y+榛Q0"?!嶞餪#?鞪驌4?鋅Glb犍焺ゞ1y(鉯J指
+屨 6U))B<滰觊'$肠闰笫N%-贿fOC擫)(4纍牃夵凱O'恴:冄$?坜鬧{.g~(櫃 k4稄传 #[2U綇2獝柧曅む#P骬k轐`3Q|頔Q 谇 畷n1 B瑺 /B;偟鷰`2+娤朑-h涘礫閏晕芮7胄雃碨枴儑>枑簼l亻淋洽嫧.Csu鬗坓慒vx皯LVy橘nr樥抿?I薭H冇1鬜礮B逍ZO鮮k^巂1Q~頒伞e子f[% 魈.埖LQ95?'0錱蹧~k襄蘙隺7免x卞p竭暁!亜鎇t竊nQ琔1nT$hPU:C飾萖燯JJ竓膺 椼e縧v(艿夛榔m銓鄝甩i幽0i毱卛M恘鳋虒?Na 櫍苳鹞1G皠=⺁诹lR昵nvsSfx>罻啳4鵬W婃?!'83槏蜔觠篆鮹rvyZ奰鯪码啇连璔赑蹍1mE 儉塔猥堃LJ∥镝鍏鯞纕?楻辚+轿 LL飿 膭%鎾I轿鄀殱砓r頟&1鐜ヒQ哿鸱J撀;鎮粋s fs钐8荝n倧憇K鵫种,厧J+c赯&蝦肧.((
+綺围}綶辁a鞅/添' C垠t颡刄绅P裑珣郥浵晗裺?觝佭E1<姘盹q]E㎎鋞瞴兗戤眴I3;Xw╙褒#g讀帎 ' 慅愕傯呑/k詣狙N
+勵8+簁t劸q5.BK騇t瑻璁2釥 +a+鼺8?餏A/dC笺
+endstream endobj 179 0 obj <</Filter[/FlateDecode]/Length 5613>>stream
+H夓梷LSW千P谵龆--禺濂7JyQ*EHS+/JZP|攅俾6<薋佂M冄 %12鮭$0$24艱吞9鞫邋漈)儃>M趝鐊遱剧攫%=敷r辄庙褂に鲕c--w屙漑]&co橹澁 y鹽鮲瑳級9 澸議pM9燩1!|h聥Jパ漀絸捫-.蚶%4:儔藏l蚮P∏b2粓JG,椙|熐灏澓 Q糷舒騷1
+ 喪2ml牍<0tstl||l翩欣鍨镀3洹"展鯼1(4 Q&e洯鮣;/ 寣迿榺餪r馕枞昆纬鮒sv扲繡聊fU`Z丷M诋鈿禹绡 嵽?蹊胥GS黠];邁害xW欶&6镝Eg 偯b3r藥5u鬪縴琬'OЁ>yx瓮虢M鞘r3b脗l簵cq`:}~y輽+儯SO=阅栲暜3u妁z]&`#*O/勩+妼4ZN6w 廙>z/䙡鲷唁豴_w驣13>R渌A淜?2+隱z畮?x<臺絰>柳日灃鶭a莳栨械誴i錧K倥迨顄鞪qt嘁萜;洬wQ楊禺=\|滚詓 脞榆7逺\|#z系矾唪+棤XN拟-卛*3婁nEq瞊c=;投"+奷5(颁J壠秲/y4@ダ^s`錃q &>笹PD瓓慿0匷舅g
+y&Z崺U*笿 おX携V牮`2zh*b1j澪9Xw*GS阈跊礢ko剖Uj] 6{Q(Duu3M\;c>>厵S9歫噕蝲伓Ev撌败=谋昹璊+-*礣槶G8/伦琙!娔8b撱勀斔鸗脺聹a巇A乾もU>@熣8&氡潢* f*抋Q9U忠Xa&Z檼:遇"搿Sf搣擬g煖 T`:e磢v狰岏m唎$5眊漠!禿zEq庛敘
+ 薂憒荲S`*S(禈L;余缡-Y|
+皪睭匷珛OHL茕F鈛Z"R pY `s綤$吰`髣A〔(M苕詔}!+k墒2d暧S7莍B汚L 颚P/X⿴O贇暢'蟞趃6飛f>1oON种攛u$貜荁慁(W,壱&閣錃
+K+觋CnY+薑 M;鬒(I皭嬕鏴/%嵙婂)踲+<鬭砩>n8錐>d韲*K鲰迻+
+8 9婭,%嬶哨[d9R尊顽Z圳6谯Z5駃CK艳mD?烢,鍸濼7Xl豙l;~牦织:豢 .\t 犘鬟}圯鵘腌蹔6玠辆沼98妁囀愣.凑~r泲楙刘e芧e嗲块8鱅p髦8y?ハF幇}偆赇l撳xck着~骗[殴教En莺耋餙?\靔m<n1e'A>ld6r.E2╥h殪捡素萁wo翸芑w鞣_莮1剡圪襊s`O.B$#w!
+嵣踹澑蚳祀 鼵非'&cj辍蹣汑泖蓧褊C峻b軚窖熕"p馪h(o僁暭鞐{P狼yl睮HBB ! " IH@D@+>俙1< D 膅泞禢禃Q盺央鐯}L鮲t敧sSu趪讴S[嫶p-纂濋=粂疥憤天/3a縻|琨澇g7g隧w>u瘾[澼w{~眄絆浇况茼罴跽臩噖m礂%-!B.B脸璞%燩3gWp铵g杓觭服亖Q评@o鱷顃薷z鲇殱¦+x靉朖Mb杫Q阒齝wO_?M儍<栾腴胂O磎m\d蜫(=-唣犯Dm蕿W魑焆z >%>栾/}v`;u蟛M袹 干潖"%摂Sl]枫嚅/撅痕7
+1:声譵o;v鍪鯖坊呾簘篾暢勤动[Z?W!v柏潇U沎8{77nQ纲 穘|s眚嘮7)蔔 擓摶峲="( Sf-mj辳桫檚痋紽推偷u籿跏舠g谟艽磍C擱"@;7鈪B畩K1W僮7范9辯频 鞰瘗螽]饳庛G赱涀郢9)q*9馢岜  撾1ょ桾蹧6缝囵(>w随&{uI~篈柘鮴=#&噩薝ZSfAI昺斛M-;w磙C遨贋莼v4oZ口VUR恑要鋊魓誹N'g曊豓琲陴誳猴mhZ陈VSV敓檶G*σ夝D2L崨襰 -XXc]b玱佰硭(jǚ-敝,\007輨1檲噚糂挆L&/
+UO02/]`睌Q喤矤t~眣VANF奱:4H嚏怱槎$'摿
+腁JU4nHN腿饰潙棗O!yy3r吵2R x碕$p 烯tL&∩蔆#4趚1)yb$JI櫂渄郧k5◎@煍魷J5g9<aL巺G51盳J裫cc4陥pL. 8l嗊0I& A鵅盩琍baa蕝bJE癓*騋5偆螈1'娔4 T*媱a3龁_nOM撆鎝Q/
+l罩*,玐\VY殩m*/-(铜\Y阧U錶-歔[1)>1\;1%\o8光儒<岛2蓎淾YUmu-n0Y燳;)庨tF,O梨cz唭&霏缥F?F,乆鶋dc皹8PUE$畎$,>N缻枍麵鉳炵轼zZyFl碪_+==xO
+躟$┰{ I牾^H襕z/鶜zW狭6舳赾屁》C3 江32!<!<!<!<!<!<!<!<!<!<!<!<!<!<!<!<!<!<!<!<!<!<!<!<!<!<!<!<!<!<!<!<!<!<!<!<!<!<!<!<!<!<c损5旤 糾4' _+跗勧k" 1a鑥7& (鶞z迴|!=%_B廕O窖杽w=蟇膂
+UGU欹m┓挞z%岓嗯33揾狼 q鲮DG姀彲療__2箝yDH馽0,泟舃2D嬗驁BD
+撆F8\擥俽汦$鷮楩騟0椙娕拃
+﹫蟖伇y~@$)5竡RFN~sQ憏NaA钤TS|*$P腃榽炁圧 6*攩╞)3f蚚P馰Mm恝闖K伸缉 趫怈!蔲x#J8塨|!5话あ侄|u阙祶o痆燔4,廱d)'
+
+6ゆ伪在譴卮e攵m[7扣脯<#=1&<X藽<9J鵎曣処箂+柆~w薌{龅}黴宽l薷fie阳珊(L觑Y d丵墏莐摬f/i艽c飦GNvt<~羿緩6U虣<a|屘# %!j]Z䴖uoo鷎垩溈p橐咉禅{る$|R=,&?04茢?収椃O[瞐揍撶.^緗辄┟7.澽Q醓カ$!韧##衃<翿*v緌 }糗諞w钶粄腙鵦{/溫鏶g*- B'瘓尯塖Pri麑蹚溁~镅隅蠠=緒泓+gv敟;櫒藠餽聈僌TN犹5,:w}Н輠突wo_=眙 篼3幂5錎 ymK彣滫IK筽玾?撣弆]2>'视R[(耗tb驔Ξ貀馐>}黼/頮=眘糯纥XokEq$]纮W缘駢+l澅j鳗霃^}巷_?紌t炚3Z嬧|l%郃遗- ·g_6k娃37岿繇绡_?縸z箐鏅}kg')Ipk曳鮇(闃絥筟O邁膑颻?縸z胲⺷;J齦跹t"YY呶?贝cP譵d]废X?С4堰N_]]漵8/?~箅菞w蟦?皛ngiH$V]Is伜 牒C挳嗞贎@WY畿 Xu曆F琢 ê.5猭T专
+endstream endobj 180 0 obj <</Filter[/FlateDecode]/Length 9111>>stream
+H夓W{PT^ 他﹤d/鳛{钏qR妿vUZ`詺h>覛闘孖1m砻G:獕:3h玐1>ZGi3;鞯.`Bf2澪pav稞鳆}痵具鵱]麊師緋?~襞蓊kN?癱C{]ya翗锤劝酑噟 !C噰E匹)(,D Q儴A :v蕣:u鞗A祄乇縊T踂D<~埽■?錸郯P]fT讚P*j}G;划藓鬆缪wn]黻<麊67AP暴YSf赠危鸮_飛桵)菾崓 B裇f锥颠禁压蟦蘧哀玑7瘻浇k]X6F賐S2揔k柇蓥浢灲|泱>|p矬椣<蜊mk[jJ'訖┍
+ 弻K澝柼,]祐又;呲絳讻;^yi蒹思驤熉筎JI薂)!F'屓 L-欍nl{7m薏e驥/甝蒉T_=%鎻ā4g鄙閏略馐炂栧玍痀锄璏媕狫潩kv9嫲千dd珞Sf虀v﨎蠏66~隵PU6砅犌eてCV&W0[t|J鷺<V*滯ty鍦陏螵鏣>S2s獙虺3RafW3`2清陈ぇ\3f蜆6u矆9Y) 1戓!Wn城%ゥg幩s/J(`枮0>+cDr|tdx鑠+刨搬dTL|R讪裏巽sr篁騬莋彙褿$'内m*葌襛釕鰳浯R3F徫52-%)>だ
+#吏R)泪!魪裵H狟瀫1磷桜鶣~57xeg蟞鯨wv[,-踄套偆螺犄敦mev[涊芌违vC暶屠疟n钥j7亐X^i穉IA)枒iY柀&婰燳,c
+K统
+桬E+&P2b蔋G0\+0等n踕*'棯灚躦8[挲 緁w薐j"慚"Pv忀&鑰靂揢く
+堟9"塮xX+谋,扙5)
+# 泃罢秞Q 妱囀睂H,餠/ bDb 靍Qe蟩4D9P椝Y_咧T狍粔驳榶J釘妲bx C棾"噽-q琱N.悲佨借悰28 刣郃燛?槴<K4嚁J閄懹摆
+i徻
+!IL:X2橮太#櫆X#6kzeT獢灔繄鎈(!D埜,=榮晹%F2柀
+Z:N棄4{,%Y$NZ凘冢%P孻蟠膓&3喞湱&價葴襶訄a胝S1t魫 3羪蘒蠰非K]亦Fs01挕T嶦h,K隈H燤h憫h Y竴jg:X+e蕘葯7麫EIL儤猲襋茢C敔J捹~<%10kr韪昕嶬譆]渣-"腻犄0 ▊2熆耓飇駒=*K鮓絺
+qnQ9媼Z転o貳緰&醴>垻铱惭[p =Ih/葨@鋼l礍Fo閠, b "D艖伹<!h'T 邔 僳菎:歎('俉睯坓$羠S:堚生]碓;ねwpF鹪r;i%豘2α<Gc庣翿嫄”腷Uh利掁+3*M8漈泟虗墭肂&哴杀A巂稉9!H謔Y0藪Lp-攜Z`6卑%暰Y灏Q砟 L26)A, ae ' k瑵Q4dE鹇迋>E胜袷0雸彫×)S +S紺pWUs郴 zl敝2靫藺U踅奱eL_
+Ewp05b"炚拕#殨Q&萈2!1% "8顙蔀+\9?$3帟劘If#:+)V剖f嚕乱噐M搳嗟I澒V櫾Eu 6&LjX#[a軹@T簮 N"靸)2W!覘咷] j`啔糰猈
+┽訏 岩頿姨mSme腙犟:J椻+mCGY暜 |〣齹嫿榮薢j癀丁,Tp!炜C椳娑抵vǖ-缔ZG醩3羝紀賢T(璻暒Z锻U鑀4%>$═GI3鴍冮ōP摮8
+梗:紥3%>cf_護鸹Q僝"Qx
+)綔52晹蹵H韘>絢N慠7汶脧颶陃Z
+oD嚚b垀袝'絺
+酭畁.M 褯祕馑1骷K4讁VK釃g偆$麬暍2-昛镆脟榖 RuCM杅r*oD_(匧N摼TSNU燧81:=眃奯滦S *~fn(禲eU玁譊,骉+;d2 *|斗幫塐醭O'A昷L_aG{`倞餰垗湵Q,FD枍寻 A匘B硅要燫嬺
+?TPI╥褜|菱T便M灐x19箤芒誀俲纲-浴苚@P!皇痛曦 xAE>H
+{zE禐Pw'4暅Pr! 鞱'逛袺*6:|vㄈK猦膉鯍Jj!蠦舆綯UT)酀^Q瓱,+*]龂8sG/N*mKシT '╖L\ -墓
+j&湢0U-p1銗$Jyn鸡燽熰囐柞9U9!P纈沄!塜 熣6`z
+儳愛)Au洔O餁S性瘞>劄跺!玊H崤耓亗犩9 5餟弜%鮥紨喴Da噱*zb墨喿z衲#呍踤zD:呓挵[O\(筱蓩s桺X厬鸷訣"a監詍g#"f顇q迗鉠穐0韾%籛1贡迣莴U0瞸旤S叡[*琒S" ?W}軠垧n愚L喺Q羿5cG ╥V5 洠/
+D~颛#茢`N侷(2蝓r 1虷dス|jP葮0騈a鍈 罺jHMMhP,kw8Em>Y}饌矁i雛R韉>y 挆,<E,Q厯E5 )髞5斐<T酯怠j泿5垈F吨t喽偲竐Px\苡緸Pw'$
+蕓-4XNgs蒻m]矯E^}閆|瓲*[+-(儱V利:缏Z+鳽A5旟滏B涠]r洓譠蟆旨詚[>懗??⺁爧猋瞌趐!m褳:搂n a甕嚭'⒉虈~0冒焱皝,婥玚%擐Z初槒1蚂膪u镪抚鴰0セ孵崀 /趈腅Fl銷<yRx" 4羼韬0晩: ,u裪5'|J璞扑臱鏧舊砄侔SF炍
+鋮3]JK7,谀崋舖3B硭p8 勌呓"]@p8>l駩.:X兝拝憀敫逞岚nJp8,>a 鯵*忀6葆p宗覆m喾Bq>9w刊'暂 $翌t扠cP懬8鄎株pXkU伪蔪︰q糕 黪3櫏:諶aF
+製:2b ]6溼)碶B厶'/F蘛B%kZe蓐O涷j薱=娸oN緗眦 U穷9tV
+眥扐羢4Y>J钌鸯=G'罌 帲S劉]蕅4
+瓇氰鸼t饻筰 灒S搌6M庌j鉽<A,邶'Ix旀P郠2螨,潛<悡;?櫈ド纃iv 灔9浴茋愈哻z発o$儺_H钼h;犳E蛻烪钜迩崨1{砬鈠鰴豫R撸龓5r1旂 杧r埼楇躉-崔n[) 2錦]協f6鉸x[闗粱1l>/郄q$Z=[66C柢 虇#埸翹倨芩>y趜胤n)争踱JS 俗蘡鶜僬齮^缱儝%且迎?緖|v嘮`僵<-k醥i磶袳6>椵6s董7?廭]傀绔鳉摝刊_u4廹╥<㎡>卷弤9=/o^_]惘? z暉茾2簩O灳}^蓟~1偰倓`玴皲9>珡~06噿硭Hq?2~緔/t舺荦x堻鹷颺^絶篁蒗8暠gz杢o>尶?_;z颎<1!E=簔霁mc壘 浮鼷阊谓竨4@汊嫱%Vv獺%穒=Cr桲[帺~$2 瘞喢欃俪sV踷杰< 6 &呔t#覛.优傞sIm;誺\Svο%說3]岆莌{s谴 蛜歈斛顤鴔D幯 r眮w鮮Tb嵼A$蹁 餶UoO7嬚雰Ho瞆;O4砡ㄝV驫y︽戣擕x疆繌夣Aで蚂鮤~砵辜>n6過9曚鼼O*SE陋縇j咛嬗眙咋毜泾訒絖葅!黻正B
+;w4▎,CG7铳郑T揶Ru棉vj姄LRQ絰鈿08飉?ィまv^tEヘxu慎R愠:覞\yUg昬T朡%筩脴qR鑍穠朡YFe昬T朡YF=肢YF頂Q派硌 V寂G绅O蜮嬾)t距B據5梭niU閸s嶒"遊訜{犇喝X俪杫b'嵅&思布蓑.嘶蠎1no@&牖Hd}楑]謜Y=W}鳒鯎躝?i)溙@H7毵瑹瞺5鶬{+赐)毵脵怀~竖)毵瑹灜~<赫O塰b儱瑗T粅T?鯍柘'△蠴jo櫞Le齮 ;筞左s}f晆粤躸攃J超2:滪;毹矌:曩(V垹0l齀"J*'uT瘡濸Rb/%WR俰纬:跐讨玬絕n矕蔤*k┫捾眗;a]朢YJ灔T朢YJe)鮈ピ菅 V紖bbゲZ圧[7V
+ /i,3pVJh)
+@臈諮53溿 蕦76P5勋8 "枌h=纘\$=}:⑴f蒮\心牳Q绦 S獩@D#42<&h_宬Z觪
+袳
+$3Mh+#蠽,X牠凑c懚zǎ噥~釬'"岘5媫xz込袗{駯惃懀Cs(Cs園糪 饔枽0 Z(*乙李Y誰9]%:m9︿o齹徱j&hK峬D-痛 Qa.;鼘ot畑N!短z$M;?z)汨y;4%'觱覕珶謔G叶L!1*呒&@ 赔<乸>~8垑;C|潒謳离a曣1鳤疊\3瑪栺辳to嚙垍 蟂L儐- F'幙8婟zGU)7糦$濈%z轀厂毲嚘褕5仭]N,J孲蛜x9崡鏜$m蕉1f"EAo翼汏Ms[ $'/跹妑P[闘3^ =峮坤攦8'#F珅鱠Z摂 4%AN娭靱=西醁%肊廠C鈢SI戭C皹浇2)(n&j蹽\壇換?Q'钄a洝=鑻謱凅_V徴桲种\W7嬰m|u餺7箜u嘑跴,"@0秋邷x鰋熘潋}鵱y3濂箌YPy8J陋{千5淟雑黳
+鸞煛| y娤衶綺汐}2鈍勸衩r僕-v阯[m傜摵>礇],鐄店珼U鴻>犊遃}J~⺷杭 縊Z坫wx鵭1矗鹃1q摎讨玬絕n |恅浥搊_:iM椲嬮bTb留工稘謰j;);隅捼噅硻.契c=堜幁W8 FY噪籟馇9F蹆萹拚薗5v懺7蜡U=Y,VK"缴j絲< 壹毼~[虶鍣D钽鹾㧟>& 匙y酮弪Ⅹ=踻{矜|T掲>M 2﹠3汷酚W洩 mLe騘H粃u繍挛D0湍?:诵淹{(暦稵莅窛p闩-磿#叅Sa%:O 崘]Q=R姳耲z78杧T榱瞇卑z\斜輦N砞侼+:豢⒊躗輥鋔]汐歡A]t%砡.璵A
+7姅逨h塅曖莧T谵釷y鑦e牛模模^邃鰬焹羉圦DJDj:繣ま℉9鉣呝厫 ',N泟+ p8$酢V琛撫*:N嘏res蘿馰軷z"]翪螬铮< 蛏7 D8q偝%盚!$酯V*倐PE\闸ok瑐湲-沏诘V
+r鍝e恠だ毹\5橨B1唩だ騽蠦*w矮O槜aCm賥>0譔榗>F薱~5梷\涽5使wC磉綜祌蔽:fS_i袒鳓緓久C9/^0糉yCS纡)};构]t恹洼馘蟔媨瓁`n鯭/v鈸
+endstream endobj 181 0 obj <</Filter[/FlateDecode]/Length 2284>>stream
+H夓W}lo溎I.$$9>R6憋胈G !pi臆媫8.;_T鞵'1P 涼6UZ:蔋眏+$猅U軎B涴荘+ 罗;邼.鏿-1引"贴圭y铟硷s療#忚猱
+7,LV苢W嶚#"Y菡脇撘g5磕rQ欸b砈z鹉岎y愜媸鐕帙}q楪|荾(葏嫢P鄦E紜妸%9`Z1"k﹎d稺炅^晽9牥复芫hi鯅诤汉z‘秄賿匬橴)桿,甞苟蓞8頥0すqMmUネZ(FX奐+瘰6;;淮桁剀穒詹什馓C
+,烛捧M脍zB;v&h歈僋礻'{怳UPI!Eヶ昶u!媾龂咷巋1|綿歫禘+穸朽粒o?96罔塩
+絢枖[2,]鸗s瘐馭餄潣訿廛櫽稂醦谗槠e5a叒6區<:?鵯覵?:笪k宙
++_z仴木抡禼>滫麶*uM嵲/?:}霡fぶ^"D栘k编蜟沁/7UHН3'镪狸*吪晆xgb傧甛嬷m n}s怨厌D'畮燝&閇咿燕踇樵裟篕袳熂楯呔孱躈_89~凲E曰>廒M綀沚劵迣0#3聦0#虉!秊33錃
+蟫戼%`䏝 涀泗>?霦Q'A窺8〈!N胺靧;q{粕媺! 賞#|D6徲隫趐疯$ノ衛&#g抦n愐 藱貺f轳$抜J6怘瓻采諍櫝弑l"*丏; [d#`G#芑]竛, s1&!xo{鄺,xBrViu糢甌UV塿P旳擢蔃"l,)^;韕`恈郘桥^a6帊裃窱v"L
+‘$蓬"-訮(銜l2U@漟h貎櫔=雡鸓?摺=F>菚蚄 (徨/鼊翂霦稇1m#籕F#g稭抗Q亢稺圮8娢鑝羁咿41?ˇ$Q椈k謳"t:IQ悸(_i澦湽纗_嫚hg2钄煹q=朰 D<夫-缸朔闍廜葛喔O賐~鵢o 玑pp慞o燻份!?Px#P甠責鐤_S泚b6)8s.斚欢Rdv鬝県?'荆&埙"\縣%骬唁蜌咲摣#赜瘍〗卸x,L伀諴5曼9褞"!xI檃譌IH"<`K毴悔iv$鵏r 淣&B塯諏胟鲔妻D$ňA帓(骞ю 髑枹YI幅nh蕱4泠n2>(輜d|3T錑 <_憈緥r歝檟2qU仚* 6(媽s載訮a掚肦G睶3謹㈦肦Z侸&扫眫攠螈8"昝0鹘帞( "e呒(依瞦C ~yQ=vS,埱⒋÷辻Q蘓筤(U檥dx遪t腜滼骷(魹习/逩厀^攪H肳晰耇<轒礤趽mACEjC氭R树"?萻;訴#$G6')仛憰.抆崚磗yAF 5滆)u答\觉鳵曵V証n傋H监獐B蓛(轄靪2
+Q 輜襊@:蚘D俙蕮笾亚5%) 魼妔坫髙詆J(SB逑鑝J(SB蕯P﹦
+n=:$(豆奎,婼,d&奢L4脩5
+endstream endobj 182 0 obj <</Filter[/FlateDecode]/Length 16119>>stream
+H夓Wko廴.@a!@!r柔E>S肽皾]婤`,芶+%e7=w/沈#蓼M灰@5C迿{罟:粁.犄+w瀑t蜮En帣檉'隋f祅h赍b崎$樂O⺄4畮檺3nW3ze父)}鶊<ⅴ藃,皒U/腈},/忷m!&勺XV?更噑汪眛儋﹜$>瀚U/< |7pi27闸z穋茩r櫱Ω犈繓缗炅'掹jsST氤*V珮N:f耒糱5Vr龇b宫e2窥' O紋V. (y摨2*'钴键n叿 钔細熫`辎X痲6澘幤[伊h键寮付嬁祾/嫑%1*x聶y 赉:猴派蘡B鳯LCW_wG->暸霱]璑a尘柏rG2睃鵩Y4铼r嵆鹒N[UO隕蹦忝27!唊e轡k槫^n謫2镏辧n~?緃7y{[T楑O鏈跐9屍Y绖
+ 梥焿漓!=h+蘓偟凯X扐:賷?=6鍀Yw嘢笞M馤vW赿尵蝴'呣雞QuG<>溝N/h叽Z孽 峥2詤+癭Y_帆涆V31嚬螝"劣滔,緉晖鞩酢濶^Z呓l+<赊Gq祮幂纽\p鳞D-*o榊8b礓忓'8筹ae榹娯
+x 姠0襋┤Ue創Fn銬"鈇BH卆 T>h-C7〣r漣T':謶艭Z
+瘛,柕 噈}c偾lk;7豤邔lkll+[叻薤實冝耛 Hwl,FvvMQ0X圳怀t竐椁i7䦶娚}牛賕毦=偰p嵠県_薇蚎谄 猢g"P(:癿薘鈎衒p覦6讟偪3緄
+C肂j !れ3陇笘昏A( 0壎窭2yLL1q郀P〡B≡旽树暽C戃椛谮+寍摥翰堶仟-%4v~捖g[凘%
+v幙鶔u幽慕8埢薊裺q泹z\*鲰徒"568辇 敫辟nmt甙秿托掷榽圳杈m桔搌鯾隢鬢珄wuz鑻iH$d,:鰆q戤^;j=啰/鹃K樷
+壓荳yw%D馍>鋴螷枺t塗墑侑绥'帅ナQy:镈Z%F3翼哽桵n軭匃|9丂,謷艧9Io{1鱛LDdV>RO輒柰0$>22 据o竈(僵
+<囃S嗒筻夝Lf O唃糜a qF<%\8钾+WU压皲7z课s釒o?髶鷳j飬;t{瘒р蛝 鄟E宍"4债Pw郟癣E
+甽< s8?s"帵 昇l{ |_鑛餶鴎
+ 勶( u
+逜鴧铃喠俖,
+.z鶓jR棅彔[.夙j狹t珩:抅蜴H)竛栿襸擖5葏/91进討ya蹭蘒钴锰"棪Ogy鮀)4 岆G#史y7輿﨧n_U磒躯谉U釒_齶氝霛->J澥逨渠譌\盫 嚋考艗 h姽.笄蹠彥幱喀误韷~韢=萗Kc~y菙0髱 *體*竔图泺兯熡莜哄OF绶邁@雩煚A 瘆糬v` I$稾+於贡,3唞赳動=0x鏷竔叧,. 坝砳eK(JH0a廹侎 譥`线揪#袢?YO|氥:RW吿鞾`熂屁/掼履;?
+偶)5E据c擪䴙捏灢寫2奸g ;賉f浛莓誹r隰枺&>4
+Ш砊ME7)*ix抳M矼Z酱X驭印-1攇k盘穟优仛真綯碦_)JVΡ暡炉眖悀v澹 窍 5)[-臎7с8l5習唨*姞鴓
+吊e].=ㄟ嘿ゴ 陉U\yVZP'Uh5"h j5鬜,韚>J%以w隨虊Mb髊膁l}*F焾O軍?僬>)瀦遪:睙ゑ1詝劗k壮tl]户+諸Z沛咆\匍鼭󍺵G渑+V>箤N蔏R榔C僪
+K畬G&v摠嫂7ys⑦>蠊NS9曫兩O奌襺鄒Vz4霌^4搖^J28详~R稴M4緹R淧y湇潒澤'" 肃,'櫈b擿燖蓜憬k$堁4S歜a`@藀{濃鹆}3Y廾+蟞(嚔3U9,Ⅶ衭6秓重4秂N煕>5xk蚆煔7夋脓舊;銠8 螀蕉-`蕉.轠v謟J趸s珡M4絳缲7t:缳识nYt壞嵔?镧iJ 4%M襜蟁枻槩6エ涧(螾
+蒳#=5畬揺レ院4峔y5禀!腔鑸鹦Qn9蒃g筙q5钘Q-箹>收)嶂3i pwt確寃I架@KP铼-e燠聖鵵沇髙趗慕u岙遜鯵7[2诚顭oO袩?涉[⺮嘳磔舞+y檯e恕练{薛g〾呖9醄鶜/z茋煰腙40粲婽U<W礖VCd覷U綬簹/蟇'琤 {×yh'R譅5)i-灥缎:弦o3,u'W麾6UR蹂姙jd0閤,5泦嫎留籊&<昅"敆nk(l糛咾=巌ぉf匣杊駂羔ˇ_i@崏譊W叿.p賃幓瞼(Oz3oф昳2pp.ZC暱7y=舺j+襣抳e鑫x塥菅詀腭身耛琋'筙V抠馯P-鷡賩t汖O趌4叭/"Y盯I]'鳏北6I挐Z*綐?6A溽.)偲[%魈;=虯wQsR华(诡忩=辿燧稻槲^N鳨!环塒胤 =K陽蜖O 鷘 鳄踥骻訔u頤g3雯闾9N锥K,6o}涾&蓺储a渋s躥' 渏邰o姳OXl堊t質=7胹+`多骀辡x5=Z~黫勠v忢*W匰vO 俑w蟾;59J'頨3薴査r0慟)9U蟐嫥.笖2+oY乌潥z*NO崇萇GOM鸾-襌q畾#"Z狹糆猹"騜Bi埨&峮PZ躬#R 蒷崿"碦-闦喩b&$򴜺棷矫檥譫冥V誫&|v幭悟=N酡撔h溸k廽徻珼l[郀|葉+SH鐴:J^Ο*霾]攄:碴唤飠?卩侢鴿:?t灷鄮撛撰夢x*璣WY@浧>5j峼5*GM迎芠 2唻:u< ^騸捣邺犼侬窇+7嗥捞F5;朒任H6YF篶4抰
+蘎櫪躦/忍晧3e襠剖|:W盢摣ST&S5d畵1>GQ礱啿㈤
+/冒
+毱庘z缠芇KDtt絅/(+.兕<哌I
+C\$lK豁1腝.`9屽杨峮01殶A+臙?麽-滋縮廇岈,遚Lpz噻<1赴)饴)啅誩I385${0@纅s7X
+V唸烣Sc, )苋铵u瘘Z緘Q茂B,牥僬 +虎q6q{詅"w
+先w 嗗,蕛x ^U[{tkl3}荱b#俛 %,KL軇 /"誴盚夹/>`0黙;0\5`xk挩噚羳W銋揌W馀Q芞銢懾$睧 j宧硤
+嬚苟剭X肰朄敌9杖a
+濶埡啯衠 [b[@YC椶 琡靹2_S@<泌碅\-模s_9镫E樔Kg-g靀8衿拿訄(x競堁 :GD脙﹛#(&!⑧!鈡榟╤煲醔葆0 猕癓怭窫撇C嗩8崦W|煅1W 届谿u|\"膍pr率1滥 7{霯 5g樃央孩迶〆k峕 夗鉧臟;譊 或1M+x& 枆洧傝燷籇.檒佔垎+0jE9t@_犕c!"
+<蜙e:0谰埖拉6Jh蜙uP顎v 詋唾B;1 8r撯檖1A8э o榵媚&
+!鸪剣尮繛谅 & 鞌罵Ma蟾
+夑橦K禤5W礋/J謍y庼#CO!Q豷阨ぴ敝,蓜?0钰S&6扸0网
+?;.L/^X;H妷骡摛&f腨緦東脛僋@滆!I轎Y0tYGD挾V4Z9穠jн簾獾擐s誶氻劌阿贊)邼r^9}婚瞄挢玘c潘w+基拈炤=表聻~藠_^埝蛅?檼]媐6檻紖缳:鵁x灜銇箒缳:鵁< yp欞檛汈歵涺泃滛渢濘漷烎瀟煔#JJJJ'J/=<f)=)])})))荸姘;茷眐;寝眥f=d貵v捊y魮eO賃鰰漞o賋鰲f徺e鰴滷'8$t=g譯a,n梸7 A墐钹遽隩+鯅紹-咚k賄X言医T+鋪Rё茈X+鲯瘎迻n迂;酆雥廬飔攵=瘆菉乎繑牋!a閤;*2颔藚耘嗄,椗56\颼篎- 颣/z兣姍依w晵[僫诪~`疲痽溮j隿鈛雰鹓諫6堨
+迭Χr]j+华4ov"t.?v#Wf'n朁籔.FVp裁$嗋H┃憆树"侻宝LG報$⒓R;D娊X篒蚛眗磓4qb岐y踆7眒4mmw D6Wi蝷騂}R!)懺樌72緰y0a窇銏侒Tm0s磸槺eY镃8*匷ě慟&+gs缩<S蟪%,廜T~Ζ}uj礏侸鑞b泟纻P(い厊
+O榴"5VD#f
+贎瀬m*剃%*kt
+[ >錿圇#[纼.夣UJ呕"筚-6穄3E#zF/箄峇o曹 誢鏢K:礫:u涷攮餯臨潬鉙u9顠鬔螊泴W^Kw炬篒rS
+l1
+忈牐J 敻0HtdV鼨脌训濓〔巤ζV躖ㄋj37/搬O榩袄茊_3峄y|S7U}S?嚜"D臐媤>武#飏軏舰YΚ陱 痴鴊 稱責X肭'膥衢淔 慒Pi#d獎N: ,Dj愨{ 筬@x偱7倁昩苺$蚸'D1H筆-8M9僎;和峮!m v7A鼛`,Hヒ 乼
+26f[礎9F~亵笉+Qt還7f6 Q%q6>颊[4t#萣z憴弚XEJ攅w扤0+汬`8,U漿縗P#厥n1'琹 {^鸶 fL蔐煥 :搼潔6騭z倲憄揣(s擧偅L抣" $膃殧╧Lu+W^
+檱:癜'"虬<d"酒D"籏鰲膛层B '.}1锶0+m鈉O$危|l頢瓅 q'+10眖砱g仍\0駬^Mq.棐f潉m赿W倾奊腔湁觓誔瀍#:闋澯 岇鰅裍呐x碛姳括昳!r侱<掏衒a 05C拝^F秵
+猷膭1L疄牙$+聀30$恸2塌玛rz@(莺皩yU![YQ5/*=HwH粕饮0/泓瑏䙌q緒;赈◣fㄐ褜6P綖禩2扑eV(uF#P1鱄誟j咝臰F躟;==j門C縥篤#3殂@7{z谝賳t檣t 4痒@= t愢嗔鈋&-簔桠.簉杪‰(雴.瞙5C ]1t列魾 ]-X,牷價陯壼▉挘 蕚RZT幽yTw&頛軝3馦L4O釈誧兄溸x'>魂l狦j傀玳崦欲䦷扣96摻7O_櫠9Wr鋥=V)_幙H
+矅*:iゴVR璗/瓨巔陃m栽.汅#>╱F+<摁]妃>~R讂5骿7z~黬:姫6N憱*癩朦頙1]?Lu''瓂埝苸洛~x\9{}\9n藂旼慘6'[ ㈱;C薁$帠溲揁扝",笝!┌翏YxL9.xpr孨F岡bdt 崱暶"亦h烎vcpt 烣菙咷u 瓕焼-I,泆滛6帞燙%z 厛cE 7悊K忴L D=鉅徉8px|,#帩8x&襜%q
+q#憅妻 q挬嶱: 蘡 49C"iM<m猰fbY杫橦滱V宆'?嘂j^鏅 ;J荃)旰sM姼E肩8囡=|鐎袼O竭岠久%~a 粪%悹g\tx仏t檣d嚉轾圞 @徰锤W5dTlT3攲杠衳ㄩ`轻柹h(渜!蝼杮9[竗牤喡妋k芶G0N[hmpv+v扜 4 -档臐瞼霾:Yg鵽U輽3qg馕71褱?</翭轌铸$+y炎c窛Gw 輫t70\靴璉7(荭騨%5n]i殼鏲壶 谨^R瓈 '$4挳j錋圶$塎捾肑Ff#討鵛 亶A秴~eD)&N畱Bz-HJ攽拹=%{6 胷艜#%7﹢塈覕2盦Qp Nr/9-唤琜嵇攔蹕6)#k汀揺/蛇谙n逽捀t佃玧?:涻恵籾7鳁. 鱔粅b7G8x~aUOH巔N譣a ;Px楶#I漉FD聊e瘒bk樽Sn8坜稠B+\薛)QPp$L攤崈x赣晢yGC/4ㄒ7璯6礸絞陪e増窓萒l鶺*帗+^L媜ア5)`B=!rQRqJo阮'F>&姅p$1斮 &儐TP涩 4窩<\膟韓aゆVf痿苎閵畮t常嚃滈櫑S:3O/]0t V犧=晱57;0V;諾]7VY7VWj+昒J召+<=躵賋%噓Jn堤踉阭淫I/揰_縝f偡嗙<;j喋E*誝xLC,us辕瀦潹養Q 醵T萘3s.xz枭Dg蔳钃E 幃u粑Z&Pf儕,jrt海邽z?8( Z竝醒翀Gb&敾0儝-妚h籆t?
+_䅟O箅箫_>>~y'}^>}~蜔齰刊zy>J滿zz羹<Ux栴鼼謹(拈疜駯归(菳帴 U帗锐 GYHR掑*蕗(鞑軇笽sg!O竖
+憂2EúYv$s_-箲際p>萳鉄\R攖#Md#Jザ踲醓0J,W暕d222⒎jmVi字⒛WC444按p`譌F JU$諈RRQ┣_zaH慢斩V季g)c珳$態7捖濮縡W坆琗MF!褩fF7R﨔鵿H垟Δa環T GA}"=鋢鋚"3砦1!$騗k蕏鼓"9
+{/D- UE畡饢"~%,1靲0U阶
+x5鐮39f畎,曼 ;澰|&稒媙3 +鰗菥o秋~ ?脢sf/w→7+A -梫適F鏢譄蟾X蘃撻0 F毻偙椦5袽潵1糗庮+h&`=褲}j1X5 丹鉽C?痟賱恽-:_傲V(h 瀳 姘`(喙滩a鸠鄽B 2
+#Q褢#廐#a醞`艓#;¢臄萊'&k-刁*#)x`"G=噹N8+=咳;(泞判zooX瑝G鳳+朐
+%矎3鼟 Q?7'7>嘧?;g
+$`谮>S欷o}'Z覂6O: SHhot&v- 閘偞К胒x}~%棹鼭狣2胓;骄欚顃烉nT.聟怎I/g?愮k 癒&皟揮. 蛣髷
+6酁
+紖饓B1Mp羾b萢  脆蠱t亚S蘱頨Pb搇)rZ'v
+cm[唎杹]搈Lu 謙楒榽懧蜝V#3#
+R相&/叮6 E痒戞_拹嬻hrO贲郾 `爙i誜*啒那>{瓰Dm閶 鄱b.k獾"}3=b竘韸砉P膲.Imu軄镨'鷓岻褧)餌蔊:u 髙鷙K鱪8&[z◆=裔澗н肤龁D3草6臘.D!蕥栰h8raa籐pI$aI訐8-捎p4+幥6毴F駥N瑤:慸8嬳<浵"虁潤惫R;眪VoU沍嚸j\o剟妎觜龏Ssj経氝T隦7ゎ詚WuY '9m狽:4(ㄌph霍噝凋[?愘廢堨x,层S扆輯5c魄皭G缌寃斜/锟恒褜项9彏'U坨e/9渕w=諛饺$旾8
+愌藴|1u伄孾联k题&櫖V幇矎笊r#介6! 8觯?欒f0[u瀘;閖<潟'Xx<挒=砙微鎐.T+J潒% I劋B揑Gl礪*=殈B_OZ磯QI彚〦$2揼%┮裳⿱庪-Mj穊:掗$躕ⅳQ)⿺dJ:菁Ef嫢JZu[誾玤[陿T艏!椽杙
+j(V漈p6 ! gp6沾h瀶d痶VK┈¬蠹K珮膔饸Y(Y┈敐W( 宕)ee﹍Y嬃雙NR苫7乬蘎I郋gk懯巿 別$6g媏艐H-;2磼藱鑍躜l椘赒杹槑蜋館偌捦朾剕$'B橵Kg&k7I3邪+莿鷋鄄:<鏕`<V柿洷xx?瑾骖憯鉱y{򥘒5o?;=鈤0c>橊Z銅>嗐翆用籷y咉鋑坛d教Z)錘'-掛订Hd,嶻,Q,挊0k岣Ao(:楨0+`芽"~Y靍阉P&+bWぎ鑌,qAY<竚vh!搐)a碏eNg牉l裍-Z 畢l! 1h讑9-o 萋n伔衃- 崧p亁骭2E杉W 歳咚 5f?琌芮惧鯘,u=鹳憇鼨. 辂C 醕欺R傀J簐╰]堃#H縌嗆P8H+G
+溽跗漵翝#y;幢歝,蚤9#G塄C4桁0g7泰
+鑤nu
+endstream endobj 183 0 obj <</Filter[/FlateDecode]/Length 17413>>stream
+H夓梞k$沁/蘷7 媬~0!休觕l卿0 R凚n撹蝿|{wVZ輧$規z5=]湛⺈UTQG逞馩>茦饟c料氪K"甀&漈2%泑)'JZ覛jYe檜60泒v9鋽閆r偷"a贺b%纀蓞琫]蘑`f0XZ蔅譠%LW[Mu5繰-昂蔝渺碵0韣?韣7砟g峻梖1@<秄1髮榍蹪z其&污传3鲕<cf鞜-牂6燶EEX魊H!|ptPA醀_嬒>凎辻雿譤y楸b劜号梋r鐫sr 劥郻矼6Xo澋諼m晻V 罢,︵$籤3蝀c6蔋#嗒]t諭G醋N[m粗JK-鑺cRTVIE擶NYe擵JI%:韕Xy{腰灠/^/蜎嫦皎糺~5县穒餁蒄糽~O洴哇骘>勉鎠骦!瘘鄘缶"衎0⒗q钁h県馌Db偍p 蘾q/8!梩B礈钮溌1J9|滱p璜à豸蟐f5[1 氼宕桓<冲7m]!畜1*-"輝#T鋲や洦O)b唍寯薜y8]拽螰胧仨嬼澖沷畂頾鱫鸋窘贿彵o姣~揎痏咿蘷}h/筈脯鎩名q攋)鲈 s`3K""盝,[*┍H  2$3繼濯鹱村豿"菮c$+憖
+4取啿膴宸鰬贔磳,R[媹Q=$'qc笖
+y棬懻{S! 欅聤禤拟篊鄢X蠴fけ=7?"躙P脨戧"镑▉┍詷KV爆榶2袌:驾`
+hV+諺捌劦Q[嬫VAX2Y匞~%x啵儳*x &恆+bP墑x愶p& 螇鹿8Gg%D/集岮?矐y_儦g廴ie掭葊矎neZ# 軄t颧硡砟i@萹6阨pj u8 _橒履&> 3_\nK钮稨煶竧坔衸#6蹹!滱+笍礲企翡薸wq跹76*4=蹯M3~l弢愞枒/襴霆頾緘妇箍菘#額?凭櫩鷜靠~}{3啧9宇0麛o8zT茬f1劭o鞥胃3缨5o謎4J6-_騦+醷Q父⒙娛猇TQI醺牏T@枤冎$n
+%h "5睏T捣1尳蔼T䦶誂(?+?bF"d
+
+錨鮽垕",枍
+#旤.O=d,A蜳kA豭]!sX> 缔蕽诊24 "塈yu儶!牕W}-4z
+埚y乞;,澸Aq杙焖o馭 8剄H!廋 嘍憚L睭櫮鵫Ry!桟0嘾9創ン b硶h] #C奞v31d:Ъ岑x铚8~栭篸j%_>褰a6!8#牊2'薸8/пK鯭NY俍_穠,D E暂-,G傷;炵/ブ錋柊藛{=陷^>魗;&N佸)<A P萨欉皎"*倢蔪!鍢vPw馓爖p辳OQU 龏|餞~?吁_洃拡鑹遴 1嚭 *42{痢鉐葊鍺譈H%覷H嫛必X垑蛮艶@h RA乑3 QjI`
+枯瓂< 芌%Y}\z3
+襨町簮蛱凉 j&}
+|^j醵3|裈術H劔8逫f`胱l_*:去玕聖w襼讚躊L覎蔱蘀D:]園Q烰8W {5'hu7傹^^裎筂~S.乘呚';霣{;痪沛缑-bm Y靪O恸贳蠠鲴氵%})=0毗w??咨鱺畹寴鞾 侻5玞尽:
+^<苼慨x尣b2躀n翐岢Z']o阼4蛼^孆@喉\g禾頦g 擶w蔛鰾3紡唌񡾖?S罻
+e毀e冄C%莂b慨︱皟櫯崻狝@璁I虅<s`}孳s[E:c瞟!朻@唤サ 鲖撽@q7/9H9嚱狗`V溌m:XJrZN-姶鸾N螞‘6p
+bL蕬碙&D坼螤賆#n謅&萉刷[:6tㄔf Z髽n调痤(骓扩:怖凨胓9幘,yf藍朜
+ 釫P#gkRp稾f塀PJ0ΙR掷觅AL銅咽碦
+J髹0[嶒钋仒
+ 銐 蔊{乻旆ē鋞閚嫛W铡W剢刴にcy15劁]*`螒1敶︼5G)槕I1G-G"F埫=馬 s0vㄌ憒e;捜恐簺C墮瓝Dc辻<-鳺忱[ 挊-4rp劚yl嫜賸搄毼,構[
+-橫卫伪 J`-zY臄制绳
+倶列k4(~UP颴P|
++p,把Y簫66嫜耤鷫[衇5i摝 霬*瀖<劆U咛畲艐$!垤 1W黻`_=JV爞aa烷鳱kY[4z洰'
+/[>鍔癲2佘dn-梨 L
+哚-$~`59e3贶歃gw麝 况!)偫烈*堩e7p筢}$9w浼緓 {:屝莊 ]\/Ha7 Oyl鯋N搂k科③Rhm倱2=聦t蚇悼`G薊M%P8%貅Zg蠉mn"痧Cn窙<>t]咀qx缵栌Nb"6?a袧姼澡0擺蕟#"葼,袘3`锸v餄,圭伮*lGK鄳睂Do鼠Xsi~殯`}屣6腬,锵k`x>w潁坈{䦷-.躿攍凙皌k墠助]H'蕅玈F.>Wiw!r 榷v鼅嚓e<=J6k,$7'眎6裲幄鋅7譢窹{S懘*`'橼尿烊6臀脳ZJQ4橥` 窳ATeC0-
+忿+A軙%~h彪诘*魮橵虄!沚@z!($岩~ cm兑Q&铊餭;R+辬荔O|=疆6e[溓薕颩y2恼荏閒~戚h_岱&鉇启敬;{>bTO褒!|q3~m渥`佤醡瓖KFP&癥﹡麣Xg]6蔊洳睹婦さxc稪徐VF搌OrN*,/璔[坰墋.蹧i膾F=[3
+嘇讣羧芎=终1纆+窆cbl.qj
+6嬙8珺蕖+敞满jz煛O.黌蹟v=罏{鈓w;骆9k肆諛死a慚移撁冥砮蹴啾-5鐄刡犖赤x羿D鎸X5&岍Bm徕犜赇,5U∟迒Gd0#琂錟固;啽` _秔拒x碢7 植瀬匯鉱G:嵛(}P<f匊t:]阽:鐍)軈苭.io勡_%倅驖s琛渌!:~$#,─W匼u5S殫猰<9剴1k搰隻;l霏呼#粿┭,>M嵠衢握皒K%暈TV}藸z氲E勔_
+ }e$=亿辖&%銪废蜆阛辛9)踦鐀#.琥_Nh唧盀/M苄鰶q$亙c膊盲nF跻R?窐惡# u >c{5${]侩胕P痥U扖2n劜5[冚w2K伍椈>芹闥3墤4秜|7R[#H m*Z毅
+套痀ehF(鯄%巤)?誰."Y柇 衴;./菡足誵C邞織H 礵3?>ぽ猆覶HU!褝"緘幥莝ovAHh9铁z<鲴9翑取95C<'蟡*%r珁?(J&搀jy滙 GJ憔h5-预 +顷辢 5.;3>g曎w籿鏍噴2\?}Nrc豲13奴焥涝庿湭
+E#ぢ癴TN繛S&s涩1i#K鬾96徠Fs馺`婣畈陈篚8櫵+q#~.v4璇*嚻5E
+qz猥昆5^zs軡幍(;恼bo(F_竩▋42记d#偒$嬤%o篤xr%o*I禎$萤苙] 贆譇漄aI錮t鎟婞[7-yG
+X姊FjA4,{#0]藤.G1株&5#@ _2&旻碪3窇:=$nw幚Y*vl濈J2甿桒揿旤X┳榸遾 ぉA烱r0侷3 a霠常F谺黕nq芬B^46O竷9.懊L2汭彔s 旍>誁嘏-J殪N霑鰌睕]辿}K淪支汸皠V偒 4x 驣 墐{1p賔Wi轘
+%!豘%RO鍒怱D纑偷;M'0k倕诙5纈丞#ず鹟砡卨1轻aq材cf槨圥]"
+^-}釷擿瓖)^Lu邂LPL}8;怊祝x嚮,m飋淢N
+紜0~鲓-_A诸
+^姲鱎xy.|n夞: 蚐酶
+(W-寨嵔4U>矻嵁1g痔谴椀粜t渻尧霔n豊#<l獙M iY?9瞼%惲憿御攢C箦鶉x芓:[r刮淃x5h&9曄㧏笉vYB<v憑3x{.鹴
+{d鉿..帋盃孓杲躣莿蠂髡蝍粤k跽_?x魍7ww悃孙 {颛畸銮藫镛浸p孔穙盞啐囯攥S/緓贳ox骥鰲4{-姙O犀jb 莒-vY阴o;l$黐l椺,賷j9"\幏 苣嬤媊朹鶇鰆G掕秮公| 韉$ 8RYp骸咿 壳*獼舀4A皅d,]焷 赒V-30衫NR鸲2馺臷H嵁,l匛跅
+$!. C乣O?f甝]祹6 棭`X@:I覧确=qX锳U4_s>>B@8=%c0\駤諥葾 +'綛 輬媩錉爥, 莁+-揖t0)羾A')sbq压ee-?Ad(2<磹o唣s隳6h壢e魎?)闲陒GL呓<颩)礅tP0G5糶>X!Zby
+毬抦 龊鞬S鸈阒l皎8m躣c䜣絜l+\訕8诿鴅0滦I5凼\蜼vrΞ飔>茣 腟h棡
+鏇緒豚I!虤s谴聃`卨珮茠倐Ρ兘睝{珷w鲇{垛 鰢瑂^髎墶ku云鲯坪&梄q8‥S$畗; ta茂K}綗嘦@┋#梣髗X琚uPr癈R砄砭砚灄L霗儌Ρ$兘碄罝皮#$N姧0岀^F8OW嘐?#脔C袭`媝}嘳K2l418瘭fUM:(_凌罇跡霠溧桜p=h!YK6$M_I8{i侖 w昲`酼鉨坦喣艹豸,腢7临Oxv鸛敖w' ,4菒
+╇n矨S9M輩,{]篆蒱#竤0叛DC沊X氽-YRP蠨東c癢娆/Lcvy;l交w.襍"b`豁鼇'宬LM3汉茒g斗YR5顺鞩 -ο旬`H4壹h鼣 瘆赅UZ^m跁铝LbM證c狡酘溑譛皢睐[K蒣_槤
+}a&
+iqwS篧龒辈氍0N6[驒)r瓛秗骫Q謼Z8]炦凤;LO!6暨?榠茙鮎嶓+芜~髪 o98席蹒?醑奎蹼罱暨 c粶壏要憎蛡,塾攣+垅欧餃3b苠J騝(縛効'覠嘆y Ql锐[A贃呧團
+O8囈滝瓋L砄鞝﨟腸}┤GCJu餱MlZ?K%塮
+唍,訾 犱`"+巐d弎Z^la頍9(h"K1豄s诜焅A懬闡|萙稚髰9& l塖+ME(4戱r鎔0@8出 郔骗鱜輜倐Ρ兘24埠>奒8唡眝I75錃犸(R敓罰堉i8 犱`+曹%BI僩9((9X1豄 />硞r糘{M僒_莂_x; e _酳坂恤25=胳n蜲
+蒝8葫秷ci1鳰]d糂尓@窘俛嵤嬛翝儫撫s抒浯琎W&鲆恁苗鱌~J謷搫簣D颊呼析$胟$壺墻c^:J)/NwF8欼踄YB3梔肓徍旜-鷹吖慆7/晬鑿ZHi酕W澭緪悸=漸"儨犟偏 ㄢ{爰瀋〞{7_-獅:鳻H幧E0-烗3=sPP,Js诜3*;旵[
+&メ7r摃撟e=蕸9鱣0>误Z%ap淕蝥z癇0⒊齲
+
+毢抩隱匫p2Wy楕筿x硶Ea灊G茨~陻GmFP抒瘝zWx宀灛嶪vD婓諘V5V垎/磄
+
+毬抩9韀.#闸媊5)绖V獅蠽讥e融血I\(4塳涰
+ *毖:xR!SU赓▆=Xy鶜rH杺偮``,修*|r鑃淭蠿q耭0唄渷5煢q骑4蝭+樞X:(9冣軓M攙憕 爥 嘸/-芯t2Zㄣ槛媕w/遱,"逸GaJP
+,紐L蛬諥闪疊R夃J緸綬4謡C癢娆/>硦虬聵/嬜哉UO+Q梡s鸤耤
+牘汮]WpG
+筡L\呇Ny~=H'e牋X1 s諿顑8鄿踟vTv!sc儠 ):(遽璐un鰭炟潈?{X决箧碢m盇x錉營, 莁/-芯tFr
+b 0~n樉i怘\j鞧5式JyT3$VK俼酷C煬帩| CVz挗廟iW毨歯龊憝'鰽惷-书逶B++渞墘参4uc
+彭]-迷釡R豄盚顚蛳+佱筤:m撲R0S贘}疛z餯95IO渍蘜嘢)+螪蘱櫵終6窤u锚l舮m馈A +m-=n<V廉豘S诿'3 豙姃陈胀e E9鯢窼携;P;/鏠\;孉駯I0Z&牷1lb敌歳剾C 痺~ HxJ(蜳峌}鎺{ R粮闑
+8#e)l+U[銝B
+Z粺NG2
+巯M詣Y┤w孾焲搂箍.靵򓒉!泬倧煛a萴鍦绛Dk'ro梛綿畼P茔Fk k携!8杺T+鈎袴爷)4Y+吨磲脙q徠/*E3Y4v咽&讛胤怺C%鯕o+&$岸詃玲F涆絾儧剦┡"譐/莥橉`9*9 寢舳 #迨bp圾 蕵Cw!nH硗<:])顆Ц7S>vzy 櫥昛W巘w嗌!
+C抔p羬筪赗囱Q;穱];P幾封浚踵瑼lU'*瘯s盷誨鞀5G{`y;鯩pC蘢肬岙L譩芵兌:勘Qz>?m鐭q#碧鉝缈_qn陬w4[Y+秄阊3A9V 盪冝偦黔醹銫棆$ 鲉骷鞫紫皃畲5ǖ傐h.ùM冴v胖殻=|x2鑽G铩樳賊<幈-痆r~薡灃8f泚阹榭P躾)瓉珹 疉1∨s40h.祝胤O娼{Ha縕4彾湎z (9
+狦
+>"\樼wl皌亳檪港.
+Tw觸f}袢.)lf0A&Qy-磚L沮W 2
+v女5=}x.墡o]b洄OO }峛2F麞))簳&G贘3V﹣珸-Gz9R淎 谈j0媢女-#==x0X噀鑢<nK宭pow瞼k藅m,羨bP YNg荦^6ZuX`:勐z唧T廦/段眍聅Itp诱d蚥1埽vIs燴|怒颪訞V`廡撆GH炡嫰茈 痓}钡錒嗓穫+pjC\H猸8#擬恓嗌co~衼躘袁銑1B񌁟g;]榈意翦6 "[k庼疳罫z?桘皫標鍺柮掑b珦誩t溈笓
+ 愘c揙J加2骣 觑媂Wlm9颐箬&懎2靟 iΔ(迟:,璯j57r诺鮺xk0臶銞蝖嘒mT[k巚筢馜z煓vq摸A獾荎螡 #L蜽夏&<飨霟Fv饻她抇gP^敭鱂{R颐g诣Oパ4V釗捇.|:<cc忤/S{澔0v莨昡zR湡6L嘰肘J焆4佳:p 荄Nu2(-BZ5 I鋯敌>鑞b'媖 \桉8柣04M莚U{推\浇8m-哻X{肑隌譅
+>苢-0 懕TwY/段旛t嵘鴫"&櫓#?柬8v豠,4M黄5郏d穊c鷫Ww>c卛鴥睯Pf骄3谟''鎑 j3N9撑圽q翏这喗謥j1襡]嬊 OWZN 6d4曌:W鉕T媃/段岞p嵘 TlQ瓯|Ds& 氬蕅頹阸/:P=脾曌bxh kP榴冃轐H40&沗Wl黹脙鋢9魯珯,8R 吚&<芖釯-態s 7xi彀鈗I维:5豒 9山肃叼馶nT廍+段岝p釞:6谺随xZ,s
+壦$顔謯誡H [1漉yM{I儼T&逩Pw
+榷忘勄瀊*衍嬣舭6邡再痓~/Z廲畑摐秆蜓>[`Lo鞿┩84{&d.゜媰/t?皱宠圢2I习洧
+飪並樬"Kgq#掂@肜-:躄櫻睠f虾
+endstream endobj 184 0 obj <</Filter[/FlateDecode]/Length 5796>>stream
+H壌WK蟏G躘8$[倱y?`e "HI剋菾 蹦g,,闉3'幆雟闢滋#缧?藜}75r 9O;侞r栉歓瀈w-橕賀黙0鋠鰣酚鱚d 婣p姬鉐胛鑭408宍 6)磔03w枤j閲;Swe|緯9$|-EORM巽镡si艠R*撥範+堍黛1人t(;备кc噭冻瘻9sB脠臞!u %W .E洿旜f敛7y馨傪磷P !闻T囻鴵Sv澗啳悻s)瓦j%礦餻B\fks-|鵟蠒A嚀727煰
+詥瞑N烁1培▌剨?闙N廱
+簜b诉R\箚柍昛7N窍/w誽蘰捄址遟P輊l2鱼f莉謺橀J鎺閹!簆ob:
+!\W*塅;?_6罫婱豳謼单僷萊"2諡i_橓招椓X濌\q慭輍d 婣p樠舃ay稭兯b勋&撑zw醽{ 萞2薗恒昁h_ i<鉰/头'仔cc粏Vzゴ槶煀{zyR崉斒b.
+ Ox-?鯹冴瘲v競m蕥鲦#A猅1疷uYq */f求l℡hむr)邆c駇5嘈峭傟鉐洲a4护&XS37
+襥'賂14uYpHiR坋欸旷Q4恢桬,(檘m偺+X奼Lh菚e碪縄`py 謩硗匞.偆鯗瘱w]=V< *腍 2羯鹻皳=涡*84(寐[猂硵稐泜nj眾]仛!鯜贱#趗靨{趒Ly宩慺V/ 雀冶鈜h N+椑孾6>.[犃殒秖s '彖穇猄C5脃K/鼦- 莧嘒捲Y#
+嵾_ 巠%d拱]鑡S罓蘲M唉eho<f%o葾鄄V nZ]葝E`殨躳蕾溯P{蹅q]i-84`\鏏C霊贶亴椏"kj嗹婷#WBkh鳒鉬觼B馌E\z]饚搾4殩J0鄏95朴瓊琣1(^蟿*ぐi`P]f&卅糷o><r%濯+氘uY馉驚;= 嗢Q攞=(pyT粪詒踙d 鏈/E讷癛% u摾2Y魵`洷稗\6驉硼r-F?悑喙灌e(玌p僭衷k偙>攳謧$a(\q*菚鮮臙僯4虢\\蚻杨.餡乍蟔狍~x笋[耝羼辗?瘅闩?烬x麽?魁w_>緖y鼁D晋廞鴼c~G+梓?9粨玴跧;濭斀p芤悄柢鸠7间:N cT馮圦6辈祅纐,(P殁58`攐k澊d pm3f菗8则栨险Z镖89毶E鐜Z鎐恍Z衘呔w墧4Zヨ1啟=-C衱g魸巄=玉4F弝7謋:痈 A沗 o%訝![^芚J2":甾gG葦c焼dJ繀矻Mo7薻)蟠;M媬鷣韋t狽5徏炎i湉癱Ash锍QL颁D鎁縑竈 ▲ 帡鵝J)ぁRC蟣O頲%3琻驼暀諔巑V躄藛瑮銬删O'党蝋醠~阢删qa镑z)籕8p≌y爫#8罧v齸鵡P俛<8g ":C`雦sf縧z壑0?砾8嫤籌oVq詉qw-"4〤憥輄'rrM!hc#g鏉y藫|
+sf.+颊p!輂均鉀蚽0峺柶ivC}譾g梣xP ;Sm迖A貿茫迹3珱玿V椕橰37絡.cx錀3奯)n稞 限牞J硂蹉{齛;ヘ 2k3;鬕:0.瘈 藲z呦M捁檹 6W{溴&升Q骆鷽<;v觨y9溨$眢ff|ㄈ鰶揙G硰<I澠FH灴;剔漖~e鎯2#bo瘄7擶?瘚$眺н&g敊踪d稆 B䏝{h=裱q餆諅q筢9牑s 顽摍}< b蔅 <忚黯?qua鰺趯|蟵S檨諣%a簩妬弈&帗EfN |4- U尃)鉲羆PV寱k纶律з Kb2鬀Qh坄蓚l翀鵺訦h3嶥]鵗槩\痪r猂躒鬧妅毃礈kh緷猢苉果rk@(R0" &*锛a3螠&醎m墤穸喔*獋厚TL蟾k
+孒@%陃*蝳╡=:婦n羗@寘D: 噖槅a边1yㄣ躡疠蚊嗎丽橦捘4貋锅 稂LdT]Zkぺ8墹*^略=1VE)觰){豅9萵矔^Av3痾
+'燣B髑鯉M^&g3.陠?疝4Wh &铺窶牭敌青芤P2剔敛Bp,2犼k崁X蹆84;倣$老:椹陏堁笮彉嘆崉妗9鉎哉#整施Jp[讶╁2精3)斟焺Tcndh譳灠$&;41 7!偂52扎畺凼s!揦0qR趷輶盷偂疦紐=4%臉囆吏惑氬飩h! ^62奟@溩伶$ a偊-瀔L溯;[a铟:bat孔1[o[6趁螴
+g蘃|o媿嫨*垱<顨28暛h[p胒*桭(╦板羢\3S;Uvp - 3r矢n婕鎏醬A}埐rt" Z3:O歒支1JH浙Gl駗i鎇=燂#枾蜆 #,玸挻r,堸赫8=z閛絣蝊b譄M犓疏炻孊@糳F僭窼羷<J$苤7Ta9随~w Z沉!霱1,)晌1l[崛畐" 鸪nO
+e q'E樏9帳X`80[埦S驰 u沊"慓俾 鞏(F慔!,"9軤flg ∝ɑ?~4=埛(R(紑班芗 GLzQ╰U俶aIH 0daH]⒏頑: 麻晥矾u&D)%|0撁As硍剺妅蘌\[;`漠杓A聾寄犢.(膟l頗扏v!h姌
+&澌S"岫尽
+所頑w Z沉!霱1,)晌1l[釚粇Bt歊翯L#6砡O俿獊i竒▎蚛瀮紒[┻.巇傸〾x誗<e
+蚓?啫wl269藷qQ睴>推3z撇云鶇IB1hk諝
+-楬捘4貋e>太D$ 瓌V!撠8茮u縘邹w簡競N:]雝忽Q歸簎璠譢5葕碨礜諡莶-k潽u+6!:M)#﹀l袎
+R巃IIv膃l嘯n夯6z6:熠q]um舭嵁
+#霗{{
+行 絝"媉
+K鴷S単,b塜 +胅 硹q攉K[$(ae媰癧睩燞H
+c_7懚0櫃 史杚知鹘?4{=箫丶6繌絝庶%F^6伓8)! !⑵ㄖ贐k歞不縷#4=埛E&宺D聜s傡>$z┉郗tU俶a檰`$缾!U磛\oq輩Zu 動*O呓暣L厲湴$&3竘N瓂l揢羇榰G3鋇诼9lfIU8%%賧D坩V? 裪J 誫蚼 @v嶋蚠H貲$啩贳#
+"┵M/u]煼Qj+#怐 酞}jYN7閖
+x虸1@# 叉Z 槷a遍1yㄣ躡疠蚊嗎-廑掗坁鬧5逯氠H$Uf咘$碌帹靥9.曈W=呁P
+蟈F#u频Z柊:i鼐喕?馑 a垻W≯泛cu`殩 揶襧I勜f着凃2商舕mje薒\BB罫yPY宯 ┒:兤鷽S頄mcv峣廖9"-j筿pA嬠7x9e瑬谥羰讨?焒匨/^薖8x猆#映$E 諕
+}
+endstream endobj 185 0 obj <</Filter[/FlateDecode]/Length 4444>>stream
+H夓梍o芏胚 ;@ 员讕 鹍{k#c卮骶\慫1釖
+E鶲>龝椿禿_Z荖荨秡 怩憲?蚿g逄;Q蹼?Z薾掇脁腌雲覇嬳$搳[呸治{眍~YnrQ蜱欜鰲瓭┏R羡J%y@Yb))拷U'嚦篁W諜v&2q襤f9飨cXQx
+绶n柭駢笖:@詵鷠=v摟鈹怢叓8E埜$R╅趯4鯀貈擊f尯YV星F巌炅- 0M
+曱a筕j*龟FSr灩R皾e *罈糓Y"泻靛/舊V,%0|R餘2搏yP
+*筦n莩問
+ 泊揄谉潏黥t榋0
+聒户ow亽| 2"k%U浗p*狽
+轒E&正6簄嘎鄞4薸橣l耍[5祜讁s4覎!糴}赾kq阑G@m╖0淋执館2簄戆 -劀rd陫
+:z 鯩.妓悐瑫瘺H哣&L%⑩x擡ш4
+軀j!]p顔1拱砱鏝J<檸"7Ex坽
+!禁
+n篡崶儣US苡眔怕\看89黤χ,抁FG`盽CGq篋 顏碳TQa0u舗
+@0Fボ& 箩鸒w齣庁#2诚"qG苉^烋葎z枇宣耻窧媎-#读韬bY沩,熽|- 艎+d爷卍撳疹A7螌饽姱,$2g铋6嫂. yT皑u扰l┅噢vSi扛H粍牃b鈢隗]67ls67ls编 踈L节鎬9ls洶庭枙QY 叀哏^隲9爈:駝止a止8坠兺Z 湠瑂郏 X0堷W苗!搖冂6a焄f係蓑L&恞f蕠\玂綃a鬴'V浡駢笖:幢5迥來旟L叓鱾試#媾 a@R'圄妢4-Dh.鮥 /A猭m?Ty芧f(S瘚菉髫JUW}7S,2轟~dr朒w髇<7J@朣毹z逼軃;r繇漈嵶薣6V?\鏸肸=坖7Wr)G% ^屔卐.X&螡攛汦n
+
+瘆2禕瘨盋X9鋖 jπk$'w藰叾掊菓痧郆5!摆Ch寫忕p(埖t2P|嬪髝 鹥蹟l(巧剋[逝8袀潿URgu2u侼 ~WB覗5
+瘺h m
+^硎O脤F兜犪:Fq餎K
+endstream endobj 186 0 obj <</Filter[/FlateDecode]/Length 17759>>stream
+H塴W蓭7?帻Cm.p'筅=鹕O畦猧y;H嚵'r#嬽lKr+淓&s墝<F眄 %?鯲髾j皕|<;擮$f,埔I贼踷稅獋y@;ヂx<鉮U岥賁L 3譤 ぱ繤gn= 鉒-硄gN齽Z窒
+榋藠 J[>簪x93n>L&
+
+k褓j踧vb戞I@8唷xMzW=S!v4* 撺$朓遟'4)4+A`▎0*淀9斱6Fg.歐 1P(n&疎I崢+0L\\ HsM韋溝垁楪40#?z島瞼+.w0X惮-bQ弇
+~l\葕匮傓蹼篑鰺#蹮螱<钧踣废_鹯呦_碹嶺棼# 桱鳄,=# Q局?唼x>J屦慄鼼嬫+ァ9*|骺=??鄔8
+p&佴F4f;>}轤裾列Qj鋌擰c池' .媈=3IN焷h4 ]u4藑葿M+雳茦瑞X啎u)Vm6/ h'齸7鳴嵮yA_h9f+摏瀫碞f<簎QG照∠JJ勡劌]皐ob0L粵柆O@TH+`襫FT斕皥礲迋Gj度銆'w96琉颪d#橛_<檶荌鏍翹襩牡嫴挿袪7l*0vT迱擲孇
+Ph'懒J>Pr獚4窜朋芣
+噽萴I8v -卍葘$?]A豯dL逳6Q*g`掠/楸(z爚H6 #氚FZm0w苈 sp.`y0梪S
+辨<' 覘3#玘堏楟℉ lJH
+V蚛2|X-饻ywePy
+)錭杧3
+醰S=9j ;皕?)暦 瞍煁(4'D0}懸 %,姪9q8,9碛 薁瘇X 蔃:駒嗫
+儁3i.3p(=鵬$UL苏鋀钖牪嗇^航哯锔nX0$*(涝紻 *9暃1+J*曋_*
+0 xq%Qf懆ZII鷧75O,(2B]u鋻8)眑臮y2綣ТI/-賓簀浀郢3pL淆f<郢r筠妼1-O踓档 9qsW殪鬥C[&pPS9
+ 8^.eeG-"鶁/憰#鰲g麒揕p軴x)淋鼎w愧駈Ι麽绝a鹎椖C嬇钷z
+範鎊姀媦柉W*Y綹訍o7@3婰①,8餖げ螞筽o@郔){uk胹B坽__A<q=q2奮/T寡吓誌U'9煮咡因綨4u應f@'銎+]B踪sh壗籘亷沵
+H蒚贕喸V脎l2劒紡!躻S)鞹鲅&}驭7阗]k寒N'駄鬯貫絤硙锆娻珑泖玢嘷
+G8>}y>隈蓣千3龇
+,/埋嫬鍰聬鸬$$耠\Hx蓲,1(<r-Z汗舕`謾:芾J倚$l唜媣Sc鶠冲"敳*;茋獦B4紪钇Y凙
+<dUcV谊,黬(-洢4訙誗4U1虄苩"詣竁 U輮.:桕f鞳'枎 E_ (ea`站
+簡ㄥ?寑-凡蜠O歲釙C盯抯3斉A铬蜜!>T
+旹"彅ow佘tU48dO殼徸烂CV⑹睗'丛d麌 铱d╘9#颺 E脃d|9u[磡疌璄9m哲賣慮pq妿9q鑎'鼧;Hx█钇)G詽だ配
+墺& t\Z謣p鐻屗|!榾声=/?||.伎考痪Zn/痭?-鵧9=$j1_ 恉+韧.$-溏w嗷1岌炣)r; k淶4揬2%厱,|N娝攩パ&  B蟷綁杍熑勱柮耧~脶/k褎}H:^}x{鲽檩眈禹谬邈域%盘蝣=j旖5笋犷鲊?畀䌷鮮鱬u}颤儙}E?^緋ZF(掸鏤鉡紀{]臌烆>>囯涆z}讣汗^@=藈彈7'/蛂F<逆w鬰~7W>/a鵹g砛釗 5骡x纨h窫c谌A磒.髧繝pv坯pα嚆@O九⿻懻's.儎繙88l@牆疨E糮绷+厨こ;Z Y晙庿 跿
+y瀀v﹥yyEn_
+鉊P> 7u'C閆崜倉@噦<m{
+嘖泇ub榌&緹俏餖⺳骛嘐8r~)虧躒3稌溟O疾z裌GY]=鲹SIuU陮芨}疩FcOgT捔 <CA铤8?B6:*嘷'g胧蓚齊CI`g(擫,帡i薇厂i滹遇嚾*x⑶cK5v~渺~A 7餎1賖7N7/%`*腞鏤乳\\N`3旋:却蠺潚p1凲'謆饯:=蟴@[
+ 瞎-擳W3lTYy)1%譹嵉崙絨銢孶+駮.唓A瞐0H時eI崷-&-(朘 ,钱5J謝璭懏刖'h;<^榿s/|D)L唜_るK蔅楔C)N盲aa@偿兴&Sc*úu娐?伜U鑐姄丨e@~鈫^袳7F墭k琡俩"Dks嚁'dDF圷!-8>]尓eF葕
+!`瑜萏睞e?a玎1廆bc杫r吓=g掾蓃妎)炘` 鄁果v巛` 硖经Ⅹ薸仞4c帹-
+褙Dqj%蘋dUJ眬,A棛諿P/纗%= k諷!泥颊 v
+:In8紭8膥彰Uo緮墚 P<5颃2轍杀颽lFVjr鲇,
+舜L溔犪|酙E qyz殦:緤閖7
+)+斵抐H皥烯仰-D梶:垇饹!L⊕媍孈c\a罡陀V"P Gx諢35 ]t
+\螆懎耟竖S0萋@o啓傏嚿t!%Gn&觩~脀括 A<T綐鏫=+~+裙?-苪23((傥@W笑"儠碽参婄甡蘛鹥<閥)湉%;誤鯤脽 甍pWP獯碩蠵劶厚N沤 55ND89朧>FD 1?婫f郀閏3罭馌(<棑q髸%L躃-m罪拕3&堪矌6@'%壋aSPz车圆泜B.SeH`陖臬譮'X廢F H蓋/31f颬拝搜蕡&3
+芥艾Xg菊 能;嚧.呔DH^2穂盽aT轥躵 鴬W
+$;oiK①"D 酓s(朞"%d巀閽7J蜐[栲Y膻踋rU=鲖;6' a?鄕/熳阪鈞讁) 炁瑛铇湽Wo
+QAl覾 63l柄銡-眀递sx俣=瞛譴l耺4"梑2%/.n磼1┣畓攢驓椝6C君皰$吽<oл9a7]題譤頗秫肮笕沞廡)鶐0浛吓留G2诓p(q)O長ぉI涪滆X懗碥'zwッ夁-?2%LlYI螪国#NeN菊熕bG藫d":怛|yX+喼y= 芺5\嬞j),5w7lb3臤蹎仼
+ 0咢G0枵M卌㈤5沍D*=死`R咡#.L7嘸吒+ )抍 u跞Ek:=/e[苘乂QkO艃Ы刬9斘v肼wJUlm\ >濴р鷲Oa8窘g牦q*姭(竩 狏稕橒#b謓怃訄檗6S遱鋷r5嗧酈濒W悄祊\6瞷闉m`觛磐0$襵.x瘪\鯁"譠0n基癣C犩 迆{j湵%8牰図~r硔|敵A瀟惌桷旧慏甼揨k^0<<a賑^aj;薁脳ユ2裰Ⅻ襵8盠~Z沭' rk<鉧庱鮅棚z尟4<n0z莮`羻?%犫M,@詪R鯢肜uR7?繯
+8w F严囃趂5敢 a刈+=x訫亵f噍.‰=雌.S爎s﹢孡_穌萳 捍吳癚}!炟J鱎硪ni$z袮M}Z柃旰憍+駟!蹃m遇K?^翉飋拷縸饔Gz|齧<均鲴鶡_%蹕豇 JN2O
+嚖F悻硲ld:+ 罽KM&4IK.叞繡$䴕9l瓴ra歶疀:腱B0经
+堋;敠簬Kぁ椑褤儸1A+b糛揰b堝*耬7]iY薏羹1B8矧遅舾b铏%&`䴖,涞婏
+ 2缫蘢]A!r椲<-潹磦>%苅q鬜忊軙ㄜ9O -鉂i櫻 戱KX蟎持埱鋣謏媫BD啯/額褉着颞Z\DV虜,W@飥wM"d禉佚qzr%I艊L躳鰢:N鷁40丵8(J徵E輥岎钆祕缍x洃溜@蜁8.貜媮惶虞昰粺 E岆qQDK'堄dy^淺棊1 类?匴谷,M蹭
+#樯誅湅9{Pa轅鵔犂f臗(喟* )^錰
+P5`(偟碪誋r犎p{8$锾交rU6d唾Y嘋瞩f*"蛍}Wjiyn
+'h<L台 7}鎚L衫瞎P掲2墇V-0"任祅孅h鈾A&j 珗柩K}!gb素奧螰 竕妍党馉A溶*J迒鑕ri2儻eA
+D`鸘闪9(M酝.<C赃zs摎矎^裱F7_0贑<X~l~$ze1$!血蜹:B嗛)們J瓐牟3ni蠕埒嗘
+Ro鼣3!帻耳膼澆9 榋楶V洟r駵#ě$!& 驦]0<鞙v惉蝡C顯lP竩賓萛C=hI2}曂$藉@瑸厭醰癠 筎iv咹灹劆艅<}摊#BT梤h<+{H蒼∠幸v骪%)'ov=[F蹚蘷陮执乀崓I4諺DP鏟A趕Mni箨艄﹐報Q絇儙RヲP艭4睮{M'!劧j爤a敂j燲'`{褽糓 -恜蛽k 5焰霒覂z< :% 脿hZ'粩?神8-$洯藃Z祶7 XL+ Yu5k7Z]豅-B躤-@晝j槑>遄e;N廌-熏1瞞
+C甙麹嵸壚攧囃湩)+mz蟀&I檂G訌%x掑TF%"/&加寻1*w{R鏍\K蟖P >ㄞX-+M踓吵体め!gg>4欻D霟疜[:堅邡@Ks赩-竍ds幍垍嘹唱沭蒂傫覫薋iYc2J蓃7,B孰葙z蔢;戽ty兔秶X嵍繕/纏阨慬葵||7?縳yw觋昝眍铙鬐漫D?N濼蔌退蹕7無竀`晨己U栝f髹/g'fzIg禁話鲔忯${ ^訞7哣痦(@дX 幘`堖 煊肔8胼k圸覦m@挌$5A8錒O'髩K
+% 醅魇~)%嫶2畔f届}XI疡3G 樛 緣e{闥 Q#▁3;穌_w<$x弄鉍譁ⅰ鉬 盫筨|V鷿E恖d靄uM [1泇u㑇椑澫※灜q6椼8 wl)貐_b帣 k肚C'榤d頧s1i渟0&83#A鼁;f迎芜犄^s利aC|篶薫鯧寷1硄砻鰔孺`舕:/衦垌*4'衿1樑C虐>隱1沶u>;茚e0歼6魔; f磕93>l弴lfMа箖2鄜馢V瞇D槕峜0k@sOe拐抽W缦贏鄀0歼6魔; f磕93浿>l弴寖[M#PISc丌閴y猗 F甕螭TP栣朄,歵F乣rt拌x <陁蝄蛄HJ圆弆 蓒熺Q K②孾 磍2蜱秷禌 5U6X唽m墼]蟯鍷揠,6z燡澒谵
+0p魠禯 a及4<╛垆"b槃邺f袍廂[#VL泍歬<め]N徉~\2抵 1|掺 Kyx喅歘j败焥幫,8[隚芩B琱営湕鍧 桗0h虷x芃aw應╕L8u鞍Uudbk4隌妯jB$8攍GS慑袯2x昫4骾2┕灎嚰茐&頨鼫
+h垳g 踀攑贞! 螖蟄偰F:H〣賫4污匓C\佭谻);e>9&稹琉豫p祍+
+襏f儬甖厤嬗<,!f弶媖v0哿滍f嘖F鄚︹7进#躍 畸蓓w铪o?淊@{挑b筢悯r禹铨觜麖Wd=4S蘯鱳;:r0埳+攭 踴Z
+YW炑$9xW卸s缮+鹣湾噄-喧.Z菀慞瞷s#L辟%踴>佩"鼑Q唉-亊(2d4歑兰b惠Z韸贰棛籌Y埑歕澴=PZ臘擵臯t=榥J%5A麈F忀瘒擹&砸莕嬶 纱L%焮颿磈歿^漣宜樼,嬻BA+痁巙L殥D?仰念cL谋▁鄷8&毣蠼秼[瀺l讪噔銲R9唥扉$r)!8泽勧h~躟漞圔兤YK鋀 6%:C=3勪%雰孆n禲9,饳$2Z坹挹応`觑1C齻-
+素-@鋲N"赩0i珆R&恋
+疆B煱牰:恿喴c腣E_Z磬|7隤ulta喀i謈% 鱸﨎会`碍;藬9坮徰l葿i 裧5 䜣g焹曡弈寉涎縦M6閺擌∠
+腜9交檫O/_NW5蘿靳姡##罚绂駞⒆灓蔪担攜AV赫pv^鼹n3h薞m 1颷慲*%煀/份6$ 渴/4坨氓i{
+愖 d搆9IA(~户O53$%脪亜7偊豜}诉I晌9g
+N=j郜湡1}羋忝銔K媲[揄逌d鐽"
+臝3韁(W;&}甀!缉 逖鴀镒愛5剆_胵啗鋇E[塻鉞d 5|7鵈硧畋螑2嬫炸lf歭卄iB╡测轲Q%$t'郙.4飆F蝶鸭fy╱鉈溠刣串浭.硷歴&$u{鎈Lú~V m綗招# 紺AOtv鱈:蓾旹B+衰'%
+`V軿
+"欍濎
+殢赠鉜]<~U凜
+tえs軈E 鵓阚赮cW綕#O@Wn:就撠*恰8L苾n勈赒邗=焪|抟E9_T$鍨昃瞹f客I募炥k{4擄^潩!=k櫟F様撿)姹箫眭鮮哹 筱@F笚a@疨儥
+崘1-K*;>帪l诔搖酾襘亃╜v鉅鈩;00H 'ユ慿牭脮j滕ㄖDu$魈b瀾(睎鬆MC蔶幔l赫d戧1釰g1甒0А負[.娘:儂嵗檣槤[z鋌徑
+癩T 贪蘻驪獫咚?鑃L1黜_W垭p顸垌%9x国藃u搯瘵30锿韚躖鉷蓟烞a韋膪泠2樈搔嫽怂誱w覞w胞*茌圎Zd橩;/O仡;正邶;霒橚醠膯雽 塲M贒緯8偿浘昜≮52E艣篓 pz]"Qm憘xM*箃呤铧]w辺M S鯭#誗栴rq1_[9j+*:o鳲h肁玟\7┌
+/Rj縊蚯 C洪Qct琷.e扐羉
+.hE畄槰8w9 姄f诠P畼wL鶿2H3A$燬
+ヱ晦!穔6締 7+冉姫炱比鈑鴑驄f輈5!e瞳Q偬H侏 畳╡2牮Qu$'闙.4飆F蝶鸭fy╱鉈ρg串浭.硷歴&$u{唯鎈L~V!m綗#紺FOTtv鱈*:蓾旹G+衰荮%
+`V鈀p
+Z鯄魓埓9,&疓v雥.4v臋卣溳刲95oj1u32f级32#>54糳囔駃Sq湑鋈囎M]ァ 枣櫅i7 v樓鳟v腸戳=鰞を劆rp寿椣GP9偸T帬r#A拧授榸 R2"PbPT-M4f$崡v<砌暒lu(暽VD>XA 渧\衆=V&.綼'D唹VL" Z挕獫阮栖 75麗!9Wg蛣u/c;;`'Zz|:V汋?
+撵矎頗蟔]2S預P2设蒔
++出#`Xyu$#I錒*GR9捠?慣P4接儐TV毈菔掾殫惒JM鞵W8F智L泉悿2帋/髻髜Nw祗 ();y哢脐? B綖 G:0W厀e抢颞e'莉e郭D糂$a`ojtu|k 谡 aE(V錎櫟S?霓$pa祁Cbi1葭h$縖+奐]q1=Y謳髩z緕煸徚.fnu菜=鰞"{8兴c]借弼v籄;辋s}均細a榦亹證/颔#X %&`蟰嶊漃Qb凇B挗1咓&曣圙圁f0栧硄 䴔鄭=f&D蜃:$H鱠蛊瞢m7庛垀A^ HA搓{w%O"8Nd 慄` zE8 xh:6>Ш玱3+S造櫈簽猣 P7愗/:ǒ帙o潣K:吢fL$湰lFyj00劸滒
+M饄怣呚=9畂适搼})煩VZ攦[価6 录~$"i獅> 符#臝坓$m癎続蔹Ab胯ohg誟O\-tzH媉a瑷\*裀H G瘴?媹M崒lh葿z閕蘨僀堉] >0倖嫿瀀2虷趹焪46腶9鵴8嫜鹿<Y遒迁<9魜璻桘寿爰奉斨)抽2砉qy厒闫[Xm\汒g'譸鷐8鸔t茆"b甴刐'.l7+<p嶳蓍=擨+'蚱z噷D9怿d賢応鵢垵4奈a4Y蚢C緐N珞T诃A脎v: 艨刴c檮灼骏隺
+赠 秪怒U巘丞[兒﹥皘烝~無金+殮诐╘8J9嬏w"xぁ欙(1垕(`:怜=w毃谟u焳攏鴐嚨2χsMq爄&
+,駨-O<VGuly獍o,8\漂3e涫,&劭錴0璲D
+iGQ咨&p儽>鄀前杁Q14馷AN坔Hu怴喠醅YU勠(酽L霁2晒楱晧應s訹j禴皍.&a]6宀_昁7=儏7;2+w&纝&譋AM暃渱 R9/嶭| x%
+▅J|ej靡7
+囌~&G笔 3g+%66d@B堈帉s`忞Z膋崻姍崲蚚e+,5峢綥G&渃罄狑3}何硰焉.劵!溞璥 j&g窼M捩噲"蕼氋n嫫轕/X呞M串c1QQ9之謅mGa紛 #耼趝擦讙l8洎`d訤鮂 肩鮣呓|u痃谜蓓琵泸G茷
+\$8嚥uA8!賆5幑i8墠8婜虮謨唑:氶Z$G鹉7"蒽ZuU窒A*執
+H:骮6惍3-嘎邷+2o(狃!闁‘鋆 %绅﹙╈F;g4I奃3譹 ╥曵灴 -〃嶗TS>祚鑣醖6 豄/ E韱R玩井8鮸-碀&&p蓮koO鴇v- 片"禡#Iw欽m錰y%x34l麖媷囁yw瘐沱Kjyyvww=航嫙](囚VL铙係cgM 婥?3il湁節縷syqf/$曓^_/哳/1鼐缚z鼢饲#沆WM^^縚O親婩猎= 簾麤E獂iI扁"齺鞯鐳-R悠D4珷甽_篊$2M<芓 潋嵩x4蚳Ew渝]繵仝f弚禯藦鍕vy垲;H璁檾9[k6
+[頩姇@IY/O鈜葈泽〝b橰-鯖り鱻啱┙% !2f-ms蠾<s遘蠦a楡蒀橪Y偵Y恸6a鄂L1征|煥圹~锳D餍Q僸熳8嚆}隤際( 湂飞沯8甪u 併+暪哪榙X7P"1 C咾BT11伩2NoA桐⑼桪se計-篠I1業F潁騛 >馤/n;拚^W
+ゲ奴叾$b?N锁其癠鴂粶
+敊佒%鹹釕脕y髂4*(釓釜≌a<K螡 勔(姷竡驨漉訂+鳵"( 5谛
+DL吉p\I#趥Iu2濒(:赎*控0槛`EK駥$!.0 艒砉蘽c]s)L8|n| €減bV括T孢寠甫駿H/u蝫w0x灍!鹆虚蓜9 馻7豟霢滅L^S瓚!
+`
+endstream endobj 187 0 obj <</Filter[/FlateDecode]/Length 14501>>stream
+H壧W踤}'鸮蓥梤&2L 堝願賭,䙌N鮡枎hb姨贋旰灙"風gIj鵑j迥幻6vd躷墅
+跱p悵Q0餈7閣8襣 霰1}V鄔豐<c鐃S齑4獢h籃1|衸|~息l鹱?痭N隙7肱n統渙熌穼iqz窀Gg庶}聚忂㧐齿}x鷈u,踞3R溛6淃瘛蛆睚觏r鮧9E]挚疃s静è3轞醫'翿GNz晱B庀殄j~~聚?'#瘪陗絏]-骥肭环埘騩"; 姡cq3^饳践⺮y豸p还 =V梃琼8雏OC)8G;<鴗x犇/#?~{b璇x7斑锱?)__驿cK劼wS搏羥-偱%;夷q6戇)媲E4辋WRp2b$藑殂w7b$1昑p=<枵,h$顩 砙vS3;痂蛆胘)疃箦z卍1娓渶{;eu券漹R,衴 mfw评,碛b/HIz犳gヨg尺+佊?lv棲舊粍9[_ψ^聻焆-v~>溥j"涆胀貳紒RW户蜖8澷=姿}楆/勾鼪芜U7鳽熞蹭x涋5!XI^楈E(閙僺姪.槣b 3 Tù劂畟璛瘣q}6柗>C4=+煉畱5|衦谪3尔|颺栙蕠cq";)暤檆`急幬狜a/8苬
+澶/関挨展 Aj溤堗.X2
+y
+馴酇R行潟愜&8亼縇筡谑乲綁靂W蹱牮U0{墟/>.8璏,阉d_=vwz#<:菣鉈M"G7x/7i0燒呫q瞊摰q*$*3' 覌V⒚F(镧[?> q
+,5摇俌嘇徭pc v/l樖zG赟釓黊u瑓N#鲟卶岎Ep娍埅3襌瓋樃]vMi喯3h:锝k"譱ir冂裝$登婮 1嫅匀誜
+ 骄磲拎F鞛^#B苀嚐詶猳o埃q惒:扲兰 茹a妰榇璔:矔轥q萓儹I潍`镌`h*L`选H鑡B羀肽H,槲盆:TKQ=軟謯蒹胟勁b辈擭}轷瓧9瑓皱蛍畦a壃0&g鞷孮AdI2鮀波訙Wx魣蒩t>垬垃垄2j卌?R紻噷&孴枇"£n,念y5b偛鍪擔R哉拞&|6c]鐃甑脛﹗永惽 儤#詇$|傋啼0L欌楿B苊Q壉T◎傌≒"a蟹9軏漽酻 摪旀哠^Z账蘜
+昪G笗岚氢
+?迚Y靗兵魀官往t暼绷J\/sB?殣S
+宿筲鑈\垵杼4禄糦bB豛猑q3H]L蹪楄爦r9a D曭4s^%ㄠ矊穐1柮*&Ut銀vQ1D_n#撍 ESL閐澺)榖動命喢攆*v朌b喑:.瓜笠捝姪肿礕v殰蛒挏A :猟リ.U0罏:1Y2>2L嘝噒W諒揌黋ZB巍搔寚验叽鐰Cv9猞籆I\蒧吿N娻H85煲Y笑C率%.$涒鍃綩翡鳖牂漢04頣=#@i8剳;惐2E笐汷x钽鏽O<艻+X鏌龞洝K輫偈郝Q/<蓷 #榃々?[)$+蔦堪嵸*p濂 琈i愘*b旵鱞K\C掔$r/匩"򑶀1o㎜\=嵄5螥e儢U猅28幉)擀cb6尛^櫎.濰$刄紜T鳼諄GB`<32黓b4悤AN%<71W蓨>蘡榖2* k橜獱 6僫曼骍譩蒻DA﨏矄笻*I%=顜HpXH0,刞l痲<沗0&>>原顊俳愎c&褴Zu㏕q2壍j5\差w帾萔汃茓晉Z岬嘱暮爑qA蚀豳9
+0 F冑攏:坸坮s啶儕#瞓a唘逈p_dO*v発鄿)檇怑p縉掸宂
+S4v勭w誠8) 壸eC猦;Z郯P鯎*Je韱欼萙摼欤愯阎yu1┨T-
+愅栔7寁5囯R1*卍搻%#
+酋害$u叏UF
+8淍G
++g叅
+垨
+暢z悵g愂(EF
+╂q爱峐 FpqMNtrwlA舤仵 呪膴\\{劧 噵Q艾dF阛
+N篶嫯.LX ?5鞖妟Jg`Α}墹3NFg圪!,)3[ pO.貮g
+薦'j/~.4貗!dPL6^勦怈!═]蚛w粻
+l 烞"燭抷馵!%缧p 钜硎绣麆>祡檾曒=睼蟽J%)敆
+葤$湏 U椮沺剤|J窢悀 {嗿\!箷'60+労裝!榈:LX@e_45把髲S苢圧tU谴斔D詧p眶楗{c0 -俶 ~/}YQ\,%
+'(/WF}fs鏱6鷠d洽 矿圿摫譑莖菍5荟偵泫s3偋焱?/+?侓b@镑S
+⑨ 8_砌旑!4d,Y;Y窶/#巒愈膓2j捩擽毥]J?哈~景D1(厦hj烄襖8?jf#抌姡W籶熵2画郺荐Z话uW(霓譮躚<俜(7в{鲲?O艞柞tL碚",驈饃寷`a R鋁失Y;&RA
+#\[r滿 瑉
+?A掎!-矬!箳<!8$`g 銘澚炼傫0褊绔眠j;摉-亇醤U顲:琙8拸㳠苨5<瑠c0韅-+采$AU釚蚟矉#撢 堀򷤈3讜 礄c>謈6捑堊扯鼶\糣Bm6殽B*葅4鍴+誈5峉h玛2-樏si靖啾汨2肪e.I洹h0辘
+h5砟 由$c?鬴竏誳諓銔3h舓s╀
+.Q袪i碯P /厸C6j鹋M洄4-噒眝黳+XQ奷a5礵ieI佝f*F!c \7J蟝^潊惶覶獿枺n級涛.極鐺n磬VBV1鑺淋褞玿]7≈銥晡檢淏E槉{ 5瞨哬屃8文犜_1駮揃`熔Q(?_阀!鲒惸X^f靰n峈昷d之 CUta鹭!?讶w)以&4夌O- VV瓏1檕;j燲篵#V榛羐湿/疕I誾@璑a7La劤E7"瑫搽u"毓* 3睎児鴟7彩鬳1锈迎>风9NQ@j益歀mBnN#3@仾
+脔&)╗狮
+MYxq:t2i-N叿湁5"` "旑^$遌臭`D*
+=VQNp(5 1W8c)諏廢珩W2&Ф>敄蔵kB憘P+^!軣Q:彧歎l盄d.+G0>5皆t6洙鏆M韟g嵕87鉙攎
+軼*.Zf糇 O惖宦沢b/s冤$釫眖姁*k#P洅X@ 亗~驸"/R\凟⒔$mM敭ク垏|ぺL罼訂q!L崐剫掐n*@
+〓噏b叄敔 $/3恾越FxZ$拲毜Y3 肀&z磾m謪秚蜂<3酎Up%*籬靻
+畊 潷>U^y%朷翂絁ν>/U铂6潙6稑憏]囟虇卢s磬 E父E;e:磹盧℃杆峀k{DSJ0rzU倳-1嬸馡%
+M屯5蛁 猔儌
+繅 筻^‖}J虘r┠U楹霴!5桰欃金;骊aP塟嗜堹5R掲邤p )M蓭踺1)e
+B鄱h5QPB(払凱,︵J 7邓剆[ㄒ波临觶@I褝絸 1L(t⒐恇巗B6 f蔶筁/ 9f錥
+遄b+8櫿]僃饫h^2nub麐L𹊗|1S}_ <]岪_镅孖ぉ'佊a 噀庋R獸#韣"線+M殸A-䦶2棥蛋$)敄8噋勜沄 IM湦貹e CH"91>"o4W募5G)r劈!C蠻篿0F6rS )7緮臕#娍宁╧aha鄁au@憷綟b嫤咅N*狳XoU/帞4蟼ДU簕n榙笞
+ 鬐赐 侣尤d備<蜋0寔塘o@7\Y熓$I翫@JrS腃怇!C Y賟]祾.酅烌<砉v"29@样社_~~缢G1e& 8&医G鲣~Y鼰F麬钨mA齶us跑鶁8r钷v7gOcx駠鳢z縻折誴7颳由崇&,gr榕/
+
+罙/JdkHQ甶轈J耰f圥wm 藷/l,e鶁?买妺.瀁;凜9衃
+轜%dio禢﹏~轢場翈)'r,鑾[1铟{顇驔轷p罻:琰tu}凋溗0&瑰/!$澻:Ez笸B溫&3z1b坰4-浦
+逄;) I/:F耢(XF亿gd逍Ls5隽i:/視sKz鯷pu恥熨鈱@PcNv09nK矸(砃瑔Z4x>#EC絾ぁ僻@攎嚰雳n盋/ 慟鰾eVc.讁琩'e菛@妢輓y寬耘
+遽 3kf!z*#標P鏸对Dq\Sw鍪 u#N =8饩捯瞥R丁绤瑮@!燙耣!MdN%,炸搒n曚擔,b痲bo虌XB萄1p阎&q_&砷戜12誈梏<摨忒~| 紌晋n躇i积p鶹箁N~嚉鶙D1tf烊4痒鲮fu瞶vs鳆⺷R裻-憊 5墣m}H双Q碢
+*;V↙玜]褗
+ 猿S_XQ5q7Q/咘R受飉孡帨iR`~熨 a邳j'm辢3}uuuv;_骄9;籆vx碪"0航M朅 巺詩&殐盹骢7駊Y 鳊1獠u
+5Ym.祾鸪拓1abJ晭峐暯tl&r鷑恔%琖澊p8蟖贒S9 ~崘4h綏岰髃L輧胞. e寖玻賋M=疫! &慔R *诹!デ vh\^嬫 t&2絡 hjЯ"H硏Z腊捠鎩)
+s[]E`觅錱G嚦捰0%吲}轶α噒7婐p)~茖
+ar2觐氘"癴u 鵾 
+?b
+晆4pg 附f #6跲~腈緊E*Y9礦3V蒣嚠q敀軋6n到緗w陓觜唾惠牝鷟7繃 鱡眹渐~檒
+孁y駔"D駋枋嚭笯Wγ5 ]姑藑+~6
+d.;雩!W=俓u醫A.餅跪嬶廫鵔扰O峔丘=^嶹糂j<币1?蘕顂2蝞'=惐敂vP`,0置吆癸[\岝壐髒蠇甍俞N
+Z{褲紸 $3hG<4筱槁橱,"U_傽>5孝襌
+騬帮'龐8=';C`g狌〕畩韊驲鋬僑>8\ IxK艾$烠椖,扝V鰞哲顊]*SS铪 !nkX葿"鞲謷Z+c墊 7l=v/.0S38腛抑2Y#闈{ '嵙0龟坝钀売NL撔寙ZLj捦蓈Vc>練靗 繋 卷娸a萣瞀炾襟'圦l镢霓寯S7栄梵8;'議 8Г歱+[gぁ鼖腍瀐>f翁+拃n^|z:箴荵_袜戢v~A跈扐W!{懳B蕞0bf=貤
+め牓D:媶$ (両>腬U5开鏌Z5%.锠FL矤M8%印1Y詝匭v鄱蠀業鍷e鬔炢0寣0豶0IE蕥5艕扠磁:b十踽傢趮犞籩3嶦v唀r潬虽:鷏f湭~3% 枲骔卙 /
+詜吩昤奶d;`q塴qt碑f迶m荖4鼽霎4 诐噻I鬑]㘎{獈伞諥t$埱8M巟泴嬃欪詎璕,藰u&c曢癅%筢熸XV&馑:~闧7鱸k碌9)鱎撞渠Hz8yx& g-㏒OS醫筳懎蘄島韸j栃~琈膸鼻5曏酑Z熧S曱 b黛UvJA坎退`塊&准N隶^0扮倃笒詀8^.睠g縅{燮佃2@L甖^?臸辴^M0(03[M:!+纱傀挗K^鈒澞q撌W$/yy罟琏|唑–T9詆骫鮼播+仹魑N 輝: 駦涆@}騷Wォv郷pjegB
+/
+视RJ&1诅2s顪雭O N-q陻[護e繝焭FC噚歁u-魝祾絔/J籸緭倬2嘧wA*6粙禇靑顠丆uY!4怲Ae| M叶/!怒hA73
+仄.尸G5相=>$-n4*,聙t1'}鷃>嵚]f(狠C"3=攕+fj卑炏䦅>A椳W嗢AEh']7圏d匍@鶃死蒫爅z7鑁<
+,'Cs Z6釙奫耑踫蠍図R}io7妧臌癃 鶵跒劔暃qd墣耯 ^\1 橵嬭{w-菡嵟揿蔐禔2;O&3邝稨<;鶫 *$_PB,钎:fs櫞淚9畀Wkw㎏徨f/a%6]篔酝!jA.蚼\\.
+jIl
+  f翄zd鷸e啷惙z疝詛-锸GG(驇%0縦 tK`粱 奖z轖桧繴△jCk呣绀U桧袡Bこ谐n .乹, j6肳(委貞TJhl栩)f搬锣Q q>S魒e
+达飤=蜒9y禈.j麈蝅ポ[鎥Q7w钰磙幰逴>ιa6J騋r痘[貚 賨駋b鲚狲N科Bi槥皮焆N仙(壩 g;薧U4弨嬌鵫P =N玉渥$飸儷享e紽}俍8╙沩8蕳Nf搂26勤5:ff辞ga=鱷F鵼c鞼鏠6H玸b擼靟麿N^彯FU忢$螑狦y杶嫳穅q鸃L箢Gs"めR弾悭Qj󍷐t癞总AH霟霃G许9哽迀嬞 |苎k焮qn#您VD9聅r頇恈缕溳搒6>>7廀]W铌9N癧#赒楡q=bb莦,环堛糾7鑔vs渧镩^wT#墓潦,!^9 -'}])4\鷸3u{b鯪W啕:;(>帶[/鳋棨讐鰚&;d#嶤~烹}垉|亏*綐hD峲F3%眆鰥1縱O;剩练汷[臶?殠 W<'q骵|凊:ui剺怋 蚚; i#旤帶]I>?竻w*)恩:﨎^挱d欢詭^%1 ;尣俭X齫 梽b
+NP踶德鼚/>奴4^庲y'hN#@铔綧0:@c2嵾\派羛h巓 T臩w= 濚灖xh黀圔 M!e${h裚>|巉菖萣橙*7粼q鮠巹9o䦃梲/謦Y ~p|=?[崠!,壋閹堿m襳鉼/M1[0(v[転Ye飜攺W郲臾8B蝒裵t9]5嬐37廑G"蝃e聓猉0鱉(>蟽)膯) SX夊t攒鹹58*6;^g閯滸敏簼禇31陋y羂H'(L_Q#戧<P喂Ut粱m(陛N.缮鯼 v妋隰=OM薝滃諦┏ l沒;u苩倥J.8_fn1泔t [eAㄧQhOm
+妹;蠧/攣&<O癅菣b
+*/()s掗]鼡幾."nPCfV.gXg8丝OR魼E囫劀!FA)n3W夻i弲鱒6綆 6EmS詾d罚荣c1O7 olx鉏騿VQ=紷使V\!e瀟q{
+8`檧竁,+蛈/萀蓬0Ⅺ%\R計RS裾#□ <溻,$翁-華斘恴昲;%丣K∕鉇Zu- -{x`8 srB猙鍉 ^Ox踿
+9
+猠碽璛癢,
+endstream endobj 188 0 obj <</Filter[/FlateDecode]/Length 6788>>stream
+H夓WkO龓/慇娰~?/蒌贔悋#撕v厮x柼v鸠OU豸挑.#蓫虋e栝>]}T5沆王gb滮4{赊俱l 飵鼭ooM灃ъ1坜b;Uu躛W.鲋c6y簶奠1細MK冦&觔禈联秎豋踇;箦I蓗甼E迭 ;s6閒缞潷万箦墙bq `照佗)瀚鈣I撈
+蒷仗h鄳6擉e)譀燕嚥塃若侥糷.h堬哈姾,"KJ<┊驆O獄﨏梠彦0^\U砘4/轤V4QEWqI鱇瑥WHh%<.琋?xH瑝k Mmv?~}V殩宊褠痢__-朊,瓿G徍駃 ﹙XTe蹡QtO頾鑫#X縥Y~稫} I蚠loJ辔呦m/'E)T客=CP羬杕织9z1eG鍇XeE餽l`癋@巈枎,*PТ轏gIX啳z▅釈,雫.(7轜H葪媋鶕隻X斫仓繺g儼7}擵O乥iC鄕f剅u舱/3h@
+螇$
+槩/#A:,睶G爨18%漅
+W(埭逯掠 1侚椺qd 规 囃XpH杼O"9?tLW辋藼寪街ぉ亄睺gL&棰倲耼歫Q剄兲Gi恲{0遭蒫笯"Q#-n樟陿h⿺C顉gqhふy
+丟 AT"\蒢 袣H猩豖[y幝贖x^b;鍜姞)(昉纾7扡]矄颮z
+=H6+%羌纭}冔u0,
+诤2齂k㈢t,='"议滀7倇A* ql'O3颿I`x0R'稍AF)鮊R权NV*,赡e74+ 鷨氲jV攠刿a糴%K憮
+掉樧 ZR厑Ag蛫K乨豹釚冕乷 yO4
+啨LtcNI爸s26帓2i ?硕P歊迧96/(の<sd3P閶k**+ X\洋~勲L煜,讉p罜炧爟>M9M嗅z4邭持E1*?,责▆mT@,ZI;时习
+5
+
+76
+l=ゲV作)t甫n|龍讍顏|Lg蠡^瞣oH8蒽0掊鳥
+[.Ml唶+AK-斊0!Vc– 2晦=ntqY鏷Us悾 蟲劧<fS?汔Rg"}x哦弞焚娾
+Fj阨%縐~8骶龐卂HZ苌3%燠g尉垊蓜鍡戌碟/?(洌<>蒬7~鸇繐M*俯.;.抔蝑=$浠$扉jF4-炴屟N驶Z+镚!攘鼭遻y炎蝼洓c(y荃@4z縪卹濖们胘捎猝;*珽飵﹄饪3="7 A|6廜Y愞浊G%郅y*W_(柕7燌幷^苡飖值荵顥vD劦鍮葵U,
+"拢虧岡b稇挸4焫9\恊CV缉k?W鴲2aD誻奟䎬SN鵱斂S纰2 瀐鑇;t伫99荑備U瑫%辞3鶋沀~>櫉麩 魦錭葎3"}蘳y_畓鸘蕆A烪)掑F异骊g%.湘啉3o鬢 ]'i(驃敧嵴`灖给G拄鴔餆,%"鎗饦盏[R嫞给 {A热J霂裠跚婳銓B矓蚣 BP毚鬵藙厃.2?3廡~V4
+竵{離晶J{跡俹掷东(軋(牞VdK咟&jx=瀑K齌肻掄8n,q歳犞:芢<秒h≦噱[螌64]薪済w媷{d+姿'v凞拁FUh愄6樵Y=/$]9(s幠R甬mdb欴1虁i)鈀蓈I(c豎墔珆魲Z坃6 ]鲯嫄
+日]2
+ =⒈濊
+ =⒈濊
+ _> 5
+沆猘.I餞78M9
+Pkc.炨u4(餽2慵通杞r炤-預愅4淄V7嗜'v凞拁FUh愄6樵Y=/$]9(w幠R甬 颁4塨覴3牡掜扨 埃 W/胠8寒/%!惈籨
+瀎愲护
+H@w慣.Hb右KA揇Dv梚楗牠h枒6+-
+噶t2'#莝lmW靈k`踀Z寑nD
+S:PV#播B路PC8{j楰<菎 NS訸菢媑x 5
+紎8o)z翜gw媷{d+徒鍗2蝾縵╙!懁烸$惩D6B:uV IWE0#茧Fs鸍$奱LKQ 蠋禟B內嶫`轴_j!庂皌]_軰.B*\软]B
+H@{慣.Hb右KA揇Dv梚楗牠h枒6+-
+噶7M'C鐢X靈k`踀Z寑nD
+S:PV#播B路PC8{j楰<菎 NS訸菢媑x 5
+架x8o)z翜gw媷{d+徒鍗2蝾=鍍j譇H$間T蒷3憤怤澱驜視C2囙H,锖哑謪 命棖!瀩y%AdG%
+0腓/縧寒/%!C容]B
+
+歠2嘭8穫舵J
+qjQ﹏跫樋B愝'萦$蕝<ruj如5;蟝気+^殕x峨葞I噐<摢葢0aK 锘衆莤<
+Bl$z坢$麍|B`逬*\Y]邇{)は%2嚎
+獏筪辿憂q6$@峲 咟你豍摾旼!N-*誽骔阐u濪箰G伛_7;蟝気+^殕x峨葞I噐<摢葢0閄S 棺w蚈FS銀(眒皰掕g巈f麍|Bh逬d鎿2儤2"<顑h韴
+endstream endobj 189 0 obj <</Filter[/FlateDecode]/Length 3958>>stream
+H夓桻o8沁革0@q@窫4I圹Ч6)\儲鱲Pd: *K$ы?谟m塰4m鍖0儥6"E;縚奨凕碹?/鷙:戹[)R硼{䥽}^了线NgV/迓5X3扆e?@|益穓{梢刻组_譑n桱2?䎬骻_8,槜7渵讱m湅鏒雏ebGm鲬Q軱芻f儓2
+x呌畿騞)^廀f1钆 緽斬W"欼砨鳩﨤+!堃楮顏P菩鑎,兝運モ5腨鄔盤zi颯p昜鬩o閖%刏優2cA`[之Zl7爽和覨-7凱)~
+璅bt偁鞙寢噐w觶磇h.%^48$虏yc谷b竍<2"ルio0魨S櫏5猯`怎陞 $M@nx昱|剏7蒡 ?I2雏釻し濶隍95佇萏鑹qZ壖谥:⑤罻ム 鐈筩sARL烻扬?@L锬M*睻4檻D[犆}dT7擇Xi擽ADc橿濁迋v塔W鳌獰鱜O甀齢)寵瀚(<Y
+犠焎~L*騈*珯4+唎湎打p( 痳輯eX饹隴c豠gE鮿Z昜魚懃j z蕦舉 lY$挪顺!,酬6O 秎B│鴌(壯u偛s2.瘦M胙Α"M01m'*7啇,+妒##R.A愽C8昚J_J諥
+W懎⑸$#:檶荍t
+"唆 ;X隹鮹伺瀄擔讯S3薟Qy@?屈&U錆TV3iV 呷焛遽8Q^&14 :7谆瓢猫!螉
+牀~LC+-珯4+唎湎q(h泣獠D\B'沾错R !饰筛x(;璆泦艼悞+P0N瞴0傄弑[9p
+czam斛{嬎aO瑗铇釉娂S薺&蛫3瑻 娒?1扛C鲘7銌泄 /e遬偁鞙寢噐褂z磇h-)遃^姕,(粫缑乘醂w郵e[9惺乂磖爼伇秗爼凗蠃白k錊+Z9惺乂磖爼縿垻羆貜嗙绛h魼Z=嘘乂磟鄺浸帱bp齬z啖í 饹k爺鸹X(酱)壔-zp袹=e瞧&餽: 鑚蠁p程<m4夭yC[!
+AW~5ao0羯櫏ENH挰l`皂
+W懎⑸$#r巈zv唕桛痭Dа 虹軰唣r`rMjM跱a/,甞ou9焐R
+箭*啀iS+C4g)謌梢/埸饁し漀隍95佇蒽鴻 Z壖俣孩驵冼 Uy鄐IRL_SZ<BF锬]醨賠Mf鑠誨殾跔魥"殏%鞲窢萘輢铚桇.7の错囚
+諙G綳I燱~L3gVa覆k/打(嵿;愙Z莴eX= 鹛M覢 d 皾d坼}Xn-マ檘[郱%,抳i蜢7/ }W[7∫3<渏#1QP鑫R鱍辬迬6弽暟)|h 萮跶图3凚\髐懸w墏t怽吰*s鶥U穾愼儂!9坰
+2ZJ3愣赖侹lY$硪滟n^J 秐Bx48誇b鞚ヮ<菁m+a5R$愌叮歽g,3告*2"ワ
+峉U骠叒n 魾唖& 遹D膢偣 踿n鵇亰骺X敹鞨o;澊錽Lk』亳3y砿uE土除.罄鐠睛?N%祒剬迚宦宀鍤蘕-嗅>34M礎E47K(顀oA粌e畸轩犨%窥桰pv0!u8ψ頍舌rouy鞁z-z媲4礨pj+绘鳪馚+!娾蟜/nK耐昕qG钄炚謁示9DA;K軬y躽;<6V倴TX亽 b胖停Q斸巬囈a (=覛'5饍绽䎱 档4篧(皕$鄼燭<蕳鳾.礩s.(杳#觝)虒[喎\b薺邯w谢=;峦薆遀iA滞;Bx挿'y胨.
+Yfp妥UdDJ%
+褹rИ蘛鯌$u:
+@陞 0T硛'
+endstream endobj 162 0 obj [/ICCBased 170 0 R] endobj 190 0 obj <</CreationDate(D:20090527042239-04'00')/Creator(Adobe Illustrator CS4)/ModDate(D:20090730214335-05'00')/Producer(Adobe PDF library 9.00)/Title(colorbox)>> endobj xref
+0 191
+0000000004 65535 f
+0000000016 00000 n
+0000000076 00000 n
+0000048436 00000 n
+0000000005 00000 f
+0000000006 00000 f
+0000000007 00000 f
+0000000008 00000 f
+0000000009 00000 f
+0000000010 00000 f
+0000000011 00000 f
+0000000012 00000 f
+0000000013 00000 f
+0000000014 00000 f
+0000000015 00000 f
+0000000016 00000 f
+0000000017 00000 f
+0000000018 00000 f
+0000000019 00000 f
+0000000020 00000 f
+0000000021 00000 f
+0000000022 00000 f
+0000000023 00000 f
+0000000024 00000 f
+0000000025 00000 f
+0000000026 00000 f
+0000000027 00000 f
+0000000028 00000 f
+0000000029 00000 f
+0000000030 00000 f
+0000000031 00000 f
+0000000032 00000 f
+0000000033 00000 f
+0000000034 00000 f
+0000000035 00000 f
+0000000036 00000 f
+0000000037 00000 f
+0000000038 00000 f
+0000000039 00000 f
+0000000040 00000 f
+0000000041 00000 f
+0000000042 00000 f
+0000000043 00000 f
+0000000044 00000 f
+0000000045 00000 f
+0000000046 00000 f
+0000000047 00000 f
+0000000048 00000 f
+0000000049 00000 f
+0000000050 00000 f
+0000000051 00000 f
+0000000052 00000 f
+0000000053 00000 f
+0000000054 00000 f
+0000000055 00000 f
+0000000056 00000 f
+0000000057 00000 f
+0000000058 00000 f
+0000000059 00000 f
+0000000060 00000 f
+0000000061 00000 f
+0000000062 00000 f
+0000000063 00000 f
+0000000064 00000 f
+0000000065 00000 f
+0000000066 00000 f
+0000000067 00000 f
+0000000068 00000 f
+0000000069 00000 f
+0000000070 00000 f
+0000000071 00000 f
+0000000072 00000 f
+0000000073 00000 f
+0000000074 00000 f
+0000000075 00000 f
+0000000076 00000 f
+0000000077 00000 f
+0000000078 00000 f
+0000000079 00000 f
+0000000080 00000 f
+0000000081 00000 f
+0000000082 00000 f
+0000000083 00000 f
+0000000084 00000 f
+0000000085 00000 f
+0000000086 00000 f
+0000000087 00000 f
+0000000088 00000 f
+0000000089 00000 f
+0000000090 00000 f
+0000000091 00000 f
+0000000092 00000 f
+0000000093 00000 f
+0000000094 00000 f
+0000000095 00000 f
+0000000096 00000 f
+0000000097 00000 f
+0000000098 00000 f
+0000000099 00000 f
+0000000100 00000 f
+0000000101 00000 f
+0000000102 00000 f
+0000000103 00000 f
+0000000104 00000 f
+0000000105 00000 f
+0000000106 00000 f
+0000000107 00000 f
+0000000108 00000 f
+0000000109 00000 f
+0000000110 00000 f
+0000000111 00000 f
+0000000112 00000 f
+0000000113 00000 f
+0000000114 00000 f
+0000000115 00000 f
+0000000117 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000051202 00000 n
+0000048489 00000 n
+0000048844 00000 n
+0000049045 00000 n
+0000061445 00000 n
+0000058207 00000 n
+0000050103 00000 n
+0000049109 00000 n
+0000231123 00000 n
+0000049538 00000 n
+0000049588 00000 n
+0000055520 00000 n
+0000055406 00000 n
+0000051720 00000 n
+0000051805 00000 n
+0000052189 00000 n
+0000055557 00000 n
+0000058244 00000 n
+0000061521 00000 n
+0000062006 00000 n
+0000062952 00000 n
+0000069751 00000 n
+0000084919 00000 n
+0000102822 00000 n
+0000111395 00000 n
+0000126519 00000 n
+0000132206 00000 n
+0000141391 00000 n
+0000143749 00000 n
+0000159943 00000 n
+0000177431 00000 n
+0000183301 00000 n
+0000187819 00000 n
+0000205653 00000 n
+0000220229 00000 n
+0000227091 00000 n
+0000231160 00000 n
+trailer
+<</Size 191/Root 1 0 R/Info 190 0 R/ID[<7EB5FF43BD08164184F35B8300F050C9><E617EB833E197F43BC059F7255614CEE>]>>
+startxref
+231333
+%%EOF
diff --git a/mod/colorbox/vendors/jquery/colorbox/colorbox/jquery.colorbox-min.js b/mod/colorbox/vendors/jquery/colorbox/colorbox/jquery.colorbox-min.js
new file mode 100644
index 000000000..9db7adf75
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/colorbox/jquery.colorbox-min.js
@@ -0,0 +1,4 @@
+// ColorBox v1.3.19.3 - jQuery lightbox plugin
+// (c) 2011 Jack Moore - jacklmoore.com
+// License: http://www.opensource.org/licenses/mit-license.php
+(function(a,b,c){function Z(c,d,e){var g=b.createElement(c);return d&&(g.id=f+d),e&&(g.style.cssText=e),a(g)}function $(a){var b=y.length,c=(Q+a)%b;return c<0?b+c:c}function _(a,b){return Math.round((/%/.test(a)?(b==="x"?z.width():z.height())/100:1)*parseInt(a,10))}function ab(a){return K.photo||/\.(gif|png|jpe?g|bmp|ico)((#|\?).*)?$/i.test(a)}function bb(){var b,c=a.data(P,e);c==null?(K=a.extend({},d),console&&console.log&&console.log("Error: cboxElement missing settings object")):K=a.extend({},c);for(b in K)a.isFunction(K[b])&&b.slice(0,2)!=="on"&&(K[b]=K[b].call(P));K.rel=K.rel||P.rel||"nofollow",K.href=K.href||a(P).attr("href"),K.title=K.title||P.title,typeof K.href=="string"&&(K.href=a.trim(K.href))}function cb(b,c){a.event.trigger(b),c&&c.call(P)}function db(){var a,b=f+"Slideshow_",c="click."+f,d,e,g;K.slideshow&&y[1]?(d=function(){F.text(K.slideshowStop).unbind(c).bind(j,function(){if(K.loop||y[Q+1])a=setTimeout(W.next,K.slideshowSpeed)}).bind(i,function(){clearTimeout(a)}).one(c+" "+k,e),r.removeClass(b+"off").addClass(b+"on"),a=setTimeout(W.next,K.slideshowSpeed)},e=function(){clearTimeout(a),F.text(K.slideshowStart).unbind([j,i,k,c].join(" ")).one(c,function(){W.next(),d()}),r.removeClass(b+"on").addClass(b+"off")},K.slideshowAuto?d():e()):r.removeClass(b+"off "+b+"on")}function eb(b){U||(P=b,bb(),y=a(P),Q=0,K.rel!=="nofollow"&&(y=a("."+g).filter(function(){var b=a.data(this,e),c;return b&&(c=b.rel||this.rel),c===K.rel}),Q=y.index(P),Q===-1&&(y=y.add(P),Q=y.length-1)),S||(S=T=!0,r.show(),K.returnFocus&&a(P).blur().one(l,function(){a(this).focus()}),q.css({opacity:+K.opacity,cursor:K.overlayClose?"pointer":"auto"}).show(),K.w=_(K.initialWidth,"x"),K.h=_(K.initialHeight,"y"),W.position(),o&&z.bind("resize."+p+" scroll."+p,function(){q.css({width:z.width(),height:z.height(),top:z.scrollTop(),left:z.scrollLeft()})}).trigger("resize."+p),cb(h,K.onOpen),J.add(D).hide(),I.html(K.close).show()),W.load(!0))}function fb(){!r&&b.body&&(Y=!1,z=a(c),r=Z(X).attr({id:e,"class":n?f+(o?"IE6":"IE"):""}).hide(),q=Z(X,"Overlay",o?"position:absolute":"").hide(),s=Z(X,"Wrapper"),t=Z(X,"Content").append(A=Z(X,"LoadedContent","width:0; height:0; overflow:hidden"),C=Z(X,"LoadingOverlay").add(Z(X,"LoadingGraphic")),D=Z(X,"Title"),E=Z(X,"Current"),G=Z(X,"Next"),H=Z(X,"Previous"),F=Z(X,"Slideshow").bind(h,db),I=Z(X,"Close")),s.append(Z(X).append(Z(X,"TopLeft"),u=Z(X,"TopCenter"),Z(X,"TopRight")),Z(X,!1,"clear:left").append(v=Z(X,"MiddleLeft"),t,w=Z(X,"MiddleRight")),Z(X,!1,"clear:left").append(Z(X,"BottomLeft"),x=Z(X,"BottomCenter"),Z(X,"BottomRight"))).find("div div").css({"float":"left"}),B=Z(X,!1,"position:absolute; width:9999px; visibility:hidden; display:none"),J=G.add(H).add(E).add(F),a(b.body).append(q,r.append(s,B)))}function gb(){return r?(Y||(Y=!0,L=u.height()+x.height()+t.outerHeight(!0)-t.height(),M=v.width()+w.width()+t.outerWidth(!0)-t.width(),N=A.outerHeight(!0),O=A.outerWidth(!0),r.css({"padding-bottom":L,"padding-right":M}),G.click(function(){W.next()}),H.click(function(){W.prev()}),I.click(function(){W.close()}),q.click(function(){K.overlayClose&&W.close()}),a(b).bind("keydown."+f,function(a){var b=a.keyCode;S&&K.escKey&&b===27&&(a.preventDefault(),W.close()),S&&K.arrowKey&&y[1]&&(b===37?(a.preventDefault(),H.click()):b===39&&(a.preventDefault(),G.click()))}),a("."+g,b).live("click",function(a){a.which>1||a.shiftKey||a.altKey||a.metaKey||(a.preventDefault(),eb(this))})),!0):!1}var d={transition:"elastic",speed:300,width:!1,initialWidth:"600",innerWidth:!1,maxWidth:!1,height:!1,initialHeight:"450",innerHeight:!1,maxHeight:!1,scalePhotos:!0,scrolling:!0,inline:!1,html:!1,iframe:!1,fastIframe:!0,photo:!1,href:!1,title:!1,rel:!1,opacity:.9,preloading:!0,current:"image {current} of {total}",previous:"previous",next:"next",close:"close",xhrError:"This content failed to load.",imgError:"This image failed to load.",open:!1,returnFocus:!0,reposition:!0,loop:!0,slideshow:!1,slideshowAuto:!0,slideshowSpeed:2500,slideshowStart:"start slideshow",slideshowStop:"stop slideshow",onOpen:!1,onLoad:!1,onComplete:!1,onCleanup:!1,onClosed:!1,overlayClose:!0,escKey:!0,arrowKey:!0,top:!1,bottom:!1,left:!1,right:!1,fixed:!1,data:undefined},e="colorbox",f="cbox",g=f+"Element",h=f+"_open",i=f+"_load",j=f+"_complete",k=f+"_cleanup",l=f+"_closed",m=f+"_purge",n=!a.support.opacity&&!a.support.style,o=n&&!c.XMLHttpRequest,p=f+"_IE6",q,r,s,t,u,v,w,x,y,z,A,B,C,D,E,F,G,H,I,J,K,L,M,N,O,P,Q,R,S,T,U,V,W,X="div",Y;if(a.colorbox)return;a(fb),W=a.fn[e]=a[e]=function(b,c){var f=this;b=b||{},fb();if(gb()){if(!f[0]){if(f.selector)return f;f=a("<a/>"),b.open=!0}c&&(b.onComplete=c),f.each(function(){a.data(this,e,a.extend({},a.data(this,e)||d,b))}).addClass(g),(a.isFunction(b.open)&&b.open.call(f)||b.open)&&eb(f[0])}return f},W.position=function(a,b){function i(a){u[0].style.width=x[0].style.width=t[0].style.width=a.style.width,t[0].style.height=v[0].style.height=w[0].style.height=a.style.height}var c=0,d=0,e=r.offset(),g,h;z.unbind("resize."+f),r.css({top:-9e4,left:-9e4}),g=z.scrollTop(),h=z.scrollLeft(),K.fixed&&!o?(e.top-=g,e.left-=h,r.css({position:"fixed"})):(c=g,d=h,r.css({position:"absolute"})),K.right!==!1?d+=Math.max(z.width()-K.w-O-M-_(K.right,"x"),0):K.left!==!1?d+=_(K.left,"x"):d+=Math.round(Math.max(z.width()-K.w-O-M,0)/2),K.bottom!==!1?c+=Math.max(z.height()-K.h-N-L-_(K.bottom,"y"),0):K.top!==!1?c+=_(K.top,"y"):c+=Math.round(Math.max(z.height()-K.h-N-L,0)/2),r.css({top:e.top,left:e.left}),a=r.width()===K.w+O&&r.height()===K.h+N?0:a||0,s[0].style.width=s[0].style.height="9999px",r.dequeue().animate({width:K.w+O,height:K.h+N,top:c,left:d},{duration:a,complete:function(){i(this),T=!1,s[0].style.width=K.w+O+M+"px",s[0].style.height=K.h+N+L+"px",K.reposition&&setTimeout(function(){z.bind("resize."+f,W.position)},1),b&&b()},step:function(){i(this)}})},W.resize=function(a){S&&(a=a||{},a.width&&(K.w=_(a.width,"x")-O-M),a.innerWidth&&(K.w=_(a.innerWidth,"x")),A.css({width:K.w}),a.height&&(K.h=_(a.height,"y")-N-L),a.innerHeight&&(K.h=_(a.innerHeight,"y")),!a.innerHeight&&!a.height&&(A.css({height:"auto"}),K.h=A.height()),A.css({height:K.h}),W.position(K.transition==="none"?0:K.speed))},W.prep=function(b){function g(){return K.w=K.w||A.width(),K.w=K.mw&&K.mw<K.w?K.mw:K.w,K.w}function h(){return K.h=K.h||A.height(),K.h=K.mh&&K.mh<K.h?K.mh:K.h,K.h}if(!S)return;var c,d=K.transition==="none"?0:K.speed;A.remove(),A=Z(X,"LoadedContent").append(b),A.hide().appendTo(B.show()).css({width:g(),overflow:K.scrolling?"auto":"hidden"}).css({height:h()}).prependTo(t),B.hide(),a(R).css({"float":"none"}),o&&a("select").not(r.find("select")).filter(function(){return this.style.visibility!=="hidden"}).css({visibility:"hidden"}).one(k,function(){this.style.visibility="inherit"}),c=function(){function s(){n&&r[0].style.removeAttribute("filter")}var b,c,g=y.length,h,i="frameBorder",k="allowTransparency",l,o,p,q;if(!S)return;l=function(){clearTimeout(V),C.hide(),cb(j,K.onComplete)},n&&R&&A.fadeIn(100),D.html(K.title).add(A).show();if(g>1){typeof K.current=="string"&&E.html(K.current.replace("{current}",Q+1).replace("{total}",g)).show(),G[K.loop||Q<g-1?"show":"hide"]().html(K.next),H[K.loop||Q?"show":"hide"]().html(K.previous),K.slideshow&&F.show();if(K.preloading){b=[$(-1),$(1)];while(c=y[b.pop()])q=a.data(c,e),q&&q.href?(o=q.href,a.isFunction(o)&&(o=o.call(c))):o=c.href,ab(o)&&(p=new Image,p.src=o)}}else J.hide();K.iframe?(h=Z("iframe")[0],i in h&&(h[i]=0),k in h&&(h[k]="true"),h.name=f+ +(new Date),K.fastIframe?l():a(h).one("load",l),h.src=K.href,K.scrolling||(h.scrolling="no"),a(h).addClass(f+"Iframe").appendTo(A).one(m,function(){h.src="//about:blank"})):l(),K.transition==="fade"?r.fadeTo(d,1,s):s()},K.transition==="fade"?r.fadeTo(d,0,function(){W.position(0,c)}):W.position(d,c)},W.load=function(b){var c,d,e=W.prep;T=!0,R=!1,P=y[Q],b||bb(),cb(m),cb(i,K.onLoad),K.h=K.height?_(K.height,"y")-N-L:K.innerHeight&&_(K.innerHeight,"y"),K.w=K.width?_(K.width,"x")-O-M:K.innerWidth&&_(K.innerWidth,"x"),K.mw=K.w,K.mh=K.h,K.maxWidth&&(K.mw=_(K.maxWidth,"x")-O-M,K.mw=K.w&&K.w<K.mw?K.w:K.mw),K.maxHeight&&(K.mh=_(K.maxHeight,"y")-N-L,K.mh=K.h&&K.h<K.mh?K.h:K.mh),c=K.href,V=setTimeout(function(){C.show()},100),K.inline?(Z(X).hide().insertBefore(a(c)[0]).one(m,function(){a(this).replaceWith(A.children())}),e(a(c))):K.iframe?e(" "):K.html?e(K.html):ab(c)?(a(R=new Image).addClass(f+"Photo").error(function(){K.title=!1,e(Z(X,"Error").html(K.imgError))}).load(function(){var a;R.onload=null,K.scalePhotos&&(d=function(){R.height-=R.height*a,R.width-=R.width*a},K.mw&&R.width>K.mw&&(a=(R.width-K.mw)/R.width,d()),K.mh&&R.height>K.mh&&(a=(R.height-K.mh)/R.height,d())),K.h&&(R.style.marginTop=Math.max(K.h-R.height,0)/2+"px"),y[1]&&(K.loop||y[Q+1])&&(R.style.cursor="pointer",R.onclick=function(){W.next()}),n&&(R.style.msInterpolationMode="bicubic"),setTimeout(function(){e(R)},1)}),setTimeout(function(){R.src=c},1)):c&&B.load(c,K.data,function(b,c,d){e(c==="error"?Z(X,"Error").html(K.xhrError):a(this).contents())})},W.next=function(){!T&&y[1]&&(K.loop||y[Q+1])&&(Q=$(1),W.load())},W.prev=function(){!T&&y[1]&&(K.loop||Q)&&(Q=$(-1),W.load())},W.close=function(){S&&!U&&(U=!0,S=!1,cb(k,K.onCleanup),z.unbind("."+f+" ."+p),q.fadeTo(200,0),r.stop().fadeTo(300,0,function(){r.add(q).css({opacity:1,cursor:"auto"}).hide(),cb(m),A.remove(),setTimeout(function(){U=!1,cb(l,K.onClosed)},1)}))},W.remove=function(){a([]).add(r).add(q).remove(),r=null,a("."+g).removeData(e).removeClass(g).die()},W.element=function(){return a(P)},W.settings=d})(jQuery,document,this); \ No newline at end of file
diff --git a/mod/colorbox/vendors/jquery/colorbox/colorbox/jquery.colorbox.js b/mod/colorbox/vendors/jquery/colorbox/colorbox/jquery.colorbox.js
new file mode 100644
index 000000000..28673a45d
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/colorbox/jquery.colorbox.js
@@ -0,0 +1,926 @@
+// ColorBox v1.3.19.3 - jQuery lightbox plugin
+// (c) 2011 Jack Moore - jacklmoore.com
+// License: http://www.opensource.org/licenses/mit-license.php
+(function ($, document, window) {
+ var
+ // Default settings object.
+ // See http://jacklmoore.com/colorbox for details.
+ defaults = {
+ transition: "elastic",
+ speed: 300,
+ width: false,
+ initialWidth: "600",
+ innerWidth: false,
+ maxWidth: false,
+ height: false,
+ initialHeight: "450",
+ innerHeight: false,
+ maxHeight: false,
+ scalePhotos: true,
+ scrolling: true,
+ inline: false,
+ html: false,
+ iframe: false,
+ fastIframe: true,
+ photo: false,
+ href: false,
+ title: false,
+ rel: false,
+ opacity: 0.9,
+ preloading: true,
+
+ current: "image {current} of {total}",
+ previous: "previous",
+ next: "next",
+ close: "close",
+ xhrError: "This content failed to load.",
+ imgError: "This image failed to load.",
+
+ open: false,
+ returnFocus: true,
+ reposition: true,
+ loop: true,
+ slideshow: false,
+ slideshowAuto: true,
+ slideshowSpeed: 2500,
+ slideshowStart: "start slideshow",
+ slideshowStop: "stop slideshow",
+ onOpen: false,
+ onLoad: false,
+ onComplete: false,
+ onCleanup: false,
+ onClosed: false,
+ overlayClose: true,
+ escKey: true,
+ arrowKey: true,
+ top: false,
+ bottom: false,
+ left: false,
+ right: false,
+ fixed: false,
+ data: undefined
+ },
+
+ // Abstracting the HTML and event identifiers for easy rebranding
+ colorbox = 'colorbox',
+ prefix = 'cbox',
+ boxElement = prefix + 'Element',
+
+ // Events
+ event_open = prefix + '_open',
+ event_load = prefix + '_load',
+ event_complete = prefix + '_complete',
+ event_cleanup = prefix + '_cleanup',
+ event_closed = prefix + '_closed',
+ event_purge = prefix + '_purge',
+
+ // Special Handling for IE
+ isIE = !$.support.opacity && !$.support.style, // IE7 & IE8
+ isIE6 = isIE && !window.XMLHttpRequest, // IE6
+ event_ie6 = prefix + '_IE6',
+
+ // Cached jQuery Object Variables
+ $overlay,
+ $box,
+ $wrap,
+ $content,
+ $topBorder,
+ $leftBorder,
+ $rightBorder,
+ $bottomBorder,
+ $related,
+ $window,
+ $loaded,
+ $loadingBay,
+ $loadingOverlay,
+ $title,
+ $current,
+ $slideshow,
+ $next,
+ $prev,
+ $close,
+ $groupControls,
+
+ // Variables for cached values or use across multiple functions
+ settings,
+ interfaceHeight,
+ interfaceWidth,
+ loadedHeight,
+ loadedWidth,
+ element,
+ index,
+ photo,
+ open,
+ active,
+ closing,
+ loadingTimer,
+ publicMethod,
+ div = "div",
+ init;
+
+ // ****************
+ // HELPER FUNCTIONS
+ // ****************
+
+ // Convience function for creating new jQuery objects
+ function $tag(tag, id, css) {
+ var element = document.createElement(tag);
+
+ if (id) {
+ element.id = prefix + id;
+ }
+
+ if (css) {
+ element.style.cssText = css;
+ }
+
+ return $(element);
+ }
+
+ // Determine the next and previous members in a group.
+ function getIndex(increment) {
+ var
+ max = $related.length,
+ newIndex = (index + increment) % max;
+
+ return (newIndex < 0) ? max + newIndex : newIndex;
+ }
+
+ // Convert '%' and 'px' values to integers
+ function setSize(size, dimension) {
+ return Math.round((/%/.test(size) ? ((dimension === 'x' ? $window.width() : $window.height()) / 100) : 1) * parseInt(size, 10));
+ }
+
+ // Checks an href to see if it is a photo.
+ // There is a force photo option (photo: true) for hrefs that cannot be matched by this regex.
+ function isImage(url) {
+ return settings.photo || /\.(gif|png|jpe?g|bmp|ico)((#|\?).*)?$/i.test(url);
+ }
+
+ // Assigns function results to their respective properties
+ function makeSettings() {
+ var i,
+ data = $.data(element, colorbox);
+
+ if (data == null) {
+ settings = $.extend({}, defaults);
+ if (console && console.log) {
+ console.log('Error: cboxElement missing settings object')
+ }
+ } else {
+ settings = $.extend({}, data);
+ }
+
+ for (i in settings) {
+ if ($.isFunction(settings[i]) && i.slice(0, 2) !== 'on') { // checks to make sure the function isn't one of the callbacks, they will be handled at the appropriate time.
+ settings[i] = settings[i].call(element);
+ }
+ }
+
+ settings.rel = settings.rel || element.rel || 'nofollow';
+ settings.href = settings.href || $(element).attr('href');
+ settings.title = settings.title || element.title;
+
+ if (typeof settings.href === "string") {
+ settings.href = $.trim(settings.href);
+ }
+ }
+
+ function trigger(event, callback) {
+ $.event.trigger(event);
+ if (callback) {
+ callback.call(element);
+ }
+ }
+
+ // Slideshow functionality
+ function slideshow() {
+ var
+ timeOut,
+ className = prefix + "Slideshow_",
+ click = "click." + prefix,
+ start,
+ stop,
+ clear;
+
+ if (settings.slideshow && $related[1]) {
+ start = function () {
+ $slideshow
+ .text(settings.slideshowStop)
+ .unbind(click)
+ .bind(event_complete, function () {
+ if (settings.loop || $related[index + 1]) {
+ timeOut = setTimeout(publicMethod.next, settings.slideshowSpeed);
+ }
+ })
+ .bind(event_load, function () {
+ clearTimeout(timeOut);
+ })
+ .one(click + ' ' + event_cleanup, stop);
+ $box.removeClass(className + "off").addClass(className + "on");
+ timeOut = setTimeout(publicMethod.next, settings.slideshowSpeed);
+ };
+
+ stop = function () {
+ clearTimeout(timeOut);
+ $slideshow
+ .text(settings.slideshowStart)
+ .unbind([event_complete, event_load, event_cleanup, click].join(' '))
+ .one(click, function () {
+ publicMethod.next();
+ start();
+ });
+ $box.removeClass(className + "on").addClass(className + "off");
+ };
+
+ if (settings.slideshowAuto) {
+ start();
+ } else {
+ stop();
+ }
+ } else {
+ $box.removeClass(className + "off " + className + "on");
+ }
+ }
+
+ function launch(target) {
+ if (!closing) {
+
+ element = target;
+
+ makeSettings();
+
+ $related = $(element);
+
+ index = 0;
+
+ if (settings.rel !== 'nofollow') {
+ $related = $('.' + boxElement).filter(function () {
+ var data = $.data(this, colorbox),
+ relRelated;
+
+ if (data) {
+ relRelated = data.rel || this.rel;
+ }
+
+ return (relRelated === settings.rel);
+ });
+ index = $related.index(element);
+
+ // Check direct calls to ColorBox.
+ if (index === -1) {
+ $related = $related.add(element);
+ index = $related.length - 1;
+ }
+ }
+
+ if (!open) {
+ open = active = true; // Prevents the page-change action from queuing up if the visitor holds down the left or right keys.
+
+ $box.show();
+
+ if (settings.returnFocus) {
+ $(element).blur().one(event_closed, function () {
+ $(this).focus();
+ });
+ }
+
+ // +settings.opacity avoids a problem in IE when using non-zero-prefixed-string-values, like '.5'
+ $overlay.css({"opacity": +settings.opacity, "cursor": settings.overlayClose ? "pointer" : "auto"}).show();
+
+ // Opens inital empty ColorBox prior to content being loaded.
+ settings.w = setSize(settings.initialWidth, 'x');
+ settings.h = setSize(settings.initialHeight, 'y');
+ publicMethod.position();
+
+ if (isIE6) {
+ $window.bind('resize.' + event_ie6 + ' scroll.' + event_ie6, function () {
+ $overlay.css({width: $window.width(), height: $window.height(), top: $window.scrollTop(), left: $window.scrollLeft()});
+ }).trigger('resize.' + event_ie6);
+ }
+
+ trigger(event_open, settings.onOpen);
+
+ $groupControls.add($title).hide();
+
+ $close.html(settings.close).show();
+ }
+
+ publicMethod.load(true);
+ }
+ }
+
+ // ColorBox's markup needs to be added to the DOM prior to being called
+ // so that the browser will go ahead and load the CSS background images.
+ function appendHTML() {
+ if (!$box && document.body) {
+ init = false;
+
+ $window = $(window);
+ $box = $tag(div).attr({id: colorbox, 'class': isIE ? prefix + (isIE6 ? 'IE6' : 'IE') : ''}).hide();
+ $overlay = $tag(div, "Overlay", isIE6 ? 'position:absolute' : '').hide();
+ $wrap = $tag(div, "Wrapper");
+ $content = $tag(div, "Content").append(
+ $loaded = $tag(div, "LoadedContent", 'width:0; height:0; overflow:hidden'),
+ $loadingOverlay = $tag(div, "LoadingOverlay").add($tag(div, "LoadingGraphic")),
+ $title = $tag(div, "Title"),
+ $current = $tag(div, "Current"),
+ $next = $tag(div, "Next"),
+ $prev = $tag(div, "Previous"),
+ $slideshow = $tag(div, "Slideshow").bind(event_open, slideshow),
+ $close = $tag(div, "Close")
+ );
+
+ $wrap.append( // The 3x3 Grid that makes up ColorBox
+ $tag(div).append(
+ $tag(div, "TopLeft"),
+ $topBorder = $tag(div, "TopCenter"),
+ $tag(div, "TopRight")
+ ),
+ $tag(div, false, 'clear:left').append(
+ $leftBorder = $tag(div, "MiddleLeft"),
+ $content,
+ $rightBorder = $tag(div, "MiddleRight")
+ ),
+ $tag(div, false, 'clear:left').append(
+ $tag(div, "BottomLeft"),
+ $bottomBorder = $tag(div, "BottomCenter"),
+ $tag(div, "BottomRight")
+ )
+ ).find('div div').css({'float': 'left'});
+
+ $loadingBay = $tag(div, false, 'position:absolute; width:9999px; visibility:hidden; display:none');
+
+ $groupControls = $next.add($prev).add($current).add($slideshow);
+
+ $(document.body).append($overlay, $box.append($wrap, $loadingBay));
+ }
+ }
+
+ // Add ColorBox's event bindings
+ function addBindings() {
+ if ($box) {
+ if (!init) {
+ init = true;
+
+ // Cache values needed for size calculations
+ interfaceHeight = $topBorder.height() + $bottomBorder.height() + $content.outerHeight(true) - $content.height();//Subtraction needed for IE6
+ interfaceWidth = $leftBorder.width() + $rightBorder.width() + $content.outerWidth(true) - $content.width();
+ loadedHeight = $loaded.outerHeight(true);
+ loadedWidth = $loaded.outerWidth(true);
+
+ // Setting padding to remove the need to do size conversions during the animation step.
+ $box.css({"padding-bottom": interfaceHeight, "padding-right": interfaceWidth});
+
+ // Anonymous functions here keep the public method from being cached, thereby allowing them to be redefined on the fly.
+ $next.click(function () {
+ publicMethod.next();
+ });
+ $prev.click(function () {
+ publicMethod.prev();
+ });
+ $close.click(function () {
+ publicMethod.close();
+ });
+ $overlay.click(function () {
+ if (settings.overlayClose) {
+ publicMethod.close();
+ }
+ });
+
+ // Key Bindings
+ $(document).bind('keydown.' + prefix, function (e) {
+ var key = e.keyCode;
+ if (open && settings.escKey && key === 27) {
+ e.preventDefault();
+ publicMethod.close();
+ }
+ if (open && settings.arrowKey && $related[1]) {
+ if (key === 37) {
+ e.preventDefault();
+ $prev.click();
+ } else if (key === 39) {
+ e.preventDefault();
+ $next.click();
+ }
+ }
+ });
+
+ $('.' + boxElement, document).live('click', function (e) {
+ // ignore non-left-mouse-clicks and clicks modified with ctrl / command, shift, or alt.
+ // See: http://jacklmoore.com/notes/click-events/
+ if (!(e.which > 1 || e.shiftKey || e.altKey || e.metaKey)) {
+ e.preventDefault();
+ launch(this);
+ }
+ });
+ }
+ return true;
+ }
+ return false;
+ }
+
+ // Don't do anything if ColorBox already exists.
+ if ($.colorbox) {
+ return;
+ }
+
+ // Append the HTML when the DOM loads
+ $(appendHTML);
+
+
+ // ****************
+ // PUBLIC FUNCTIONS
+ // Usage format: $.fn.colorbox.close();
+ // Usage from within an iframe: parent.$.fn.colorbox.close();
+ // ****************
+
+ publicMethod = $.fn[colorbox] = $[colorbox] = function (options, callback) {
+ var $this = this;
+
+ options = options || {};
+
+ appendHTML();
+
+ if (addBindings()) {
+ if (!$this[0]) {
+ if ($this.selector) { // if a selector was given and it didn't match any elements, go ahead and exit.
+ return $this;
+ }
+ // if no selector was given (ie. $.colorbox()), create a temporary element to work with
+ $this = $('<a/>');
+ options.open = true; // assume an immediate open
+ }
+
+ if (callback) {
+ options.onComplete = callback;
+ }
+
+ $this.each(function () {
+ $.data(this, colorbox, $.extend({}, $.data(this, colorbox) || defaults, options));
+ }).addClass(boxElement);
+
+ if (($.isFunction(options.open) && options.open.call($this)) || options.open) {
+ launch($this[0]);
+ }
+ }
+
+ return $this;
+ };
+
+ publicMethod.position = function (speed, loadedCallback) {
+ var
+ top = 0,
+ left = 0,
+ offset = $box.offset(),
+ scrollTop,
+ scrollLeft;
+
+ $window.unbind('resize.' + prefix);
+
+ // remove the modal so that it doesn't influence the document width/height
+ $box.css({top: -9e4, left: -9e4});
+
+ scrollTop = $window.scrollTop();
+ scrollLeft = $window.scrollLeft();
+
+ if (settings.fixed && !isIE6) {
+ offset.top -= scrollTop;
+ offset.left -= scrollLeft;
+ $box.css({position: 'fixed'});
+ } else {
+ top = scrollTop;
+ left = scrollLeft;
+ $box.css({position: 'absolute'});
+ }
+
+ // keeps the top and left positions within the browser's viewport.
+ if (settings.right !== false) {
+ left += Math.max($window.width() - settings.w - loadedWidth - interfaceWidth - setSize(settings.right, 'x'), 0);
+ } else if (settings.left !== false) {
+ left += setSize(settings.left, 'x');
+ } else {
+ left += Math.round(Math.max($window.width() - settings.w - loadedWidth - interfaceWidth, 0) / 2);
+ }
+
+ if (settings.bottom !== false) {
+ top += Math.max($window.height() - settings.h - loadedHeight - interfaceHeight - setSize(settings.bottom, 'y'), 0);
+ } else if (settings.top !== false) {
+ top += setSize(settings.top, 'y');
+ } else {
+ top += Math.round(Math.max($window.height() - settings.h - loadedHeight - interfaceHeight, 0) / 2);
+ }
+
+ $box.css({top: offset.top, left: offset.left});
+
+ // setting the speed to 0 to reduce the delay between same-sized content.
+ speed = ($box.width() === settings.w + loadedWidth && $box.height() === settings.h + loadedHeight) ? 0 : speed || 0;
+
+ // this gives the wrapper plenty of breathing room so it's floated contents can move around smoothly,
+ // but it has to be shrank down around the size of div#colorbox when it's done. If not,
+ // it can invoke an obscure IE bug when using iframes.
+ $wrap[0].style.width = $wrap[0].style.height = "9999px";
+
+ function modalDimensions(that) {
+ $topBorder[0].style.width = $bottomBorder[0].style.width = $content[0].style.width = that.style.width;
+ $content[0].style.height = $leftBorder[0].style.height = $rightBorder[0].style.height = that.style.height;
+ }
+
+ $box.dequeue().animate({width: settings.w + loadedWidth, height: settings.h + loadedHeight, top: top, left: left}, {
+ duration: speed,
+ complete: function () {
+ modalDimensions(this);
+
+ active = false;
+
+ // shrink the wrapper down to exactly the size of colorbox to avoid a bug in IE's iframe implementation.
+ $wrap[0].style.width = (settings.w + loadedWidth + interfaceWidth) + "px";
+ $wrap[0].style.height = (settings.h + loadedHeight + interfaceHeight) + "px";
+
+ if (settings.reposition) {
+ setTimeout(function () { // small delay before binding onresize due to an IE8 bug.
+ $window.bind('resize.' + prefix, publicMethod.position);
+ }, 1);
+ }
+
+ if (loadedCallback) {
+ loadedCallback();
+ }
+ },
+ step: function () {
+ modalDimensions(this);
+ }
+ });
+ };
+
+ publicMethod.resize = function (options) {
+ if (open) {
+ options = options || {};
+
+ if (options.width) {
+ settings.w = setSize(options.width, 'x') - loadedWidth - interfaceWidth;
+ }
+ if (options.innerWidth) {
+ settings.w = setSize(options.innerWidth, 'x');
+ }
+ $loaded.css({width: settings.w});
+
+ if (options.height) {
+ settings.h = setSize(options.height, 'y') - loadedHeight - interfaceHeight;
+ }
+ if (options.innerHeight) {
+ settings.h = setSize(options.innerHeight, 'y');
+ }
+ if (!options.innerHeight && !options.height) {
+ $loaded.css({height: "auto"});
+ settings.h = $loaded.height();
+ }
+ $loaded.css({height: settings.h});
+
+ publicMethod.position(settings.transition === "none" ? 0 : settings.speed);
+ }
+ };
+
+ publicMethod.prep = function (object) {
+ if (!open) {
+ return;
+ }
+
+ var callback, speed = settings.transition === "none" ? 0 : settings.speed;
+
+ $loaded.remove();
+ $loaded = $tag(div, 'LoadedContent').append(object);
+
+ function getWidth() {
+ settings.w = settings.w || $loaded.width();
+ settings.w = settings.mw && settings.mw < settings.w ? settings.mw : settings.w;
+ return settings.w;
+ }
+ function getHeight() {
+ settings.h = settings.h || $loaded.height();
+ settings.h = settings.mh && settings.mh < settings.h ? settings.mh : settings.h;
+ return settings.h;
+ }
+
+ $loaded.hide()
+ .appendTo($loadingBay.show())// content has to be appended to the DOM for accurate size calculations.
+ .css({width: getWidth(), overflow: settings.scrolling ? 'auto' : 'hidden'})
+ .css({height: getHeight()})// sets the height independently from the width in case the new width influences the value of height.
+ .prependTo($content);
+
+ $loadingBay.hide();
+
+ // floating the IMG removes the bottom line-height and fixed a problem where IE miscalculates the width of the parent element as 100% of the document width.
+ //$(photo).css({'float': 'none', marginLeft: 'auto', marginRight: 'auto'});
+
+ $(photo).css({'float': 'none'});
+
+ // Hides SELECT elements in IE6 because they would otherwise sit on top of the overlay.
+ if (isIE6) {
+ $('select').not($box.find('select')).filter(function () {
+ return this.style.visibility !== 'hidden';
+ }).css({'visibility': 'hidden'}).one(event_cleanup, function () {
+ this.style.visibility = 'inherit';
+ });
+ }
+
+ callback = function () {
+ var preload,
+ i,
+ total = $related.length,
+ iframe,
+ frameBorder = 'frameBorder',
+ allowTransparency = 'allowTransparency',
+ complete,
+ src,
+ img,
+ data;
+
+ if (!open) {
+ return;
+ }
+
+ function removeFilter() {
+ if (isIE) {
+ $box[0].style.removeAttribute('filter');
+ }
+ }
+
+ complete = function () {
+ clearTimeout(loadingTimer);
+ $loadingOverlay.hide();
+ trigger(event_complete, settings.onComplete);
+ };
+
+ if (isIE) {
+ //This fadeIn helps the bicubic resampling to kick-in.
+ if (photo) {
+ $loaded.fadeIn(100);
+ }
+ }
+
+ $title.html(settings.title).add($loaded).show();
+
+ if (total > 1) { // handle grouping
+ if (typeof settings.current === "string") {
+ $current.html(settings.current.replace('{current}', index + 1).replace('{total}', total)).show();
+ }
+
+ $next[(settings.loop || index < total - 1) ? "show" : "hide"]().html(settings.next);
+ $prev[(settings.loop || index) ? "show" : "hide"]().html(settings.previous);
+
+ if (settings.slideshow) {
+ $slideshow.show();
+ }
+
+ // Preloads images within a rel group
+ if (settings.preloading) {
+ preload = [
+ getIndex(-1),
+ getIndex(1)
+ ];
+ while (i = $related[preload.pop()]) {
+ data = $.data(i, colorbox);
+
+ if (data && data.href) {
+ src = data.href;
+ if ($.isFunction(src)) {
+ src = src.call(i);
+ }
+ } else {
+ src = i.href;
+ }
+
+ if (isImage(src)) {
+ img = new Image();
+ img.src = src;
+ }
+ }
+ }
+ } else {
+ $groupControls.hide();
+ }
+
+ if (settings.iframe) {
+ iframe = $tag('iframe')[0];
+
+ if (frameBorder in iframe) {
+ iframe[frameBorder] = 0;
+ }
+ if (allowTransparency in iframe) {
+ iframe[allowTransparency] = "true";
+ }
+ // give the iframe a unique name to prevent caching
+ iframe.name = prefix + (+new Date());
+ if (settings.fastIframe) {
+ complete();
+ } else {
+ $(iframe).one('load', complete);
+ }
+ iframe.src = settings.href;
+ if (!settings.scrolling) {
+ iframe.scrolling = "no";
+ }
+ $(iframe).addClass(prefix + 'Iframe').appendTo($loaded).one(event_purge, function () {
+ iframe.src = "//about:blank";
+ });
+ } else {
+ complete();
+ }
+
+ if (settings.transition === 'fade') {
+ $box.fadeTo(speed, 1, removeFilter);
+ } else {
+ removeFilter();
+ }
+ };
+
+ if (settings.transition === 'fade') {
+ $box.fadeTo(speed, 0, function () {
+ publicMethod.position(0, callback);
+ });
+ } else {
+ publicMethod.position(speed, callback);
+ }
+ };
+
+ publicMethod.load = function (launched) {
+ var href, setResize, prep = publicMethod.prep;
+
+ active = true;
+
+ photo = false;
+
+ element = $related[index];
+
+ if (!launched) {
+ makeSettings();
+ }
+
+ trigger(event_purge);
+
+ trigger(event_load, settings.onLoad);
+
+ settings.h = settings.height ?
+ setSize(settings.height, 'y') - loadedHeight - interfaceHeight :
+ settings.innerHeight && setSize(settings.innerHeight, 'y');
+
+ settings.w = settings.width ?
+ setSize(settings.width, 'x') - loadedWidth - interfaceWidth :
+ settings.innerWidth && setSize(settings.innerWidth, 'x');
+
+ // Sets the minimum dimensions for use in image scaling
+ settings.mw = settings.w;
+ settings.mh = settings.h;
+
+ // Re-evaluate the minimum width and height based on maxWidth and maxHeight values.
+ // If the width or height exceed the maxWidth or maxHeight, use the maximum values instead.
+ if (settings.maxWidth) {
+ settings.mw = setSize(settings.maxWidth, 'x') - loadedWidth - interfaceWidth;
+ settings.mw = settings.w && settings.w < settings.mw ? settings.w : settings.mw;
+ }
+ if (settings.maxHeight) {
+ settings.mh = setSize(settings.maxHeight, 'y') - loadedHeight - interfaceHeight;
+ settings.mh = settings.h && settings.h < settings.mh ? settings.h : settings.mh;
+ }
+
+ href = settings.href;
+
+ loadingTimer = setTimeout(function () {
+ $loadingOverlay.show();
+ }, 100);
+
+ if (settings.inline) {
+ // Inserts an empty placeholder where inline content is being pulled from.
+ // An event is bound to put inline content back when ColorBox closes or loads new content.
+ $tag(div).hide().insertBefore($(href)[0]).one(event_purge, function () {
+ $(this).replaceWith($loaded.children());
+ });
+ prep($(href));
+ } else if (settings.iframe) {
+ // IFrame element won't be added to the DOM until it is ready to be displayed,
+ // to avoid problems with DOM-ready JS that might be trying to run in that iframe.
+ prep(" ");
+ } else if (settings.html) {
+ prep(settings.html);
+ } else if (isImage(href)) {
+ $(photo = new Image())
+ .addClass(prefix + 'Photo')
+ .error(function () {
+ settings.title = false;
+ prep($tag(div, 'Error').html(settings.imgError));
+ })
+ .load(function () {
+ var percent;
+ photo.onload = null; //stops animated gifs from firing the onload repeatedly.
+
+ if (settings.scalePhotos) {
+ setResize = function () {
+ photo.height -= photo.height * percent;
+ photo.width -= photo.width * percent;
+ };
+ if (settings.mw && photo.width > settings.mw) {
+ percent = (photo.width - settings.mw) / photo.width;
+ setResize();
+ }
+ if (settings.mh && photo.height > settings.mh) {
+ percent = (photo.height - settings.mh) / photo.height;
+ setResize();
+ }
+ }
+
+ if (settings.h) {
+ photo.style.marginTop = Math.max(settings.h - photo.height, 0) / 2 + 'px';
+ }
+
+ if ($related[1] && (settings.loop || $related[index + 1])) {
+ photo.style.cursor = 'pointer';
+ photo.onclick = function () {
+ publicMethod.next();
+ };
+ }
+
+ if (isIE) {
+ photo.style.msInterpolationMode = 'bicubic';
+ }
+
+ setTimeout(function () { // A pause because Chrome will sometimes report a 0 by 0 size otherwise.
+ prep(photo);
+ }, 1);
+ });
+
+ setTimeout(function () { // A pause because Opera 10.6+ will sometimes not run the onload function otherwise.
+ photo.src = href;
+ }, 1);
+ } else if (href) {
+ $loadingBay.load(href, settings.data, function (data, status, xhr) {
+ prep(status === 'error' ? $tag(div, 'Error').html(settings.xhrError) : $(this).contents());
+ });
+ }
+ };
+
+ // Navigates to the next page/image in a set.
+ publicMethod.next = function () {
+ if (!active && $related[1] && (settings.loop || $related[index + 1])) {
+ index = getIndex(1);
+ publicMethod.load();
+ }
+ };
+
+ publicMethod.prev = function () {
+ if (!active && $related[1] && (settings.loop || index)) {
+ index = getIndex(-1);
+ publicMethod.load();
+ }
+ };
+
+ // Note: to use this within an iframe use the following format: parent.$.fn.colorbox.close();
+ publicMethod.close = function () {
+ if (open && !closing) {
+
+ closing = true;
+
+ open = false;
+
+ trigger(event_cleanup, settings.onCleanup);
+
+ $window.unbind('.' + prefix + ' .' + event_ie6);
+
+ $overlay.fadeTo(200, 0);
+
+ $box.stop().fadeTo(300, 0, function () {
+
+ $box.add($overlay).css({'opacity': 1, cursor: 'auto'}).hide();
+
+ trigger(event_purge);
+
+ $loaded.remove();
+
+ setTimeout(function () {
+ closing = false;
+ trigger(event_closed, settings.onClosed);
+ }, 1);
+ });
+ }
+ };
+
+ // Removes changes ColorBox made to the document, but does not remove the plugin
+ // from jQuery.
+ publicMethod.remove = function () {
+ $([]).add($box).add($overlay).remove();
+ $box = null;
+ $('.' + boxElement)
+ .removeData(colorbox)
+ .removeClass(boxElement)
+ .die();
+ };
+
+ // A method for fetching the current element ColorBox is referencing.
+ // returns a jQuery object.
+ publicMethod.element = function () {
+ return $(element);
+ };
+
+ publicMethod.settings = defaults;
+
+}(jQuery, document, this)); \ No newline at end of file
diff --git a/mod/colorbox/vendors/jquery/colorbox/content/ajax.html b/mod/colorbox/vendors/jquery/colorbox/content/ajax.html
new file mode 100644
index 000000000..e772638ad
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/content/ajax.html
@@ -0,0 +1,11 @@
+<div id='homer' style="background:url(../content/homer.jpg) right center no-repeat #ececec; height:135px; width:280px; padding:30px 10px;">
+ <strong>Homer</strong><br/>
+ <em>\noun\</em><br/>
+ <strong>1.</strong> American bonehead<br/>
+ <strong>2. Pull a Homer-</strong><br/>
+ to succeed despite<br/>
+ idiocy
+</div>
+<script>
+ $('#homer strong').css({color:'red'});
+</script> \ No newline at end of file
diff --git a/mod/colorbox/vendors/jquery/colorbox/content/flash.html b/mod/colorbox/vendors/jquery/colorbox/content/flash.html
new file mode 100644
index 000000000..c83f7c44f
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/content/flash.html
@@ -0,0 +1,8 @@
+<div style="width:504px; height:412px; overflow:hidden;">
+ <object>
+ <param name="allowfullscreen" value="true" /><param name="wmode" value="opaque" />
+ <param name="allowscriptaccess" value="always" />
+ <param name="movie" value="http://vimeo.com/moogaloop.swf?clip_id=2285902&amp;server=vimeo.com&amp;show_title=0&amp;show_byline=0&amp;show_portrait=0&amp;color=00ADEF&amp;fullscreen=1" />
+ <embed src="http://vimeo.com/moogaloop.swf?clip_id=2285902&amp;server=vimeo.com&amp;show_title=0&amp;show_byline=0&amp;show_portrait=0&amp;color=00ADEF&amp;fullscreen=1" type="application/x-shockwave-flash" allowfullscreen="true" wmode="opaque" allowscriptaccess="always" width="504" height="412"></embed>
+ </object>
+</div> \ No newline at end of file
diff --git a/mod/colorbox/vendors/jquery/colorbox/content/homer.jpg b/mod/colorbox/vendors/jquery/colorbox/content/homer.jpg
new file mode 100644
index 000000000..87ec76c99
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/content/homer.jpg
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/content/marylou.jpg b/mod/colorbox/vendors/jquery/colorbox/content/marylou.jpg
new file mode 100644
index 000000000..4c717d276
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/content/marylou.jpg
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/content/ohoopee1.jpg b/mod/colorbox/vendors/jquery/colorbox/content/ohoopee1.jpg
new file mode 100644
index 000000000..aae19a3e6
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/content/ohoopee1.jpg
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/content/ohoopee2.jpg b/mod/colorbox/vendors/jquery/colorbox/content/ohoopee2.jpg
new file mode 100644
index 000000000..206894489
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/content/ohoopee2.jpg
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/content/ohoopee3.jpg b/mod/colorbox/vendors/jquery/colorbox/content/ohoopee3.jpg
new file mode 100644
index 000000000..4d64d2400
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/content/ohoopee3.jpg
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/example1/colorbox.css b/mod/colorbox/vendors/jquery/colorbox/example1/colorbox.css
new file mode 100644
index 000000000..397fe3dca
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example1/colorbox.css
@@ -0,0 +1,86 @@
+/*
+ ColorBox Core Style:
+ The following CSS is consistent between example themes and should not be altered.
+*/
+#colorbox, #cboxOverlay, #cboxWrapper{position:absolute; top:0; left:0; z-index:9999; overflow:hidden;}
+#cboxOverlay{position:fixed; width:100%; height:100%;}
+#cboxMiddleLeft, #cboxBottomLeft{clear:left;}
+#cboxContent{position:relative;}
+#cboxLoadedContent{overflow:auto;}
+#cboxTitle{margin:0;}
+#cboxLoadingOverlay, #cboxLoadingGraphic{position:absolute; top:0; left:0; width:100%; height:100%;}
+#cboxPrevious, #cboxNext, #cboxClose, #cboxSlideshow{cursor:pointer;}
+.cboxPhoto{float:left; margin:auto; border:0; display:block; max-width:none;}
+.cboxIframe{width:100%; height:100%; display:block; border:0;}
+#colorbox, #cboxContent, #cboxLoadedContent{box-sizing:content-box;}
+
+/*
+ User Style:
+ Change the following styles to modify the appearance of ColorBox. They are
+ ordered & tabbed in a way that represents the nesting of the generated HTML.
+*/
+#cboxOverlay{background:url(images/overlay.png) repeat 0 0;}
+#colorbox{}
+ #cboxTopLeft{width:21px; height:21px; background:url(images/controls.png) no-repeat -101px 0;}
+ #cboxTopRight{width:21px; height:21px; background:url(images/controls.png) no-repeat -130px 0;}
+ #cboxBottomLeft{width:21px; height:21px; background:url(images/controls.png) no-repeat -101px -29px;}
+ #cboxBottomRight{width:21px; height:21px; background:url(images/controls.png) no-repeat -130px -29px;}
+ #cboxMiddleLeft{width:21px; background:url(images/controls.png) left top repeat-y;}
+ #cboxMiddleRight{width:21px; background:url(images/controls.png) right top repeat-y;}
+ #cboxTopCenter{height:21px; background:url(images/border.png) 0 0 repeat-x;}
+ #cboxBottomCenter{height:21px; background:url(images/border.png) 0 -29px repeat-x;}
+ #cboxContent{background:#fff; overflow:hidden;}
+ .cboxIframe{background:#fff;}
+ #cboxError{padding:50px; border:1px solid #ccc;}
+ #cboxLoadedContent{margin-bottom:28px;}
+ #cboxTitle{position:absolute; bottom:4px; left:0; text-align:center; width:100%; color:#949494;}
+ #cboxCurrent{position:absolute; bottom:4px; left:58px; color:#949494;}
+ #cboxSlideshow{position:absolute; bottom:4px; right:30px; color:#0092ef;}
+ #cboxPrevious{position:absolute; bottom:0; left:0; background:url(images/controls.png) no-repeat -75px 0; width:25px; height:25px; text-indent:-9999px;}
+ #cboxPrevious:hover{background-position:-75px -25px;}
+ #cboxNext{position:absolute; bottom:0; left:27px; background:url(images/controls.png) no-repeat -50px 0; width:25px; height:25px; text-indent:-9999px;}
+ #cboxNext:hover{background-position:-50px -25px;}
+ #cboxLoadingOverlay{background:url(images/loading_background.png) no-repeat center center;}
+ #cboxLoadingGraphic{background:url(images/loading.gif) no-repeat center center;}
+ #cboxClose{position:absolute; bottom:0; right:0; background:url(images/controls.png) no-repeat -25px 0; width:25px; height:25px; text-indent:-9999px;}
+ #cboxClose:hover{background-position:-25px -25px;}
+
+/*
+ The following fixes a problem where IE7 and IE8 replace a PNG's alpha transparency with a black fill
+ when an alpha filter (opacity change) is set on the element or ancestor element. This style is not applied to or needed in IE9.
+ See: http://jacklmoore.com/notes/ie-transparency-problems/
+*/
+.cboxIE #cboxTopLeft,
+.cboxIE #cboxTopCenter,
+.cboxIE #cboxTopRight,
+.cboxIE #cboxBottomLeft,
+.cboxIE #cboxBottomCenter,
+.cboxIE #cboxBottomRight,
+.cboxIE #cboxMiddleLeft,
+.cboxIE #cboxMiddleRight {
+ filter: progid:DXImageTransform.Microsoft.gradient(startColorstr=#00FFFFFF,endColorstr=#00FFFFFF);
+}
+
+/*
+ The following provides PNG transparency support for IE6
+ Feel free to remove this and the /ie6/ directory if you have dropped IE6 support.
+*/
+.cboxIE6 #cboxTopLeft{background:url(images/ie6/borderTopLeft.png);}
+.cboxIE6 #cboxTopCenter{background:url(images/ie6/borderTopCenter.png);}
+.cboxIE6 #cboxTopRight{background:url(images/ie6/borderTopRight.png);}
+.cboxIE6 #cboxBottomLeft{background:url(images/ie6/borderBottomLeft.png);}
+.cboxIE6 #cboxBottomCenter{background:url(images/ie6/borderBottomCenter.png);}
+.cboxIE6 #cboxBottomRight{background:url(images/ie6/borderBottomRight.png);}
+.cboxIE6 #cboxMiddleLeft{background:url(images/ie6/borderMiddleLeft.png);}
+.cboxIE6 #cboxMiddleRight{background:url(images/ie6/borderMiddleRight.png);}
+
+.cboxIE6 #cboxTopLeft,
+.cboxIE6 #cboxTopCenter,
+.cboxIE6 #cboxTopRight,
+.cboxIE6 #cboxBottomLeft,
+.cboxIE6 #cboxBottomCenter,
+.cboxIE6 #cboxBottomRight,
+.cboxIE6 #cboxMiddleLeft,
+.cboxIE6 #cboxMiddleRight {
+ _behavior: expression(this.src = this.src ? this.src : this.currentStyle.backgroundImage.split('"')[1], this.style.background = "none", this.style.filter = "progid:DXImageTransform.Microsoft.AlphaImageLoader(src=" + this.src + ", sizingMethod='scale')");
+}
diff --git a/mod/colorbox/vendors/jquery/colorbox/example1/images/border.png b/mod/colorbox/vendors/jquery/colorbox/example1/images/border.png
new file mode 100644
index 000000000..f463a10d8
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example1/images/border.png
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/example1/images/controls.png b/mod/colorbox/vendors/jquery/colorbox/example1/images/controls.png
new file mode 100644
index 000000000..dcfd6fb9f
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example1/images/controls.png
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/example1/images/ie6/borderBottomCenter.png b/mod/colorbox/vendors/jquery/colorbox/example1/images/ie6/borderBottomCenter.png
new file mode 100644
index 000000000..0d4475edf
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example1/images/ie6/borderBottomCenter.png
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/example1/images/ie6/borderBottomLeft.png b/mod/colorbox/vendors/jquery/colorbox/example1/images/ie6/borderBottomLeft.png
new file mode 100644
index 000000000..2775eba89
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example1/images/ie6/borderBottomLeft.png
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/example1/images/ie6/borderBottomRight.png b/mod/colorbox/vendors/jquery/colorbox/example1/images/ie6/borderBottomRight.png
new file mode 100644
index 000000000..f7f51379c
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example1/images/ie6/borderBottomRight.png
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/example1/images/ie6/borderMiddleLeft.png b/mod/colorbox/vendors/jquery/colorbox/example1/images/ie6/borderMiddleLeft.png
new file mode 100644
index 000000000..a2d63d156
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example1/images/ie6/borderMiddleLeft.png
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/example1/images/ie6/borderMiddleRight.png b/mod/colorbox/vendors/jquery/colorbox/example1/images/ie6/borderMiddleRight.png
new file mode 100644
index 000000000..fd7c3e849
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example1/images/ie6/borderMiddleRight.png
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/example1/images/ie6/borderTopCenter.png b/mod/colorbox/vendors/jquery/colorbox/example1/images/ie6/borderTopCenter.png
new file mode 100644
index 000000000..2937a9cf9
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example1/images/ie6/borderTopCenter.png
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/example1/images/ie6/borderTopLeft.png b/mod/colorbox/vendors/jquery/colorbox/example1/images/ie6/borderTopLeft.png
new file mode 100644
index 000000000..f9d458b5b
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example1/images/ie6/borderTopLeft.png
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/example1/images/ie6/borderTopRight.png b/mod/colorbox/vendors/jquery/colorbox/example1/images/ie6/borderTopRight.png
new file mode 100644
index 000000000..74b8583cf
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example1/images/ie6/borderTopRight.png
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/example1/images/loading.gif b/mod/colorbox/vendors/jquery/colorbox/example1/images/loading.gif
new file mode 100644
index 000000000..b4695d811
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example1/images/loading.gif
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/example1/images/loading_background.png b/mod/colorbox/vendors/jquery/colorbox/example1/images/loading_background.png
new file mode 100644
index 000000000..6ae83e697
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example1/images/loading_background.png
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/example1/images/overlay.png b/mod/colorbox/vendors/jquery/colorbox/example1/images/overlay.png
new file mode 100644
index 000000000..53ea98f70
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example1/images/overlay.png
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/example1/index.html b/mod/colorbox/vendors/jquery/colorbox/example1/index.html
new file mode 100644
index 000000000..44fcca860
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example1/index.html
@@ -0,0 +1,87 @@
+<!DOCTYPE html>
+<html>
+ <head>
+ <meta charset='utf-8'/>
+ <title>ColorBox Examples</title>
+ <style>
+ body{font:12px/1.2 Verdana, sans-serif; padding:0 10px;}
+ a:link, a:visited{text-decoration:none; color:#416CE5; border-bottom:1px solid #416CE5;}
+ h2{font-size:13px; margin:15px 0 0 0;}
+ </style>
+ <link rel="stylesheet" href="colorbox.css" />
+ <script src="https://ajax.googleapis.com/ajax/libs/jquery/1.7.1/jquery.min.js"></script>
+ <script src="../colorbox/jquery.colorbox.js"></script>
+ <script>
+ $(document).ready(function(){
+ //Examples of how to assign the ColorBox event to elements
+ $(".group1").colorbox({rel:'group1'});
+ $(".group2").colorbox({rel:'group2', transition:"fade"});
+ $(".group3").colorbox({rel:'group3', transition:"none", width:"75%", height:"75%"});
+ $(".group4").colorbox({rel:'group4', slideshow:true});
+ $(".ajax").colorbox();
+ $(".youtube").colorbox({iframe:true, innerWidth:425, innerHeight:344});
+ $(".iframe").colorbox({iframe:true, width:"80%", height:"80%"});
+ $(".inline").colorbox({inline:true, width:"50%"});
+ $(".callbacks").colorbox({
+ onOpen:function(){ alert('onOpen: colorbox is about to open'); },
+ onLoad:function(){ alert('onLoad: colorbox has started to load the targeted content'); },
+ onComplete:function(){ alert('onComplete: colorbox has displayed the loaded content'); },
+ onCleanup:function(){ alert('onCleanup: colorbox has begun the close process'); },
+ onClosed:function(){ alert('onClosed: colorbox has completely closed'); }
+ });
+
+ //Example of preserving a JavaScript event for inline calls.
+ $("#click").click(function(){
+ $('#click').css({"background-color":"#f00", "color":"#fff", "cursor":"inherit"}).text("Open this window again and this message will still be here.");
+ return false;
+ });
+ });
+ </script>
+ </head>
+ <body>
+ <h1>ColorBox Demonstration</h1>
+
+ <h2>Elastic Transition</h2>
+ <p><a class="group1" href="../content/ohoopee1.jpg" title="Me and my grandfather on the Ohoopee.">Grouped Photo 1</a></p>
+ <p><a class="group1" href="../content/ohoopee2.jpg" title="On the Ohoopee as a child">Grouped Photo 2</a></p>
+ <p><a class="group1" href="../content/ohoopee3.jpg" title="On the Ohoopee as an adult">Grouped Photo 3</a></p>
+
+ <h2>Fade Transition</h2>
+ <p><a class="group2" href="../content/ohoopee1.jpg" title="Me and my grandfather on the Ohoopee">Grouped Photo 1</a></p>
+ <p><a class="group2" href="../content/ohoopee2.jpg" title="On the Ohoopee as a child">Grouped Photo 2</a></p>
+ <p><a class="group2" href="../content/ohoopee3.jpg" title="On the Ohoopee as an adult">Grouped Photo 3</a></p>
+
+ <h2>No Transition + fixed width and height (75% of screen size)</h2>
+ <p><a class="group3" href="../content/ohoopee1.jpg" title="Me and my grandfather on the Ohoopee.">Grouped Photo 1</a></p>
+ <p><a class="group3" href="../content/ohoopee2.jpg" title="On the Ohoopee as a child">Grouped Photo 2</a></p>
+ <p><a class="group3" href="../content/ohoopee3.jpg" title="On the Ohoopee as an adult">Grouped Photo 3</a></p>
+
+ <h2>Slideshow</h2>
+ <p><a class="group4" href="../content/ohoopee1.jpg" title="Me and my grandfather on the Ohoopee.">Grouped Photo 1</a></p>
+ <p><a class="group4" href="../content/ohoopee2.jpg" title="On the Ohoopee as a child">Grouped Photo 2</a></p>
+ <p><a class="group4" href="../content/ohoopee3.jpg" title="On the Ohoopee as an adult">Grouped Photo 3</a></p>
+
+ <h2>Other Content Types</h2>
+ <p><a class='ajax' href="../content/ajax.html" title="Homer Defined">Outside HTML (Ajax)</a></p>
+ <p><a class='ajax' href="../content/flash.html" title="Royksopp: Remind Me">Flash / Video (Ajax/Embedded)</a></p>
+ <p><a class='youtube' href="http://www.youtube.com/embed/617ANIA5Rqs?rel=0&amp;wmode=transparent" title="The Knife: We Share Our Mother's Health">Flash / Video (Iframe/Direct Link To YouTube)</a></p>
+ <p><a class='iframe' href="http://threadless.com">Outside Webpage (Iframe)</a></p>
+ <p><a class='inline' href="#inline_content">Inline HTML</a></p>
+
+ <h2>Demonstration of using callbacks</h2>
+ <p><a class='callbacks' href="../content/marylou.jpg" title="Marylou on Cumberland Island">Example with alerts</a>. Callbacks and event-hooks allow users to extend functionality without having to rewrite parts of the plugin.</p>
+
+ <!-- This contains the hidden content for inline calls -->
+ <div style='display:none'>
+ <div id='inline_content' style='padding:10px; background:#fff;'>
+ <p><strong>This content comes from a hidden element on this page.</strong></p>
+ <p>The inline option preserves bound JavaScript events and changes, and it puts the content back where it came from when it is closed.</p>
+ <p><a id="click" href="#" style='padding:5px; background:#ccc;'>Click me, it will be preserved!</a></p>
+
+ <p><strong>If you try to open a new ColorBox while it is already open, it will update itself with the new content.</strong></p>
+ <p>Updating Content Example:<br />
+ <a class="ajax" href="../content/flash.html">Click here to load new content</a></p>
+ </div>
+ </div>
+ </body>
+</html> \ No newline at end of file
diff --git a/mod/colorbox/vendors/jquery/colorbox/example2/colorbox.css b/mod/colorbox/vendors/jquery/colorbox/example2/colorbox.css
new file mode 100644
index 000000000..fa42bf2f9
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example2/colorbox.css
@@ -0,0 +1,43 @@
+/*
+ ColorBox Core Style:
+ The following CSS is consistent between example themes and should not be altered.
+*/
+#colorbox, #cboxOverlay, #cboxWrapper{position:absolute; top:0; left:0; z-index:9999; overflow:hidden;}
+#cboxOverlay{position:fixed; width:100%; height:100%;}
+#cboxMiddleLeft, #cboxBottomLeft{clear:left;}
+#cboxContent{position:relative;}
+#cboxLoadedContent{overflow:auto;}
+#cboxTitle{margin:0;}
+#cboxLoadingOverlay, #cboxLoadingGraphic{position:absolute; top:0; left:0; width:100%; height:100%;}
+#cboxPrevious, #cboxNext, #cboxClose, #cboxSlideshow{cursor:pointer;}
+.cboxPhoto{float:left; margin:auto; border:0; display:block; max-width:none;}
+.cboxIframe{width:100%; height:100%; display:block; border:0;}
+#colorbox, #cboxContent, #cboxLoadedContent{box-sizing:content-box;}
+
+/*
+ User Style:
+ Change the following styles to modify the appearance of ColorBox. They are
+ ordered & tabbed in a way that represents the nesting of the generated HTML.
+*/
+#cboxOverlay{background:#fff;}
+#colorbox{}
+ #cboxContent{margin-top:32px; overflow:visible;}
+ .cboxIframe{background:#fff;}
+ #cboxError{padding:50px; border:1px solid #ccc;}
+ #cboxLoadedContent{background:#000; padding:1px;}
+ #cboxLoadingGraphic{background:url(images/loading.gif) no-repeat center center;}
+ #cboxLoadingOverlay{background:#000;}
+ #cboxTitle{position:absolute; top:-22px; left:0; color:#000;}
+ #cboxCurrent{position:absolute; top:-22px; right:205px; text-indent:-9999px;}
+ #cboxSlideshow, #cboxPrevious, #cboxNext, #cboxClose{text-indent:-9999px; width:20px; height:20px; position:absolute; top:-20px; background:url(images/controls.png) no-repeat 0 0;}
+ #cboxPrevious{background-position:0px 0px; right:44px;}
+ #cboxPrevious:hover{background-position:0px -25px;}
+ #cboxNext{background-position:-25px 0px; right:22px;}
+ #cboxNext:hover{background-position:-25px -25px;}
+ #cboxClose{background-position:-50px 0px; right:0;}
+ #cboxClose:hover{background-position:-50px -25px;}
+ .cboxSlideshow_on #cboxPrevious, .cboxSlideshow_off #cboxPrevious{right:66px;}
+ .cboxSlideshow_on #cboxSlideshow{background-position:-75px -25px; right:44px;}
+ .cboxSlideshow_on #cboxSlideshow:hover{background-position:-100px -25px;}
+ .cboxSlideshow_off #cboxSlideshow{background-position:-100px 0px; right:44px;}
+ .cboxSlideshow_off #cboxSlideshow:hover{background-position:-75px -25px;}
diff --git a/mod/colorbox/vendors/jquery/colorbox/example2/images/controls.png b/mod/colorbox/vendors/jquery/colorbox/example2/images/controls.png
new file mode 100644
index 000000000..8569b57f1
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example2/images/controls.png
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/example2/images/loading.gif b/mod/colorbox/vendors/jquery/colorbox/example2/images/loading.gif
new file mode 100644
index 000000000..19c67bbd0
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example2/images/loading.gif
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/example2/index.html b/mod/colorbox/vendors/jquery/colorbox/example2/index.html
new file mode 100644
index 000000000..44fcca860
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example2/index.html
@@ -0,0 +1,87 @@
+<!DOCTYPE html>
+<html>
+ <head>
+ <meta charset='utf-8'/>
+ <title>ColorBox Examples</title>
+ <style>
+ body{font:12px/1.2 Verdana, sans-serif; padding:0 10px;}
+ a:link, a:visited{text-decoration:none; color:#416CE5; border-bottom:1px solid #416CE5;}
+ h2{font-size:13px; margin:15px 0 0 0;}
+ </style>
+ <link rel="stylesheet" href="colorbox.css" />
+ <script src="https://ajax.googleapis.com/ajax/libs/jquery/1.7.1/jquery.min.js"></script>
+ <script src="../colorbox/jquery.colorbox.js"></script>
+ <script>
+ $(document).ready(function(){
+ //Examples of how to assign the ColorBox event to elements
+ $(".group1").colorbox({rel:'group1'});
+ $(".group2").colorbox({rel:'group2', transition:"fade"});
+ $(".group3").colorbox({rel:'group3', transition:"none", width:"75%", height:"75%"});
+ $(".group4").colorbox({rel:'group4', slideshow:true});
+ $(".ajax").colorbox();
+ $(".youtube").colorbox({iframe:true, innerWidth:425, innerHeight:344});
+ $(".iframe").colorbox({iframe:true, width:"80%", height:"80%"});
+ $(".inline").colorbox({inline:true, width:"50%"});
+ $(".callbacks").colorbox({
+ onOpen:function(){ alert('onOpen: colorbox is about to open'); },
+ onLoad:function(){ alert('onLoad: colorbox has started to load the targeted content'); },
+ onComplete:function(){ alert('onComplete: colorbox has displayed the loaded content'); },
+ onCleanup:function(){ alert('onCleanup: colorbox has begun the close process'); },
+ onClosed:function(){ alert('onClosed: colorbox has completely closed'); }
+ });
+
+ //Example of preserving a JavaScript event for inline calls.
+ $("#click").click(function(){
+ $('#click').css({"background-color":"#f00", "color":"#fff", "cursor":"inherit"}).text("Open this window again and this message will still be here.");
+ return false;
+ });
+ });
+ </script>
+ </head>
+ <body>
+ <h1>ColorBox Demonstration</h1>
+
+ <h2>Elastic Transition</h2>
+ <p><a class="group1" href="../content/ohoopee1.jpg" title="Me and my grandfather on the Ohoopee.">Grouped Photo 1</a></p>
+ <p><a class="group1" href="../content/ohoopee2.jpg" title="On the Ohoopee as a child">Grouped Photo 2</a></p>
+ <p><a class="group1" href="../content/ohoopee3.jpg" title="On the Ohoopee as an adult">Grouped Photo 3</a></p>
+
+ <h2>Fade Transition</h2>
+ <p><a class="group2" href="../content/ohoopee1.jpg" title="Me and my grandfather on the Ohoopee">Grouped Photo 1</a></p>
+ <p><a class="group2" href="../content/ohoopee2.jpg" title="On the Ohoopee as a child">Grouped Photo 2</a></p>
+ <p><a class="group2" href="../content/ohoopee3.jpg" title="On the Ohoopee as an adult">Grouped Photo 3</a></p>
+
+ <h2>No Transition + fixed width and height (75% of screen size)</h2>
+ <p><a class="group3" href="../content/ohoopee1.jpg" title="Me and my grandfather on the Ohoopee.">Grouped Photo 1</a></p>
+ <p><a class="group3" href="../content/ohoopee2.jpg" title="On the Ohoopee as a child">Grouped Photo 2</a></p>
+ <p><a class="group3" href="../content/ohoopee3.jpg" title="On the Ohoopee as an adult">Grouped Photo 3</a></p>
+
+ <h2>Slideshow</h2>
+ <p><a class="group4" href="../content/ohoopee1.jpg" title="Me and my grandfather on the Ohoopee.">Grouped Photo 1</a></p>
+ <p><a class="group4" href="../content/ohoopee2.jpg" title="On the Ohoopee as a child">Grouped Photo 2</a></p>
+ <p><a class="group4" href="../content/ohoopee3.jpg" title="On the Ohoopee as an adult">Grouped Photo 3</a></p>
+
+ <h2>Other Content Types</h2>
+ <p><a class='ajax' href="../content/ajax.html" title="Homer Defined">Outside HTML (Ajax)</a></p>
+ <p><a class='ajax' href="../content/flash.html" title="Royksopp: Remind Me">Flash / Video (Ajax/Embedded)</a></p>
+ <p><a class='youtube' href="http://www.youtube.com/embed/617ANIA5Rqs?rel=0&amp;wmode=transparent" title="The Knife: We Share Our Mother's Health">Flash / Video (Iframe/Direct Link To YouTube)</a></p>
+ <p><a class='iframe' href="http://threadless.com">Outside Webpage (Iframe)</a></p>
+ <p><a class='inline' href="#inline_content">Inline HTML</a></p>
+
+ <h2>Demonstration of using callbacks</h2>
+ <p><a class='callbacks' href="../content/marylou.jpg" title="Marylou on Cumberland Island">Example with alerts</a>. Callbacks and event-hooks allow users to extend functionality without having to rewrite parts of the plugin.</p>
+
+ <!-- This contains the hidden content for inline calls -->
+ <div style='display:none'>
+ <div id='inline_content' style='padding:10px; background:#fff;'>
+ <p><strong>This content comes from a hidden element on this page.</strong></p>
+ <p>The inline option preserves bound JavaScript events and changes, and it puts the content back where it came from when it is closed.</p>
+ <p><a id="click" href="#" style='padding:5px; background:#ccc;'>Click me, it will be preserved!</a></p>
+
+ <p><strong>If you try to open a new ColorBox while it is already open, it will update itself with the new content.</strong></p>
+ <p>Updating Content Example:<br />
+ <a class="ajax" href="../content/flash.html">Click here to load new content</a></p>
+ </div>
+ </div>
+ </body>
+</html> \ No newline at end of file
diff --git a/mod/colorbox/vendors/jquery/colorbox/example3/colorbox.css b/mod/colorbox/vendors/jquery/colorbox/example3/colorbox.css
new file mode 100644
index 000000000..36cc9ef42
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example3/colorbox.css
@@ -0,0 +1,38 @@
+/*
+ ColorBox Core Style:
+ The following CSS is consistent between example themes and should not be altered.
+*/
+#colorbox, #cboxOverlay, #cboxWrapper{position:absolute; top:0; left:0; z-index:9999; overflow:hidden;}
+#cboxOverlay{position:fixed; width:100%; height:100%;}
+#cboxMiddleLeft, #cboxBottomLeft{clear:left;}
+#cboxContent{position:relative;}
+#cboxLoadedContent{overflow:auto;}
+#cboxTitle{margin:0;}
+#cboxLoadingOverlay, #cboxLoadingGraphic{position:absolute; top:0; left:0; width:100%; height:100%;}
+#cboxPrevious, #cboxNext, #cboxClose, #cboxSlideshow{cursor:pointer;}
+.cboxPhoto{float:left; margin:auto; border:0; display:block; max-width: none;}
+.cboxIframe{width:100%; height:100%; display:block; border:0;}
+#colorbox, #cboxContent, #cboxLoadedContent{box-sizing:content-box;}
+
+/*
+ User Style:
+ Change the following styles to modify the appearance of ColorBox. They are
+ ordered & tabbed in a way that represents the nesting of the generated HTML.
+*/
+#cboxOverlay{background:#000;}
+#colorbox{}
+ #cboxContent{margin-top:20px;}
+ .cboxIframe{background:#fff;}
+ #cboxError{padding:50px; border:1px solid #ccc;}
+ #cboxLoadedContent{border:5px solid #000; background:#fff;}
+ #cboxTitle{position:absolute; top:-20px; left:0; color:#ccc;}
+ #cboxCurrent{position:absolute; top:-20px; right:0px; color:#ccc;}
+ #cboxSlideshow{position:absolute; top:-20px; right:90px; color:#fff;}
+ #cboxPrevious{position:absolute; top:50%; left:5px; margin-top:-32px; background:url(images/controls.png) no-repeat top left; width:28px; height:65px; text-indent:-9999px;}
+ #cboxPrevious:hover{background-position:bottom left;}
+ #cboxNext{position:absolute; top:50%; right:5px; margin-top:-32px; background:url(images/controls.png) no-repeat top right; width:28px; height:65px; text-indent:-9999px;}
+ #cboxNext:hover{background-position:bottom right;}
+ #cboxLoadingOverlay{background:#000;}
+ #cboxLoadingGraphic{background:url(images/loading.gif) no-repeat center center;}
+ #cboxClose{position:absolute; top:5px; right:5px; display:block; background:url(images/controls.png) no-repeat top center; width:38px; height:19px; text-indent:-9999px;}
+ #cboxClose:hover{background-position:bottom center;} \ No newline at end of file
diff --git a/mod/colorbox/vendors/jquery/colorbox/example3/images/controls.png b/mod/colorbox/vendors/jquery/colorbox/example3/images/controls.png
new file mode 100644
index 000000000..e1e97982a
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example3/images/controls.png
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/example3/images/loading.gif b/mod/colorbox/vendors/jquery/colorbox/example3/images/loading.gif
new file mode 100644
index 000000000..19c67bbd0
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example3/images/loading.gif
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/example3/index.html b/mod/colorbox/vendors/jquery/colorbox/example3/index.html
new file mode 100644
index 000000000..44fcca860
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example3/index.html
@@ -0,0 +1,87 @@
+<!DOCTYPE html>
+<html>
+ <head>
+ <meta charset='utf-8'/>
+ <title>ColorBox Examples</title>
+ <style>
+ body{font:12px/1.2 Verdana, sans-serif; padding:0 10px;}
+ a:link, a:visited{text-decoration:none; color:#416CE5; border-bottom:1px solid #416CE5;}
+ h2{font-size:13px; margin:15px 0 0 0;}
+ </style>
+ <link rel="stylesheet" href="colorbox.css" />
+ <script src="https://ajax.googleapis.com/ajax/libs/jquery/1.7.1/jquery.min.js"></script>
+ <script src="../colorbox/jquery.colorbox.js"></script>
+ <script>
+ $(document).ready(function(){
+ //Examples of how to assign the ColorBox event to elements
+ $(".group1").colorbox({rel:'group1'});
+ $(".group2").colorbox({rel:'group2', transition:"fade"});
+ $(".group3").colorbox({rel:'group3', transition:"none", width:"75%", height:"75%"});
+ $(".group4").colorbox({rel:'group4', slideshow:true});
+ $(".ajax").colorbox();
+ $(".youtube").colorbox({iframe:true, innerWidth:425, innerHeight:344});
+ $(".iframe").colorbox({iframe:true, width:"80%", height:"80%"});
+ $(".inline").colorbox({inline:true, width:"50%"});
+ $(".callbacks").colorbox({
+ onOpen:function(){ alert('onOpen: colorbox is about to open'); },
+ onLoad:function(){ alert('onLoad: colorbox has started to load the targeted content'); },
+ onComplete:function(){ alert('onComplete: colorbox has displayed the loaded content'); },
+ onCleanup:function(){ alert('onCleanup: colorbox has begun the close process'); },
+ onClosed:function(){ alert('onClosed: colorbox has completely closed'); }
+ });
+
+ //Example of preserving a JavaScript event for inline calls.
+ $("#click").click(function(){
+ $('#click').css({"background-color":"#f00", "color":"#fff", "cursor":"inherit"}).text("Open this window again and this message will still be here.");
+ return false;
+ });
+ });
+ </script>
+ </head>
+ <body>
+ <h1>ColorBox Demonstration</h1>
+
+ <h2>Elastic Transition</h2>
+ <p><a class="group1" href="../content/ohoopee1.jpg" title="Me and my grandfather on the Ohoopee.">Grouped Photo 1</a></p>
+ <p><a class="group1" href="../content/ohoopee2.jpg" title="On the Ohoopee as a child">Grouped Photo 2</a></p>
+ <p><a class="group1" href="../content/ohoopee3.jpg" title="On the Ohoopee as an adult">Grouped Photo 3</a></p>
+
+ <h2>Fade Transition</h2>
+ <p><a class="group2" href="../content/ohoopee1.jpg" title="Me and my grandfather on the Ohoopee">Grouped Photo 1</a></p>
+ <p><a class="group2" href="../content/ohoopee2.jpg" title="On the Ohoopee as a child">Grouped Photo 2</a></p>
+ <p><a class="group2" href="../content/ohoopee3.jpg" title="On the Ohoopee as an adult">Grouped Photo 3</a></p>
+
+ <h2>No Transition + fixed width and height (75% of screen size)</h2>
+ <p><a class="group3" href="../content/ohoopee1.jpg" title="Me and my grandfather on the Ohoopee.">Grouped Photo 1</a></p>
+ <p><a class="group3" href="../content/ohoopee2.jpg" title="On the Ohoopee as a child">Grouped Photo 2</a></p>
+ <p><a class="group3" href="../content/ohoopee3.jpg" title="On the Ohoopee as an adult">Grouped Photo 3</a></p>
+
+ <h2>Slideshow</h2>
+ <p><a class="group4" href="../content/ohoopee1.jpg" title="Me and my grandfather on the Ohoopee.">Grouped Photo 1</a></p>
+ <p><a class="group4" href="../content/ohoopee2.jpg" title="On the Ohoopee as a child">Grouped Photo 2</a></p>
+ <p><a class="group4" href="../content/ohoopee3.jpg" title="On the Ohoopee as an adult">Grouped Photo 3</a></p>
+
+ <h2>Other Content Types</h2>
+ <p><a class='ajax' href="../content/ajax.html" title="Homer Defined">Outside HTML (Ajax)</a></p>
+ <p><a class='ajax' href="../content/flash.html" title="Royksopp: Remind Me">Flash / Video (Ajax/Embedded)</a></p>
+ <p><a class='youtube' href="http://www.youtube.com/embed/617ANIA5Rqs?rel=0&amp;wmode=transparent" title="The Knife: We Share Our Mother's Health">Flash / Video (Iframe/Direct Link To YouTube)</a></p>
+ <p><a class='iframe' href="http://threadless.com">Outside Webpage (Iframe)</a></p>
+ <p><a class='inline' href="#inline_content">Inline HTML</a></p>
+
+ <h2>Demonstration of using callbacks</h2>
+ <p><a class='callbacks' href="../content/marylou.jpg" title="Marylou on Cumberland Island">Example with alerts</a>. Callbacks and event-hooks allow users to extend functionality without having to rewrite parts of the plugin.</p>
+
+ <!-- This contains the hidden content for inline calls -->
+ <div style='display:none'>
+ <div id='inline_content' style='padding:10px; background:#fff;'>
+ <p><strong>This content comes from a hidden element on this page.</strong></p>
+ <p>The inline option preserves bound JavaScript events and changes, and it puts the content back where it came from when it is closed.</p>
+ <p><a id="click" href="#" style='padding:5px; background:#ccc;'>Click me, it will be preserved!</a></p>
+
+ <p><strong>If you try to open a new ColorBox while it is already open, it will update itself with the new content.</strong></p>
+ <p>Updating Content Example:<br />
+ <a class="ajax" href="../content/flash.html">Click here to load new content</a></p>
+ </div>
+ </div>
+ </body>
+</html> \ No newline at end of file
diff --git a/mod/colorbox/vendors/jquery/colorbox/example4/colorbox.css b/mod/colorbox/vendors/jquery/colorbox/example4/colorbox.css
new file mode 100644
index 000000000..bc5ef3e3d
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example4/colorbox.css
@@ -0,0 +1,82 @@
+/*
+ ColorBox Core Style:
+ The following CSS is consistent between example themes and should not be altered.
+*/
+#colorbox, #cboxOverlay, #cboxWrapper{position:absolute; top:0; left:0; z-index:9999; overflow:hidden;}
+#cboxOverlay{position:fixed; width:100%; height:100%;}
+#cboxMiddleLeft, #cboxBottomLeft{clear:left;}
+#cboxContent{position:relative;}
+#cboxLoadedContent{overflow:auto;}
+#cboxTitle{margin:0;}
+#cboxLoadingOverlay, #cboxLoadingGraphic{position:absolute; top:0; left:0; width:100%; height:100%;}
+#cboxPrevious, #cboxNext, #cboxClose, #cboxSlideshow{cursor:pointer;}
+.cboxPhoto{float:left; margin:auto; border:0; display:block; max-width:none;}
+.cboxIframe{width:100%; height:100%; display:block; border:0;}
+#colorbox, #cboxContent, #cboxLoadedContent{box-sizing:content-box;}
+
+/*
+ User Style:
+ Change the following styles to modify the appearance of ColorBox. They are
+ ordered & tabbed in a way that represents the nesting of the generated HTML.
+*/
+#cboxOverlay{background:#fff;}
+#colorbox{}
+ #cboxTopLeft{width:25px; height:25px; background:url(images/border1.png) no-repeat 0 0;}
+ #cboxTopCenter{height:25px; background:url(images/border1.png) repeat-x 0 -50px;}
+ #cboxTopRight{width:25px; height:25px; background:url(images/border1.png) no-repeat -25px 0;}
+ #cboxBottomLeft{width:25px; height:25px; background:url(images/border1.png) no-repeat 0 -25px;}
+ #cboxBottomCenter{height:25px; background:url(images/border1.png) repeat-x 0 -75px;}
+ #cboxBottomRight{width:25px; height:25px; background:url(images/border1.png) no-repeat -25px -25px;}
+ #cboxMiddleLeft{width:25px; background:url(images/border2.png) repeat-y 0 0;}
+ #cboxMiddleRight{width:25px; background:url(images/border2.png) repeat-y -25px 0;}
+ #cboxContent{background:#fff; overflow:hidden;}
+ .cboxIframe{background:#fff;}
+ #cboxError{padding:50px; border:1px solid #ccc;}
+ #cboxLoadedContent{margin-bottom:20px;}
+ #cboxTitle{position:absolute; bottom:0px; left:0; text-align:center; width:100%; color:#999;}
+ #cboxCurrent{position:absolute; bottom:0px; left:100px; color:#999;}
+ #cboxSlideshow{position:absolute; bottom:0px; right:42px; color:#444;}
+ #cboxPrevious{position:absolute; bottom:0px; left:0; color:#444;}
+ #cboxNext{position:absolute; bottom:0px; left:63px; color:#444;}
+ #cboxLoadingOverlay{background:#fff url(images/loading.gif) no-repeat 5px 5px;}
+ #cboxClose{position:absolute; bottom:0; right:0; display:block; color:#444;}
+
+/*
+ The following fixes a problem where IE7 and IE8 replace a PNG's alpha transparency with a black fill
+ when an alpha filter (opacity change) is set on the element or ancestor element. This style is not applied to or needed in IE9.
+ See: http://jacklmoore.com/notes/ie-transparency-problems/
+*/
+.cboxIE #cboxTopLeft,
+.cboxIE #cboxTopCenter,
+.cboxIE #cboxTopRight,
+.cboxIE #cboxBottomLeft,
+.cboxIE #cboxBottomCenter,
+.cboxIE #cboxBottomRight,
+.cboxIE #cboxMiddleLeft,
+.cboxIE #cboxMiddleRight {
+ filter: progid:DXImageTransform.Microsoft.gradient(startColorstr=#00FFFFFF,endColorstr=#00FFFFFF);
+}
+
+/*
+ The following provides PNG transparency support for IE6
+ Feel free to remove this and the /ie6/ directory if you have dropped IE6 support.
+*/
+.cboxIE6 #cboxTopLeft{background:url(images/ie6/borderTopLeft.png);}
+.cboxIE6 #cboxTopCenter{background:url(images/ie6/borderTopCenter.png);}
+.cboxIE6 #cboxTopRight{background:url(images/ie6/borderTopRight.png);}
+.cboxIE6 #cboxBottomLeft{background:url(images/ie6/borderBottomLeft.png);}
+.cboxIE6 #cboxBottomCenter{background:url(images/ie6/borderBottomCenter.png);}
+.cboxIE6 #cboxBottomRight{background:url(images/ie6/borderBottomRight.png);}
+.cboxIE6 #cboxMiddleLeft{background:url(images/ie6/borderMiddleLeft.png);}
+.cboxIE6 #cboxMiddleRight{background:url(images/ie6/borderMiddleRight.png);}
+
+.cboxIE6 #cboxTopLeft,
+.cboxIE6 #cboxTopCenter,
+.cboxIE6 #cboxTopRight,
+.cboxIE6 #cboxBottomLeft,
+.cboxIE6 #cboxBottomCenter,
+.cboxIE6 #cboxBottomRight,
+.cboxIE6 #cboxMiddleLeft,
+.cboxIE6 #cboxMiddleRight {
+ _behavior: expression(this.src = this.src ? this.src : this.currentStyle.backgroundImage.split('"')[1], this.style.background = "none", this.style.filter = "progid:DXImageTransform.Microsoft.AlphaImageLoader(src=" + this.src + ", sizingMethod='scale')");
+}
diff --git a/mod/colorbox/vendors/jquery/colorbox/example4/images/border1.png b/mod/colorbox/vendors/jquery/colorbox/example4/images/border1.png
new file mode 100644
index 000000000..0ddc70405
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example4/images/border1.png
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/example4/images/border2.png b/mod/colorbox/vendors/jquery/colorbox/example4/images/border2.png
new file mode 100644
index 000000000..aa62a0b72
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example4/images/border2.png
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/example4/images/ie6/borderBottomCenter.png b/mod/colorbox/vendors/jquery/colorbox/example4/images/ie6/borderBottomCenter.png
new file mode 100644
index 000000000..12e0e9ac0
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example4/images/ie6/borderBottomCenter.png
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/example4/images/ie6/borderBottomLeft.png b/mod/colorbox/vendors/jquery/colorbox/example4/images/ie6/borderBottomLeft.png
new file mode 100644
index 000000000..b7a474ae0
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example4/images/ie6/borderBottomLeft.png
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/example4/images/ie6/borderBottomRight.png b/mod/colorbox/vendors/jquery/colorbox/example4/images/ie6/borderBottomRight.png
new file mode 100644
index 000000000..6b6cb159b
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example4/images/ie6/borderBottomRight.png
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/example4/images/ie6/borderMiddleLeft.png b/mod/colorbox/vendors/jquery/colorbox/example4/images/ie6/borderMiddleLeft.png
new file mode 100644
index 000000000..8d0eb739d
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example4/images/ie6/borderMiddleLeft.png
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/example4/images/ie6/borderMiddleRight.png b/mod/colorbox/vendors/jquery/colorbox/example4/images/ie6/borderMiddleRight.png
new file mode 100644
index 000000000..d65509e30
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example4/images/ie6/borderMiddleRight.png
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/example4/images/ie6/borderTopCenter.png b/mod/colorbox/vendors/jquery/colorbox/example4/images/ie6/borderTopCenter.png
new file mode 100644
index 000000000..35d8da2d0
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example4/images/ie6/borderTopCenter.png
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/example4/images/ie6/borderTopLeft.png b/mod/colorbox/vendors/jquery/colorbox/example4/images/ie6/borderTopLeft.png
new file mode 100644
index 000000000..ae9bda040
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example4/images/ie6/borderTopLeft.png
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/example4/images/ie6/borderTopRight.png b/mod/colorbox/vendors/jquery/colorbox/example4/images/ie6/borderTopRight.png
new file mode 100644
index 000000000..0d8868399
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example4/images/ie6/borderTopRight.png
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/example4/images/loading.gif b/mod/colorbox/vendors/jquery/colorbox/example4/images/loading.gif
new file mode 100644
index 000000000..602ce3c3a
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example4/images/loading.gif
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/example4/index.html b/mod/colorbox/vendors/jquery/colorbox/example4/index.html
new file mode 100644
index 000000000..44fcca860
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example4/index.html
@@ -0,0 +1,87 @@
+<!DOCTYPE html>
+<html>
+ <head>
+ <meta charset='utf-8'/>
+ <title>ColorBox Examples</title>
+ <style>
+ body{font:12px/1.2 Verdana, sans-serif; padding:0 10px;}
+ a:link, a:visited{text-decoration:none; color:#416CE5; border-bottom:1px solid #416CE5;}
+ h2{font-size:13px; margin:15px 0 0 0;}
+ </style>
+ <link rel="stylesheet" href="colorbox.css" />
+ <script src="https://ajax.googleapis.com/ajax/libs/jquery/1.7.1/jquery.min.js"></script>
+ <script src="../colorbox/jquery.colorbox.js"></script>
+ <script>
+ $(document).ready(function(){
+ //Examples of how to assign the ColorBox event to elements
+ $(".group1").colorbox({rel:'group1'});
+ $(".group2").colorbox({rel:'group2', transition:"fade"});
+ $(".group3").colorbox({rel:'group3', transition:"none", width:"75%", height:"75%"});
+ $(".group4").colorbox({rel:'group4', slideshow:true});
+ $(".ajax").colorbox();
+ $(".youtube").colorbox({iframe:true, innerWidth:425, innerHeight:344});
+ $(".iframe").colorbox({iframe:true, width:"80%", height:"80%"});
+ $(".inline").colorbox({inline:true, width:"50%"});
+ $(".callbacks").colorbox({
+ onOpen:function(){ alert('onOpen: colorbox is about to open'); },
+ onLoad:function(){ alert('onLoad: colorbox has started to load the targeted content'); },
+ onComplete:function(){ alert('onComplete: colorbox has displayed the loaded content'); },
+ onCleanup:function(){ alert('onCleanup: colorbox has begun the close process'); },
+ onClosed:function(){ alert('onClosed: colorbox has completely closed'); }
+ });
+
+ //Example of preserving a JavaScript event for inline calls.
+ $("#click").click(function(){
+ $('#click').css({"background-color":"#f00", "color":"#fff", "cursor":"inherit"}).text("Open this window again and this message will still be here.");
+ return false;
+ });
+ });
+ </script>
+ </head>
+ <body>
+ <h1>ColorBox Demonstration</h1>
+
+ <h2>Elastic Transition</h2>
+ <p><a class="group1" href="../content/ohoopee1.jpg" title="Me and my grandfather on the Ohoopee.">Grouped Photo 1</a></p>
+ <p><a class="group1" href="../content/ohoopee2.jpg" title="On the Ohoopee as a child">Grouped Photo 2</a></p>
+ <p><a class="group1" href="../content/ohoopee3.jpg" title="On the Ohoopee as an adult">Grouped Photo 3</a></p>
+
+ <h2>Fade Transition</h2>
+ <p><a class="group2" href="../content/ohoopee1.jpg" title="Me and my grandfather on the Ohoopee">Grouped Photo 1</a></p>
+ <p><a class="group2" href="../content/ohoopee2.jpg" title="On the Ohoopee as a child">Grouped Photo 2</a></p>
+ <p><a class="group2" href="../content/ohoopee3.jpg" title="On the Ohoopee as an adult">Grouped Photo 3</a></p>
+
+ <h2>No Transition + fixed width and height (75% of screen size)</h2>
+ <p><a class="group3" href="../content/ohoopee1.jpg" title="Me and my grandfather on the Ohoopee.">Grouped Photo 1</a></p>
+ <p><a class="group3" href="../content/ohoopee2.jpg" title="On the Ohoopee as a child">Grouped Photo 2</a></p>
+ <p><a class="group3" href="../content/ohoopee3.jpg" title="On the Ohoopee as an adult">Grouped Photo 3</a></p>
+
+ <h2>Slideshow</h2>
+ <p><a class="group4" href="../content/ohoopee1.jpg" title="Me and my grandfather on the Ohoopee.">Grouped Photo 1</a></p>
+ <p><a class="group4" href="../content/ohoopee2.jpg" title="On the Ohoopee as a child">Grouped Photo 2</a></p>
+ <p><a class="group4" href="../content/ohoopee3.jpg" title="On the Ohoopee as an adult">Grouped Photo 3</a></p>
+
+ <h2>Other Content Types</h2>
+ <p><a class='ajax' href="../content/ajax.html" title="Homer Defined">Outside HTML (Ajax)</a></p>
+ <p><a class='ajax' href="../content/flash.html" title="Royksopp: Remind Me">Flash / Video (Ajax/Embedded)</a></p>
+ <p><a class='youtube' href="http://www.youtube.com/embed/617ANIA5Rqs?rel=0&amp;wmode=transparent" title="The Knife: We Share Our Mother's Health">Flash / Video (Iframe/Direct Link To YouTube)</a></p>
+ <p><a class='iframe' href="http://threadless.com">Outside Webpage (Iframe)</a></p>
+ <p><a class='inline' href="#inline_content">Inline HTML</a></p>
+
+ <h2>Demonstration of using callbacks</h2>
+ <p><a class='callbacks' href="../content/marylou.jpg" title="Marylou on Cumberland Island">Example with alerts</a>. Callbacks and event-hooks allow users to extend functionality without having to rewrite parts of the plugin.</p>
+
+ <!-- This contains the hidden content for inline calls -->
+ <div style='display:none'>
+ <div id='inline_content' style='padding:10px; background:#fff;'>
+ <p><strong>This content comes from a hidden element on this page.</strong></p>
+ <p>The inline option preserves bound JavaScript events and changes, and it puts the content back where it came from when it is closed.</p>
+ <p><a id="click" href="#" style='padding:5px; background:#ccc;'>Click me, it will be preserved!</a></p>
+
+ <p><strong>If you try to open a new ColorBox while it is already open, it will update itself with the new content.</strong></p>
+ <p>Updating Content Example:<br />
+ <a class="ajax" href="../content/flash.html">Click here to load new content</a></p>
+ </div>
+ </div>
+ </body>
+</html> \ No newline at end of file
diff --git a/mod/colorbox/vendors/jquery/colorbox/example5/colorbox.css b/mod/colorbox/vendors/jquery/colorbox/example5/colorbox.css
new file mode 100644
index 000000000..91301a9b1
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example5/colorbox.css
@@ -0,0 +1,52 @@
+/*
+ ColorBox Core Style:
+ The following CSS is consistent between example themes and should not be altered.
+*/
+#colorbox, #cboxOverlay, #cboxWrapper{position:absolute; top:0; left:0; z-index:9999; overflow:hidden;}
+#cboxOverlay{position:fixed; width:100%; height:100%;}
+#cboxMiddleLeft, #cboxBottomLeft{clear:left;}
+#cboxContent{position:relative;}
+#cboxLoadedContent{overflow:auto;}
+#cboxTitle{margin:0;}
+#cboxLoadingOverlay, #cboxLoadingGraphic{position:absolute; top:0; left:0; width:100%; height:100%;}
+#cboxPrevious, #cboxNext, #cboxClose, #cboxSlideshow{cursor:pointer;}
+.cboxPhoto{float:left; margin:auto; border:0; display:block; max-width:none;}
+.cboxIframe{width:100%; height:100%; display:block; border:0;}
+#colorbox, #cboxContent, #cboxLoadedContent{box-sizing:content-box;}
+
+/*
+ User Style:
+ Change the following styles to modify the appearance of ColorBox. They are
+ ordered & tabbed in a way that represents the nesting of the generated HTML.
+*/
+#cboxOverlay{background:#000;}
+#colorbox{}
+ #cboxTopLeft{width:14px; height:14px; background:url(images/controls.png) no-repeat 0 0;}
+ #cboxTopCenter{height:14px; background:url(images/border.png) repeat-x top left;}
+ #cboxTopRight{width:14px; height:14px; background:url(images/controls.png) no-repeat -36px 0;}
+ #cboxBottomLeft{width:14px; height:43px; background:url(images/controls.png) no-repeat 0 -32px;}
+ #cboxBottomCenter{height:43px; background:url(images/border.png) repeat-x bottom left;}
+ #cboxBottomRight{width:14px; height:43px; background:url(images/controls.png) no-repeat -36px -32px;}
+ #cboxMiddleLeft{width:14px; background:url(images/controls.png) repeat-y -175px 0;}
+ #cboxMiddleRight{width:14px; background:url(images/controls.png) repeat-y -211px 0;}
+ #cboxContent{background:#fff; overflow:visible;}
+ .cboxIframe{background:#fff;}
+ #cboxError{padding:50px; border:1px solid #ccc;}
+ #cboxLoadedContent{margin-bottom:5px;}
+ #cboxLoadingOverlay{background:url(images/loading_background.png) no-repeat center center;}
+ #cboxLoadingGraphic{background:url(images/loading.gif) no-repeat center center;}
+ #cboxTitle{position:absolute; bottom:-25px; left:0; text-align:center; width:100%; font-weight:bold; color:#7C7C7C;}
+ #cboxCurrent{position:absolute; bottom:-25px; left:58px; font-weight:bold; color:#7C7C7C;}
+
+ #cboxPrevious, #cboxNext, #cboxClose, #cboxSlideshow{position:absolute; bottom:-29px; background:url(images/controls.png) no-repeat 0px 0px; width:23px; height:23px; text-indent:-9999px;}
+ #cboxPrevious{left:0px; background-position: -51px -25px;}
+ #cboxPrevious:hover{background-position:-51px 0px;}
+ #cboxNext{left:27px; background-position:-75px -25px;}
+ #cboxNext:hover{background-position:-75px 0px;}
+ #cboxClose{right:0; background-position:-100px -25px;}
+ #cboxClose:hover{background-position:-100px 0px;}
+
+ .cboxSlideshow_on #cboxSlideshow{background-position:-125px 0px; right:27px;}
+ .cboxSlideshow_on #cboxSlideshow:hover{background-position:-150px 0px;}
+ .cboxSlideshow_off #cboxSlideshow{background-position:-150px -25px; right:27px;}
+ .cboxSlideshow_off #cboxSlideshow:hover{background-position:-125px 0px;} \ No newline at end of file
diff --git a/mod/colorbox/vendors/jquery/colorbox/example5/images/border.png b/mod/colorbox/vendors/jquery/colorbox/example5/images/border.png
new file mode 100644
index 000000000..df13bb6da
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example5/images/border.png
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/example5/images/controls.png b/mod/colorbox/vendors/jquery/colorbox/example5/images/controls.png
new file mode 100644
index 000000000..65cfd1dc9
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example5/images/controls.png
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/example5/images/loading.gif b/mod/colorbox/vendors/jquery/colorbox/example5/images/loading.gif
new file mode 100644
index 000000000..b4695d811
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example5/images/loading.gif
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/example5/images/loading_background.png b/mod/colorbox/vendors/jquery/colorbox/example5/images/loading_background.png
new file mode 100644
index 000000000..9de11f467
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example5/images/loading_background.png
Binary files differ
diff --git a/mod/colorbox/vendors/jquery/colorbox/example5/index.html b/mod/colorbox/vendors/jquery/colorbox/example5/index.html
new file mode 100644
index 000000000..44fcca860
--- /dev/null
+++ b/mod/colorbox/vendors/jquery/colorbox/example5/index.html
@@ -0,0 +1,87 @@
+<!DOCTYPE html>
+<html>
+ <head>
+ <meta charset='utf-8'/>
+ <title>ColorBox Examples</title>
+ <style>
+ body{font:12px/1.2 Verdana, sans-serif; padding:0 10px;}
+ a:link, a:visited{text-decoration:none; color:#416CE5; border-bottom:1px solid #416CE5;}
+ h2{font-size:13px; margin:15px 0 0 0;}
+ </style>
+ <link rel="stylesheet" href="colorbox.css" />
+ <script src="https://ajax.googleapis.com/ajax/libs/jquery/1.7.1/jquery.min.js"></script>
+ <script src="../colorbox/jquery.colorbox.js"></script>
+ <script>
+ $(document).ready(function(){
+ //Examples of how to assign the ColorBox event to elements
+ $(".group1").colorbox({rel:'group1'});
+ $(".group2").colorbox({rel:'group2', transition:"fade"});
+ $(".group3").colorbox({rel:'group3', transition:"none", width:"75%", height:"75%"});
+ $(".group4").colorbox({rel:'group4', slideshow:true});
+ $(".ajax").colorbox();
+ $(".youtube").colorbox({iframe:true, innerWidth:425, innerHeight:344});
+ $(".iframe").colorbox({iframe:true, width:"80%", height:"80%"});
+ $(".inline").colorbox({inline:true, width:"50%"});
+ $(".callbacks").colorbox({
+ onOpen:function(){ alert('onOpen: colorbox is about to open'); },
+ onLoad:function(){ alert('onLoad: colorbox has started to load the targeted content'); },
+ onComplete:function(){ alert('onComplete: colorbox has displayed the loaded content'); },
+ onCleanup:function(){ alert('onCleanup: colorbox has begun the close process'); },
+ onClosed:function(){ alert('onClosed: colorbox has completely closed'); }
+ });
+
+ //Example of preserving a JavaScript event for inline calls.
+ $("#click").click(function(){
+ $('#click').css({"background-color":"#f00", "color":"#fff", "cursor":"inherit"}).text("Open this window again and this message will still be here.");
+ return false;
+ });
+ });
+ </script>
+ </head>
+ <body>
+ <h1>ColorBox Demonstration</h1>
+
+ <h2>Elastic Transition</h2>
+ <p><a class="group1" href="../content/ohoopee1.jpg" title="Me and my grandfather on the Ohoopee.">Grouped Photo 1</a></p>
+ <p><a class="group1" href="../content/ohoopee2.jpg" title="On the Ohoopee as a child">Grouped Photo 2</a></p>
+ <p><a class="group1" href="../content/ohoopee3.jpg" title="On the Ohoopee as an adult">Grouped Photo 3</a></p>
+
+ <h2>Fade Transition</h2>
+ <p><a class="group2" href="../content/ohoopee1.jpg" title="Me and my grandfather on the Ohoopee">Grouped Photo 1</a></p>
+ <p><a class="group2" href="../content/ohoopee2.jpg" title="On the Ohoopee as a child">Grouped Photo 2</a></p>
+ <p><a class="group2" href="../content/ohoopee3.jpg" title="On the Ohoopee as an adult">Grouped Photo 3</a></p>
+
+ <h2>No Transition + fixed width and height (75% of screen size)</h2>
+ <p><a class="group3" href="../content/ohoopee1.jpg" title="Me and my grandfather on the Ohoopee.">Grouped Photo 1</a></p>
+ <p><a class="group3" href="../content/ohoopee2.jpg" title="On the Ohoopee as a child">Grouped Photo 2</a></p>
+ <p><a class="group3" href="../content/ohoopee3.jpg" title="On the Ohoopee as an adult">Grouped Photo 3</a></p>
+
+ <h2>Slideshow</h2>
+ <p><a class="group4" href="../content/ohoopee1.jpg" title="Me and my grandfather on the Ohoopee.">Grouped Photo 1</a></p>
+ <p><a class="group4" href="../content/ohoopee2.jpg" title="On the Ohoopee as a child">Grouped Photo 2</a></p>
+ <p><a class="group4" href="../content/ohoopee3.jpg" title="On the Ohoopee as an adult">Grouped Photo 3</a></p>
+
+ <h2>Other Content Types</h2>
+ <p><a class='ajax' href="../content/ajax.html" title="Homer Defined">Outside HTML (Ajax)</a></p>
+ <p><a class='ajax' href="../content/flash.html" title="Royksopp: Remind Me">Flash / Video (Ajax/Embedded)</a></p>
+ <p><a class='youtube' href="http://www.youtube.com/embed/617ANIA5Rqs?rel=0&amp;wmode=transparent" title="The Knife: We Share Our Mother's Health">Flash / Video (Iframe/Direct Link To YouTube)</a></p>
+ <p><a class='iframe' href="http://threadless.com">Outside Webpage (Iframe)</a></p>
+ <p><a class='inline' href="#inline_content">Inline HTML</a></p>
+
+ <h2>Demonstration of using callbacks</h2>
+ <p><a class='callbacks' href="../content/marylou.jpg" title="Marylou on Cumberland Island">Example with alerts</a>. Callbacks and event-hooks allow users to extend functionality without having to rewrite parts of the plugin.</p>
+
+ <!-- This contains the hidden content for inline calls -->
+ <div style='display:none'>
+ <div id='inline_content' style='padding:10px; background:#fff;'>
+ <p><strong>This content comes from a hidden element on this page.</strong></p>
+ <p>The inline option preserves bound JavaScript events and changes, and it puts the content back where it came from when it is closed.</p>
+ <p><a id="click" href="#" style='padding:5px; background:#ccc;'>Click me, it will be preserved!</a></p>
+
+ <p><strong>If you try to open a new ColorBox while it is already open, it will update itself with the new content.</strong></p>
+ <p>Updating Content Example:<br />
+ <a class="ajax" href="../content/flash.html">Click here to load new content</a></p>
+ </div>
+ </div>
+ </body>
+</html> \ No newline at end of file
diff --git a/mod/colorbox/views/default/css/lightbox.php b/mod/colorbox/views/default/css/lightbox.php
new file mode 100644
index 000000000..e18c02bf8
--- /dev/null
+++ b/mod/colorbox/views/default/css/lightbox.php
@@ -0,0 +1,73 @@
+<?php
+/**
+ * Colorbox -- Stylesheet
+ *
+ * Used as a view because we need to pass a full URL to AlphaImageLoader.
+ *
+ * @package Lorea
+ * @subpackage Colorbox
+ *
+ * Copyright 2011-2012 Lorea Faeries <federation@lorea.org>
+ *
+ * This program is free software: you can redistribute it and/or
+ * modify it under the terms of the GNU Affero General Public License
+ * as published by the Free Software Foundation, either version 3 of
+ * the License, or (at your option) any later version.
+ *
+ * This program is distributed in the hope that it will be useful, but
+ * WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
+ * Affero General Public License for more details.
+ *
+ * You should have received a copy of the GNU Affero General Public
+ * License along with this program. If not, see
+ * <http://www.gnu.org/licenses/>.
+ */
+
+$jquery_path = elgg_get_site_url() . 'mod/colorbox/vendors/jquery/';
+?>
+
+/*
+ * ColorBox - jQuery Plugin
+ * A lightweight customizable lightbox plugin for jQuery
+ *
+ */
+
+ /*
+ ColorBox Core Style:
+ The following CSS is consistent between example themes and should not be altered.
+*/
+body > #colorbox, #cboxOverlay, #cboxWrapper{position:absolute; top:0; left:0; z-index:9999; overflow:hidden;}
+#cboxOverlay{position:fixed; width:100%; height:100%;}
+#cboxMiddleLeft, #cboxBottomLeft{clear:left;}
+#cboxContent{position:relative;}
+#cboxLoadedContent{overflow:auto;}
+#cboxTitle{margin:0;}
+#cboxLoadingOverlay, #cboxLoadingGraphic{position:absolute; top:0; left:0; width:100%; height:100%;}
+#cboxPrevious, #cboxNext, #cboxClose, #cboxSlideshow{cursor:pointer;}
+.cboxPhoto{float:left; margin:auto; border:0; display:block; max-width: none;}
+.cboxIframe{width:100%; height:100%; display:block; border:0;}
+body > #colorbox, #cboxContent, #cboxLoadedContent{box-sizing:content-box;}
+
+/*
+ User Style:
+ Change the following styles to modify the appearance of ColorBox. They are
+ ordered & tabbed in a way that represents the nesting of the generated HTML.
+*/
+#cboxOverlay{background:#000;}
+body > #colorbox{}
+ #cboxContent{margin-top:20px;}
+ .cboxIframe{background:#fff;}
+ #cboxError{padding:50px; border:1px solid #ccc;}
+ #cboxLoadedContent{border:5px solid #000; background:#fff;}
+ #cboxTitle{position:absolute; top:-20px; left:0; color:#ccc;}
+ #cboxCurrent{position:absolute; top:-20px; right:0px; color:#ccc;}
+ #cboxSlideshow{position:absolute; top:-20px; right:90px; color:#fff;}
+ #cboxPrevious{position:absolute; top:50%; left:5px; margin-top:-32px; background:url(<?php echo $jquery_path; ?>colorbox/example3/images/controls.png) no-repeat top left; width:28px; height:65px; text-indent:-9999px;}
+ #cboxPrevious:hover{background-position:bottom left;}
+ #cboxNext{position:absolute; top:50%; right:5px; margin-top:-32px; background:url(<?php echo $jquery_path; ?>colorbox/example3/images/controls.png) no-repeat top right; width:28px; height:65px; text-indent:-9999px;}
+ #cboxNext:hover{background-position:bottom right;}
+ #cboxLoadingOverlay{background:#000;}
+ #cboxLoadingGraphic{background:url(<?php echo $jquery_path; ?>colorbox/example3/images/loading.gif) no-repeat center center;}
+ #cboxClose{position:absolute; top:5px; right:5px; display:block; background:url(<?php echo $jquery_path; ?>colorbox/example3/images/controls.png) no-repeat top center; width:38px; height:19px; text-indent:-9999px;}
+ #cboxClose:hover{background-position:bottom center;}
diff --git a/mod/colorbox/views/default/js/embed/embed.php b/mod/colorbox/views/default/js/embed/embed.php
new file mode 100644
index 000000000..ada6653a8
--- /dev/null
+++ b/mod/colorbox/views/default/js/embed/embed.php
@@ -0,0 +1,171 @@
+<?php
+/**
+ * Colorbox -- Javascript
+ *
+ * Used as a view because we need to pass a full URL to AlphaImageLoader.
+ *
+ * @package Lorea
+ * @subpackage Colorbox
+ * @override mod/embed/views/default/js/embed/embed.php
+ *
+ * Copyright 2011-2012 Lorea Faeries <federation@lorea.org>
+ *
+ * This program is free software: you can redistribute it and/or
+ * modify it under the terms of the GNU Affero General Public License
+ * as published by the Free Software Foundation, either version 3 of
+ * the License, or (at your option) any later version.
+ *
+ * This program is distributed in the hope that it will be useful, but
+ * WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
+ * Affero General Public License for more details.
+ *
+ * You should have received a copy of the GNU Affero General Public
+ * License along with this program. If not, see
+ * <http://www.gnu.org/licenses/>.
+ */
+?>
+
+elgg.provide('elgg.embed');
+
+elgg.embed.init = function() {
+
+ // inserts the embed content into the textarea
+ $(".embed-item").live('click', elgg.embed.insert);
+
+ // caches the current textarea id
+ $(".embed-control").live('click', function() {
+ elgg.embed.textAreaId = /embed-control-(\S)+/.exec($(this).attr('class'))[1];
+ });
+
+ // special pagination helper for lightbox
+ $('.embed-wrapper .elgg-pagination a').live('click', elgg.embed.forward);
+
+ $('.embed-section').live('click', elgg.embed.forward);
+
+ $('.elgg-form-embed').live('submit', elgg.embed.submit);
+};
+
+/**
+ * Inserts data attached to an embed list item in textarea
+ *
+ * @todo generalize lightbox closing
+ *
+ * @param {Object} event
+ * @return void
+ */
+elgg.embed.insert = function(event) {
+ var textAreaId = elgg.embed.textAreaId;
+ var textArea = $('#' + textAreaId);
+
+ // generalize this based on a css class attached to what should be inserted
+ var content = ' ' + $(this).find(".embed-insert").parent().html() + ' ';
+
+ // this is a temporary work-around for #3971
+ if (content.indexOf('thumbnail.php') != -1) {
+ content = content.replace('size=small', 'size=medium');
+ }
+
+ textArea.val(textArea.val() + content);
+ textArea.focus();
+
+<?php
+// See the TinyMCE plugin for an example of this view
+echo elgg_view('embed/custom_insert_js');
+?>
+
+ elgg.ui.lightbox.close();
+
+ event.preventDefault();
+};
+
+/**
+ * Submit an upload form through Ajax
+ *
+ * Requires the jQuery Form Plugin. Because files cannot be uploaded with
+ * XMLHttpRequest, the plugin uses an invisible iframe. This results in the
+ * the X-Requested-With header not being set. To work around this, we are
+ * sending the header as a POST variable and Elgg's code checks for it in
+ * elgg_is_xhr().
+ *
+ * @param {Object} event
+ * @return bool
+ */
+elgg.embed.submit = function(event) {
+ $('.embed-wrapper .elgg-form-file-upload').hide();
+ $('.embed-throbber').show();
+
+ $(this).ajaxSubmit({
+ dataType : 'json',
+ data : { 'X-Requested-With' : 'XMLHttpRequest'},
+ success : function(response) {
+ if (response) {
+ if (response.system_messages) {
+ elgg.register_error(response.system_messages.error);
+ elgg.system_message(response.system_messages.success);
+ }
+ if (response.status >= 0) {
+ var forward = $('input[name=embed_forward]').val();
+ var url = elgg.normalize_url('embed/tab/' + forward);
+ url = elgg.embed.addContainerGUID(url);
+ $('.embed-wrapper').parent().load(url);
+ } else {
+ // incorrect response, presumably an error has been displayed
+ $('.embed-throbber').hide();
+ $('.embed-wrapper .elgg-form-file-upload').show();
+ }
+ }
+
+ // ie 7 and 8 have a null response because of the use of an iFrame
+ // so just show the list after upload.
+ // http://jquery.malsup.com/form/#file-upload claims you can wrap JSON
+ // in a textarea, but a quick test didn't work, and that is fairly
+ // intrusive to the rest of the ajax system.
+ else if (response === undefined && $.browser.msie) {
+ var forward = $('input[name=embed_forward]').val();
+ var url = elgg.normalize_url('embed/tab/' + forward);
+ url = elgg.embed.addContainerGUID(url);
+ $('.embed-wrapper').parent().load(url);
+ }
+ },
+ error : function(xhr, status) {
+ // @todo nothing for now
+ }
+ });
+
+ // this was bubbling up the DOM causing a submission
+ event.preventDefault();
+ event.stopPropagation();
+};
+
+/**
+ * Loads content within the lightbox
+ *
+ * @param {Object} event
+ * @return void
+ */
+elgg.embed.forward = function(event) {
+ // make sure container guid is passed
+ var url = $(this).attr('href');
+ url = elgg.embed.addContainerGUID(url);
+
+ $('.embed-wrapper').parent().load(url);
+ event.preventDefault();
+};
+
+/**
+ * Adds the container guid to a URL
+ *
+ * @param {string} url
+ * @return string
+ */
+elgg.embed.addContainerGUID = function(url) {
+ if (url.indexOf('container_guid=') == -1) {
+ var guid = $('input[name=embed_container_guid]').val();
+ return url + '?container_guid=' + guid;
+ } else {
+ return url;
+ }
+};
+
+elgg.register_hook_handler('init', 'system', elgg.embed.init);
diff --git a/mod/colorbox/views/default/js/lightbox.php b/mod/colorbox/views/default/js/lightbox.php
new file mode 100644
index 000000000..732092e2b
--- /dev/null
+++ b/mod/colorbox/views/default/js/lightbox.php
@@ -0,0 +1,81 @@
+<?php
+/**
+ * Colorbox -- Stylesheet
+ *
+ * Used as a view because we need to pass a full URL to AlphaImageLoader.
+ *
+ * @package Lorea
+ * @subpackage Colorbox
+ *
+ * Copyright 2011-2012 Lorea Faeries <federation@lorea.org>
+ *
+ * This program is free software: you can redistribute it and/or
+ * modify it under the terms of the GNU Affero General Public License
+ * as published by the Free Software Foundation, either version 3 of
+ * the License, or (at your option) any later version.
+ *
+ * This program is distributed in the hope that it will be useful, but
+ * WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
+ * Affero General Public License for more details.
+ *
+ * You should have received a copy of the GNU Affero General Public
+ * License along with this program. If not, see
+ * <http://www.gnu.org/licenses/>.
+ *
+ * Usage
+ * Apply the class elgg-lightbox to links.
+ *
+ * Advanced Usage
+ * Elgg is distributed with the Colorbox jQuery library. Please go to
+ * http://www.jacklmoore.com/colorbox for more information on the options of this lightbox.
+ *
+ * Overriding
+ * In a plugin, override this view and override the registration for the
+ * lightbox JavaScript and CSS (@see elgg_views_boot()).
+ *
+ * @todo add support for passing options: $('#myplugin-lightbox').elgg.ui.lightbox(options);
+ */
+
+?>
+
+/**
+ * Lightbox initialization
+ */
+
+elgg.provide('elgg.ui.lightbox');
+
+elgg.ui.lightbox.init = function() {
+
+ $.extend($.colorbox.settings, {
+ current: elgg.echo('js:lightbox:current', ['{current}', '{total}']),
+ previous: elgg.echo('previous'),
+ next: elgg.echo('next'),
+ close: elgg.echo('close'),
+ xhrError: elgg.echo('error:default'),
+ imgError: elgg.echo('error:default'),
+ });
+
+ $(".elgg-lightbox").colorbox();
+ $(".elgg-lightbox-photo").colorbox({photo: true});
+ var n = 0;
+ $(".elgg-lightbox-gallery").each(function() {
+ $(this).find(".elgg-lightbox, .elgg-lightbox-photo")
+ .addClass("elgg-lightbox-" + n)
+ .colorbox({
+ rel: "elgg-lightbox-" + n++,
+ });
+ });
+}
+
+elgg.ui.lightbox.close = function() {
+ $.colorbox.close();
+}
+
+elgg.register_hook_handler('init', 'system', elgg.ui.lightbox.init);
+
+<?php
+
+$js_path = elgg_get_plugins_path();
+$js_path = "{$js_path}colorbox/vendors/jquery/colorbox/colorbox/jquery.colorbox-min.js";
+include $js_path;
diff --git a/mod/crontrigger/manifest.xml b/mod/crontrigger/manifest.xml
deleted file mode 100644
index 8058ebb1e..000000000
--- a/mod/crontrigger/manifest.xml
+++ /dev/null
@@ -1,11 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<plugin_manifest>
- <field key="author" value="Curverider Ltd" />
- <field key="version" value="1.5" />
- <field key="description" value="Provides a poor man's cron trigger to trigger cron scripts based on site visits." />
- <field key="website" value="http://www.elgg.org/" />
- <field key="copyright" value="(C) Curverider 2008-2010" />
- <field key="licence" value="GNU Public License version 2" />
- <field key="elgg_version" value="2009030702" />
- <field key="admin_interface" value="advanced" />
-</plugin_manifest>
diff --git a/mod/crontrigger/start.php b/mod/crontrigger/start.php
deleted file mode 100644
index 379fe1978..000000000
--- a/mod/crontrigger/start.php
+++ /dev/null
@@ -1,80 +0,0 @@
-<?php
- /**
- * Elgg Cron trigger.
- * When enabled this plugin provides "poor man's cron" functionality to trigger elgg cron scripts without the need
- * to install the cron script.
- *
- * Note, this is a substitute and not a replacement for the cron script. It is recommended that you use the cron script
- * where possible.
- *
- * @package ElggCronTrigger
- */
-
- /**
- * Initialise the plugin.
- *
- */
- function crontrigger_init()
- {
- elgg_register_event_handler('shutdown', 'system', 'crontrigger_shutdownhook');
- }
-
- function crontrigger_trigger($period) { elgg_trigger_plugin_hook('cron', $period); }
-
- function crontrigger_minute() { crontrigger_trigger('minute'); }
-
- function crontrigger_fiveminute() { crontrigger_trigger('fiveminute'); }
-
- function crontrigger_fifteenmin() { crontrigger_trigger('fifteenmin'); }
-
- function crontrigger_halfhour() { crontrigger_trigger('halfhour'); }
-
- function crontrigger_hourly() { crontrigger_trigger('hourly'); }
-
- function crontrigger_daily() { crontrigger_trigger('daily'); }
-
- function crontrigger_weekly() { crontrigger_trigger('weekly'); }
-
- function crontrigger_monthly() { crontrigger_trigger('monthly'); }
-
- function crontrigger_yearly() { crontrigger_trigger('yearly'); }
-
- /**
- * Call cron hooks after a page has been displayed (so user won't notice any slowdown).
- *
- * It uses a mod of now and needs someone to view the page within a certain time period
- *
- */
- function crontrigger_shutdownhook()
- {
- global $CONFIG;
-
- $minute = 60;
- $fiveminute = $minute*5;
- $fifteenmin = $minute*15;
- $halfhour = $minute*30;
- $hour = 3600;
- $day = $hour*24;
- $week = $day * 7;
- $month = $week * 4;
- $year = $month * 12;
-
- $now = time();
-
- ob_start();
- run_function_once('crontrigger_minute', $now - $minute);
- run_function_once('crontrigger_fiveminute', $now - $fiveminute);
- run_function_once('crontrigger_fifteenmin', $now - $fifteenmin);
- run_function_once('crontrigger_halfhour', $now - $halfhour);
- run_function_once('crontrigger_hourly', $now - $hour);
- run_function_once('crontrigger_daily', $now - $day);
- run_function_once('crontrigger_weekly', $now - $week);
- run_function_once('crontrigger_monthly', $now - $month);
- run_function_once('crontrigger_yearly', $now - $year);
- ob_clean();
- }
-
-
- // Initialise plugin
- elgg_register_event_handler('init','system','crontrigger_init');
-?> \ No newline at end of file
diff --git a/mod/custom_index/index.php b/mod/custom_index/index.php
new file mode 100644
index 000000000..05771f576
--- /dev/null
+++ b/mod/custom_index/index.php
@@ -0,0 +1,68 @@
+<?php
+/**
+ * Elgg custom index page
+ *
+ */
+
+elgg_push_context('front');
+
+elgg_push_context('widgets');
+
+$list_params = array(
+ 'type' => 'object',
+ 'limit' => 4,
+ 'full_view' => false,
+ 'view_type_toggle' => false,
+ 'pagination' => false,
+);
+
+//grab the latest 4 blog posts
+$list_params['subtype'] = 'blog';
+$blogs = elgg_list_entities($list_params);
+
+//grab the latest bookmarks
+$list_params['subtype'] = 'bookmarks';
+$bookmarks = elgg_list_entities($list_params);
+
+//grab the latest files
+$list_params['subtype'] = 'file';
+$files = elgg_list_entities($list_params);
+
+//get the newest members who have an avatar
+$newest_members = elgg_list_entities_from_metadata(array(
+ 'metadata_names' => 'icontime',
+ 'type' => 'user',
+ 'limit' => 10,
+ 'full_view' => false,
+ 'pagination' => false,
+ 'list_type' => 'gallery',
+ 'gallery_class' => 'elgg-gallery-users',
+ 'size' => 'small',
+));
+
+//newest groups
+$list_params['type'] = 'group';
+unset($list_params['subtype']);
+$groups = elgg_list_entities($list_params);
+
+//grab the login form
+$login = elgg_view("core/account/login_box");
+
+elgg_pop_context();
+
+// lay out the content
+$params = array(
+ 'blogs' => $blogs,
+ 'bookmarks' => $bookmarks,
+ 'files' => $files,
+ 'groups' => $groups,
+ 'login' => $login,
+ 'members' => $newest_members,
+);
+$body = elgg_view_layout('custom_index', $params);
+
+// no RSS feed with a "widget" front page
+global $autofeed;
+$autofeed = FALSE;
+
+echo elgg_view_page('', $body);
diff --git a/mod/custom_index/languages/en.php b/mod/custom_index/languages/en.php
new file mode 100644
index 000000000..071ff81e5
--- /dev/null
+++ b/mod/custom_index/languages/en.php
@@ -0,0 +1,14 @@
+<?php
+/**
+ * Custom Index English language file
+ */
+
+$english = array(
+ 'custom:bookmarks' => "Latest bookmarks",
+ 'custom:groups' => "Latest groups",
+ 'custom:files' => "Latest files",
+ 'custom:blogs' => "Latest blog posts",
+ 'custom:members' => "Newest members",
+);
+
+add_translation("en", $english);
diff --git a/mod/custom_index/manifest.xml b/mod/custom_index/manifest.xml
new file mode 100644
index 000000000..80187efbc
--- /dev/null
+++ b/mod/custom_index/manifest.xml
@@ -0,0 +1,15 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<plugin_manifest xmlns="http://www.elgg.org/plugin_manifest/1.8">
+ <name>Custom Index</name>
+ <author>Core developers</author>
+ <version>1.8</version>
+ <category>bundled</category>
+ <description>A demonstration of how to create a front page plugin.</description>
+ <website>http://www.elgg.org/</website>
+ <copyright>See COPYRIGHT.txt</copyright>
+ <license>GNU General Public License version 2</license>
+ <requires>
+ <type>elgg_release</type>
+ <version>1.8</version>
+ </requires>
+</plugin_manifest>
diff --git a/mod/custom_index/start.php b/mod/custom_index/start.php
new file mode 100644
index 000000000..48d03f27b
--- /dev/null
+++ b/mod/custom_index/start.php
@@ -0,0 +1,30 @@
+<?php
+/**
+ * Elgg demo custom index page plugin
+ *
+ */
+
+elgg_register_event_handler('init', 'system', 'custom_index_init');
+
+function custom_index_init() {
+
+ // Extend system CSS with our own styles
+ elgg_extend_view('css/elgg', 'custom_index/css');
+
+ // Replace the default index page
+ elgg_register_plugin_hook_handler('index', 'system', 'custom_index');
+}
+
+function custom_index($hook, $type, $return, $params) {
+ if ($return == true) {
+ // another hook has already replaced the front page
+ return $return;
+ }
+
+ if (!include_once(dirname(__FILE__) . "/index.php")) {
+ return false;
+ }
+
+ // return true to signify that we have handled the front page
+ return true;
+}
diff --git a/mod/custom_index/views/default/custom_index/css.php b/mod/custom_index/views/default/custom_index/css.php
new file mode 100644
index 000000000..4c780a905
--- /dev/null
+++ b/mod/custom_index/views/default/custom_index/css.php
@@ -0,0 +1,23 @@
+<?php
+/**
+ * Custom Index CSS
+ *
+ */
+?>
+
+/*******************************
+ Custom Index
+********************************/
+.custom-index {
+ padding: 10px 0;
+}
+.elgg-module-highlight {
+ -webkit-box-shadow: 1px 1px 5px #CCC;
+ -moz-box-shadow: 1px 1px 5px #CCC;
+ box-shadow: 1px 1px 5px #CCC;
+}
+.elgg-module-highlight:hover {
+ -webkit-box-shadow: 1px 1px 6px #AAA;
+ -moz-box-shadow: 1px 1px 6px #AAA;
+ box-shadow: 1px 1px 6px #AAA;
+}
diff --git a/mod/custom_index/views/default/page/layouts/custom_index.php b/mod/custom_index/views/default/page/layouts/custom_index.php
new file mode 100644
index 000000000..1267e19b2
--- /dev/null
+++ b/mod/custom_index/views/default/page/layouts/custom_index.php
@@ -0,0 +1,68 @@
+<?php
+/**
+ * Elgg custom index layout
+ *
+ * You can edit the layout of this page with your own layout and style.
+ * Whatever you put in this view will appear on the front page of your site.
+ *
+ */
+
+$mod_params = array('class' => 'elgg-module-highlight');
+
+?>
+
+<div class="custom-index elgg-main elgg-grid clearfix">
+ <div class="elgg-col elgg-col-1of2">
+ <div class="elgg-inner pvm prl">
+<?php
+// left column
+
+// Top box for login or welcome message
+if (elgg_is_logged_in()) {
+ $top_box = "<h2>" . elgg_echo("welcome") . " ";
+ $top_box .= elgg_get_logged_in_user_entity()->name;
+ $top_box .= "</h2>";
+} else {
+ $top_box = $vars['login'];
+}
+echo elgg_view_module('featured', '', $top_box, $mod_params);
+
+// a view for plugins to extend
+echo elgg_view("index/lefthandside");
+
+// files
+if (elgg_is_active_plugin('file')) {
+ echo elgg_view_module('featured', elgg_echo("custom:files"), $vars['files'], $mod_params);
+}
+
+// groups
+if (elgg_is_active_plugin('groups')) {
+ echo elgg_view_module('featured', elgg_echo("custom:groups"), $vars['groups'], $mod_params);
+}
+?>
+ </div>
+ </div>
+ <div class="elgg-col elgg-col-1of2">
+ <div class="elgg-inner pvm">
+<?php
+// right column
+
+// a view for plugins to extend
+echo elgg_view("index/righthandside");
+
+// files
+echo elgg_view_module('featured', elgg_echo("custom:members"), $vars['members'], $mod_params);
+
+// groups
+if (elgg_is_active_plugin('blog')) {
+ echo elgg_view_module('featured', elgg_echo("custom:blogs"), $vars['blogs'], $mod_params);
+}
+
+// files
+if (elgg_is_active_plugin('bookmarks')) {
+ echo elgg_view_module('featured', elgg_echo("custom:bookmarks"), $vars['bookmarks'], $mod_params);
+}
+?>
+ </div>
+ </div>
+</div>
diff --git a/mod/custom_index_widgets/index.php b/mod/custom_index_widgets/index.php
new file mode 100644
index 000000000..dac0db036
--- /dev/null
+++ b/mod/custom_index_widgets/index.php
@@ -0,0 +1,66 @@
+<?php
+
+ elgg_set_context('custom_index_widgets');
+ elgg_set_page_owner_guid(elgg_get_config('site_guid'));
+
+ $widgettypes = elgg_get_widget_types();
+
+ $page_owner = elgg_get_page_owner_guid();
+ $ciw_layout = elgg_get_plugin_setting("ciw_layout", "custom_index_widgets");
+ $ciw_showdashboard = elgg_get_plugin_setting("ciw_showdashboard", "custom_index_widgets");
+
+ $customwidgets = elgg_get_widgets($page_owner, elgg_get_context());
+ $area1widgets = isset($customwidgets[1]) ? $customwidgets[1] : FALSE;
+ $area2widgets = isset($customwidgets[2]) ? $customwidgets[2] : FALSE;
+ $area3widgets = isset($customwidgets[3]) ? $customwidgets[3] : FALSE;
+ /*
+ $area1widgets = elgg_get_widgets($page_owner,elgg_get_context(),1);
+ $area2widgets = elgg_get_widgets($page_owner,elgg_get_context(),2);
+ $area3widgets = elgg_get_widgets($page_owner,elgg_get_context(),3);
+ */
+
+ if (empty($area1widgets) && empty($area2widgets) && empty($area3widgets)) {
+
+ if (isset($vars['area3'])) $vars['area1'] = $vars['area3'];
+ if (isset($vars['area4'])) $vars['area2'] = $vars['area4'];
+ }
+
+ $leftcolumn_widgets_view = custom_index_build_columns($area1widgets,$widgettypes);
+ $middlecolumn_widgets_view = custom_index_build_columns($area2widgets,$widgettypes);
+ $rightcolumn_widgets_view = custom_index_build_columns($area3widgets,$widgettypes);
+
+
+ $content = elgg_view_layout($ciw_layout, array('area1' => $leftcolumn_widgets_view,'area2' => $middlecolumn_widgets_view,'area3' => $rightcolumn_widgets_view, 'layoutmode' => 'index_mode') );
+
+ if (elgg_is_logged_in() && $ciw_showdashboard=="yes"){
+
+ $user_guid = elgg_get_logged_in_user_guid();
+ elgg_set_page_owner_guid($user_guid);
+ elgg_set_context('dashboard');
+
+
+ $dashboardwidgets = elgg_get_widgets($user_guid, elgg_get_context());
+ $area1widgets = isset($dashboardwidgets[1]) ? $dashboardwidgets[1] : FALSE;
+ $area2widgets = isset($dashboardwidgets[2]) ? $dashboardwidgets[2] : FALSE;
+ $area3widgets = isset($dashboardwidgets[3]) ? $dashboardwidgets[3] : FALSE;
+ /*
+ $area1widgets = elgg_get_widgets($user_guid,elgg_get_context(),1);
+ $area2widgets = elgg_get_widgets($user_guid,elgg_get_context(),2);
+ $area3widgets = elgg_get_widgets($user_guid,elgg_get_context(),3);
+ */
+ if (empty($area1widgets) && empty($area2widgets) && empty($area3widgets)) {
+
+ if (isset($vars['area3'])) $vars['area1'] = $vars['area3'];
+ if (isset($vars['area4'])) $vars['area2'] = $vars['area4'];
+
+ }
+
+ $leftcolumn_widgets_view = custom_index_build_columns($area1widgets,$widgettypes);
+ $middlecolumn_widgets_view = custom_index_build_columns($area2widgets,$widgettypes);
+ $rightcolumn_widgets_view = custom_index_build_columns($area3widgets,$widgettypes);
+
+ $content .= elgg_view_layout($ciw_layout, array('area1' => $leftcolumn_widgets_view,'area2' => $middlecolumn_widgets_view,'area3' => $rightcolumn_widgets_view, 'layoutmode' => 'index_mode') );
+ }
+
+ echo elgg_view_page('Index', $content);
+?> \ No newline at end of file
diff --git a/mod/custom_index_widgets/languages/de.php b/mod/custom_index_widgets/languages/de.php
new file mode 100644
index 000000000..808b2fade
--- /dev/null
+++ b/mod/custom_index_widgets/languages/de.php
@@ -0,0 +1,78 @@
+ <?php
+
+ $german = array(
+
+
+ 'custom_index_widgets:latest_bookmarks_index' => "Neueste Lesezeichen",
+ 'custom_index_widgets:latest_groups_index' => "Neueste Gruppen",
+ 'custom_index_widgets:latest_files_index' => "Neueste Dateien",
+ 'custom_index_widgets:latest_blogs_index' => "Neueste Blogeintr&auml;ge",
+ 'custom_index_widgets:latest_members_index' => "Neueste Mitglieder",
+ 'custom_index_widgets:nofiles' => "Keine Dateien gefunden",
+ 'custom_index_widgets:nogroups' => "Keine Gruppen gefunden",
+ 'custom_index_widgets:latest_news_index' => "Neueste Nachrichten",
+ 'custom_index_widgets:latest_pages_index' => "Neueste Seiten",
+ 'custom_index_widgets:latest_events_index' => 'Eingehende Events',
+ 'custom_index_widgets:latest_wire_index' => 'Neuester Wire-Beitrag',
+ 'custom_index_widgets:inline_content_index' => 'Eigenes HTML',
+ 'custom_index_widgets:html_content' => 'Ihr Text',
+ 'custom_index_widgets:latest_generic_index'=>"Generischer Inhalt",
+ 'custom_index_widgets:latest_tasks_index'=>"Neueste Aufgaben",
+ 'custom_index_widgets:latest_activity_index' => 'Aktivit&auml;ten',
+ 'custom_index_widgets:cloud_generic_index'=>"Tag Cloud",
+ 'custom_index_widgets:widget_subtype'=>"Widget-Subtyp",
+ 'custom_index_widgets:metadata_name' => "Metadaten",
+ 'custom_index_widgets:threshold'=> "Thresold",
+ 'custom_index_widgets:latest_izap_videos_index' => 'Neueste Videos',
+ 'custom_index_widgets:display_avatar' => 'Avatar',
+
+ 'custom_index_widgets:rich_media_index' => "Multimedia Inhalte",
+ 'custom_index_widgets:widget_video_width' => "Breite (Nur Zahl, ohne px oder %)",
+ 'custom_index_widgets:widget_video_height' => "H&ouml;he (Nur Zahl, ohne px oder %)",
+ 'custom_index_widgets:widget_video_url' => "URL",
+ 'custom_index_widgets:widget_video_caption' => "&Uuml;berschrift",
+
+ 'custom_index_widgets:layout' => "Layout",
+
+ 'custom_index_widgets:index_2rmsb' => '2 Spalten, [Mittelbreit, Schmal] - [Big]',
+ 'custom_index_widgets:index_2rsmb' => '2 Spalten, [Schmal, Mittelbreit] - [Big]',
+ 'custom_index_widgets:index_2rhhb' => '2 Spalten, [Halbe Breite, Halbe Breite] - [Big]',
+ 'custom_index_widgets:index_1rsss' => '1 Spalte, [Schmal, Schmal, Schmal]',
+ 'custom_index_widgets:index_2rbhh' => '2 Spalten, [Big] -[Halbe Breite, Halbe Breite]',
+ 'custom_index_widgets:index_2rbsm' => '2 Spalten, [Big] -[Schmal, Mittelbreit]',
+ 'custom_index_widgets:index_2rbms' => '2 Spalten, [Big] -[Mittelbreit, Schmal]',
+
+ 'custom_index_widgets:area1' => 'Widgets Zone 1',
+ 'custom_index_widgets:area2' => 'Widgets Zone 2',
+ 'custom_index_widgets:area3' => 'Widgets Zone 3',
+
+ 'custom_index_widgets:admin:notfound' => 'Seite nicht gefunden',
+ 'custom_index_widgets:index' => "Widgets aktiv auf Index",
+ 'custom_index_widgets:num_items' => "Einzahl Module",
+ 'custom_index_widgets:reset' => "Zonen auf Standard setzen",
+
+ 'custom_index_widgets:pleaselogin' => "Anmelden f&uuml;r alle Details ...",
+
+ 'custom_index_widgets:widget_title' => "Widget-Titel &uuml;berschreiben (auf index-Seite anstelle des Standardtitels des Widgets)",
+ 'custom_index_widgets:context_mode' => "Layout-Stil",
+ 'custom_index_widgets:context_list' => "Liste",
+ 'custom_index_widgets:context_detail' => "Details",
+
+ 'custom_index_widgets:login_style'=>"Login-Position",
+ 'custom_index_widgets:inlayout'=>"Im Layout",
+ 'custom_index_widgets:topbar'=>"Top-Leiste",
+ 'custom_index_widgets:widget_all_groups' => "Alle Gruppen",
+
+ "custom_index_widgets:showdashboard"=>"End index with dashboard",
+ "custom_index_widgets:showdashboard_yes"=>"Ya",
+ "custom_index_widgets:showdashboard_no"=>"Nein",
+
+ "custom_index_widgets:guest_only" => "Guest only",
+ "custom_index_widgets:box_style" => "Box Style",
+ "custom_index_widgets:social_share_index" => "Social Share",
+ "custom_index_widgets:login_index"=>"Login",
+ "admin:appearance:custom_index_widgets" => "Index Widgets"
+
+ );
+
+ add_translation("de",$german);
diff --git a/mod/custom_index_widgets/languages/en.php b/mod/custom_index_widgets/languages/en.php
new file mode 100644
index 000000000..ba9cb4859
--- /dev/null
+++ b/mod/custom_index_widgets/languages/en.php
@@ -0,0 +1,82 @@
+<?php
+
+ $english = array(
+
+
+ 'custom_index_widgets:latest_bookmarks_index' => "Latest bookmarks",
+ 'custom_index_widgets:latest_groups_index' => "Latest groups",
+ 'custom_index_widgets:latest_files_index' => "Latest files",
+ 'custom_index_widgets:latest_blogs_index' => "Latest blog posts",
+ 'custom_index_widgets:latest_members_index' => "Newest members",
+ 'custom_index_widgets:nofiles' => "There are no files yet",
+ 'custom_index_widgets:nogroups' => "There are no groups yet",
+ 'custom_index_widgets:latest_news_index' => "Latest news",
+ 'custom_index_widgets:latest_pages_index' => "Latest pages",
+ 'custom_index_widgets:latest_events_index' => 'Incomming events',
+ 'custom_index_widgets:latest_wire_index' => 'Last wire posts',
+ 'custom_index_widgets:inline_content_index' => 'Free html',
+ 'custom_index_widgets:html_content' => 'Your text',
+ 'custom_index_widgets:latest_generic_index'=>"Generic content",
+ 'custom_index_widgets:latest_tasks_index'=>"Latest tasks",
+ 'custom_index_widgets:latest_activity_index' => 'In the river',
+ 'custom_index_widgets:cloud_generic_index'=>"Tag Cloud",
+ 'custom_index_widgets:widget_subtype'=>"Entity subtype",
+ 'custom_index_widgets:metadata_name' => "Metadata",
+ 'custom_index_widgets:threshold'=> "Thresold",
+ 'custom_index_widgets:latest_izap_videos_index' => 'Latest videos',
+ 'custom_index_widgets:display_avatar' => 'Avatar',
+
+ 'custom_index_widgets:rich_media_index' => "Multim茅dia content",
+ 'custom_index_widgets:widget_video_width' => "Width (number without px or %)",
+ 'custom_index_widgets:widget_video_height' => "Heigh (number without px or %)",
+ 'custom_index_widgets:widget_video_url' => "Url",
+ 'custom_index_widgets:widget_video_caption' => "Caption",
+
+ 'custom_index_widgets:layout' => "Layout",
+
+ 'custom_index_widgets:index_2rmsb' => '2 rows, [Medium, Small] - [Big]',
+ 'custom_index_widgets:index_2rsmb' => '2 rows, [Small, Medium] - [Big]',
+ 'custom_index_widgets:index_2rhhb' => '2 rows, [Half, Half] - [Big]',
+ 'custom_index_widgets:index_1rsss' => '1 row, [Small, Small, Small]',
+ 'custom_index_widgets:index_2rbhh' => '2 rows, [Big] -[Half, Half]',
+ 'custom_index_widgets:index_2rbsm' => '2 rows, [Big] -[Small, Medium]',
+ 'custom_index_widgets:index_2rbms' => '2 rows, [Big] -[Medium, Small]',
+
+ 'custom_index_widgets:area1' => 'Widgets Zone 1',
+ 'custom_index_widgets:area2' => 'Widgets Zone 2',
+ 'custom_index_widgets:area3' => 'Widgets Zone 3',
+
+ 'custom_index_widgets:admin:notfound' => 'Page not found',
+ 'custom_index_widgets:index' => "Index widgetisation",
+ 'custom_index_widgets:num_items' => "Number of items",
+
+ 'custom_index_widgets:pleaselogin' => "Please, please, login to see more...",
+
+ 'custom_index_widgets:widget_title' => "Override widget title (will be shown only on the index in replacement of widget default title)",
+ 'custom_index_widgets:context_mode' => "Layout style",
+ 'custom_index_widgets:context_list' => "List",
+ 'custom_index_widgets:context_detail' => "Detail",
+
+ 'custom_index_widgets:login_style'=>"Login position",
+ 'custom_index_widgets:inlayout'=>"In layout",
+ 'custom_index_widgets:topbar'=>"Top bar",
+ 'custom_index_widgets:widget_all_groups' => "All groups",
+
+ "custom_index_widgets:showdashboard"=>"End index with dashboard",
+ "custom_index_widgets:showdashboard_yes"=>"Yes",
+ "custom_index_widgets:showdashboard_no"=>"No",
+ "custom_index_widgets:guest_only" => "Guest only",
+ "custom_index_widgets:box_style" => "Box Style",
+ "custom_index_widgets:social_share_index" => "Social Share",
+ "custom_index_widgets:login_index"=>"Login",
+ "admin:appearance:custom_index_widgets" => "Index Widgets",
+ // time limit
+ "custom_index_widgets:widget_created_time_limit" => "Time lapse",
+ "custom_index_widgets:time_limit:all" => "All time",
+ "custom_index_widgets:time_limit:day" => "Last day",
+ "custom_index_widgets:time_limit:week" => "Last week",
+ "custom_index_widgets:time_limit:month" => "Last month"
+
+ );
+
+ add_translation("en",$english);
diff --git a/mod/custom_index_widgets/languages/es.php b/mod/custom_index_widgets/languages/es.php
new file mode 100644
index 000000000..c8dc35633
--- /dev/null
+++ b/mod/custom_index_widgets/languages/es.php
@@ -0,0 +1,78 @@
+<?php
+
+ $spanish = array(
+
+
+ 'custom_index_widgets:latest_bookmarks_index' => "Ultimos favoritos",
+ 'custom_index_widgets:latest_groups_index' => "Ultimos Grupos",
+ 'custom_index_widgets:latest_files_index' => "Ultimos Archivos",
+ 'custom_index_widgets:latest_blogs_index' => "Ultimos Articulos de Blogs",
+ 'custom_index_widgets:latest_members_index' => "Nuevos habitantes",
+ 'custom_index_widgets:nofiles' => "No hay archivos todavia",
+ 'custom_index_widgets:nogroups' => "No hay frupos todavia",
+ 'custom_index_widgets:latest_news_index' => "Ultimas noticias",
+ 'custom_index_widgets:latest_pages_index' => "Ultimas paginas",
+ 'custom_index_widgets:latest_events_index' => 'Eventos anunciados',
+ 'custom_index_widgets:latest_wire_index' => 'Ultimos post',
+ 'custom_index_widgets:inline_content_index' => 'Html libre',
+ 'custom_index_widgets:html_content' => 'Tu texto',
+ 'custom_index_widgets:latest_generic_index'=>"Contenido generico",
+ 'custom_index_widgets:latest_tasks_index'=>"Ultimas tareas",
+ 'custom_index_widgets:latest_activity_index' => 'In the river',
+ 'custom_index_widgets:cloud_generic_index'=>"Nube de tags",
+ 'custom_index_widgets:widget_subtype'=>"Subtipo de la entidad",
+ 'custom_index_widgets:metadata_name' => "Metadata",
+ 'custom_index_widgets:threshold'=> "Thresold",
+ 'custom_index_widgets:latest_izap_videos_index' => 'Ultimos videos',
+ 'custom_index_widgets:display_avatar' => 'Avatar',
+
+ 'custom_index_widgets:rich_media_index' => "Contenido multimedia",
+ 'custom_index_widgets:widget_video_width' => "Ancho (numeros sin px o %)",
+ 'custom_index_widgets:widget_video_height' => "Alto (numeros sin px o %)",
+ 'custom_index_widgets:widget_video_url' => "Url",
+ 'custom_index_widgets:widget_video_caption' => "Caption",
+
+ 'custom_index_widgets:layout' => "Layout",
+
+ 'custom_index_widgets:index_2rmsb' => '2 rows, [Medio, Peque] - [Grande]',
+ 'custom_index_widgets:index_2rsmb' => '2 rows, [Peque, Medio] - [Grande]',
+ 'custom_index_widgets:index_2rhhb' => '2 rows, [Mitad, Mitad] - [Grande]',
+ 'custom_index_widgets:index_1rsss' => '1 row, [Peque, Peque, Peque]',
+ 'custom_index_widgets:index_2rbhh' => '2 rows, [Grande] -[Mitad, Mitad]',
+ 'custom_index_widgets:index_2rbsm' => '2 rows, [Grande] -[Peque, Medio]',
+ 'custom_index_widgets:index_2rbms' => '2 rows, [Grande] -[Medio, Peque]',
+
+ 'custom_index_widgets:area1' => 'Widgets Zona 1',
+ 'custom_index_widgets:area2' => 'Widgets Zona 2',
+ 'custom_index_widgets:area3' => 'Widgets Zona 3',
+
+ 'custom_index_widgets:admin:notfound' => 'Pagina no encontrada',
+ 'custom_index_widgets:index' => "Index widgetisation",
+ 'custom_index_widgets:num_items' => "Numero de items",
+ 'custom_index_widgets:reset' => "Restablecer todas las zonas",
+
+ 'custom_index_widgets:pleaselogin' => "Por favor, por favor, inicie sesi贸n para ver mas...",
+
+ 'custom_index_widgets:widget_title' => "T铆tulo del widget Override (solo se mostraran en el 铆ndice en sustitucion del t铆tulo widget por defecto)",
+ 'custom_index_widgets:context_mode' => "Estilo",
+ 'custom_index_widgets:context_list' => "Lista",
+ 'custom_index_widgets:context_detail' => "Detalle",
+
+ 'custom_index_widgets:login_style'=>"Posicion de Login",
+ 'custom_index_widgets:inlayout'=>"Barra inferior",
+ 'custom_index_widgets:topbar'=>"Barra superior",
+ 'custom_index_widgets:widget_all_groups' => "Todos los grupos",
+
+ "custom_index_widgets:showdashboard"=>"End index with dashboard",
+ "custom_index_widgets:showdashboard_yes"=>"Si",
+ "custom_index_widgets:showdashboard_no"=>"No",
+ "custom_index_widgets:guest_only" => "Guest only",
+ "custom_index_widgets:box_style" => "Box Style",
+ "custom_index_widgets:social_share_index" => "Social Share",
+ "custom_index_widgets:login_index"=>"Login",
+ "admin:appearance:custom_index_widgets" => "Index Widgets"
+
+ );
+
+ add_translation("es",$spanish);
+
diff --git a/mod/custom_index_widgets/languages/fr.php b/mod/custom_index_widgets/languages/fr.php
new file mode 100644
index 000000000..486500710
--- /dev/null
+++ b/mod/custom_index_widgets/languages/fr.php
@@ -0,0 +1,80 @@
+<?php
+
+ $french = array(
+
+ 'custom_index_widgets:latest_bookmarks_index' => "Derniers bookmarks",
+ 'custom_index_widgets:latest_groups_index' => "Groupes les + r&eacute;cents",
+ 'custom_index_widgets:latest_files_index' => "Fichiers les + r&eacute;cents",
+ 'custom_index_widgets:latest_blogs_index' => "Derniers ajouts de blogs",
+ 'custom_index_widgets:latest_members_index' => "Nouveaux membres",
+ 'custom_index_widgets:nofiles' => "Il n'y a aucun fichier pour l'instant",
+ 'custom_index_widgets:nogroups' => "Il n'y a aucun groupe pour l'instant",
+ 'custom_index_widgets:latest_news_index' => "Dernieres news",
+ 'custom_index_widgets:latest_pages_index' => "Dernieres pages",
+ 'custom_index_widgets:latest_events_index' => 'Prochains evenements',
+ 'custom_index_widgets:latest_wire_index' => 'Derniers messages',
+ 'custom_index_widgets:inline_content_index' => 'Texte libre',
+ 'custom_index_widgets:html_content' => 'Votre texte',
+ 'custom_index_widgets:latest_generic_index'=>"Contenu g茅n茅rique",
+ 'custom_index_widgets:latest_tasks_index'=>"T芒ches r茅centes",
+ 'custom_index_widgets:latest_activity_index' => 'En bref',
+ 'custom_index_widgets:cloud_generic_index'=>"Nuage de tags",
+ 'custom_index_widgets:widget_subtype'=>"Type d'entit茅",
+ 'custom_index_widgets:metadata_name' => "Metadata",
+ 'custom_index_widgets:threshold'=> "Seuil minimal",
+ 'custom_index_widgets:latest_izap_videos_index' => 'Derni猫res vid茅os',
+ 'custom_index_widgets:display_avatar' => 'Avatar',
+
+ 'custom_index_widgets:rich_media_index' => "Contenu multim茅dia",
+ 'custom_index_widgets:widget_video_width' => "Largeur de la vid茅o (chiffre sans px ni %)",
+ 'custom_index_widgets:widget_video_height' => "Hauteur de la vid茅o (chiffre sans px ni %)",
+ 'custom_index_widgets:widget_video_url' => "Url de la vid茅o",
+ 'custom_index_widgets:widget_video_caption' => "L茅gende de la vid茅o",
+
+ 'custom_index_widgets:layout' => "Pr茅sentation",
+
+ 'custom_index_widgets:index_2rmsb' => '2 lignes, [Medium, Small] - [Big]',
+ 'custom_index_widgets:index_2rsmb' => '2 lignes, [Small, Medium] - [Big]',
+ 'custom_index_widgets:index_2rhhb' => '2 lignes, [Half, Half] - [Big]',
+ 'custom_index_widgets:index_1rsss' => '1 ligne, [Small, Small, Small]',
+ 'custom_index_widgets:index_1rsms' => '1 ligne, [Small, Medium, Small]',
+
+ 'custom_index_widgets:index_2rbhh' => '2 lignes, [Big] -[Half, Half]',
+ 'custom_index_widgets:index_2rbsm' => '2 lignes, [Big] -[Small, Medium]',
+ 'custom_index_widgets:index_2rbms' => '2 lignes, [Big] -[Medium, Small]',
+
+ 'custom_index_widgets:area1' => 'Widgets Zone 1',
+ 'custom_index_widgets:area2' => 'Widgets Zone 2',
+ 'custom_index_widgets:area3' => 'Widgets Zone 3',
+
+ 'custom_index_widgets:admin:notfound' => 'Page non trouv&eacute;e',
+ 'custom_index_widgets:index' => "Configuration de l'index",
+ 'custom_index_widgets:num_items' => "Nombre d'items",
+ 'custom_index_widgets:reset' => "Supprimer tout les widgets",
+
+ 'custom_index_widgets:pleaselogin' => "Allez, connectez-vous quoi ...",
+
+ 'custom_index_widgets:widget_title' => "Titre de remplacement (est affich茅 脿 la place du titre du widget uniquement sur la page d'accueil)",
+ 'custom_index_widgets:context_mode' => "Mode d'affichage",
+ 'custom_index_widgets:context_list' => "Liste",
+ 'custom_index_widgets:context_detail' => "D茅tail",
+
+ 'custom_index_widgets:login_style'=>"Position de la zone login",
+ 'custom_index_widgets:inlayout'=>"Dans la page",
+ 'custom_index_widgets:topbar'=>"Top bar",
+
+ "custom_index_widgets:showdashboard"=>"Afficher le dashboard en fin de page",
+ "custom_index_widgets:showdashboard_yes"=>"Oui",
+ "custom_index_widgets:showdashboard_no"=>"Non",
+
+ 'custom_index_widgets:widget_all_groups' => "Tous les groupes",
+ "search:go" => "Ok",
+ "custom_index_widgets:guest_only" => "Que pour les invit茅s",
+ "custom_index_widgets:box_style" => "Type d'affichage",
+ "custom_index_widgets:social_share_index" => "Social Share",
+ "custom_index_widgets:login_index"=>"Login",
+ "admin:appearance:custom_index_widgets" => "Index Widgets"
+
+ );
+
+ add_translation("fr",$french);
diff --git a/mod/custom_index_widgets/manifest.xml b/mod/custom_index_widgets/manifest.xml
new file mode 100644
index 000000000..33784b313
--- /dev/null
+++ b/mod/custom_index_widgets/manifest.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<plugin_manifest xmlns="http://www.elgg.org/plugin_manifest/1.8">
+ <name>Custom index widgets</name>
+ <author>Fx NION, Lorea developers</author>
+ <version>1.8.0</version>
+ <category>content</category>
+ <category>widget</category>
+ <description>Customise your index, with severals layout and customisable widgets. And get fun.</description>
+ <website>https://lorea.org/</website>
+ <copyright>(C) Fx NION 2009-2011, Lorea 2012-2013</copyright>
+ <license>GNU General Public License version 2</license>
+ <requires>
+ <type>elgg_release</type>
+ <version>1.8.3</version>
+ </requires>
+ <conflicts>
+ <type>plugin</type>
+ <name>custom_index</name>
+ </conflicts>
+ <activate_on_install>false</activate_on_install>
+</plugin_manifest>
diff --git a/mod/custom_index_widgets/start.php b/mod/custom_index_widgets/start.php
new file mode 100644
index 000000000..70a755dc2
--- /dev/null
+++ b/mod/custom_index_widgets/start.php
@@ -0,0 +1,159 @@
+<?php
+
+elgg_register_event_handler('init', 'system', 'custom_index_widgets_init');
+
+function custom_index_widgets_init() {
+
+ elgg_extend_view('css/elgg','custom_index_widgets/css');
+
+ elgg_register_admin_menu_item('configure', 'custom_index_widgets', 'appearance');
+
+
+ $ciw_layout = elgg_get_plugin_setting("ciw_layout", "custom_index_widgets");
+ if ($ciw_layout == NULL) {
+ elgg_set_plugin_setting("ciw_layout", "index_2rmsb", "custom_index_widgets");
+ }
+
+ $ciw_showdashboard = elgg_get_plugin_setting("ciw_showdashboard", "custom_index_widgets");
+ if ($ciw_showdashboard == NULL) {
+ elgg_set_plugin_setting("ciw_showdashboard", "yes", "custom_index_widgets");
+ }
+ elgg_register_widget_type('latest_members_index', elgg_echo('custom_index_widgets:latest_members_index'), elgg_echo('custom_index_widgets:latest_members_index'), "custom_index_widgets", true);
+ elgg_register_widget_type('inline_content_index', elgg_echo('custom_index_widgets:inline_content_index'), elgg_echo('custom_index_widgets:inline_content_index'), "custom_index_widgets", true);
+ elgg_register_widget_type('rich_media_index', elgg_echo('custom_index_widgets:rich_media_index'), elgg_echo('custom_index_widgets:rich_media_index'), "custom_index_widgets", true);
+ elgg_register_widget_type('latest_generic_index', elgg_echo('custom_index_widgets:latest_generic_index'), elgg_echo('custom_index_widgets:latest_generic_index'), "custom_index_widgets", true);
+ elgg_register_widget_type('latest_activity_index', elgg_echo('custom_index_widgets:latest_activity_index'), elgg_echo('custom_index_widgets:latest_activity_index'), "custom_index_widgets", true);
+ elgg_register_widget_type('cloud_generic_index', elgg_echo('custom_index_widgets:cloud_generic_index'), elgg_echo('custom_index_widgets:cloud_generic_index'), "custom_index_widgets", true);
+ elgg_register_widget_type('login_index', elgg_echo('custom_index_widgets:login_index'), elgg_echo('custom_index_widgets:login_index'), "custom_index_widgets", true);
+
+ if (elgg_is_active_plugin('groups')) {
+ elgg_register_widget_type('latest_groups_index', elgg_echo('custom_index_widgets:latest_groups_index'), elgg_echo('custom_index_widgets:latest_groups_index'), "custom_index_widgets", true);
+ }
+ if (elgg_is_active_plugin('file')) {
+ elgg_register_widget_type('latest_files_index', elgg_echo('custom_index_widgets:latest_files_index'), elgg_echo('custom_index_widgets:latest_files_index'), "custom_index_widgets", true);
+ }
+ if (elgg_is_active_plugin('news')) {
+ elgg_register_widget_type('latest_news_index', elgg_echo('custom_index_widgets:latest_news_index'), elgg_echo('custom_index_widgets:latest_news_index'), "custom_index_widgets", true);
+ }
+ if (elgg_is_active_plugin('bookmarks_enhanced') or elgg_is_active_plugin('bookmarks')) {
+ elgg_register_widget_type('latest_bookmarks_index', elgg_echo('custom_index_widgets:latest_bookmarks_index'), elgg_echo('custom_index_widgets:latest_bookmarks_index'), "custom_index_widgets", true);
+ }
+ if (elgg_is_active_plugin('blog')) {
+ elgg_register_widget_type('latest_blogs_index', elgg_echo('custom_index_widgets:latest_blogs_index'), elgg_echo('custom_index_widgets:latest_blogs_index'), "custom_index_widgets", true);
+ }
+ if (elgg_is_active_plugin('pages')) {
+ elgg_register_widget_type('latest_pages_index', elgg_echo('custom_index_widgets:latest_pages_index'), elgg_echo('custom_index_widgets:latest_pages_index'), "custom_index_widgets", true);
+ }
+ if (elgg_is_active_plugin('event_calendar')) {
+ elgg_register_widget_type('latest_events_index', elgg_echo('custom_index_widgets:latest_events_index'), elgg_echo('custom_index_widgets:latest_events_index'), "custom_index_widgets", true);
+ }
+ if (elgg_is_active_plugin('tidypics')) {
+ elgg_register_widget_type('latest_photos_index', elgg_echo("tidypics:widget:latest"), elgg_echo("tidypics:widget:latest_descr"), "custom_index_widgets", true);
+ elgg_register_widget_type('latest_album_index', elgg_echo("tidypics:widget:albums"), elgg_echo("tidypics:widget:latest_descr"), "custom_index_widgets", true);
+ }
+ if (elgg_is_active_plugin('thewire')) {
+ elgg_register_widget_type('latest_wire_index', elgg_echo('custom_index_widgets:latest_wire_index'), elgg_echo('custom_index_widgets:latest_wire_index'), "custom_index_widgets", true);
+ }
+
+ if (elgg_is_active_plugin('tasks')) {
+ elgg_register_widget_type('latest_tasks_index', elgg_echo('custom_index_widgets:latest_tasks_index'), elgg_echo('custom_index_widgets:latest_tasks_index'), "custom_index_widgets", true);
+ }
+
+ if (elgg_is_active_plugin('izap_videos')) {
+ elgg_register_widget_type('latest_izap_videos_index',elgg_echo ('custom_index_widgets:latest_izap_videos_index'), elgg_echo('custom_index_widgets:latest_izap_videos_index'), "custom_index_widgets", true);
+ }
+ if (elgg_is_active_plugin('simplepie')) {
+ elgg_register_widget_type('feed_reader_index', elgg_echo('simplepie:widget'),elgg_echo('simplepie:description'),'custom_index_widgets', true);
+ }
+
+ elgg_register_plugin_hook_handler('index', 'system', 'custom_index_widgets');
+ elgg_register_page_handler ('custom_index_widgets', 'custom_index_widgets_page_handler');
+
+}
+
+function custom_index_widgets($hook, $type, $return, $params) {
+ if ($return == true) {
+ // another hook has already replaced the front page
+ return $return;
+ }
+
+ if (!include_once(dirname(__FILE__) . "/index.php")) {
+ return false;
+ }
+
+ // return true to signify that we have handled the front page
+ return true;
+}
+
+
+function custom_index_widgets_page_handler($page) {
+ if (isset ($page [0])) {
+ switch ($page [0]) {
+ case "edit" :
+ @include (dirname ( __FILE__ ) . "/edit.php");
+ break;
+ }
+ } else {
+ register_error(elgg_echo("custom_index_widgets:admin:notfound"));
+ forward(elgg_get_site_url());
+ }
+ return true;
+}
+
+
+function custom_index_show_widget_area($areawidgets){
+ if (is_array($areawidgets) && sizeof($areawidgets) > 0) {
+ foreach($areawidgets as $widget) {
+ if ($widget instanceof ElggWidget) {
+ $vars['entity'] = $widget;
+ $handler = $widget->handler;
+ if (elgg_view_exists("widgets/$handler/content")) {
+ $content = elgg_view("widgets/$handler/content", $vars);
+ } else {
+ elgg_deprecated_notice("widgets use content as the display view", 1.8);
+ $content = elgg_view("widgets/$handler/view", $vars);
+ }
+ echo elgg_view_module('featured', $widget->title, $content, array('class' => 'elgg-module-highlight'));
+ } else {
+ echo $widget;
+ }
+ }
+ }
+}
+
+function custom_index_build_columns($area_widget_list, $widgettypes, $build_server_side = true) {
+
+ $column_widgets_view = array();
+ $column_widgets_string = "";
+
+ if (is_array($area_widget_list) && sizeof($area_widget_list) > 0) {
+ foreach ($area_widget_list as $widget) {
+ if ($build_server_side ) {
+ $title = $widget->widget_title;
+ if (!$title) {
+ $title = $widgettypes[$widget->handler]->name;
+ if (!$title) {
+ $title = $widget->handler;
+ }
+ }
+ $widget->title = $title;
+
+ if (($widget->guest_only == "yes" && !elgg_is_logged_in()) || $widget->guest_only == "no" || !isset($widget->guest_only)) {
+ $column_widgets_view[] = $widget;
+ }
+ } else {
+ if (!empty($column_widgets_string)) {
+ $column_widgets_string .= "::";
+ }
+ $column_widgets_string .= "{$widget->handler}::{$widget->getGUID()}";
+ }
+ }
+
+ if ($build_server_side) {
+ return $column_widgets_view;
+ } else {
+ return $column_widgets_string;
+ }
+ }
+ return null;
+}
diff --git a/mod/custom_index_widgets/views/default/admin/appearance/custom_index_widgets.php b/mod/custom_index_widgets/views/default/admin/appearance/custom_index_widgets.php
new file mode 100644
index 000000000..491c878e5
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/admin/appearance/custom_index_widgets.php
@@ -0,0 +1,56 @@
+<?php
+
+
+elgg_push_context('custom_index_widgets');
+elgg_set_page_owner_guid(elgg_get_config('site_guid'));
+
+$num_columns = elgg_extract('num_columns', $vars, 3);
+$show_add_widgets = elgg_extract('show_add_widgets', $vars, true);
+$exact_match = elgg_extract('exact_match', $vars, true);
+$show_access = elgg_extract('show_access', $vars, true);
+
+
+$owner = elgg_get_page_owner_entity();
+
+
+$context = elgg_get_context();
+$widget_types = elgg_get_widget_types($context, true);
+$widgets = elgg_get_widgets($owner->guid, $context);
+
+
+if (elgg_can_edit_widget_layout($context)) {
+
+ if ($show_add_widgets) {
+ echo elgg_view('page/layouts/widgets/add_button');
+ }
+ $params = array(
+ 'widgets' => $widgets,
+ 'context' => $context,
+ 'exact_match' => $exact_match,
+ );
+
+ echo elgg_view('page/layouts/widgets/add_panel', $params);
+}
+
+echo $vars['content'];
+
+$widget_class = "elgg-col-1of{$num_columns}";
+for ($column_index = 1; $column_index <= $num_columns; $column_index++) {
+ if (isset($widgets[$column_index])) {
+ $column_widgets = $widgets[$column_index];
+ } else {
+ $column_widgets = array();
+ }
+
+ echo "<div class=\"$widget_class elgg-widgets\" id=\"elgg-widget-col-$column_index\">";
+ if (sizeof($column_widgets) > 0) {
+ foreach ($column_widgets as $widget) {
+ if (array_key_exists($widget->handler, $widget_types)) {
+ echo elgg_view_entity($widget, array('show_access' => $show_access));
+ }
+ }
+ }
+ echo '</div>';
+}
+
+
diff --git a/mod/custom_index_widgets/views/default/custom_index_widgets/css.php b/mod/custom_index_widgets/views/default/custom_index_widgets/css.php
new file mode 100644
index 000000000..e80d28684
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/custom_index_widgets/css.php
@@ -0,0 +1,347 @@
+<?php
+ /**
+ * Custom Index page css extender
+ *
+ * @package custom_index
+ * @license http://www.gnu.org/licenses/old-licenses/gpl-2.0.html GNU Public License version 2
+ * @author Curverider <info@elgg.com>
+ * @copyright Curverider Ltd 2008-2009
+ * @link http://elgg.org/
+ */
+?>
+
+.icon_members {
+ float:left;
+ margin:2pt 5px 3px 0pt;
+}
+
+.icon_latest {
+ margin:0 auto;
+}
+#login-box{
+ width:100%;
+}
+#login-box form{
+ width:auto;
+}
+
+#login-box input[type="text"],
+#login-box input[type="password"]{
+ width: 95%;
+}
+
+#rightcolumn_widgets,
+#leftcolumn_widgets,
+#middlecolumn_widgets{
+ min-height: 1px;
+}
+
+
+#rightcolumn_widgets.small_edit_mode_box,
+#leftcolumn_widgets.small_edit_mode_box,
+#middlecolumn_widgets.small_edit_mode_box,
+#customise_page_view table tr td h2.small_edit_mode_box {
+ margin:5px 10px 0 0;
+ width: auto;
+}
+#rightcolumn_widgets.medium_edit_mode_box,
+#leftcolumn_widgets.medium_edit_mode_box,
+#middlecolumn_widgets.medium_edit_mode_box,
+#customise_page_view table tr td h2.medium_edit_mode_box{
+ margin:5px 10px 0 0;
+ width: auto;
+}
+#rightcolumn_widgets.big_edit_mode_box,
+#leftcolumn_widgets.big_edit_mode_box,
+#middlecolumn_widgets.big_edit_mode_box,
+#customise_page_view table tr td h2.big_edit_mode_box{
+ margin:5px 10px 0 0;
+ width: auto;
+}
+#rightcolumn_widgets.half_edit_mode_box,
+#leftcolumn_widgets.half_edit_mode_box,
+#middlecolumn_widgets.half_edit_mode_box,
+#customise_page_view table tr td h2.half_edit_mode_box{
+ margin:5px 10px 0 0;
+ width: auto;
+}
+
+#rightcolumn_widgets.small_index_mode_box,
+#leftcolumn_widgets.small_index_mode_box,
+#middlecolumn_widgets.small_index_mode_box,
+#customise_page_view table tr td h2.small_index_mode_box {
+ /*width: 312px;*/
+ width: auto;
+ padding: 0 0 5px;
+ margin-right: 10px;
+ border: 0 none;
+}
+#rightcolumn_widgets.medium_index_mode_box,
+#leftcolumn_widgets.medium_index_mode_box,
+#middlecolumn_widgets.medium_index_mode_box,
+#customise_page_view table tr td h2.medium_index_mode_box{
+ /*width: 608px;*/
+ width: auto;
+ padding: 0 0 5px;
+ margin-right: 10px;
+ border: 0 none;
+}
+#rightcolumn_widgets.big_index_mode_box,
+#leftcolumn_widgets.big_index_mode_box,
+#middlecolumn_widgets.big_index_mode_box,
+#customise_page_view table tr td h2.big_index_mode_box{
+ width: auto;
+ padding: 0 0 5px;
+ margin-right: 10px;
+ border: 0 none;
+}
+#rightcolumn_widgets.half_index_mode_box,
+#leftcolumn_widgets.half_index_mode_box,
+#middlecolumn_widgets.half_index_mode_box,
+#customise_page_view.half_index_mode_box h2{
+ /*width: 460px;*/
+ width: auto;
+ padding: 0 0 5px;
+ margin: 10px 3px;
+ border: 0 none;
+}
+
+table.index_mode{
+ width: 96%;
+ margin-left: 2%;
+ border: 0 none;
+}
+
+td.small {
+ width: 38%;
+}
+td.half {
+ width: 47%;
+}
+td.medium {
+ width: 57%;
+}
+td.big {
+ width: 100%;
+}
+.logintop{
+ margin:0 auto;
+ padding:0;
+ padding-top: 3px;
+ width:990px;
+}
+.logintop_links{
+ margin-left:80px;
+}
+
+.logintop_links a {
+ margin:0 0 0 2px;
+ color:#999999;
+ padding:3px;
+}
+.logintop_links a:hover {
+ color:#eeeeee;
+}
+
+#logintopform{
+ color: #BBBBBB;
+ font-size: 12px;
+}
+
+#logintopform input.logintop_input {
+ -webkit-border-radius: 4px;
+ -moz-border-radius: 4px;
+ background-color:#FFFFFF;
+ border:1px solid #BBBBBB;
+ color:#999999;
+ font-size:12px;
+ font-weight:bold;
+ margin:0pt;
+ padding:2px;
+ width:180px;
+ height:12px;
+}
+#logintopform input.logintop_submit_button {
+ -webkit-border-radius: 4px;
+ -moz-border-radius: 4px;
+ color:#333333;
+ background: #cccccc;
+ border:none;
+ font-size:12px;
+ font-weight:bold;
+ margin:0px;
+ padding:2px;
+ width:auto;
+ height:18px;
+ cursor:pointer;
+}
+#logintopform input.logintop_submit_button:hover {
+ color:#ffffff;
+ background: #4690d6;
+}
+
+/* ***************************************
+STANDARD BOXES
+*************************************** */
+.standard_box {
+ margin: 0 0 20px 0;
+ height:auto;
+
+}
+/* IE6 fix */
+* html .standard_box {
+ height:10px;
+}
+.standard_box_header {
+ color: #4690d6;
+ padding: 5px 10px 5px 10px;
+ margin:0;
+ border-left: 1px solid white;
+ border-right: 1px solid #cccccc;
+ border-bottom: 1px solid #cccccc;
+ -moz-border-radius-topleft:8px;
+ -moz-border-radius-topright:8px;
+ -webkit-border-top-right-radius:8px;
+ -webkit-border-top-left-radius:8px;
+ background:#dedede;
+}
+.standard_box_header h1 {
+ color: #0054a7;
+ font-size:1.25em;
+ line-height: 1.2em;
+}
+.standard_box_content {
+ padding: 10px 0 10px 0;
+ margin:0;
+ height:auto;
+ background:#dedede;
+ -moz-border-radius-bottomleft:8px;
+ -moz-border-radius-bottomright:8px;
+ -webkit-border-bottom-right-radius:8px;
+ -webkit-border-bottom-left-radius:8px;
+ border-left: 1px solid white;
+ border-right: 1px solid #cccccc;
+ border-bottom: 1px solid #cccccc;
+}
+.standard_box_content .contentWrapper {
+ margin-bottom:5px;
+}
+.standard_box_editpanel {
+ display: none;
+ background: #a8a8a8;
+ padding:10px 10px 5px 10px;
+ border-left: 1px solid white;
+ border-bottom: 1px solid white;
+}
+.standard_box_editpanel p {
+ margin:0 0 5px 0;
+}
+.standard_box_header a.toggle_box_contents {
+ color: #4690d6;
+ cursor:pointer;
+ font-family: Arial, Helvetica, sans-serif;
+ font-size:20px;
+ font-weight: bold;
+ text-decoration:none;
+ float:right;
+ margin: 0;
+ margin-top: -7px;
+}
+.standard_box_header a.toggle_box_edit_panel {
+ color: #4690d6;
+ cursor:pointer;
+ font-size:9px;
+ text-transform: uppercase;
+ text-decoration:none;
+ font-weight: normal;
+ float:right;
+ margin: 3px 10px 0 0;
+}
+.standard_box_editpanel label {
+ font-weight: normal;
+ font-size: 100%;
+}
+
+
+/* ***************************************
+PLAIN BOXES
+*************************************** */
+.plain_box , .plain.collapsable_box{
+ margin: 0 0 20px 0;
+ height:auto;
+
+}
+/* IE6 fix */
+* html .plain_box , * html .plain.collapsable_box {
+ height:10px;
+}
+.plain_box_header , .plain.collapsable_box_header{
+ color: #4690d6;
+ padding: 5px 10px 5px 10px;
+ margin:0;
+ border-left: 1px solid #cccccc;
+ border-right: 1px solid #cccccc;
+ border-top: 1px solid #cccccc;
+ -moz-border-radius-topleft:8px;
+ -moz-border-radius-topright:8px;
+ -webkit-border-top-right-radius:8px;
+ -webkit-border-top-left-radius:8px;
+ background:transparent;
+}
+.plain_box_header h1, .plain.collapsable_box_header h1 {
+ color: #0054a7;
+ font-size:1.25em;
+ line-height: 1.2em;
+}
+.plain_box_content, .plain.collapsable_box_content {
+ padding: 10px 0 10px 0;
+ margin:0;
+ height:auto;
+ -moz-border-radius-bottomleft:8px;
+ -moz-border-radius-bottomright:8px;
+ -webkit-border-bottom-right-radius:8px;
+ -webkit-border-bottom-left-radius:8px;
+ border-left: 1px solid #cccccc;
+ border-right: 1px solid #cccccc;
+ border-bottom: 1px solid #cccccc;
+ background:transparent;
+
+}
+.plain_box_content .contentWrapper , .plain.collapsable_box_content .contentWrapper{
+ margin-bottom:5px;
+}
+.plain_box_editpanel .plain.collapsable_box_editpanel{
+ display: none;
+ background: #a8a8a8;
+ padding:10px 10px 5px 10px;
+ border-left: 1px solid white;
+ border-bottom: 1px solid white;
+}
+.plain_box_editpanel p , .plain.collapsable_box_editpanel{
+ margin:0 0 5px 0;
+}
+.plain_box_header a.toggle_box_contents , .plain.collapsable_box_header a.toggle_box_contents{
+ color: #4690d6;
+ cursor:pointer;
+ font-family: Arial, Helvetica, sans-serif;
+ font-size:20px;
+ font-weight: bold;
+ text-decoration:none;
+ float:right;
+ margin: 0;
+ margin-top: -7px;
+}
+.plain_box_header a.toggle_box_edit_panel , .plain.collapsable_box_header a.toggle_box_edit_panel {
+ color: #4690d6;
+ cursor:pointer;
+ font-size:9px;
+ text-transform: uppercase;
+ text-decoration:none;
+ font-weight: normal;
+ float:right;
+ margin: 3px 10px 0 0;
+}
+.plain_box_editpanel label , .plain.collapsable_box_editpanel label{
+ font-weight: normal;
+ font-size: 100%;
+}
diff --git a/mod/custom_index_widgets/views/default/custom_index_widgets/footerlinks.php b/mod/custom_index_widgets/views/default/custom_index_widgets/footerlinks.php
new file mode 100644
index 000000000..ed92a9032
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/custom_index_widgets/footerlinks.php
@@ -0,0 +1,3 @@
+<div class="mts float-alt">
+<a href="http://fxnion.free.fr/"><img src="<?php echo elgg_get_site_url(); ?>mod/custom_index_widgets/images/fxnion_elgg_custom_index.gif" alt="Elgg Custom index by Fx Nion" title="Elgg Custom index by Fx Nion"/></a>
+</div>
diff --git a/mod/custom_index_widgets/views/default/page/layouts/edit_widgets.php b/mod/custom_index_widgets/views/default/page/layouts/edit_widgets.php
new file mode 100644
index 000000000..f61cea356
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/page/layouts/edit_widgets.php
@@ -0,0 +1,61 @@
+<?php
+/**
+ * Elgg widgets layout
+ *
+ * @uses $vars['content'] Optional display box at the top of layout
+ * @uses $vars['num_columns'] Number of widget columns for this layout (3)
+ * @uses $vars['show_add_widgets'] Display the add widgets button and panel (true)
+ * @uses $vars['exact_match'] Widgets must match the current context (false)
+ * @uses $vars['show_access'] Show the access control (true)
+ */
+
+$num_columns = elgg_extract('num_columns', $vars, 3);
+$show_add_widgets = elgg_extract('show_add_widgets', $vars, true);
+$exact_match = elgg_extract('exact_match', $vars, false);
+$show_access = elgg_extract('show_access', $vars, true);
+
+$owner = elgg_get_page_owner_entity();
+
+$widget_types = elgg_get_widget_types();
+
+$context = elgg_get_context();
+//elgg_push_context('widgets');
+
+$widgets = elgg_get_widgets($owner->guid, $context);
+
+if (elgg_can_edit_widget_layout($context)) {
+ if ($show_add_widgets) {
+ echo elgg_view('page/layouts/widgets/add_button');
+ }
+ $params = array(
+ 'widgets' => $widgets,
+ 'context' => $context,
+ 'exact_match' => $exact_match,
+ );
+ echo elgg_view('page/layouts/widgets/add_panel', $params);
+}
+
+echo $vars['content'];
+
+$widget_class = "elgg-col-1of{$num_columns}";
+for ($column_index = 1; $column_index <= $num_columns; $column_index++) {
+ if (isset($widgets[$column_index])) {
+ $column_widgets = $widgets[$column_index];
+ } else {
+ $column_widgets = array();
+ }
+
+ echo "<div class=\"$widget_class elgg-widgets\" id=\"elgg-widget-col-$column_index\">";
+ if (sizeof($column_widgets) > 0) {
+ foreach ($column_widgets as $widget) {
+ if (array_key_exists($widget->handler, $widget_types)) {
+ echo elgg_view_entity($widget, array('show_access' => $show_access));
+ }
+ }
+ }
+ echo '</div>';
+}
+
+elgg_pop_context();
+
+echo elgg_view('graphics/ajax_loader', array('id' => 'elgg-widget-loader'));
diff --git a/mod/custom_index_widgets/views/default/page/layouts/index.php b/mod/custom_index_widgets/views/default/page/layouts/index.php
new file mode 100644
index 000000000..a73a0d7b1
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/page/layouts/index.php
@@ -0,0 +1,30 @@
+<?php
+ $area1widgets = $vars['area1'];
+ $area2widgets = $vars['area2'];
+ $area3widgets = $vars['area3'];
+ $layoutmode = $vars['layoutmode']; //edit, index
+?>
+
+ <table cellspacing="10" cellpadding="10" width="100%" class="<?php echo elgg_echo($layoutmode); ?>">
+ <tr>
+ <td align="left" valign="top" class="half">
+ <div id="leftcolumn_widgets" class="half_<?php echo elgg_echo($layoutmode); ?>_box">
+ <?php custom_index_show_widget_area($area1widgets) ?>
+ </div>
+ </td>
+ <td align="left" valign="top" class="half">
+ <div id="middlecolumn_widgets" class="half_<?php echo elgg_echo($layoutmode); ?>_box">
+ <?php custom_index_show_widget_area($area2widgets) ?>
+ </div>
+ </td>
+ </tr>
+ <tr >
+ <td colspan="2" align="left" valign="top" class="big">
+ <div id="rightcolumn_widgets" class="big_<?php echo elgg_echo($layoutmode); ?>_box">
+ <?php custom_index_show_widget_area($area3widgets) ?>
+ </div>
+ </td>
+ </tr>
+ </table>
+
+
diff --git a/mod/custom_index_widgets/views/default/page/layouts/index_1rsss.php b/mod/custom_index_widgets/views/default/page/layouts/index_1rsss.php
new file mode 100644
index 000000000..0d43469e9
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/page/layouts/index_1rsss.php
@@ -0,0 +1,29 @@
+<?php
+ $area1widgets = $vars['area1'];
+ $area2widgets = $vars['area2'];
+ $area3widgets = $vars['area3'];
+ $layoutmode = $vars['layoutmode']; //edit, index
+?>
+
+ <table cellspacing="10" cellpadding="10" width="100%" class="<?php echo elgg_echo($layoutmode); ?>">
+ <tr>
+ <td align="left" valign="top" class="small">
+ <div id="leftcolumn_widgets" class="small_<?php echo elgg_echo($layoutmode); ?>_box">
+ <?php custom_index_show_widget_area($area1widgets) ?>
+ </div>
+ </td>
+ <td align="left" valign="top" class="small">
+ <div id="middlecolumn_widgets" class="small_<?php echo elgg_echo($layoutmode); ?>_box">
+ <?php custom_index_show_widget_area($area2widgets) ?>
+ </div>
+ </td>
+ <td align="left" valign="top" class="small">
+ <div id="rightcolumn_widgets" class="small_<?php echo elgg_echo($layoutmode); ?>_box">
+ <?php custom_index_show_widget_area($area3widgets) ?>
+ </div>
+ </td>
+
+ </tr>
+ </table>
+
+
diff --git a/mod/custom_index_widgets/views/default/page/layouts/index_2rbhh.php b/mod/custom_index_widgets/views/default/page/layouts/index_2rbhh.php
new file mode 100644
index 000000000..7f8c22437
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/page/layouts/index_2rbhh.php
@@ -0,0 +1,30 @@
+<?php
+ $area1widgets = $vars['area1'];
+ $area2widgets = $vars['area2'];
+ $area3widgets = $vars['area3'];
+ $layoutmode = $vars['layoutmode']; //edit, index
+?>
+
+ <table cellspacing="10" cellpadding="10" width="100%" class="<?php echo elgg_echo($layoutmode); ?>">
+ <tr>
+ <td colspan="2" align="left" valign="top" class="big">
+ <div id="leftcolumn_widgets" class="big_<?php echo elgg_echo($layoutmode); ?>_box">
+ <?php custom_index_show_widget_area($area1widgets) ?>
+ </div>
+ </td>
+ </tr>
+ <tr>
+ <td align="left" valign="top" class="half">
+ <div id="middlecolumn_widgets" class="half_<?php echo elgg_echo($layoutmode); ?>_box">
+ <?php custom_index_show_widget_area($area2widgets) ?>
+ </div>
+ </td>
+ <td align="left" valign="top" class="half">
+ <div id="rightcolumn_widgets" class="half_<?php echo elgg_echo($layoutmode); ?>_box">
+ <?php custom_index_show_widget_area($area3widgets) ?>
+ </div>
+ </td>
+ </tr>
+ </table>
+
+
diff --git a/mod/custom_index_widgets/views/default/page/layouts/index_2rbms.php b/mod/custom_index_widgets/views/default/page/layouts/index_2rbms.php
new file mode 100644
index 000000000..fdb0cf38b
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/page/layouts/index_2rbms.php
@@ -0,0 +1,30 @@
+<?php
+ $area1widgets = $vars['area1'];
+ $area2widgets = $vars['area2'];
+ $area3widgets = $vars['area3'];
+ $layoutmode = $vars['layoutmode']; //edit, index
+?>
+
+ <table cellspacing="10" cellpadding="10" width="100%" class="<?php echo elgg_echo($layoutmode); ?>">
+ <tr>
+ <td colspan="2" align="left" valign="top" class="big">
+ <div id="leftcolumn_widgets" class="big_<?php echo elgg_echo($layoutmode); ?>_box">
+ <?php custom_index_show_widget_area($area1widgets) ?>
+ </div>
+ </td>
+ </tr>
+ <tr>
+ <td align="left" valign="top" class="medium">
+ <div id="middlecolumn_widgets" class="medium_<?php echo elgg_echo($layoutmode); ?>_box">
+ <?php custom_index_show_widget_area($area2widgets) ?>
+ </div>
+ </td>
+ <td align="left" valign="top" class="small">
+ <div id="rightcolumn_widgets" class="small_<?php echo elgg_echo($layoutmode); ?>_box">
+ <?php custom_index_show_widget_area($area3widgets) ?>
+ </div>
+ </td>
+ </tr>
+ </table>
+
+
diff --git a/mod/custom_index_widgets/views/default/page/layouts/index_2rbsm.php b/mod/custom_index_widgets/views/default/page/layouts/index_2rbsm.php
new file mode 100644
index 000000000..d5a81f1f5
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/page/layouts/index_2rbsm.php
@@ -0,0 +1,28 @@
+<?php
+ $area1widgets = $vars['area1'];
+ $area2widgets = $vars['area2'];
+ $area3widgets = $vars['area3'];
+ $layoutmode = $vars['layoutmode']; //edit, index
+?>
+
+ <table cellspacing="10" cellpadding="10" width="100%" class="<?php echo elgg_echo($layoutmode); ?>">
+ <tr>
+ <td colspan="2" align="left" valign="top" class="big">
+ <div id="leftcolumn_widgets" class="big_<?php echo elgg_echo($layoutmode); ?>_box">
+ <?php custom_index_show_widget_area($area1widgets) ?>
+ </div>
+ </td>
+ </tr>
+ <tr>
+ <td align="left" valign="top" class="small">
+ <div id="middlecolumn_widgets" class="small_<?php echo elgg_echo($layoutmode); ?>_box">
+ <?php custom_index_show_widget_area($area2widgets) ?>
+ </div>
+ </td>
+ <td align="left" valign="top" class="medium">
+ <div id="rightcolumn_widgets" class="medium_<?php echo elgg_echo($layoutmode); ?>_box">
+ <?php custom_index_show_widget_area($area3widgets) ?>
+ </div>
+ </td>
+ </tr>
+ </table> \ No newline at end of file
diff --git a/mod/custom_index_widgets/views/default/page/layouts/index_2rhhb.php b/mod/custom_index_widgets/views/default/page/layouts/index_2rhhb.php
new file mode 100644
index 000000000..ae2995ff9
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/page/layouts/index_2rhhb.php
@@ -0,0 +1,30 @@
+<?php
+ $area1widgets = $vars['area1'];
+ $area2widgets = $vars['area2'];
+ $area3widgets = $vars['area3'];
+ $layoutmode = $vars['layoutmode']; //edit, index
+?>
+
+ <table cellspacing="10" cellpadding="10" width="100%" class="<?php echo elgg_echo($layoutmode); ?>">
+ <tr>
+ <td align="left" valign="top" class="half">
+ <div id="leftcolumn_widgets" class="half_<?php echo elgg_echo($layoutmode); ?>_box">
+ <?php custom_index_show_widget_area($area1widgets) ?>
+ </div>
+ </td>
+ <td align="left" valign="top" class="half">
+ <div id="middlecolumn_widgets" class="half_<?php echo elgg_echo($layoutmode); ?>_box">
+ <?php custom_index_show_widget_area($area2widgets) ?>
+ </div>
+ </td>
+ </tr>
+ <tr>
+ <td colspan="2" align="left" valign="top" class="big">
+ <div id="rightcolumn_widgets" class="big_<?php echo elgg_echo($layoutmode); ?>_box">
+ <?php custom_index_show_widget_area($area3widgets) ?>
+ </div>
+ </td>
+ </tr>
+ </table>
+
+
diff --git a/mod/custom_index_widgets/views/default/page/layouts/index_2rmsb.php b/mod/custom_index_widgets/views/default/page/layouts/index_2rmsb.php
new file mode 100644
index 000000000..a774a4a42
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/page/layouts/index_2rmsb.php
@@ -0,0 +1,30 @@
+<?php
+ $area1widgets = $vars['area1'];
+ $area2widgets = $vars['area2'];
+ $area3widgets = $vars['area3'];
+ $layoutmode = $vars['layoutmode']; //edit, index
+?>
+
+ <table cellspacing="10" cellpadding="10" width="100%" class="<?php echo elgg_echo($layoutmode); ?>">
+ <tr>
+ <td align="left" valign="top" class="medium">
+ <div id="leftcolumn_widgets" class="medium_<?php echo elgg_echo($layoutmode); ?>_box">
+ <?php custom_index_show_widget_area($area1widgets) ?>
+ </div>
+ </td>
+ <td align="left" valign="top" class="small">
+ <div id="middlecolumn_widgets" class="small_<?php echo elgg_echo($layoutmode); ?>_box">
+ <?php custom_index_show_widget_area($area2widgets) ?>
+ </div>
+ </td>
+ </tr>
+ <tr>
+ <td colspan="2" align="left" valign="top" class="big">
+ <div id="rightcolumn_widgets" class="big_<?php echo elgg_echo($layoutmode); ?>_box">
+ <?php custom_index_show_widget_area($area3widgets) ?>
+ </div>
+ </td>
+ </tr>
+ </table>
+
+
diff --git a/mod/custom_index_widgets/views/default/page/layouts/index_2rsmb.php b/mod/custom_index_widgets/views/default/page/layouts/index_2rsmb.php
new file mode 100644
index 000000000..72bb92d3d
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/page/layouts/index_2rsmb.php
@@ -0,0 +1,30 @@
+<?php
+ $area1widgets = $vars['area1'];
+ $area2widgets = $vars['area2'];
+ $area3widgets = $vars['area3'];
+ $layoutmode = $vars['layoutmode']; //edit, index
+?>
+
+ <table cellspacing="10" cellpadding="10" width="100%" class="<?php echo elgg_echo($layoutmode); ?>">
+ <tr>
+ <td align="left" valign="top" class="small">
+ <div id="leftcolumn_widgets" class="small_<?php echo elgg_echo($layoutmode); ?>_box">
+ <?php custom_index_show_widget_area($area1widgets) ?>
+ </div>
+ </td>
+ <td align="left" valign="top" class="medium">
+ <div id="middlecolumn_widgets" class="medium_<?php echo elgg_echo($layoutmode); ?>_box">
+ <?php custom_index_show_widget_area($area2widgets) ?>
+ </div>
+ </td>
+ </tr>
+ <tr>
+ <td colspan="2" align="left" valign="top" class="big">
+ <div id="rightcolumn_widgets" class="big_<?php echo elgg_echo($layoutmode); ?>_box">
+ <?php custom_index_show_widget_area($area3widgets) ?>
+ </div>
+ </td>
+ </tr>
+ </table>
+
+
diff --git a/mod/custom_index_widgets/views/default/plugins/custom_index_widgets/settings.php b/mod/custom_index_widgets/views/default/plugins/custom_index_widgets/settings.php
new file mode 100644
index 000000000..ef70eeb8d
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/plugins/custom_index_widgets/settings.php
@@ -0,0 +1,61 @@
+<?php
+
+?>
+<div>
+ <div class="contentWrapper">
+ <table>
+ <tr>
+ <td style="width:50%"><?php echo elgg_echo("custom_index_widgets:layout"); ?></td>
+ <td>
+ <?php echo elgg_view('input/dropdown', array(
+ 'name' => 'params[ciw_layout]',
+ 'options_values' => array(
+ 'index' => 'Default',
+ 'index_2rmsb' => elgg_echo('custom_index_widgets:index_2rmsb'),
+ 'index_2rsmb' => elgg_echo('custom_index_widgets:index_2rsmb'),
+ 'index_2rhhb' => elgg_echo('custom_index_widgets:index_2rhhb'),
+ 'index_2rbhh' => elgg_echo('custom_index_widgets:index_2rbhh'),
+
+ 'index_2rbsm' => elgg_echo('custom_index_widgets:index_2rbsm'),
+ 'index_2rbms' => elgg_echo('custom_index_widgets:index_2rbms'),
+
+ 'index_1rsss' => elgg_echo('custom_index_widgets:index_1rsss')
+
+ ),
+ 'value' => $vars["entity"]->ciw_layout
+ ));
+ ?>
+ </td>
+ </tr>
+ <tr>
+ <td style="width:50%"><?php echo elgg_echo("custom_index_widgets:login_style"); ?></td>
+ <td>
+ <?php echo elgg_view('input/dropdown', array(
+ 'name' => 'params[login_style]',
+ 'options_values' => array(
+ 'inlayout' => elgg_echo('custom_index_widgets:inlayout'),
+ 'topbar' => elgg_echo('custom_index_widgets:topbar'),
+ ),
+ 'value' => $vars["entity"]->login_style
+ ));
+ ?>
+ </td>
+ </tr>
+ <tr>
+ <td style="width:50%"><?php echo elgg_echo("custom_index_widgets:showdashboard"); ?></td>
+ <td>
+ <?php echo elgg_view('input/dropdown', array(
+ 'name' => 'params[ciw_showdashboard]',
+ 'options_values' => array(
+ 'yes' => elgg_echo('custom_index_widgets:showdashboard_yes'),
+ 'no' => elgg_echo('custom_index_widgets:showdashboard_no'),
+ ),
+ 'value' => $vars["entity"]->ciw_showdashboard
+ ));
+ ?>
+ </td>
+ </tr>
+
+ </table>
+ </div>
+</div>
diff --git a/mod/custom_index_widgets/views/default/widgets/cloud_generic_index/content.php b/mod/custom_index_widgets/views/default/widgets/cloud_generic_index/content.php
new file mode 100644
index 000000000..bee459e1d
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/cloud_generic_index/content.php
@@ -0,0 +1,59 @@
+<?php
+$maintype = "object";
+$subtype = $vars["entity"]->widget_subtype;
+if (empty($subtype) || $subtype == 'All') {
+ $subtype = ELGG_ENTITIES_ANY_VALUE;
+}
+
+if ($subtype == 'user') {$maintype='user';}
+if ($subtype == 'group') {$maintype='group';}
+
+
+$num_items = $vars['entity']->num_items;
+if (!isset($num_items))
+ $num_items = 20;
+
+$created_time_limit = $vars['entity']->created_time_limit;
+if (!isset($created_time_limit) || $created_time_limit == 'all') {
+ $created_time = ELGG_ENTITIES_ANY_VALUE;
+}
+elseif ($created_time_limit == 'day') {
+ $created_time = time()-(3600*24);
+}
+elseif ($created_time_limit == 'week') {
+ $created_time = time()-(3600*24*7);
+}
+elseif ($created_time_limit == 'month') {
+ $created_time = time()-(3600*24*30);
+}
+
+$metadata_name = $vars['entity']->metadata_name;
+if (!isset($metadata_name)) {
+ $metadata_name = 'tags';
+} else {
+ $metadata_name = $metadata_name;
+}
+
+$threshold = $vars['entity']->threshold;
+if (!isset($threshold))
+ $threshold = 1;
+
+$widget_group = $vars["entity"]->widget_group;
+if (empty($widget_group)) $widget_group = ELGG_ENTITIES_ANY_VALUE;
+
+
+elgg_push_context('tags');
+$options = array('threshold' => $threshold,
+ 'limit' => $num_items,
+ 'type' => $maintype,
+ 'subtype' => $subtype,
+ 'tag_name' => $metadata_name,
+ 'created_time_lower' => $created_time,
+ 'container_guid' => $widget_group);
+
+$body = elgg_view_tagcloud($options);
+elgg_pop_context();
+
+echo $body;
+
+?>
diff --git a/mod/custom_index_widgets/views/default/widgets/cloud_generic_index/edit.php b/mod/custom_index_widgets/views/default/widgets/cloud_generic_index/edit.php
new file mode 100644
index 000000000..84b2fa861
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/cloud_generic_index/edit.php
@@ -0,0 +1,120 @@
+ <?php
+ $num_items = $vars['entity']->num_items;
+ if (!isset($num_items)) $num_items = 10;
+
+ $created_time_limit = $vars['entity']->created_time_limit;
+ if (!isset($created_time_limit)) $created_time_limit = 'all';
+
+ $widget_group = $vars["entity"]->widget_group;
+ if (!isset($widget_group)) $widget_group = ELGG_ENTITIES_ANY_VALUE;
+
+ $metadata_name = $vars['entity']->metadata_name;
+ if (!isset($metadata_name)) $metadata_name = "";
+
+ $threshold = $vars['entity']->threshold;
+ if (!isset($threshold)) $threshold = 1;
+
+ $widget_title = $vars['entity']->widget_title;
+ $widget_group = $vars["entity"]->widget_group;
+ $widget_subtype = $vars["entity"]->widget_subtype;
+
+ $guest_only = $vars['entity']->guest_only;
+ if (!isset($guest_only)) $guest_only = "no";
+
+ $box_style = $vars['entity']->box_style;
+ if (!isset($box_style)) $box_style = "collapsable";
+ ?>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:widget_title'); ?>
+ :
+ <?php
+ echo elgg_view('input/text', array('name'=>'params[widget_title]', 'value'=>$widget_title));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:widget_subtype'); ?>
+ :
+ <?php
+
+ $subtypes = get_data("SELECT subtype from {$CONFIG->dbprefix}entity_subtypes");
+ $subtype_list = array();
+ $subtype_list['All'] = '';
+ $subtype_list['user'] = 'user';
+ $subtype_list['group'] = 'group';
+
+ if ($subtypes) {
+ foreach ($subtypes as $data) {
+ $subtype_list[$data->subtype] = $data->subtype;
+ }
+ }
+ echo elgg_view('input/dropdown', array('name'=>'params[widget_subtype]', 'options_values'=>$subtype_list, 'value'=>$widget_subtype));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:widget_created_time_limit'); ?>
+ :
+ <?php
+
+ $limits = array('all', 'month', 'week', 'day');
+ $limit_list = array();
+ foreach($limits as $limit) {
+ $limit_list[$limit] = elgg_echo("custom_index_widgets:time_limit:$limit");
+ }
+ echo '<p>'.elgg_view('input/dropdown', array('name'=>'params[created_time_limit]', 'options_values'=>$limit_list, 'value'=>$created_time_limit))."</p>";
+ ?>
+ </p>
+
+ <p>
+ <?php echo elgg_echo('group'); ?>
+ :
+ <?php
+ $groups = elgg_get_entities(array("type"=>'group','limit'=>100));
+ $group_list = array();
+ $group_list[0] = elgg_echo('custom_index_widgets:widget_all_groups');
+ if ($groups) {
+ foreach ($groups as $group) {
+ $group_list[$group->getGUID()] = $group->name;
+ }
+ }
+ echo elgg_view('input/dropdown', array('name'=>'params[widget_group]', 'options_values'=>$group_list, 'value'=>$widget_group));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:threshold'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[threshold]', 'options_values'=>array('1'=>'1', '3'=>'3', '5'=>'5', '8'=>'8', '10'=>'10', '12'=>'12', '15'=>'15', '20'=>'20', '30'=>'30', '40'=>'40', '50'=>'50', '100'=>'100', ), 'value'=>$thresholds));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:num_items'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[num_items]', 'options_values'=>array('1'=>'1', '3'=>'3', '5'=>'5', '8'=>'8', '10'=>'10', '12'=>'12', '15'=>'15', '20'=>'20', '30'=>'30', '40'=>'40', '50'=>'50', '100'=>'100', ), 'value'=>$num_items));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:metadata_name'); ?>
+ :
+ <?php
+ echo elgg_view('input/text', array('name'=>'params[metadata_name]', 'value'=>$metadata_name));
+ ?>
+ </p>
+<p>
+ <?php echo elgg_echo('custom_index_widgets:box_style'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[box_style]',
+ 'options_values'=>array('plain'=>'Plain', 'plain collapsable'=>'Plain and collapsable', 'collapsable'=>'Collapsable', 'standard' => 'No Collapsable'),
+ 'value'=>$box_style));
+ ?>
+</p>
+<p>
+ <?php echo elgg_echo('custom_index_widgets:guest_only'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[guest_only]',
+ 'options_values'=>array('yes'=>'yes', 'no'=>'no'),
+ 'value'=>$guest_only));
+ ?>
+</p>
diff --git a/mod/custom_index_widgets/views/default/widgets/feed_reader_index/content.php b/mod/custom_index_widgets/views/default/widgets/feed_reader_index/content.php
new file mode 100644
index 000000000..2695315d5
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/feed_reader_index/content.php
@@ -0,0 +1,8 @@
+<?php
+
+ include elgg_get_plugins_path() . 'simplepie/views/default/widgets/feed_reader/content.php';
+
+ //$body = elgg_view("simplepie/widgets/feed_reader/content", $vars);
+ //echo $body;
+
+?>
diff --git a/mod/custom_index_widgets/views/default/widgets/feed_reader_index/edit.php b/mod/custom_index_widgets/views/default/widgets/feed_reader_index/edit.php
new file mode 100644
index 000000000..5fc36bc54
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/feed_reader_index/edit.php
@@ -0,0 +1,5 @@
+<?php
+ include elgg_get_plugins_path() . 'simplepie/views/default/widgets/feed_reader/edit.php';
+ //echo elgg_view("simplepie/edit", $vars);
+?>
+
diff --git a/mod/custom_index_widgets/views/default/widgets/inline_content_index/content.php b/mod/custom_index_widgets/views/default/widgets/inline_content_index/content.php
new file mode 100644
index 000000000..6ed53f197
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/inline_content_index/content.php
@@ -0,0 +1,7 @@
+<div class="contentWrapper">
+ <?php
+ echo $vars[entity]->html_content;
+ ?>
+ <div class="clearfloat">
+ </div>
+</div>
diff --git a/mod/custom_index_widgets/views/default/widgets/inline_content_index/edit.php b/mod/custom_index_widgets/views/default/widgets/inline_content_index/edit.php
new file mode 100644
index 000000000..e5c319bf1
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/inline_content_index/edit.php
@@ -0,0 +1,46 @@
+<?php
+ $widget_title = $vars['entity']->widget_title;
+ $html_content = $vars['entity']->html_content;
+
+ $guest_only = $vars['entity']->guest_only;
+ if (!isset($guest_only)) $guest_only = "no";
+
+ $box_style = $vars['entity']->box_style;
+ if (!isset($box_style)) $box_style = "collapsable";
+?>
+<p>
+ <?php echo elgg_echo('custom_index_widgets:widget_title'); ?>:
+ <?php
+ echo elgg_view('input/text', array(
+ 'name' => 'params[widget_title]',
+ 'value' => $widget_title
+ ));
+ ?>
+</p>
+<p>
+<?php echo elgg_echo('custom_index_widgets:html_content'); ?>
+<?php
+ echo elgg_view('input/longtext', array(
+ 'name' => 'params[html_content]',
+ 'value' => $html_content
+ ));
+ ?>
+</p>
+<p>
+ <?php echo elgg_echo('custom_index_widgets:box_style'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[box_style]',
+ 'options_values'=>array('plain'=>'Plain', 'plain collapsable'=>'Plain and collapsable', 'collapsable'=>'Collapsable', 'standard' => 'No Collapsable'),
+ 'value'=>$box_style));
+ ?>
+</p>
+<p>
+ <?php echo elgg_echo('custom_index_widgets:guest_only'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[guest_only]',
+ 'options_values'=>array('yes'=>'yes', 'no'=>'no'),
+ 'value'=>$guest_only));
+ ?>
+</p> \ No newline at end of file
diff --git a/mod/custom_index_widgets/views/default/widgets/latest_activity_index/content.php b/mod/custom_index_widgets/views/default/widgets/latest_activity_index/content.php
new file mode 100644
index 000000000..4010e0cb4
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/latest_activity_index/content.php
@@ -0,0 +1,20 @@
+<?php
+
+ $num_items = $vars['entity']->num_items;
+ if (!isset($num_items)) $num_items = 10;
+
+ $widget_group = $vars["entity"]->widget_group;
+ if (!isset($widget_group)) $widget_group = 0;
+
+ /*
+ $site_categories = $vars['config']->site->categories;
+ $widget_categorie = $vars['entity']->widget_categorie;
+ $widget_context_mode = $vars['entity']->widget_context_mode;
+ if (!isset($widget_context_mode)) $widget_context_mode = 'search';
+ elgg_set_context($widget_context_mode);
+ */
+ $widget_datas = elgg_list_river(0, $widget_group, '', '', '', '', $num_items,0,0,false);
+
+ echo $widget_datas;
+?>
+
diff --git a/mod/custom_index_widgets/views/default/widgets/latest_activity_index/edit.php b/mod/custom_index_widgets/views/default/widgets/latest_activity_index/edit.php
new file mode 100644
index 000000000..622655307
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/latest_activity_index/edit.php
@@ -0,0 +1,64 @@
+ <?php
+ $num_items = $vars['entity']->num_items;
+ if (!isset($num_items)) $num_items = 10;
+
+ $widget_group = $vars["entity"]->widget_group;
+ if (!isset($widget_group)) $widget_group = 0;
+
+ $widget_title = $vars['entity']->widget_title;
+ $widget_group = $vars["entity"]->widget_group;
+
+ $guest_only = $vars['entity']->guest_only;
+ if (!isset($guest_only)) $guest_only = "no";
+
+ $box_style = $vars['entity']->box_style;
+ if (!isset($box_style)) $box_style = "collapsable";
+ ?>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:widget_title'); ?>
+ :
+ <?php
+ echo elgg_view('input/text', array('name'=>'params[widget_title]', 'value'=>$widget_title));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('group'); ?>
+ :
+ <?php
+ //$groups = elgg_get_entities(array("type"=>'group','limit'=>100));
+ $groups = elgg_get_entities(array('type'=>'group'));
+ $group_list = array();
+ $group_list[0] = elgg_echo('custom_index_widgets:widget_all_groups');
+ if ($groups) {
+ foreach ($groups as $group) {
+ $group_list[$group->getGUID()] = $group->name;
+ }
+ }
+ echo elgg_view('input/dropdown', array('name'=>'params[widget_group]', 'options_values'=>$group_list, 'value'=>$widget_group));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:num_items'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[num_items]', 'options_values'=>array('1'=>'1', '3'=>'3', '5'=>'5', '8'=>'8', '10'=>'10', '12'=>'12', '15'=>'15', '20'=>'20', '30'=>'30', '40'=>'40', '50'=>'50', '100'=>'100', ), 'value'=>$num_items));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:box_style'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[box_style]',
+ 'options_values'=>array('plain'=>'Plain', 'plain collapsable'=>'Plain and collapsable', 'collapsable'=>'Collapsable', 'standard' => 'No Collapsable'),
+ 'value'=>$box_style));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:guest_only'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[guest_only]',
+ 'options_values'=>array('yes'=>'yes', 'no'=>'no'),
+ 'value'=>$guest_only));
+ ?>
+ </p>
diff --git a/mod/custom_index_widgets/views/default/widgets/latest_album_index/content.php b/mod/custom_index_widgets/views/default/widgets/latest_album_index/content.php
new file mode 100644
index 000000000..c511a775a
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/latest_album_index/content.php
@@ -0,0 +1,64 @@
+<div class="contentWrapper">
+<?php
+
+ $object_type ='album';
+
+ $num_items = $vars['entity']->num_items;
+ if (!isset($num_items))
+ $num_items = 10;
+
+ $widget_group = $vars["entity"]->widget_group;
+ if (!isset($widget_group))
+ $widget_group = 0;
+
+ $site_categories = $vars['config']->site->categories;
+ $widget_categorie = $vars['entity']->widget_categorie;
+ $widget_context_mode = $vars['entity']->widget_context_mode;
+ if (!isset($widget_context_mode))
+ $widget_context_mode = 'search';
+ elgg_set_context($widget_context_mode);
+
+ $owner_albums = elgg_get_entities("object", $object_type, $widget_group, "", $num_items, 0, false);
+
+ echo '<div id="tidypics_album_widget_container">';
+
+ if ($owner_albums) {
+ foreach($owner_albums as $album) {
+
+ if($album->cover)
+ $album_cover = '<img src="'.$vars['url'].'mod/tidypics/thumbnail.php?file_guid='.$album->cover.'&size=small" class="tidypics_album_cover" alt="' . $album->title . '"/>';
+ else
+ $album_cover = '<img src="'.$vars['url'].'mod/tidypics/graphics/empty_album.png" class="tidypics_album_cover" alt="' . $album->title . '">';
+?>
+ <div class="tidypics_album_widget_single_item">
+ <div class="tidypics_album_widget_title"><a href="<?php echo $album->getURL();?>"><?php echo $album->title;?></a></div>
+ <div class="tidypics_album_widget_timestamp"> <?php echo elgg_echo("album:created:on") . ' ' . elgg_get_friendly_time($album->time_created);?></div>
+<?php
+ //get the number of comments
+ $numcomments = $album->countComments();
+ if ($numcomments)
+ echo "<a href=\"{$album->getURL()}\">" . sprintf(elgg_echo("comments")) . " (" . $numcomments . ")</a><br>";
+?>
+ <a href="<?php echo $album->getURL();?>"><?php echo $album_cover;?></a>
+ </div>
+<?php
+ } //end of foreach loop
+
+ // bottom link to all group/user albums
+ /*if (is_null($owner->username) || empty($owner->username)) {
+ echo '<p class="profile_info_edit_buttons"><a href="' . $vars['url'] . 'pg/photos/world">' . elgg_echo('album:all') . '</a></p>';
+ } else {
+ echo '<p class="tidypics_download"><a href="' . $vars['url'] . 'pg/photos/owned/' . $owner->username . '">' . elgg_echo('album:more') . '</a></p>';
+ }*/
+
+ }
+
+ /*if (can_write_to_container(0, $owner->guid)) {
+ echo '<p class="tidypics_download"><a href=' . $CONFIG->wwwroot .'pg/photos/new/' . $owner->username . '>' . elgg_echo("album:create") . '</a></p>';
+ }*/
+
+
+ //close album_widget_container div
+ echo "</div>";
+?>
+</div> \ No newline at end of file
diff --git a/mod/custom_index_widgets/views/default/widgets/latest_album_index/edit.php b/mod/custom_index_widgets/views/default/widgets/latest_album_index/edit.php
new file mode 100644
index 000000000..86eaa19cc
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/latest_album_index/edit.php
@@ -0,0 +1,64 @@
+ <?php
+ $num_items = $vars['entity']->num_items;
+ if (!isset($num_items)) $num_items = 10;
+
+ $widget_group = $vars["entity"]->widget_group;
+ if (!isset($widget_group)) $widget_group = 0;
+
+
+ $widget_title = $vars['entity']->widget_title;
+ $widget_group = $vars["entity"]->widget_group;
+
+ $guest_only = $vars['entity']->guest_only;
+ if (!isset($guest_only)) $guest_only = "no";
+
+ $box_style = $vars['entity']->box_style;
+ if (!isset($box_style)) $box_style = "collapsable";
+ ?>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:widget_title'); ?>
+ :
+ <?php
+ echo elgg_view('input/text', array('name'=>'params[widget_title]', 'value'=>$widget_title));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('group'); ?>
+ :
+ <?php
+ $groups = elgg_get_entities(array("type"=>'group','limit'=>100));
+ $group_list = array();
+ $group_list[0] = elgg_echo('custom_index_widgets:widget_all_groups');
+ if ($groups) {
+ foreach ($groups as $group) {
+ $group_list[$group->getGUID()] = $group->name;
+ }
+ }
+ echo elgg_view('input/dropdown', array('name'=>'params[widget_group]', 'options_values'=>$group_list, 'value'=>$widget_group));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:num_items'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[num_items]', 'options_values'=>array('1'=>'1', '3'=>'3', '5'=>'5', '8'=>'8', '10'=>'10', '12'=>'12', '15'=>'15', '20'=>'20', '30'=>'30', '40'=>'40', '50'=>'50', '100'=>'100', ), 'value'=>$num_items));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:box_style'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[box_style]',
+ 'options_values'=>array('plain'=>'Plain', 'plain collapsable'=>'Plain and collapsable', 'collapsable'=>'Collapsable', 'standard' => 'No Collapsable'),
+ 'value'=>$box_style));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:guest_only'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[guest_only]',
+ 'options_values'=>array('yes'=>'yes', 'no'=>'no'),
+ 'value'=>$guest_only));
+ ?>
+ </p> \ No newline at end of file
diff --git a/mod/custom_index_widgets/views/default/widgets/latest_blogs_index/content.php b/mod/custom_index_widgets/views/default/widgets/latest_blogs_index/content.php
new file mode 100644
index 000000000..cce94185d
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/latest_blogs_index/content.php
@@ -0,0 +1,43 @@
+<?php
+$object_type = 'blog';
+
+$num_items = $vars['entity']->num_items;
+if (!isset($num_items))
+ $num_items = 10;
+
+$widget_group = $vars["entity"]->widget_group;
+if (!isset($widget_group)) $widget_group = ELGG_ENTITIES_ANY_VALUE;
+
+$site_categories = $vars['config']->site->categories;
+$widget_categorie = $vars['entity']->widget_categorie;
+$widget_context_mode = $vars['entity']->widget_context_mode;
+if (!isset($widget_context_mode))
+ $widget_context_mode = 'search';
+elgg_set_context($widget_context_mode);
+
+if ($site_categories == NULL || $widget_categorie == NULL) {
+ $widget_datas = elgg_list_entities(array(
+ 'type'=>'object',
+ 'subtype'=>$object_type,
+ 'container_guids' => $widget_group,
+ 'limit'=>$num_items,
+ 'full_view' => false,
+ 'view_type_toggle' => false,
+ 'pagination' => false));
+} else {
+
+ $widget_datas = elgg_list_entities_from_metadata(array(
+ 'type'=>'object',
+ 'subtype'=>$object_type,
+ 'container_guids' => $widget_group,
+ 'limit'=>$num_items,
+ 'full_view' => false,
+ 'view_type_toggle' => false,
+ 'pagination' => false,
+ 'metadata_name' => 'universal_categories',
+ 'metadata_value' => $widget_categorie,
+ ));
+}
+echo $widget_datas;
+?>
+
diff --git a/mod/custom_index_widgets/views/default/widgets/latest_blogs_index/edit.php b/mod/custom_index_widgets/views/default/widgets/latest_blogs_index/edit.php
new file mode 100644
index 000000000..e89a68304
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/latest_blogs_index/edit.php
@@ -0,0 +1,85 @@
+ <?php
+ $num_items = $vars['entity']->num_items;
+ if (!isset($num_items)) $num_items = 10;
+
+ $widget_group = $vars["entity"]->widget_group;
+ if (!isset($widget_group)) $widget_group = ELGG_ENTITIES_ANY_VALUE;
+
+ $site_categories = $vars['config']->site->categories;
+ $widget_categorie = $vars['entity']->widget_categorie;
+ $widget_context_mode = $vars['entity']->widget_context_mode;
+ if (!isset($widget_context_mode)) $widget_context_mode = 'search';
+
+ $widget_title = $vars['entity']->widget_title;
+ $widget_group = $vars["entity"]->widget_group;
+
+ $guest_only = $vars['entity']->guest_only;
+ if (!isset($guest_only)) $guest_only = "no";
+
+ $box_style = $vars['entity']->box_style;
+ if (!isset($box_style)) $box_style = "collapsable";
+ ?>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:widget_title'); ?>
+ :
+ <?php
+ echo elgg_view('input/text', array('name'=>'params[widget_title]', 'value'=>$widget_title));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('group'); ?>
+ :
+ <?php
+ $groups = elgg_get_entities(array("type"=>'group','limit'=>100));
+ $group_list = array();
+ $group_list[0] = elgg_echo('custom_index_widgets:widget_all_groups');
+ if ($groups) {
+ foreach ($groups as $group) {
+ $group_list[$group->getGUID()] = $group->name;
+ }
+ }
+ echo elgg_view('input/dropdown', array('name'=>'params[widget_group]', 'options_values'=>$group_list, 'value'=>$widget_group));
+ ?>
+ </p>
+ <?php if ($site_categories != NULL) { ?>
+ <p>
+ <?php echo elgg_echo('categories'); ?>
+ :
+ <?php
+ $categories_with_empty_choice = array_merge(array('-1'=>''), array_combine($site_categories, $site_categories));
+ echo elgg_view('input/dropdown', array('name'=>'params[widget_categorie]', 'options_values'=>$categories_with_empty_choice, 'value'=>$widget_categorie));
+ ?>
+ </p>
+ <?php } ?>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:context_mode'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[widget_context_mode]', 'options_values'=>array('search'=>elgg_echo('custom_index_widgets:context_list'), 'detail'=>elgg_echo('custom_index_widgets:context_detail')), 'value'=>$widget_context_mode));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:num_items'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[num_items]', 'options_values'=>array('1'=>'1', '3'=>'3', '5'=>'5', '8'=>'8', '10'=>'10', '12'=>'12', '15'=>'15', '20'=>'20', '30'=>'30', '40'=>'40', '50'=>'50', '100'=>'100', ), 'value'=>$num_items));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:box_style'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[box_style]',
+ 'options_values'=>array('plain'=>'Plain', 'plain collapsable'=>'Plain and collapsable', 'collapsable'=>'Collapsable', 'standard' => 'No Collapsable'),
+ 'value'=>$box_style));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:guest_only'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[guest_only]',
+ 'options_values'=>array('yes'=>'yes', 'no'=>'no'),
+ 'value'=>$guest_only));
+ ?>
+ </p>
diff --git a/mod/custom_index_widgets/views/default/widgets/latest_bookmarks_index/content.php b/mod/custom_index_widgets/views/default/widgets/latest_bookmarks_index/content.php
new file mode 100644
index 000000000..2622a63ea
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/latest_bookmarks_index/content.php
@@ -0,0 +1,43 @@
+<?php
+
+ $object_type ='bookmarks';
+
+ $num_items = $vars['entity']->num_items;
+ if (!isset($num_items)) $num_items = 10;
+
+ $widget_group = $vars["entity"]->widget_group;
+ if (!isset($widget_group)) $widget_group = ELGG_ENTITIES_ANY_VALUE;
+
+ $site_categories = $vars['config']->site->categories;
+ $widget_categorie = $vars['entity']->widget_categorie;
+ $widget_context_mode = $vars['entity']->widget_context_mode;
+ if (!isset($widget_context_mode)) $widget_context_mode = 'search';
+ elgg_set_context($widget_context_mode);
+
+ if ($site_categories == NULL || $widget_categorie == NULL) {
+ $widget_datas = elgg_list_entities(array(
+ 'type'=>'object',
+ 'subtype'=>$object_type,
+ 'container_guids' => $widget_group,
+ 'limit'=>$num_items,
+ 'full_view' => false,
+ 'view_type_toggle' => false,
+ 'pagination' => false));
+} else {
+
+ $widget_datas = elgg_list_entities_from_metadata(array(
+ 'type'=>'object',
+ 'subtype'=>$object_type,
+ 'container_guids' => $widget_group,
+ 'limit'=>$num_items,
+ 'full_view' => false,
+ 'view_type_toggle' => false,
+ 'pagination' => false,
+ 'metadata_name' => 'universal_categories',
+ 'metadata_value' => $widget_categorie,
+ ));
+}
+
+echo $widget_datas;
+?>
+
diff --git a/mod/custom_index_widgets/views/default/widgets/latest_bookmarks_index/edit.php b/mod/custom_index_widgets/views/default/widgets/latest_bookmarks_index/edit.php
new file mode 100644
index 000000000..1a15cea49
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/latest_bookmarks_index/edit.php
@@ -0,0 +1,85 @@
+ <?php
+ $num_items = $vars['entity']->num_items;
+ if (!isset($num_items)) $num_items = 10;
+
+ $widget_group = $vars["entity"]->widget_group;
+ if (!isset($widget_group)) $widget_group = 0;
+
+ $site_categories = $vars['config']->site->categories;
+ $widget_categorie = $vars['entity']->widget_categorie;
+ $widget_context_mode = $vars['entity']->widget_context_mode;
+ if (!isset($widget_context_mode)) $widget_context_mode = 'search';
+
+ $widget_title = $vars['entity']->widget_title;
+ $widget_group = $vars["entity"]->widget_group;
+
+ $guest_only = $vars['entity']->guest_only;
+ if (!isset($guest_only)) $guest_only = "no";
+
+ $box_style = $vars['entity']->box_style;
+ if (!isset($box_style)) $box_style = "collapsable";
+ ?>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:widget_title'); ?>
+ :
+ <?php
+ echo elgg_view('input/text', array('name'=>'params[widget_title]', 'value'=>$widget_title));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('group'); ?>
+ :
+ <?php
+ $groups = elgg_get_entities(array("type"=>'group','limit'=>100));
+ $group_list = array();
+ $group_list[0] = elgg_echo('custom_index_widgets:widget_all_groups');
+ if ($groups) {
+ foreach ($groups as $group) {
+ $group_list[$group->getGUID()] = $group->name;
+ }
+ }
+ echo elgg_view('input/dropdown', array('name'=>'params[widget_group]', 'options_values'=>$group_list, 'value'=>$widget_group));
+ ?>
+ </p>
+ <?php if ($site_categories != NULL) { ?>
+ <p>
+ <?php echo elgg_echo('categories'); ?>
+ :
+ <?php
+ $categories_with_empty_choice = array_merge(array('-1'=>''), array_combine($site_categories, $site_categories));
+ echo elgg_view('input/dropdown', array('name'=>'params[widget_categorie]', 'options_values'=>$categories_with_empty_choice, 'value'=>$widget_categorie));
+ ?>
+ </p>
+ <?php } ?>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:context_mode'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[widget_context_mode]', 'options_values'=>array('search'=>elgg_echo('custom_index_widgets:context_list'), 'detail'=>elgg_echo('custom_index_widgets:context_detail')), 'value'=>$widget_context_mode));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:num_items'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[num_items]', 'options_values'=>array('1'=>'1', '3'=>'3', '5'=>'5', '8'=>'8', '10'=>'10', '12'=>'12', '15'=>'15', '20'=>'20', '30'=>'30', '40'=>'40', '50'=>'50', '100'=>'100', ), 'value'=>$num_items));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:box_style'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[box_style]',
+ 'options_values'=>array('plain'=>'Plain', 'plain collapsable'=>'Plain and collapsable', 'collapsable'=>'Collapsable', 'standard' => 'No Collapsable'),
+ 'value'=>$box_style));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:guest_only'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[guest_only]',
+ 'options_values'=>array('yes'=>'yes', 'no'=>'no'),
+ 'value'=>$guest_only));
+ ?>
+ </p>
diff --git a/mod/custom_index_widgets/views/default/widgets/latest_events_index/content.php b/mod/custom_index_widgets/views/default/widgets/latest_events_index/content.php
new file mode 100644
index 000000000..7c5ae40f3
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/latest_events_index/content.php
@@ -0,0 +1,95 @@
+<?php
+
+ require_once($CONFIG->pluginspath.'event_calendar/models/model.php');
+
+ if(!function_exists('getLastDayOfMonth')){
+ function getLastDayOfMonth($month,$year) {
+ return idate('d', mktime(0, 0, 0, ($month + 1), 0, $year));
+ }
+ }
+
+ $object_type ='event_calendar';
+
+ $num_items = $vars['entity']->num_items;
+ if (!isset($num_items))
+ $num_items = 10;
+
+ $widget_group = $vars["entity"]->widget_group;
+ if (!isset($widget_group))
+ $widget_group = 0;
+
+ $mode = $vars['mode']->mode;
+ if (!isset($mode))
+ $mode = "month";
+
+ $site_categories = $vars['config']->site->categories;
+ $widget_categorie = $vars['entity']->widget_categorie;
+ $widget_context_mode = $vars['entity']->widget_context_mode;
+ if (!isset($widget_context_mode))
+ $widget_context_mode = 'search';
+
+ elgg_set_context($widget_context_mode);
+
+
+ $original_start_date = date('Y-m-d');
+ $day = 60*60*24;
+ $week = 7*$day;
+ $month = 31*$day;
+
+
+ if ($mode == "day") {
+ $start_date = $original_start_date;
+ $end_date = $start_date;
+ $start_ts = strtotime($start_date);
+ $end_ts = strtotime($end_date)+$day-1;
+ } else if ($mode == "week") {
+
+ $start_ts = strtotime($original_start_date);
+ $start_ts -= date("w",$start_ts)*$day;
+ $end_ts = $start_ts + 6*$day;
+
+ $start_date = date('Y-m-d',$start_ts);
+ $end_date = date('Y-m-d',$end_ts);
+ } else {
+ $start_ts = strtotime($original_start_date);
+ $month = date('m',$start_ts);
+ $year = date('Y',$start_ts);
+ $start_date = $year.'-'.$month.'-1';
+ $end_date = $year.'-'.$month.'-'.getLastDayOfMonth($month,$year);
+ }
+
+ if ($event_calendar_first_date && ($start_date < $event_calendar_first_date)) {
+ $start_date = $event_calendar_first_date;
+ }
+
+ if ($event_calendar_last_date && ($end_date > $event_calendar_last_date)) {
+ $end_date = $event_calendar_last_date;
+ }
+
+ $start_ts = strtotime($start_date);
+
+ if ($mode == "day") {
+ $end_ts = strtotime($end_date)+$day-1;
+ } else if ($mode == "week") {
+ $end_ts = $start_ts + 6*$day;
+ } else {
+ $end_ts = strtotime($end_date);
+ }
+
+ $count = event_calendar_get_events_between($start_ts,$end_ts,true,$num_items,0,$widget_group,'-');
+ $events = event_calendar_get_events_between($start_ts,$end_ts,false,$num_items,0,$widget_group,'-');
+ //$widget_datas= $event_list = elgg_view_entity_list($events, $count, 0, $num_items, false, false);
+
+ $options = array(
+ 'list_class' => 'elgg-list-entity',
+ 'full_view' => FALSE,
+ 'pagination' => TRUE,
+ 'list_type' => 'listing',
+ 'list_type_toggle' => FALSE,
+ 'offset' => $vars['offset'],
+ 'limit' => $vars['limit'],
+ );
+ $widget_datas = elgg_view_entity_list($events, $options);
+ echo $widget_datas;
+?>
+
diff --git a/mod/custom_index_widgets/views/default/widgets/latest_events_index/edit.php b/mod/custom_index_widgets/views/default/widgets/latest_events_index/edit.php
new file mode 100644
index 000000000..3aa20f574
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/latest_events_index/edit.php
@@ -0,0 +1,96 @@
+ <?php
+ $num_items = $vars['entity']->num_items;
+ if (!isset($num_items)) $num_items = 10;
+
+ $widget_group = $vars["entity"]->widget_group;
+ if (!isset($widget_group)) $widget_group = 0;
+
+ $site_categories = $vars['config']->site->categories;
+ $widget_categorie = $vars['entity']->widget_categorie;
+ $widget_context_mode = $vars['entity']->widget_context_mode;
+ if (!isset($widget_context_mode)) $widget_context_mode = 'search';
+
+ $widget_title = $vars['entity']->widget_title;
+ $widget_group = $vars["entity"]->widget_group;
+
+ $guest_only = $vars['entity']->guest_only;
+ if (!isset($guest_only)) $guest_only = "no";
+
+ $box_style = $vars['entity']->box_style;
+ if (!isset($box_style)) $box_style = "collapsable";
+ ?>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:widget_title'); ?>
+ :
+ <?php
+ echo elgg_view('input/text', array('name'=>'params[widget_title]', 'value'=>$widget_title));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('group'); ?>
+ :
+ <?php
+ $groups = elgg_get_entities(array("type"=>'group','limit'=>100));
+ $group_list = array();
+ $group_list[0] = elgg_echo('custom_index_widgets:widget_all_groups');
+ if ($groups) {
+ foreach ($groups as $group) {
+ $group_list[$group->getGUID()] = $group->name;
+ }
+ }
+ echo elgg_view('input/dropdown', array('name'=>'params[widget_group]', 'options_values'=>$group_list, 'value'=>$widget_group));
+ ?>
+ </p>
+ <?php if ($site_categories != NULL) { ?>
+ <p>
+ <?php echo elgg_echo('categories'); ?>
+ :
+ <?php
+ $categories_with_empty_choice = array_merge(array('-1'=>''), array_combine($site_categories, $site_categories));
+ echo elgg_view('input/dropdown', array('name'=>'params[widget_categorie]', 'options_values'=>$categories_with_empty_choice, 'value'=>$widget_categorie));
+ ?>
+ </p>
+ <?php } ?>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:context_mode'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[widget_context_mode]', 'options_values'=>array('search'=>elgg_echo('custom_index_widgets:context_list'), 'detail'=>elgg_echo('custom_index_widgets:context_detail')), 'value'=>$widget_context_mode));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:num_items'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[num_items]', 'options_values'=>array('1'=>'1', '3'=>'3', '5'=>'5', '8'=>'8', '10'=>'10', '12'=>'12', '15'=>'15', '20'=>'20', '30'=>'30', '40'=>'40', '50'=>'50', '100'=>'100', ), 'value'=>$num_items));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('event_calendar:widget_title'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[mode]',
+ 'options_values'=>array('day'=>elgg_echo('event_calendar:day_label'),
+ 'week'=>elgg_echo('event_calendar:week_label'),
+ 'month'=>elgg_echo('event_calendar:month_label')),
+ 'value'=>$num_items));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:box_style'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[box_style]',
+ 'options_values'=>array('plain'=>'Plain', 'plain collapsable'=>'Plain and collapsable', 'collapsable'=>'Collapsable', 'standard' => 'No Collapsable'),
+ 'value'=>$box_style));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:guest_only'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[guest_only]',
+ 'options_values'=>array('yes'=>'yes', 'no'=>'no'),
+ 'value'=>$guest_only));
+ ?>
+ </p>
diff --git a/mod/custom_index_widgets/views/default/widgets/latest_files_index/content.php b/mod/custom_index_widgets/views/default/widgets/latest_files_index/content.php
new file mode 100644
index 000000000..b726efe70
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/latest_files_index/content.php
@@ -0,0 +1,44 @@
+<?php
+
+ $object_type ='file';
+
+ $num_items = $vars['entity']->num_items;
+ if (!isset($num_items)) $num_items = 10;
+
+ $widget_group = $vars["entity"]->widget_group;
+ if (!isset($widget_group)) $widget_group = ELGG_ENTITIES_ANY_VALUE;
+
+
+ $site_categories = $vars['config']->site->categories;
+ $widget_categorie = $vars['entity']->widget_categorie;
+ $widget_context_mode = $vars['entity']->widget_context_mode;
+ if (!isset($widget_context_mode)) $widget_context_mode = 'search';
+ elgg_set_context($widget_context_mode);
+
+ if ($site_categories == NULL || $widget_categorie == NULL) {
+ $widget_datas = elgg_list_entities(array(
+ 'type'=>'object',
+ 'subtype'=>$object_type,
+ 'container_guids' => $widget_group,
+ 'limit'=>$num_items,
+ 'full_view' => false,
+ 'view_type_toggle' => false,
+ 'pagination' => false));
+} else {
+
+ $widget_datas = elgg_list_entities_from_metadata(array(
+ 'type'=>'object',
+ 'subtype'=>$object_type,
+ 'container_guids' => $widget_group,
+ 'limit'=>$num_items,
+ 'full_view' => false,
+ 'view_type_toggle' => false,
+ 'pagination' => false,
+ 'metadata_name' => 'universal_categories',
+ 'metadata_value' => $widget_categorie,
+ ));
+}
+
+ echo $widget_datas;
+?>
+
diff --git a/mod/custom_index_widgets/views/default/widgets/latest_files_index/edit.php b/mod/custom_index_widgets/views/default/widgets/latest_files_index/edit.php
new file mode 100644
index 000000000..5625eca44
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/latest_files_index/edit.php
@@ -0,0 +1,86 @@
+ <?php
+ $num_items = $vars['entity']->num_items;
+ if (!isset($num_items)) $num_items = 10;
+
+ $widget_group = $vars["entity"]->widget_group;
+ if (!isset($widget_group)) $widget_group = 0;
+
+ $site_categories = $vars['config']->site->categories;
+ $widget_categorie = $vars['entity']->widget_categorie;
+ $widget_context_mode = $vars['entity']->widget_context_mode;
+ if (!isset($widget_context_mode)) $widget_context_mode = 'search';
+
+ $widget_title = $vars['entity']->widget_title;
+ $widget_group = $vars["entity"]->widget_group;
+
+ $guest_only = $vars['entity']->guest_only;
+ if (!isset($guest_only)) $guest_only = "no";
+
+ $box_style = $vars['entity']->box_style;
+ if (!isset($box_style)) $box_style = "collapsable";
+ ?>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:widget_title'); ?>
+ :
+ <?php
+ echo elgg_view('input/text', array('name'=>'params[widget_title]', 'value'=>$widget_title));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('group'); ?>
+ :
+ <?php
+ //$groups = elgg_get_entities(array("type"=>'group','limit'=>100));
+ $groups = elgg_get_entities(array('type'=>'group'));
+ $group_list = array();
+ $group_list[0] = elgg_echo('custom_index_widgets:widget_all_groups');
+ if ($groups) {
+ foreach ($groups as $group) {
+ $group_list[$group->getGUID()] = $group->name;
+ }
+ }
+ echo elgg_view('input/dropdown', array('name'=>'params[widget_group]', 'options_values'=>$group_list, 'value'=>$widget_group));
+ ?>
+ </p>
+ <?php if ($site_categories != NULL) { ?>
+ <p>
+ <?php echo elgg_echo('categories'); ?>
+ :
+ <?php
+ $categories_with_empty_choice = array_merge(array('-1'=>''), array_combine($site_categories, $site_categories));
+ echo elgg_view('input/dropdown', array('name'=>'params[widget_categorie]', 'options_values'=>$categories_with_empty_choice, 'value'=>$widget_categorie));
+ ?>
+ </p>
+ <?php } ?>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:context_mode'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[widget_context_mode]', 'options_values'=>array('search'=>elgg_echo('custom_index_widgets:context_list'), 'detail'=>elgg_echo('custom_index_widgets:context_detail')), 'value'=>$widget_context_mode));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:num_items'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[num_items]', 'options_values'=>array('1'=>'1', '3'=>'3', '5'=>'5', '8'=>'8', '10'=>'10', '12'=>'12', '15'=>'15', '20'=>'20', '30'=>'30', '40'=>'40', '50'=>'50', '100'=>'100', ), 'value'=>$num_items));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:box_style'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[box_style]',
+ 'options_values'=>array('plain'=>'Plain', 'plain collapsable'=>'Plain and collapsable', 'collapsable'=>'Collapsable', 'standard' => 'No Collapsable'),
+ 'value'=>$box_style));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:guest_only'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[guest_only]',
+ 'options_values'=>array('yes'=>'yes', 'no'=>'no'),
+ 'value'=>$guest_only));
+ ?>
+ </p>
diff --git a/mod/custom_index_widgets/views/default/widgets/latest_generic_index/content.php b/mod/custom_index_widgets/views/default/widgets/latest_generic_index/content.php
new file mode 100644
index 000000000..941b5ebeb
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/latest_generic_index/content.php
@@ -0,0 +1,47 @@
+<?php
+
+$object_type = $vars["entity"]->widget_subtype;
+if (!isset($object_type))
+ $object_type = 'ELGG_ENTITIES_ANY_VALUE';
+
+$num_items = $vars['entity']->num_items;
+if (!isset($num_items))
+ $num_items = 10;
+
+$widget_group = $vars["entity"]->widget_group;
+if (!isset($widget_group)) $widget_group = ELGG_ENTITIES_ANY_VALUE;
+
+$site_categories = $vars['config']->site->categories;
+$widget_categorie = $vars['entity']->widget_categorie;
+$widget_context_mode = $vars['entity']->widget_context_mode;
+if (!isset($widget_context_mode))
+ $widget_context_mode = 'search';
+elgg_set_context($widget_context_mode);
+
+if ($site_categories == NULL || $widget_categorie == NULL) {
+ $widget_datas = elgg_list_entities(array(
+ 'type'=>'object',
+ 'subtype'=>$object_type,
+ 'container_guids' => $widget_group,
+ 'limit'=>$num_items,
+ 'full_view' => false,
+ 'view_type_toggle' => false,
+ 'pagination' => false));
+} else {
+
+ $widget_datas = elgg_list_entities_from_metadata(array(
+ 'type'=>'object',
+ 'subtype'=>$object_type,
+ 'container_guids' => $widget_group,
+ 'limit'=>$num_items,
+ 'full_view' => false,
+ 'view_type_toggle' => false,
+ 'pagination' => false,
+ 'metadata_name' => 'universal_categories',
+ 'metadata_value' => $widget_categorie,
+ ));
+}
+
+echo $widget_datas;
+?>
+
diff --git a/mod/custom_index_widgets/views/default/widgets/latest_generic_index/edit.php b/mod/custom_index_widgets/views/default/widgets/latest_generic_index/edit.php
new file mode 100644
index 000000000..f7584a847
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/latest_generic_index/edit.php
@@ -0,0 +1,103 @@
+ <?php
+ $num_items = $vars['entity']->num_items;
+ if (!isset($num_items)) $num_items = 10;
+
+ $widget_group = $vars["entity"]->widget_group;
+ if (!isset($widget_group)) $widget_group = 0;
+
+ $site_categories = $vars['config']->site->categories;
+ $widget_categorie = $vars['entity']->widget_categorie;
+ $widget_context_mode = $vars['entity']->widget_context_mode;
+ if (!isset($widget_context_mode)) $widget_context_mode = 'search';
+
+ $widget_title = $vars['entity']->widget_title;
+ $widget_group = $vars["entity"]->widget_group;
+ $widget_subtype = $vars["entity"]->widget_subtype;
+
+ $guest_only = $vars['entity']->guest_only;
+ if (!isset($guest_only)) $guest_only = "no";
+
+ $box_style = $vars['entity']->box_style;
+ if (!isset($box_style)) $box_style = "collapsable";
+ ?>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:widget_title'); ?>
+ :
+ <?php
+ echo elgg_view('input/text', array('name'=>'params[widget_title]', 'value'=>$widget_title));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:widget_subtype'); ?>
+ :
+ <?php
+
+ $subtypes = get_data("SELECT subtype from {$CONFIG->dbprefix}entity_subtypes");
+ $subtype_list = array();
+ if ($subtypes) {
+ foreach ($subtypes as $data) {
+ $subtype_list[$data->subtype] = $data->subtype;
+ }
+ }
+ echo elgg_view('input/dropdown', array('name'=>'params[widget_subtype]', 'options_values'=>$subtype_list, 'value'=>$widget_subtype));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('group'); ?>
+ :
+ <?php
+ //$groups = elgg_get_entities(array("type"=>'group','limit'=>100));
+ $groups = elgg_get_entities(array('type'=>'group'));
+ $group_list = array();
+ $group_list[0] = elgg_echo('custom_index_widgets:widget_all_groups');
+
+ if ($groups) {
+ foreach ($groups as $group) {
+ $group_list[$group->getGUID()] = $group->name;
+ }
+ }
+ echo elgg_view('input/dropdown', array('name'=>'params[widget_group]', 'options_values'=>$group_list, 'value'=>$widget_group));
+ ?>
+ </p>
+ <?php if ($site_categories != NULL) { ?>
+ <p>
+ <?php echo elgg_echo('categories'); ?>
+ :
+ <?php
+ $categories_with_empty_choice = array_merge(array('-1'=>''), array_combine($site_categories, $site_categories));
+ echo elgg_view('input/dropdown', array('name'=>'params[widget_categorie]', 'options_values'=>$categories_with_empty_choice, 'value'=>$widget_categorie));
+ ?>
+ </p>
+ <?php } ?>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:context_mode'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[widget_context_mode]', 'options_values'=>array('search'=>elgg_echo('custom_index_widgets:context_list'), 'detail'=>elgg_echo('custom_index_widgets:context_detail')), 'value'=>$widget_context_mode));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:num_items'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[num_items]', 'options_values'=>array('1'=>'1', '3'=>'3', '5'=>'5', '8'=>'8', '10'=>'10', '12'=>'12', '15'=>'15', '20'=>'20', '30'=>'30', '40'=>'40', '50'=>'50', '100'=>'100', ), 'value'=>$num_items));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:box_style'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[box_style]',
+ 'options_values'=>array('plain'=>'Plain', 'plain collapsable'=>'Plain and collapsable', 'collapsable'=>'Collapsable', 'standard' => 'No Collapsable'),
+ 'value'=>$box_style));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:guest_only'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[guest_only]',
+ 'options_values'=>array('yes'=>'yes', 'no'=>'no'),
+ 'value'=>$guest_only));
+ ?>
+ </p>
diff --git a/mod/custom_index_widgets/views/default/widgets/latest_groups_index/content.php b/mod/custom_index_widgets/views/default/widgets/latest_groups_index/content.php
new file mode 100644
index 000000000..0557f874e
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/latest_groups_index/content.php
@@ -0,0 +1,21 @@
+<?php
+
+ $num_items = $vars['entity']->num_items;
+ if (!isset($num_items)) $num_items = 10;
+
+ $widget_context_mode = $vars['entity']->widget_context_mode;
+ if (!isset($widget_context_mode)) $widget_context_mode = 'search';
+ elgg_set_context($widget_context_mode);
+
+ $widget_datas = elgg_list_entities(array(
+ 'type'=>'group',
+ 'limit'=>$num_items,
+ 'full_view' => false,
+ 'view_type_toggle' => false,
+ 'pagination' => false));
+
+
+
+echo $widget_datas;
+?>
+
diff --git a/mod/custom_index_widgets/views/default/widgets/latest_groups_index/edit.php b/mod/custom_index_widgets/views/default/widgets/latest_groups_index/edit.php
new file mode 100644
index 000000000..71f7d6be8
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/latest_groups_index/edit.php
@@ -0,0 +1,63 @@
+<?php
+ $num_items = $vars['entity']->num_items;
+ if (!isset($num_items)) $num_items = 10;
+
+ $widget_title = $vars['entity']->widget_title;
+
+ $guest_only = $vars['entity']->guest_only;
+ if (!isset($guest_only)) $guest_only = "no";
+
+ $box_style = $vars['entity']->box_style;
+ if (!isset($box_style)) $box_style = "collapsable";
+
+?>
+<p>
+ <?php echo elgg_echo('custom_index_widgets:widget_title'); ?>:
+ <?php
+ echo elgg_view('input/text', array(
+ 'name' => 'params[widget_title]',
+ 'value' => $widget_title
+ ));
+ ?>
+ </p>
+<p>
+<?php echo elgg_echo('custom_index_widgets:num_items'); ?>
+
+<?php
+ echo elgg_view('input/dropdown', array(
+ 'name' => 'params[num_items]',
+ 'options_values' => array( '1' => '1',
+ '3' => '3',
+ '5' => '5',
+ '8' => '8',
+ '10' => '10',
+ '12' => '12',
+ '15' => '15',
+ '20' => '20',
+ '30' => '30',
+ '40' => '40',
+ '50' => '50',
+ '100' => '100',
+ ),
+ 'value' => $num_items
+ ));
+?>
+</p>
+<p>
+ <?php echo elgg_echo('custom_index_widgets:box_style'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[box_style]',
+ 'options_values'=>array('plain'=>'Plain', 'plain collapsable'=>'Plain and collapsable', 'collapsable'=>'Collapsable', 'standard' => 'No Collapsable'),
+ 'value'=>$box_style));
+ ?>
+</p>
+<p>
+ <?php echo elgg_echo('custom_index_widgets:guest_only'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[guest_only]',
+ 'options_values'=>array('yes'=>'yes', 'no'=>'no'),
+ 'value'=>$guest_only));
+ ?>
+</p> \ No newline at end of file
diff --git a/mod/custom_index_widgets/views/default/widgets/latest_izap_videos_index/content.php b/mod/custom_index_widgets/views/default/widgets/latest_izap_videos_index/content.php
new file mode 100644
index 000000000..22b15b37c
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/latest_izap_videos_index/content.php
@@ -0,0 +1,50 @@
+<?php
+$object_type = 'izap_videos';
+
+$num_items = $vars['entity']->num_items;
+if (!isset($num_items))
+ $num_items = 10;
+
+$widget_group = $vars["entity"]->widget_group;
+if (!isset($widget_group)) $widget_group = ELGG_ENTITIES_ANY_VALUE;
+
+$site_categories = $vars['config']->site->categories;
+$widget_categorie = $vars['entity']->widget_categorie;
+$widget_context_mode = $vars['entity']->widget_context_mode;
+if (!isset($widget_context_mode))
+ $widget_context_mode = 'search';
+elgg_set_context($widget_context_mode);
+
+if ($site_categories == NULL || $widget_categorie == NULL) {
+ $widget_datas = elgg_list_entities(array(
+ 'type'=>'object',
+ 'subtype'=>$object_type,
+ 'container_guids' => $widget_group,
+ 'limit'=>$num_items,
+ 'full_view' => false,
+ 'view_type_toggle' => false,
+ 'pagination' => false));
+} else {
+
+ $widget_datas = elgg_list_entities_from_metadata(array(
+ 'type'=>'object',
+ 'subtype'=>$object_type,
+ 'container_guids' => $widget_group,
+ 'limit'=>$num_items,
+ 'full_view' => false,
+ 'view_type_toggle' => false,
+ 'pagination' => false,
+ 'metadata_name' => 'universal_categories',
+ 'metadata_value' => $widget_categorie,
+ ));
+}
+?>
+<div class="contentWrapper">
+ <?php
+ if (isset($widget_datas)) {
+ echo $widget_datas;
+ }
+ ?>
+ <div class="clearfloat">
+ </div>
+</div>
diff --git a/mod/custom_index_widgets/views/default/widgets/latest_izap_videos_index/edit.php b/mod/custom_index_widgets/views/default/widgets/latest_izap_videos_index/edit.php
new file mode 100644
index 000000000..54c41e1a9
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/latest_izap_videos_index/edit.php
@@ -0,0 +1,86 @@
+ <?php
+ $num_items = $vars['entity']->num_items;
+ if (!isset($num_items)) $num_items = 10;
+
+ $widget_group = $vars["entity"]->widget_group;
+ if (!isset($widget_group)) $widget_group = 0;
+
+ $site_categories = $vars['config']->site->categories;
+ $widget_categorie = $vars['entity']->widget_categorie;
+ $widget_context_mode = $vars['entity']->widget_context_mode;
+ if (!isset($widget_context_mode)) $widget_context_mode = 'search';
+
+ $widget_title = $vars['entity']->widget_title;
+ $widget_group = $vars["entity"]->widget_group;
+
+ $guest_only = $vars['entity']->guest_only;
+ if (!isset($guest_only)) $guest_only = "no";
+
+ $box_style = $vars['entity']->box_style;
+ if (!isset($box_style)) $box_style = "collapsable";
+
+ ?>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:widget_title'); ?>
+ :
+ <?php
+ echo elgg_view('input/text', array('name'=>'params[widget_title]', 'value'=>$widget_title));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('group'); ?>
+ :
+ <?php
+ $groups = elgg_get_entities(array("type"=>'group','limit'=>100));
+ $group_list = array();
+ $group_list[0] = elgg_echo('custom_index_widgets:widget_all_groups');
+ if ($groups) {
+ foreach ($groups as $group) {
+ $group_list[$group->getGUID()] = $group->name;
+ }
+ }
+ echo elgg_view('input/dropdown', array('name'=>'params[widget_group]', 'options_values'=>$group_list, 'value'=>$widget_group));
+ ?>
+ </p>
+ <?php if ($site_categories != NULL) { ?>
+ <p>
+ <?php echo elgg_echo('categories'); ?>
+ :
+ <?php
+ $categories_with_empty_choice = array_merge(array('-1'=>''), array_combine($site_categories, $site_categories));
+ echo elgg_view('input/dropdown', array('name'=>'params[widget_categorie]', 'options_values'=>$categories_with_empty_choice, 'value'=>$widget_categorie));
+ ?>
+ </p>
+ <?php } ?>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:context_mode'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[widget_context_mode]', 'options_values'=>array('search'=>elgg_echo('custom_index_widgets:context_list'), 'detail'=>elgg_echo('custom_index_widgets:context_detail')), 'value'=>$widget_context_mode));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:num_items'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[num_items]', 'options_values'=>array('1'=>'1', '3'=>'3', '5'=>'5', '8'=>'8', '10'=>'10', '12'=>'12', '15'=>'15', '20'=>'20', '30'=>'30', '40'=>'40', '50'=>'50', '100'=>'100', ), 'value'=>$num_items));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:box_style'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[box_style]',
+ 'options_values'=>array('plain'=>'Plain', 'plain collapsable'=>'Plain and collapsable', 'collapsable'=>'Collapsable', 'standard' => 'No Collapsable'),
+ 'value'=>$box_style));
+ ?>
+</p>
+<p>
+ <?php echo elgg_echo('custom_index_widgets:guest_only'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[guest_only]',
+ 'options_values'=>array('yes'=>'yes', 'no'=>'no'),
+ 'value'=>$guest_only));
+ ?>
+</p>
diff --git a/mod/custom_index_widgets/views/default/widgets/latest_members_index/content.php b/mod/custom_index_widgets/views/default/widgets/latest_members_index/content.php
new file mode 100644
index 000000000..c4f95a77d
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/latest_members_index/content.php
@@ -0,0 +1,20 @@
+<?php
+
+ $num_items = $vars['entity']->num_items;
+ if (!isset($num_items)) $num_items = 10;
+ $display_avatar = $vars['entity']->display_avatar;
+ if (!isset($display_avatar)) $display_avatar = 'yes';
+
+ $widget_datas = elgg_list_entities_from_metadata(array(
+ 'metadata_names' => 'icontime',
+ 'types' => 'user',
+ 'limit' => $num_items,
+ 'full_view' => false,
+ 'pagination' => false,
+ 'size' => 'small',
+ ));
+
+echo $widget_datas;
+?>
+
+
diff --git a/mod/custom_index_widgets/views/default/widgets/latest_members_index/edit.php b/mod/custom_index_widgets/views/default/widgets/latest_members_index/edit.php
new file mode 100644
index 000000000..856d5ed0b
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/latest_members_index/edit.php
@@ -0,0 +1,79 @@
+<?php
+ $num_items = $vars['entity']->num_items;
+ if (!isset($num_items)) $num_items = 10;
+
+ $display_avatar = $vars['entity']->display_avatar;
+ if (!isset($display_avatar)) $display_avatar = 'yes';
+
+ $widget_title = $vars['entity']->widget_title;
+
+ $guest_only = $vars['entity']->guest_only;
+ if (!isset($guest_only)) $guest_only = "no";
+
+ $box_style = $vars['entity']->box_style;
+ if (!isset($box_style)) $box_style = "collapsable";
+
+
+?>
+<p>
+ <?php echo elgg_echo('custom_index_widgets:widget_title'); ?>:
+ <?php
+ echo elgg_view('input/text', array(
+ 'name' => 'params[widget_title]',
+ 'value' => $widget_title
+ ));
+ ?>
+</p>
+<p>
+<?php echo elgg_echo('custom_index_widgets:num_items'); ?>
+
+<?php
+ echo elgg_view('input/dropdown', array(
+ 'name' => 'params[num_items]',
+ 'options_values' => array(
+ '7' => '7',
+ '14' => '14',
+ '21' => '21',
+ '28' => '28',
+ '35' => '35',
+ '42' => '42',
+ '49' => '49',
+ '56' => '56',
+ '100' => '100',
+ ),
+ 'value' => $num_items
+ ));
+?>
+</p>
+<p>
+<?php echo elgg_echo('custom_index_widgets:display_avatar'); ?>
+
+<?php
+ echo elgg_view('input/dropdown', array(
+ 'name' => 'params[display_avatar]',
+ 'options_values' => array( 'yes' => 'yes',
+ 'no' => 'no',
+
+ ),
+ 'value' => $display_avatar
+ ));
+?>
+</p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:box_style'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[box_style]',
+ 'options_values'=>array('plain'=>'Plain', 'plain collapsable'=>'Plain and collapsable', 'collapsable'=>'Collapsable', 'standard' => 'No Collapsable'),
+ 'value'=>$box_style));
+ ?>
+</p>
+<p>
+ <?php echo elgg_echo('custom_index_widgets:guest_only'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[guest_only]',
+ 'options_values'=>array('yes'=>'yes', 'no'=>'no'),
+ 'value'=>$guest_only));
+ ?>
+</p>
diff --git a/mod/custom_index_widgets/views/default/widgets/latest_news_index/content.php b/mod/custom_index_widgets/views/default/widgets/latest_news_index/content.php
new file mode 100644
index 000000000..8a10093b1
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/latest_news_index/content.php
@@ -0,0 +1,47 @@
+<?php
+
+ $object_type ='news';
+
+ $num_items = $vars['entity']->num_items;
+ if (!isset($num_items))
+ $num_items = 10;
+
+ $widget_group = $vars["entity"]->widget_group;
+ if (!isset($widget_group)) $widget_group = ELGG_ENTITIES_ANY_VALUE;
+
+ $site_categories = $vars['config']->site->categories;
+ $widget_categorie = $vars['entity']->widget_categorie;
+ $widget_context_mode = $vars['entity']->widget_context_mode;
+ if (!isset($widget_context_mode))
+ $widget_context_mode = 'search';
+ elgg_set_context($widget_context_mode);
+
+ if ($site_categories == NULL || $widget_categorie == NULL) {
+ $widget_datas = elgg_list_entities(array(
+ 'type'=>'object',
+ 'subtype'=>$object_type,
+ 'container_guids' => $widget_group,
+ 'limit'=>$num_items,
+ 'full_view' => false,
+ 'view_type_toggle' => false,
+ 'pagination' => false));
+} else {
+
+ $widget_datas = elgg_list_entities_from_metadata(array(
+ 'type'=>'object',
+ 'subtype'=>$object_type,
+ 'container_guids' => $widget_group,
+ 'limit'=>$num_items,
+ 'full_view' => false,
+ 'view_type_toggle' => false,
+ 'pagination' => false,
+ 'metadata_name' => 'universal_categories',
+ 'metadata_value' => $widget_categorie,
+ ));
+}
+
+echo $widget_datas;
+?>
+
+
+
diff --git a/mod/custom_index_widgets/views/default/widgets/latest_news_index/edit.php b/mod/custom_index_widgets/views/default/widgets/latest_news_index/edit.php
new file mode 100644
index 000000000..063b5ddc1
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/latest_news_index/edit.php
@@ -0,0 +1,85 @@
+<?php
+ $num_items = $vars['entity']->num_items;
+ if (!isset($num_items)) $num_items = 10;
+
+ $widget_group = $vars["entity"]->widget_group;
+ if (!isset($widget_group)) $widget_group = 0;
+
+ $site_categories = $vars['config']->site->categories;
+ $widget_categorie = $vars['entity']->widget_categorie;
+ $widget_context_mode = $vars['entity']->widget_context_mode;
+ if (!isset($widget_context_mode)) $widget_context_mode = 'search';
+
+ $widget_title = $vars['entity']->widget_title;
+ $widget_group = $vars["entity"]->widget_group;
+
+ $guest_only = $vars['entity']->guest_only;
+ if (!isset($guest_only)) $guest_only = "no";
+
+ $box_style = $vars['entity']->box_style;
+ if (!isset($box_style)) $box_style = "collapsable";
+ ?>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:widget_title'); ?>
+ :
+ <?php
+ echo elgg_view('input/text', array('name'=>'params[widget_title]', 'value'=>$widget_title));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('group'); ?>
+ :
+ <?php
+ $groups = elgg_get_entities(array("type"=>'group','limit'=>100));
+ $group_list = array();
+ $group_list[0] = elgg_echo('custom_index_widgets:widget_all_groups');
+ if ($groups) {
+ foreach ($groups as $group) {
+ $group_list[$group->getGUID()] = $group->name;
+ }
+ }
+ echo elgg_view('input/dropdown', array('name'=>'params[widget_group]', 'options_values'=>$group_list, 'value'=>$widget_group));
+ ?>
+ </p>
+ <?php if ($site_categories != NULL) { ?>
+ <p>
+ <?php echo elgg_echo('categories'); ?>
+ :
+ <?php
+ $categories_with_empty_choice = array_merge(array('-1'=>''), array_combine($site_categories, $site_categories));
+ echo elgg_view('input/dropdown', array('name'=>'params[widget_categorie]', 'options_values'=>$categories_with_empty_choice, 'value'=>$widget_categorie));
+ ?>
+ </p>
+ <?php } ?>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:context_mode'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[widget_context_mode]', 'options_values'=>array('search'=>elgg_echo('custom_index_widgets:context_list'), 'detail'=>elgg_echo('custom_index_widgets:context_detail')), 'value'=>$widget_context_mode));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:num_items'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[num_items]', 'options_values'=>array('1'=>'1', '3'=>'3', '5'=>'5', '8'=>'8', '10'=>'10', '12'=>'12', '15'=>'15', '20'=>'20', '30'=>'30', '40'=>'40', '50'=>'50', '100'=>'100', ), 'value'=>$num_items));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:box_style'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[box_style]',
+ 'options_values'=>array('plain'=>'Plain', 'plain collapsable'=>'Plain and collapsable', 'collapsable'=>'Collapsable', 'standard' => 'No Collapsable'),
+ 'value'=>$box_style));
+ ?>
+</p>
+<p>
+ <?php echo elgg_echo('custom_index_widgets:guest_only'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[guest_only]',
+ 'options_values'=>array('yes'=>'yes', 'no'=>'no'),
+ 'value'=>$guest_only));
+ ?>
+</p>
diff --git a/mod/custom_index_widgets/views/default/widgets/latest_pages_index/content.php b/mod/custom_index_widgets/views/default/widgets/latest_pages_index/content.php
new file mode 100644
index 000000000..cc8a46d55
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/latest_pages_index/content.php
@@ -0,0 +1,45 @@
+<?php
+
+ $object_type ='page_top';
+
+ $num_items = $vars['entity']->num_items;
+ if (!isset($num_items))
+ $num_items = 10;
+
+ $widget_group = $vars["entity"]->widget_group;
+ if (!isset($widget_group)) $widget_group = ELGG_ENTITIES_ANY_VALUE;
+
+ $site_categories = $vars['config']->site->categories;
+ $widget_categorie = $vars['entity']->widget_categorie;
+ $widget_context_mode = $vars['entity']->widget_context_mode;
+ if (!isset($widget_context_mode))
+ $widget_context_mode = 'search';
+ elgg_set_context($widget_context_mode);
+
+ if ($site_categories == NULL || $widget_categorie == NULL) {
+ $widget_datas = elgg_list_entities(array(
+ 'type'=>'object',
+ 'subtype'=>$object_type,
+ 'container_guids' => $widget_group,
+ 'limit'=>$num_items,
+ 'full_view' => false,
+ 'view_type_toggle' => false,
+ 'pagination' => false));
+} else {
+
+ $widget_datas = elgg_list_entities_from_metadata(array(
+ 'type'=>'object',
+ 'subtype'=>$object_type,
+ 'container_guids' => $widget_group,
+ 'limit'=>$num_items,
+ 'full_view' => false,
+ 'view_type_toggle' => false,
+ 'pagination' => false,
+ 'metadata_name' => 'universal_categories',
+ 'metadata_value' => $widget_categorie,
+ ));
+}
+
+echo $widget_datas;
+?>
+
diff --git a/mod/custom_index_widgets/views/default/widgets/latest_pages_index/edit.php b/mod/custom_index_widgets/views/default/widgets/latest_pages_index/edit.php
new file mode 100644
index 000000000..a14371b02
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/latest_pages_index/edit.php
@@ -0,0 +1,86 @@
+ <?php
+ $num_items = $vars['entity']->num_items;
+ if (!isset($num_items)) $num_items = 10;
+
+ $widget_group = $vars["entity"]->widget_group;
+ if (!isset($widget_group)) $widget_group = 0;
+
+ $site_categories = $vars['config']->site->categories;
+ $widget_categorie = $vars['entity']->widget_categorie;
+ $widget_context_mode = $vars['entity']->widget_context_mode;
+ if (!isset($widget_context_mode)) $widget_context_mode = 'search';
+
+ $widget_title = $vars['entity']->widget_title;
+ $widget_group = $vars["entity"]->widget_group;
+
+ $guest_only = $vars['entity']->guest_only;
+ if (!isset($guest_only)) $guest_only = "no";
+
+ $box_style = $vars['entity']->box_style;
+ if (!isset($box_style)) $box_style = "collapsable";
+ ?>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:widget_title'); ?>
+ :
+ <?php
+ echo elgg_view('input/text', array('name'=>'params[widget_title]', 'value'=>$widget_title));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('group'); ?>
+ :
+ <?php
+ //$groups = elgg_get_entities(array("type"=>'group','limit'=>100));
+ $groups = elgg_get_entities(array('type'=>'group'));
+ $group_list = array();
+ $group_list[0] = elgg_echo('custom_index_widgets:widget_all_groups');
+ if ($groups) {
+ foreach ($groups as $group) {
+ $group_list[$group->getGUID()] = $group->name;
+ }
+ }
+ echo elgg_view('input/dropdown', array('name'=>'params[widget_group]', 'options_values'=>$group_list, 'value'=>$widget_group));
+ ?>
+ </p>
+ <?php if ($site_categories != NULL) { ?>
+ <p>
+ <?php echo elgg_echo('categories'); ?>
+ :
+ <?php
+ $categories_with_empty_choice = array_merge(array('-1'=>''), array_combine($site_categories, $site_categories));
+ echo elgg_view('input/dropdown', array('name'=>'params[widget_categorie]', 'options_values'=>$categories_with_empty_choice, 'value'=>$widget_categorie));
+ ?>
+ </p>
+ <?php } ?>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:context_mode'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[widget_context_mode]', 'options_values'=>array('search'=>elgg_echo('custom_index_widgets:context_list'), 'detail'=>elgg_echo('custom_index_widgets:context_detail')), 'value'=>$widget_context_mode));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:num_items'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[num_items]', 'options_values'=>array('1'=>'1', '3'=>'3', '5'=>'5', '8'=>'8', '10'=>'10', '12'=>'12', '15'=>'15', '20'=>'20', '30'=>'30', '40'=>'40', '50'=>'50', '100'=>'100', ), 'value'=>$num_items));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:box_style'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[box_style]',
+ 'options_values'=>array('plain'=>'Plain', 'plain collapsable'=>'Plain and collapsable', 'collapsable'=>'Collapsable', 'standard' => 'No Collapsable'),
+ 'value'=>$box_style));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:guest_only'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[guest_only]',
+ 'options_values'=>array('yes'=>'yes', 'no'=>'no'),
+ 'value'=>$guest_only));
+ ?>
+ </p>
diff --git a/mod/custom_index_widgets/views/default/widgets/latest_photos_index/content.php b/mod/custom_index_widgets/views/default/widgets/latest_photos_index/content.php
new file mode 100644
index 000000000..bb83cac40
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/latest_photos_index/content.php
@@ -0,0 +1,25 @@
+<div class="contentWrapper">
+<?php
+
+ $num_items = $vars['entity']->num_items;
+ if (!isset($num_items)) $num_items = 10;
+
+ $widget_group = $vars["entity"]->widget_group;
+ if (!isset($widget_group)) $widget_group = ELGG_ENTITIES_ANY_VALUE;
+
+ if ($widget_group != 0){
+ $album = elgg_get_entities("object", 'album', $widget_group, "", 1, 0, false);
+ $album_guid = $album[0]->getGUID();
+ $entities = elgg_get_entities("object", "image", $album_guid, '', 999);
+ elgg_set_context('front');
+ $widgetdatas = elgg_view_entity_list($entities);
+ }else{
+ $widgetdatas = tp_get_latest_photos($num_items, 0);
+ }
+
+ echo '<div class="icon_latest">';
+ echo $widgetdatas;
+ echo '</div>';
+
+?>
+</div> \ No newline at end of file
diff --git a/mod/custom_index_widgets/views/default/widgets/latest_photos_index/edit.php b/mod/custom_index_widgets/views/default/widgets/latest_photos_index/edit.php
new file mode 100644
index 000000000..0ef5e53a1
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/latest_photos_index/edit.php
@@ -0,0 +1,64 @@
+ <?php
+ $num_items = $vars['entity']->num_items;
+ if (!isset($num_items)) $num_items = 10;
+
+ $widget_group = $vars["entity"]->widget_group;
+ if (!isset($widget_group)) $widget_group = 0;
+
+
+ $widget_title = $vars['entity']->widget_title;
+ $widget_group = $vars["entity"]->widget_group;
+
+ $guest_only = $vars['entity']->guest_only;
+ if (!isset($guest_only)) $guest_only = "no";
+
+ $box_style = $vars['entity']->box_style;
+ if (!isset($box_style)) $box_style = "collapsable";
+ ?>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:widget_title'); ?>
+ :
+ <?php
+ echo elgg_view('input/text', array('name'=>'params[widget_title]', 'value'=>$widget_title));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('group'); ?>
+ :
+ <?php
+ $groups = elgg_get_entities(array("type"=>'group','limit'=>100));
+ $group_list = array();
+ $group_list[0] = elgg_echo('custom_index_widgets:widget_all_groups');
+ if ($groups) {
+ foreach ($groups as $group) {
+ $group_list[$group->getGUID()] = $group->name;
+ }
+ }
+ echo elgg_view('input/dropdown', array('name'=>'params[widget_group]', 'options_values'=>$group_list, 'value'=>$widget_group));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:num_items'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[num_items]', 'options_values'=>array('1'=>'1', '3'=>'3', '5'=>'5', '8'=>'8', '10'=>'10', '12'=>'12', '15'=>'15', '20'=>'20', '30'=>'30', '40'=>'40', '50'=>'50', '100'=>'100', ), 'value'=>$num_items));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:box_style'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[box_style]',
+ 'options_values'=>array('plain'=>'Plain', 'plain collapsable'=>'Plain and collapsable', 'collapsable'=>'Collapsable', 'standard' => 'No Collapsable'),
+ 'value'=>$box_style));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:guest_only'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[guest_only]',
+ 'options_values'=>array('yes'=>'yes', 'no'=>'no'),
+ 'value'=>$guest_only));
+ ?>
+ </p>
diff --git a/mod/custom_index_widgets/views/default/widgets/latest_tasks_index/content.php b/mod/custom_index_widgets/views/default/widgets/latest_tasks_index/content.php
new file mode 100644
index 000000000..1f06a0db1
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/latest_tasks_index/content.php
@@ -0,0 +1,45 @@
+<?php
+
+ $object_type ='task_top';
+
+ $num_items = $vars['entity']->num_items;
+ if (!isset($num_items)) $num_items = 10;
+
+ $widget_group = $vars["entity"]->widget_group;
+ if (!isset($widget_group)) $widget_group = ELGG_ENTITIES_ANY_VALUE;
+
+ $site_categories = $vars['config']->site->categories;
+ $widget_categorie = $vars['entity']->widget_categorie;
+ $widget_context_mode = $vars['entity']->widget_context_mode;
+ if (!isset($widget_context_mode)) $widget_context_mode = 'search';
+ elgg_set_context($widget_context_mode);
+
+ if ($site_categories == NULL || $widget_categorie == NULL) {
+ $widget_datas = elgg_list_entities(array(
+ 'type'=>'object',
+ 'subtype'=>$object_type,
+ 'container_guids' => $widget_group,
+ 'limit'=>$num_items,
+ 'full_view' => false,
+ 'view_type_toggle' => false,
+ 'pagination' => false));
+} else {
+
+ $widget_datas = elgg_list_entities_from_metadata(array(
+ 'type'=>'object',
+ 'subtype'=>$object_type,
+ 'container_guids' => $widget_group,
+ 'limit'=>$num_items,
+ 'full_view' => false,
+ 'view_type_toggle' => false,
+ 'pagination' => false,
+ 'metadata_name' => 'universal_categories',
+ 'metadata_value' => $widget_categorie,
+ ));
+}
+
+echo $widget_datas;
+?>
+
+
+
diff --git a/mod/custom_index_widgets/views/default/widgets/latest_tasks_index/edit.php b/mod/custom_index_widgets/views/default/widgets/latest_tasks_index/edit.php
new file mode 100644
index 000000000..c587bc184
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/latest_tasks_index/edit.php
@@ -0,0 +1,85 @@
+ <?php
+ $num_items = $vars['entity']->num_items;
+ if (!isset($num_items)) $num_items = 10;
+
+ $widget_group = $vars["entity"]->widget_group;
+ if (!isset($widget_group)) $widget_group = 0;
+
+ $site_categories = $vars['config']->site->categories;
+ $widget_categorie = $vars['entity']->widget_categorie;
+ $widget_context_mode = $vars['entity']->widget_context_mode;
+ if (!isset($widget_context_mode)) $widget_context_mode = 'search';
+
+ $widget_title = $vars['entity']->widget_title;
+ $widget_group = $vars["entity"]->widget_group;
+
+ $guest_only = $vars['entity']->guest_only;
+ if (!isset($guest_only)) $guest_only = "no";
+
+ $box_style = $vars['entity']->box_style;
+ if (!isset($box_style)) $box_style = "collapsable";
+ ?>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:widget_title'); ?>
+ :
+ <?php
+ echo elgg_view('input/text', array('name'=>'params[widget_title]', 'value'=>$widget_title));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('group'); ?>
+ :
+ <?php
+ $groups = elgg_get_entities(array("type"=>'group','limit'=>100));
+ $group_list = array();
+ $group_list[0] = elgg_echo('custom_index_widgets:widget_all_groups');
+ if ($groups) {
+ foreach ($groups as $group) {
+ $group_list[$group->getGUID()] = $group->name;
+ }
+ }
+ echo elgg_view('input/dropdown', array('name'=>'params[widget_group]', 'options_values'=>$group_list, 'value'=>$widget_group));
+ ?>
+ </p>
+ <?php if ($site_categories != NULL) { ?>
+ <p>
+ <?php echo elgg_echo('categories'); ?>
+ :
+ <?php
+ $categories_with_empty_choice = array_merge(array('-1'=>''), array_combine($site_categories, $site_categories));
+ echo elgg_view('input/dropdown', array('name'=>'params[widget_categorie]', 'options_values'=>$categories_with_empty_choice, 'value'=>$widget_categorie));
+ ?>
+ </p>
+ <?php } ?>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:context_mode'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[widget_context_mode]', 'options_values'=>array('search'=>elgg_echo('custom_index_widgets:context_list'), 'detail'=>elgg_echo('custom_index_widgets:context_detail')), 'value'=>$widget_context_mode));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:num_items'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[num_items]', 'options_values'=>array('1'=>'1', '3'=>'3', '5'=>'5', '8'=>'8', '10'=>'10', '12'=>'12', '15'=>'15', '20'=>'20', '30'=>'30', '40'=>'40', '50'=>'50', '100'=>'100', ), 'value'=>$num_items));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:box_style'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[box_style]',
+ 'options_values'=>array('plain'=>'Plain', 'plain collapsable'=>'Plain and collapsable', 'collapsable'=>'Collapsable', 'standard' => 'No Collapsable'),
+ 'value'=>$box_style));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:guest_only'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[guest_only]',
+ 'options_values'=>array('yes'=>'yes', 'no'=>'no'),
+ 'value'=>$guest_only));
+ ?>
+ </p>
diff --git a/mod/custom_index_widgets/views/default/widgets/latest_wire_index/content.php b/mod/custom_index_widgets/views/default/widgets/latest_wire_index/content.php
new file mode 100644
index 000000000..98d3b43e1
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/latest_wire_index/content.php
@@ -0,0 +1,17 @@
+<?php
+ $num_items = $vars['entity']->num_items;
+ if (!isset($num_items)) $num_items = 10;
+ elgg_set_context('search');
+
+ $widget_datas = elgg_list_entities(array(
+ 'type'=>'object',
+ 'subtype'=>'thewire',
+ 'limit'=>$num_items,
+ 'full_view' => false,
+ 'view_type_toggle' => false,
+ 'pagination' => false));
+
+ echo $widget_datas;
+?>
+
+
diff --git a/mod/custom_index_widgets/views/default/widgets/latest_wire_index/edit.php b/mod/custom_index_widgets/views/default/widgets/latest_wire_index/edit.php
new file mode 100644
index 000000000..d08066648
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/latest_wire_index/edit.php
@@ -0,0 +1,62 @@
+<?php
+ $num_items = $vars['entity']->num_items;
+ if (!isset($num_items)) $num_items = 10;
+
+ $widget_title = $vars['entity']->widget_title;
+
+ $guest_only = $vars['entity']->guest_only;
+ if (!isset($guest_only)) $guest_only = "no";
+
+ $box_style = $vars['entity']->box_style;
+ if (!isset($box_style)) $box_style = "collapsable";
+
+?>
+<p>
+ <?php echo elgg_echo('custom_index_widgets:widget_title'); ?>:
+ <?php
+ echo elgg_view('input/text', array(
+ 'name' => 'params[widget_title]',
+ 'value' => $widget_title
+ ));
+ ?>
+ </p>
+<p>
+<?php echo elgg_echo('custom_index_widgets:num_items'); ?>
+<?php
+ echo elgg_view('input/dropdown', array(
+ 'name' => 'params[num_items]',
+ 'options_values' => array( '1' => '1',
+ '3' => '3',
+ '5' => '5',
+ '8' => '8',
+ '10' => '10',
+ '12' => '12',
+ '15' => '15',
+ '20' => '20',
+ '30' => '30',
+ '40' => '40',
+ '50' => '50',
+ '100' => '100',
+ ),
+ 'value' => $num_items
+ ));
+?>
+</p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:box_style'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[box_style]',
+ 'options_values'=>array('plain'=>'Plain', 'plain collapsable'=>'Plain and collapsable', 'collapsable'=>'Collapsable', 'standard' => 'No Collapsable'),
+ 'value'=>$box_style));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:guest_only'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[guest_only]',
+ 'options_values'=>array('yes'=>'yes', 'no'=>'no'),
+ 'value'=>$guest_only));
+ ?>
+ </p>
diff --git a/mod/custom_index_widgets/views/default/widgets/login_index/content.php b/mod/custom_index_widgets/views/default/widgets/login_index/content.php
new file mode 100644
index 000000000..1e82df544
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/login_index/content.php
@@ -0,0 +1,15 @@
+<?php
+
+if (elgg_is_logged_in()) {
+ $top_box = "<h2>" . elgg_echo("welcome") . " ";
+ $top_box .= elgg_get_logged_in_user_entity()->name;
+ $top_box .= "</h2>";
+} else {
+ $top_box = elgg_view("core/account/login_box");
+}
+
+echo $top_box;
+?>
+
+
+
diff --git a/mod/custom_index_widgets/views/default/widgets/login_index/edit.php b/mod/custom_index_widgets/views/default/widgets/login_index/edit.php
new file mode 100644
index 000000000..149008323
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/login_index/edit.php
@@ -0,0 +1,27 @@
+<?php
+ $widget_title = $vars['entity']->widget_title;
+
+ $show_welcome = $vars['entity']->show_welcome;
+ if (!isset($show_welcome)) $show_welcome = "yes";
+
+ $guest_only = $vars['entity']->guest_only;
+ if (!isset($guest_only)) $guest_only = "no";
+?>
+<p>
+ <?php echo elgg_echo('custom_index_widgets:widget_title'); ?>:
+ <?php
+ echo elgg_view('input/text', array(
+ 'name' => 'params[widget_title]',
+ 'value' => $widget_title
+ ));
+ ?>
+</p>
+<p>
+ <?php echo elgg_echo('custom_index_widgets:guest_only'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[guest_only]',
+ 'options_values'=>array('yes'=>'yes', 'no'=>'no'),
+ 'value'=>$guest_only));
+ ?>
+</p> \ No newline at end of file
diff --git a/mod/custom_index_widgets/views/default/widgets/rich_media_index/content.php b/mod/custom_index_widgets/views/default/widgets/rich_media_index/content.php
new file mode 100644
index 000000000..dddc32bd7
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/rich_media_index/content.php
@@ -0,0 +1,27 @@
+<?php
+
+ $widget_video_width = $vars['entity']->widget_video_width;
+ $widget_video_height = $vars['entity']->widget_video_height;
+ $widget_video_url = $vars['entity']->widget_video_url;
+ $widget_video_caption = $vars['entity']->widget_video_caption;
+
+ if (!isset($widget_video_width)){
+ $widget_video_width = '250';
+ }
+ if (!isset($widget_video_height)){
+ $widget_video_height = '250';
+ }
+
+?>
+
+<div class="contentWrapper" align="center">
+ <a id="media<?php echo $vars['entity']->getGUID();?>" class="media" href="<?php echo $widget_video_url;?>"><?php echo $widget_video_title;?></a> <div class="clearfloat">
+ </div>
+</div>
+<?php if (isset($widget_video_url)) { ?>
+<script type="text/javascript">
+ jQuery(document).ready(function($){
+ $('#media<?php echo $vars['entity']->getGUID();?>').media({width:<?php echo $widget_video_width;?>, height:<?php echo $widget_video_height;?>, autoplay: true});
+ });
+</script>
+<?php } ?> \ No newline at end of file
diff --git a/mod/custom_index_widgets/views/default/widgets/rich_media_index/edit.php b/mod/custom_index_widgets/views/default/widgets/rich_media_index/edit.php
new file mode 100644
index 000000000..a3956159c
--- /dev/null
+++ b/mod/custom_index_widgets/views/default/widgets/rich_media_index/edit.php
@@ -0,0 +1,78 @@
+<?php
+ $widget_title = $vars['entity']->widget_title;
+ $widget_video_width = $vars['entity']->widget_video_width;
+ $widget_video_height = $vars['entity']->widget_video_height;
+ $widget_video_url = $vars['entity']->widget_video_url;
+ $widget_video_title = $vars['entity']->widget_video_title;
+
+ $guest_only = $vars['entity']->guest_only;
+ if (!isset($guest_only)) $guest_only = "no";
+
+ $box_style = $vars['entity']->box_style;
+ if (!isset($box_style)) $box_style = "collapsable";
+
+?>
+<p>
+ <?php echo elgg_echo('custom_index_widgets:widget_title'); ?>:
+ <?php
+ echo elgg_view('input/text', array(
+ 'name' => 'params[widget_title]',
+ 'value' => $widget_title
+ ));
+ ?>
+</p>
+<p>
+<?php echo elgg_echo('custom_index_widgets:widget_video_caption'); ?>
+<?php
+ echo elgg_view('input/text', array(
+ 'name' => 'params[widget_video_caption]',
+ 'value' => $widget_video_caption
+ ));
+ ?>
+</p>
+<p>
+<?php echo elgg_echo('custom_index_widgets:widget_video_url'); ?>
+<?php
+ echo elgg_view('input/text', array(
+ 'name' => 'params[widget_video_url]',
+ 'value' => $widget_video_url
+ ));
+ ?>
+</p>
+<p>
+<?php echo elgg_echo('custom_index_widgets:widget_video_width'); ?>
+<?php
+ echo elgg_view('input/text', array(
+ 'name' => 'params[widget_video_width]',
+ 'value' => $widget_video_width
+ ));
+ ?>
+</p>
+<p>
+<?php echo elgg_echo('custom_index_widgets:widget_video_height'); ?>
+<?php
+ echo elgg_view('input/text', array(
+ 'name' => 'params[widget_video_height]',
+ 'value' => $widget_video_height
+ ));
+ ?>
+</p>
+<p>
+ <?php echo elgg_echo('custom_index_widgets:box_style'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[box_style]',
+ 'options_values'=>array('plain'=>'Plain', 'plain collapsable'=>'Plain and collapsable', 'collapsable'=>'Collapsable', 'standard' => 'No Collapsable'),
+ 'value'=>$box_style));
+ ?>
+ </p>
+ <p>
+ <?php echo elgg_echo('custom_index_widgets:guest_only'); ?>
+ :
+ <?php
+ echo elgg_view('input/dropdown', array('name'=>'params[guest_only]',
+ 'options_values'=>array('yes'=>'yes', 'no'=>'no'),
+ 'value'=>$guest_only));
+ ?>
+ </p>
+
diff --git a/mod/dashboard/languages/en.php b/mod/dashboard/languages/en.php
new file mode 100644
index 000000000..e1378f2f1
--- /dev/null
+++ b/mod/dashboard/languages/en.php
@@ -0,0 +1,14 @@
+<?php
+/**
+ * User dashboard languages
+ */
+
+$english = array(
+ 'dashboard:widget:group:title' => 'Group activity',
+ 'dashboard:widget:group:desc' => 'View the activity in one of your groups',
+ 'dashboard:widget:group:select' => 'Select a group',
+ 'dashboard:widget:group:noactivity' => 'There is no activity in this group',
+ 'dashboard:widget:group:noselect' => 'Edit this widget to select a group',
+);
+
+add_translation("en", $english);
diff --git a/mod/dashboard/manifest.xml b/mod/dashboard/manifest.xml
new file mode 100644
index 000000000..cbbc1044c
--- /dev/null
+++ b/mod/dashboard/manifest.xml
@@ -0,0 +1,15 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<plugin_manifest xmlns="http://www.elgg.org/plugin_manifest/1.8">
+ <name>User Dashboard</name>
+ <author>Core developers</author>
+ <version>1.8</version>
+ <category>bundled</category>
+ <description>A widget-based dashboard for your users</description>
+ <website>http://www.elgg.org/</website>
+ <copyright>See COPYRIGHT.txt</copyright>
+ <license>GNU General Public License version 2</license>
+ <requires>
+ <type>elgg_release</type>
+ <version>1.8</version>
+ </requires>
+</plugin_manifest>
diff --git a/mod/dashboard/start.php b/mod/dashboard/start.php
new file mode 100644
index 000000000..65007d1a8
--- /dev/null
+++ b/mod/dashboard/start.php
@@ -0,0 +1,83 @@
+<?php
+/**
+ * A user dashboard
+ */
+
+elgg_register_event_handler('init', 'system', 'dashboard_init');
+
+function dashboard_init() {
+ elgg_register_page_handler('dashboard', 'dashboard_page_handler');
+
+ elgg_extend_view('css/elgg', 'dashboard/css');
+
+ elgg_register_menu_item('topbar', array(
+ 'name' => 'dashboard',
+ 'href' => 'dashboard',
+ 'text' => elgg_view_icon('home') . elgg_echo('dashboard'),
+ 'priority' => 450,
+ 'section' => 'alt',
+ ));
+
+ elgg_register_widget_type(
+ 'group_activity',
+ elgg_echo('dashboard:widget:group:title'),
+ elgg_echo('dashboard:widget:group:desc'),
+ 'dashboard',
+ true
+ );
+
+ elgg_register_plugin_hook_handler('get_list', 'default_widgets', 'dashboard_default_widgets');
+}
+
+/**
+ * Dashboard page handler
+ * @return bool
+ */
+function dashboard_page_handler() {
+ // Ensure that only logged-in users can see this page
+ gatekeeper();
+
+ // Set context and title
+ elgg_set_context('dashboard');
+ elgg_set_page_owner_guid(elgg_get_logged_in_user_guid());
+ $title = elgg_echo('dashboard');
+
+ // wrap intro message in a div
+ $intro_message = elgg_view('dashboard/blurb');
+
+ $params = array(
+ 'content' => $intro_message,
+ 'num_columns' => 3,
+ 'show_access' => false,
+ );
+ $widgets = elgg_view_layout('widgets', $params);
+
+ $body = elgg_view_layout('one_column', array('content' => $widgets));
+
+ echo elgg_view_page($title, $body);
+ return true;
+}
+
+
+/**
+ * Register user dashboard with default widgets
+ *
+ * @param unknown_type $hook
+ * @param unknown_type $type
+ * @param unknown_type $return
+ * @param unknown_type $params
+ * @return array
+ */
+function dashboard_default_widgets($hook, $type, $return, $params) {
+ $return[] = array(
+ 'name' => elgg_echo('dashboard'),
+ 'widget_context' => 'dashboard',
+ 'widget_columns' => 3,
+
+ 'event' => 'create',
+ 'entity_type' => 'user',
+ 'entity_subtype' => ELGG_ENTITIES_ANY_VALUE,
+ );
+
+ return $return;
+} \ No newline at end of file
diff --git a/mod/dashboard/views/default/dashboard/blurb.php b/mod/dashboard/views/default/dashboard/blurb.php
new file mode 100644
index 000000000..9293e513a
--- /dev/null
+++ b/mod/dashboard/views/default/dashboard/blurb.php
@@ -0,0 +1,17 @@
+<?php
+/**
+ * Elgg dashboard blurb
+ *
+ */
+?>
+
+<div class="elgg-col elgg-col-2of3">
+<?php
+ echo elgg_view('output/longtext', array(
+ 'id' => 'dashboard-info',
+ 'class' => 'elgg-inner pam mhs mtn',
+ 'value' => elgg_echo("dashboard:nowidgets"),
+ ));
+
+?>
+</div> \ No newline at end of file
diff --git a/mod/dashboard/views/default/dashboard/css.php b/mod/dashboard/views/default/dashboard/css.php
new file mode 100644
index 000000000..f49293293
--- /dev/null
+++ b/mod/dashboard/views/default/dashboard/css.php
@@ -0,0 +1,10 @@
+<?php
+/**
+ * User dashboard CSS
+ */
+?>
+
+#dashboard-info {
+ border: 2px solid #dedede;
+ margin-bottom: 15px;
+}
diff --git a/mod/dashboard/views/default/widgets/group_activity/content.php b/mod/dashboard/views/default/widgets/group_activity/content.php
new file mode 100644
index 000000000..60a9b352c
--- /dev/null
+++ b/mod/dashboard/views/default/widgets/group_activity/content.php
@@ -0,0 +1,36 @@
+<?php
+/**
+ * Group activity widget
+ */
+
+$num = (int) $vars['entity']->num_display;
+$guid = $vars['entity']->group_guid;
+
+$content = '';
+
+if ($guid) {
+ $title = get_entity($guid)->name;
+ $content = "<h3>$title</h3>";
+
+ elgg_push_context('widgets');
+ $db_prefix = elgg_get_config('dbprefix');
+ $activity = elgg_list_river(array(
+ 'limit' => $num,
+ 'pagination' => false,
+ 'joins' => array("JOIN {$db_prefix}entities e1 ON e1.guid = rv.object_guid"),
+ 'wheres' => array("(e1.container_guid = $guid)"),
+ ));
+ if (!$activity) {
+ $activity = '<p>' . elgg_echo('dashboard:widget:group:noactivity') . '</p>';
+ }
+ elgg_pop_context();
+
+ $content .= $activity;
+} else {
+ // no group selected yet
+ if ($vars['entity']->canEdit()) {
+ $content = '<p>' . elgg_echo('dashboard:widget:group:noselect') . '</p>';
+ }
+}
+
+echo $content;
diff --git a/mod/dashboard/views/default/widgets/group_activity/edit.php b/mod/dashboard/views/default/widgets/group_activity/edit.php
new file mode 100644
index 000000000..4e10f6ad4
--- /dev/null
+++ b/mod/dashboard/views/default/widgets/group_activity/edit.php
@@ -0,0 +1,45 @@
+<?php
+/**
+ * Group activity widget settings
+ */
+
+// once autocomplete is working use that
+$groups = elgg_get_logged_in_user_entity()->getGroups("", 0);
+$mygroups = array();
+if (!$vars['entity']->group_guid) {
+ $mygroups[0] = '';
+}
+foreach ($groups as $group) {
+ $mygroups[$group->guid] = $group->name;
+}
+$params = array(
+ 'name' => 'params[group_guid]',
+ 'value' => $vars['entity']->group_guid,
+ 'options_values' => $mygroups,
+);
+$group_dropdown = elgg_view('input/dropdown', $params);
+?>
+<div>
+ <?php echo elgg_echo('dashboard:widget:group:select'); ?>:
+ <?php echo $group_dropdown; ?>
+</div>
+<?php
+
+// set default value for number to display
+if (!isset($vars['entity']->num_display)) {
+ $vars['entity']->num_display = 8;
+}
+
+$params = array(
+ 'name' => 'params[num_display]',
+ 'value' => $vars['entity']->num_display,
+ 'options' => array(5, 8, 10, 12, 15, 20),
+);
+$num_dropdown = elgg_view('input/dropdown', $params);
+
+?>
+<div>
+ <?php echo elgg_echo('widget:numbertodisplay'); ?>:
+ <?php echo $num_dropdown; ?>
+</div>
+
diff --git a/mod/defaultwidgets/actions/update.php b/mod/defaultwidgets/actions/update.php
deleted file mode 100644
index 466bae5a6..000000000
--- a/mod/defaultwidgets/actions/update.php
+++ /dev/null
@@ -1,58 +0,0 @@
-<?php
-/**
- * Elgg default_widgets plugin.
- *
- * @package DefaultWidgets
- *
- **/
-
-// validate user is an admin
-admin_gatekeeper ();
-
-// get parameters
-$context = get_input ( 'context' );
-$leftbar = str_replace ( '::0', '', get_input ( 'debugField1' ) );
-$middlebar = str_replace ( '::0', '', get_input ( 'debugField2' ) );
-$rightbar = str_replace ( '::0', '', get_input ( 'debugField3' ) );
-
-// make sure enough parameters are set
-if ($context && isset ( $leftbar ) && isset ( $middlebar ) && isset ( $rightbar )) {
-
- // join widgets into a single string
- $widgets = $leftbar . '%%' . $middlebar . '%%' . $rightbar;
-
- // get the elgg object that contains our settings
- $entities = elgg_get_entities (array('type' => 'object', 'subtype' => 'moddefaultwidgets', 'limit' => 9999));
-
- // create new object unless one already exists
- if (! isset ( $entities [0] )) {
- $entity = new ElggObject ( );
- $entity->subtype = 'moddefaultwidgets';
- $entity->owner_guid = $_SESSION ['user']->getGUID ();
- } else {
- $entity = $entities [0];
- }
-
- // store the default widgets for each context
- $entity->$context = $widgets;
-
- // make sure this object is public.
- $entity->access_id = 2;
-
- // save the object or report error
- if ($entity->save ()) {
- system_message ( elgg_echo ( 'defaultwidgets:update:success' ) );
- $entity->state = "active";
- forward ( 'pg/admin' );
- } else {
- register_error ( elgg_echo ( 'defaultwidgets:update:failed' ) );
- forward("pg/admin/appearance/default_{$context}_widgets");
- }
-
-} else {
-
- // report incorrect parameters error
- register_error ( elgg_echo ( 'defaultwidgets:update:noparams' ) );
- forward("pg/admin/appearance/default_{$context}_widgets");
-
-}
diff --git a/mod/defaultwidgets/languages/en.php b/mod/defaultwidgets/languages/en.php
deleted file mode 100644
index d6da12ba5..000000000
--- a/mod/defaultwidgets/languages/en.php
+++ /dev/null
@@ -1,28 +0,0 @@
-<?php
-
-$english = array (
-
- /**
- * Nice name for the entity (shown in admin panel)
- */
- 'item:object:moddefaultwidgets' => 'DefaultWidgets settings',
-
- /**
- * Menu items
- */
- 'defaultwidgets:menu:profile' => 'Default profile widgets',
- 'defaultwidgets:menu:dashboard' => 'Default dashboard widgets',
-
- 'defaultwidgets:admin:error' => 'Error: You are not logged in as an administrator',
- 'defaultwidgets:admin:notfound' => 'Error: Page not found',
- 'defaultwidgets:admin:loginfailure' => 'Warning: You are not currently logged is as an administrator',
-
- 'defaultwidgets:update:success' => 'Your widget settings have been saved',
- 'defaultwidgets:update:failed' => 'Error: settings have not been saved',
- 'defaultwidgets:update:noparams' => 'Error: incorrect form parameters',
-
- 'defaultwidgets:profile:title' => 'Set default widgets for new user profile pages',
- 'defaultwidgets:dashboard:title' => 'Set default widgets for new user dashboard pages',
-);
-
-add_translation ( "en", $english );
diff --git a/mod/defaultwidgets/manifest.xml b/mod/defaultwidgets/manifest.xml
deleted file mode 100644
index 2fa825d6c..000000000
--- a/mod/defaultwidgets/manifest.xml
+++ /dev/null
@@ -1,11 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<plugin_manifest>
- <field key="author" value="Milan Magudia &amp; Curverider" />
- <field key="version" value="2.04" />
- <field key="description" value="This plugin enables an administrator to define a default set of widgets for new users" />
- <field key="copyright" value="HedgeHogs &amp; Curverider Ltd" />
- <field key="licence" value="GNU Public License version 2" />
- <field key="elgg_version" value="2010030101" />
- <field key="elgg_install_state" value="enabled" />
- <field key="admin_interface" value="advanced" />
-</plugin_manifest>
diff --git a/mod/defaultwidgets/start.php b/mod/defaultwidgets/start.php
deleted file mode 100644
index 9e86a1625..000000000
--- a/mod/defaultwidgets/start.php
+++ /dev/null
@@ -1,207 +0,0 @@
-<?php
-/**
- * Elgg default_widgets plugin.
- *
- * @package DefaultWidgets
- *
- * Code based on the work of:
- * @link http://www.tastyseed.com
- * @link http://www.chadsowald.com
- * @links http://www.somosmas.org
- *
- */
-
-global $CONFIG;
-
-/**
- * Default widgets initialisation
- *
- * These parameters are required for the event API, but we won't use them:
- *
- * @param unknown_type $event
- * @param unknown_type $object_type
- * @param unknown_type $object
- */
-function defaultwidgets_init() {
- // register create user event hook
- register_elgg_event_handler ( 'create', 'user', 'defaultwidgets_newusers' );
-
- // set the widget access to the default access on validation if this is not an admin-created user
- if (!isadminloggedin()) {
- elgg_register_event_handler('validate', 'user', 'defaultwidgets_reset_access');
- }
-
- // @todo These submenu pages should be DRYed up
- elgg_add_admin_submenu_item('default_profile_widgets', elgg_echo('defaultwidgets:menu:profile'), 'appearance');
- elgg_add_admin_submenu_item('default_dashboard_widgets', elgg_echo('defaultwidgets:menu:dashboard'), 'appearance');
-}
-
-/**
- * Overrides default permissions for the default widgets context
- *
- */
-function defaultwidgets_can_edit($hook_name, $entity_type, $return_value, $parameters) {
- global $defaultwidget_access;
-
- if ($defaultwidget_access) {
- return true;
- }
- return $return_value;
-}
-
-/**
- * Override the canEditMetadata function to return true for messages
- *
- */
-function defaultwidgets_can_edit_metadata($hook_name, $entity_type, $return_value, $parameters) {
- global $defaultwidget_access;
-
- if ($defaultwidget_access) {
- return true;
- }
- return $return_value;
-
-}
-
-/**
- * Override the canEdit function to return true for messages within a particular context.
- *
- */
-function defaultwidgets_can_edit_container($hook_name, $entity_type, $return_value, $parameters) {
- global $defaultwidget_access;
-
- if ($defaultwidget_access) {
- return true;
- }
- return $return_value;
-}
-
-/**
- * Extends the create user event to add admin defined widgets to the dashboard/profile context
- */
-function defaultwidgets_newusers($event, $object_type, $object) {
-
- // turn on permissions override
- global $defaultwidget_access, $CONFIG;
- $defaultwidget_access = true;
-
- // get the new user guid
- $guid = $object->guid;
-
- if (isadminloggedin()) {
- // this is an admin-created user
- // no permissions problems, so set proper access now
- // use system default access (not the admin's default access!, because that could be a personal access level)
- $widget_access = $CONFIG->default_access;
- } else {
- // this is a regular registration
- // set widget access to public for now and reset it properly during the validate event
- // to avoid Elgg permissions problems
- $widget_access = ACCESS_PUBLIC;
- }
-
- // check if it's set
- if (! empty ( $guid )) {
-
- // get the user entity
- if ($user = get_entity ( $guid )) {
-
- // can this user edit
- if ($user->canEdit ()) {
-
- // each of the contexts to add widgets for
- $contexts = array ('profile', 'dashboard' );
-
- // get the entities for the module
- $entities = elgg_get_entities (array('type' => 'object', 'subtype' => 'moddefaultwidgets', 'limit' => 9999));
-
- // check if the entity exists
- if (isset ( $entities [0] )) {
-
- // get the widgets for the context
- $entity = $entities [0];
-
- foreach ( $contexts as $context ) {
- $current_widgets = $entity->$context;
- list ( $left, $middle, $right ) = split ( '%%', $current_widgets );
-
- // split columns into seperate widgets
- $area1widgets = split ( '::', $left );
- $area2widgets = split ( '::', $middle );
- $area3widgets = split ( '::', $right );
-
- // clear out variables if no widgets are available
- if ($area1widgets [0] == "")
- $area1widgets = false;
- if ($area2widgets [0] == "")
- $area2widgets = false;
- if ($area3widgets [0] == "")
- $area3widgets = false;
-
- // generate left column widgets for a new user
- if ($area1widgets) {
- foreach ( $area1widgets as $i => $widget ) {
- add_widget ( $guid, $widget, $context, ($i + 1), 1, $widget_access );
- }
- }
-
- // generate middle column widgets for a new user
- if ($area2widgets) {
- foreach ( $area2widgets as $i => $widget ) {
- add_widget ( $guid, $widget, $context, ($i + 1), 2, $widget_access );
- }
- }
-
- // generate right column widgets for a new user
- if ($area3widgets) {
- foreach ( $area3widgets as $i => $widget ) {
- add_widget ( $guid, $widget, $context, ($i + 1), 3, $widget_access );
- }
- }
- }
- }
- }
- }
- }
-
- // turn off permissions override
- $defaultwidget_access = false;
-}
-
-function defaultwidgets_reset_access($event, $object_type, $object) {
-
- global $defaultwidget_access;
-
- // turn on permissions override
- $defaultwidget_access = true;
-
- // the widgets are disabled, so turn on the ability to see disabled entities
-
- $access_status = access_get_show_hidden_status();
- access_show_hidden_entities(true);
-
- $widgets = elgg_get_entities(array('type' => 'object', 'subtype' => 'widget', 'owner_guid' => $object->getGUID()));
-
- if ($widgets) {
- foreach($widgets as $widget) {
- $widget->access_id = get_default_access();
- $widget->save();
- }
- }
-
- access_show_hidden_entities($access_status);
-
- // turn off permissions override
- $defaultwidget_access = false;
-
- return true;
-}
-
-// Make sure the status initialisation function is called on initialisation
-elgg_register_event_handler('init', 'system', 'defaultwidgets_init');
-
-elgg_register_plugin_hook_handler('permissions_check', 'user', 'defaultwidgets_can_edit');
-elgg_register_plugin_hook_handler('permissions_check', 'object', 'defaultwidgets_can_edit');
-elgg_register_plugin_hook_handler('container_permissions_check', 'user', 'defaultwidgets_can_edit_container');
-
-register_action("defaultwidgets/update", false, $CONFIG->pluginspath . "defaultwidgets/actions/update.php");
diff --git a/mod/defaultwidgets/views/default/admin/appearance/default_dashboard_widgets.php b/mod/defaultwidgets/views/default/admin/appearance/default_dashboard_widgets.php
deleted file mode 100644
index b6cc010df..000000000
--- a/mod/defaultwidgets/views/default/admin/appearance/default_dashboard_widgets.php
+++ /dev/null
@@ -1,18 +0,0 @@
-<?php
-/**
- * Elgg default_widgets plugin.
- *
- * @package DefaultWidgets
- *
- **/
-
-// Set admin user for user block
-set_page_owner(get_loggedin_userid());
-
-// create the view
-$time = time();
-echo elgg_view('defaultwidgets/editor', array(
- 'token' => generate_action_token($time),
- 'ts' => $time,
- 'context' => 'dashboard',
-));
diff --git a/mod/defaultwidgets/views/default/admin/appearance/default_profile_widgets.php b/mod/defaultwidgets/views/default/admin/appearance/default_profile_widgets.php
deleted file mode 100644
index bb1f3fbe0..000000000
--- a/mod/defaultwidgets/views/default/admin/appearance/default_profile_widgets.php
+++ /dev/null
@@ -1,18 +0,0 @@
-<?php
-/**
- * Elgg default_widgets plugin.
- *
- * @package DefaultWidgets
- *
- **/
-
-// set admin user for user block
-set_page_owner(get_loggedin_userid());
-
-// create the view
-$time = time();
-echo elgg_view('defaultwidgets/editor', array (
- 'token' => generate_action_token($time),
- 'ts' => $time,
- 'context' => 'profile',
-));
diff --git a/mod/defaultwidgets/views/default/defaultwidgets/editor.php b/mod/defaultwidgets/views/default/defaultwidgets/editor.php
deleted file mode 100644
index e63e84a79..000000000
--- a/mod/defaultwidgets/views/default/defaultwidgets/editor.php
+++ /dev/null
@@ -1,268 +0,0 @@
-<?php
-
- /**
- * Elgg default widget layout
- *
- * @package Elgg
- * @subpackage Core
- *
- * Edited version of the widget editor to be used to set default widgets
- *
- **/
-
- // set some defaults
- $owner = elgg_get_page_owner();
- $context = $vars['context'];
- $area1widgets = false;
- $area2widgets = false;
- $area3widgets = false;
-
- // get available widget types
- elgg_set_context($context);
- $widgettypes = get_widget_types();
- elgg_set_context('admin');
-
- // get the entities for the module
- $entities = elgg_get_entities(array('type' => 'object', 'subtype' => 'moddefaultwidgets', 'limit' => 9999));
-
- // check if the entity exists
- if(isset($entities[0])) {
-
- // get the widgets for the context
- $entity = $entities[0];
- $current_widgets = $entity->$context;
- list($left, $middle, $right) = split('%%',$current_widgets);
-
- // split columns into seperate widgets
- $area1widgets = split('::',$left);
- $area2widgets = split('::',$middle);
- $area3widgets = split('::',$right);
-
- // clear out variables if no widgets are available
- if ($area1widgets[0] == "") $area1widgets = false;
- if ($area2widgets[0] == "") $area2widgets = false;
- if ($area3widgets[0] == "") $area3widgets = false;
- }
-
-?>
-<script type="text/javascript">
-$(document).ready(function () {
- //$('div#customise_editpanel').slideToggle("fast");
-});
-</script>
-
-<div id="customise_editpanel" style="visibility:visible;">
-
-<div id="customise_editpanel_rhs">
-<h2><?php echo elgg_echo("widgets:gallery"); ?></h2>
-<div id="widget_picker_gallery">
-
-
-<?php
-
- foreach($widgettypes as $handler => $widget) {
-
-?>
-
-<table class="draggable_widget" cellspacing="0"><tr><td>
- <h3>
- <?php echo $widget->name; ?>
- <input type="hidden" name="multiple" value="<?php if ((isset($widget->handler)) && (isset($widgettypes[$widget->handler]->multiple))) echo $widgettypes[$widget->handler]->multiple; ?>" />
- <input type="hidden" name="side" value="<?php if ((isset($widget->handler)) && (isset($widgettypes[$widget->handler])) && (is_array($widgettypes[$widget->handler]->positions))) echo in_array('side',$widgettypes[$widget->handler]->positions); ?>" />
- <input type="hidden" name="main" value="<?php if ((isset($widget->handler)) && (isset($widgettypes[$widget->handler])) && (is_array($widgettypes[$widget->handler]->positions))) echo in_array('main',$widgettypes[$widget->handler]->positions); ?>" />
- <input type="hidden" name="handler" value="<?php echo htmlentities($handler); ?>" />
- <input type="hidden" name="description" value="<?php echo htmlentities($widget->description, null, 'UTF-8'); ?>" />
- <input type="hidden" name="guid" value="0" />
- </h3>
-</td>
-<td width="17px" align="right"></td>
-<td width="17px" align="right"><a href="#"><img src="<?php echo elgg_get_site_url(); ?>_graphics/spacer.gif" width="14" height="14" class="more_info" /></a></td>
-<td width="17px" align="right"><a href="#"><img src="<?php echo elgg_get_site_url(); ?>_graphics/spacer.gif" width="15" height="15" class="drag_handle" /></a></td>
-</tr></table>
-
-<?php
- }
-?>
-
-<br /><!-- bit of space at the bottom of the widget gallery -->
-
-</div><!-- /#customise_editpanel_rhs -->
-</div><!-- /#widget_picker_gallery -->
-
-
-<div class="customise_editpanel_instructions">
-<h2><?php echo elgg_echo('defaultwidgets:'.$context.':title'); ?></h2>
-<?php echo elgg_view('output/longtext', array('value' => elgg_echo('widgets:add:description'))); ?>
-</div>
-
-
-<div id="customise_page_view">
-
-<table cellspacing="0">
- <tr>
- <td colspan="2" align="left" valign="top">
-
- <?php
- if (elgg_get_context() == "profile"){
- ?>
- <h2 class="profile_box"><?php echo elgg_echo("widgets:profilebox"); ?></h2>
- <div id="profile_box_widgets">
- <p><small><?php echo elgg_echo('widgets:position:fixed'); ?></small></p>
- </div>
- <?php
- }
- ?>
-
- </td>
-
-
- <td rowspan="2" align="left" valign="top">
- <h2><?php echo elgg_echo("widgets:rightcolumn"); ?></h2>
- <div id="rightcolumn_widgets" <?php if (elgg_get_context() == "profile") echo "class=\"long\""; ?>>
- <?php
- $rightcolumn_widgets = "";
- if (is_array($area3widgets) && sizeof($area3widgets) > 0) {
- foreach($area3widgets as $widget) {
- if (!empty($rightcolumn_widgets)) {
- $rightcolumn_widgets .= "::";
- }
- $rightcolumn_widgets .= "{$widget}::0";
- ?>
-
- <table class="draggable_widget" cellspacing="0"><tr><td width="149px">
- <h3>
- <?php echo $widgettypes[$widget]->name; ?>
- <input type="hidden" name="handler" value="<?php
- echo $widget;
- ?>" />
- <input type="hidden" name="multiple" value="<?php echo $widgettypes[$widget]->multiple; ?>" />
- <input type="hidden" name="side" value="<?php echo in_array('side',$widgettypes[$widget]->positions); ?>" />
- <input type="hidden" name="main" value="<?php echo in_array('main',$widgettypes[$widget]->positions); ?>" />
- <input type="hidden" name="description" value="<?php echo htmlentities($widgettypes[$widget]->description); ?>" />
- <input type="hidden" name="guid" value="0" />
- </h3>
- </td>
- <td width="17px" align="right"></td>
- <td width="17px" align="right"><a href="#"><img src="<?php echo elgg_get_site_url(); ?>_graphics/spacer.gif" width="14" height="14" class="more_info" /></a></td>
- <td width="17px" align="right"><a href="#"><img src="<?php echo elgg_get_site_url(); ?>_graphics/spacer.gif" width="15" height="15" class="drag_handle" /></a></td>
- </tr></table>
-
- <?php
-
- }
- }
- ?>
-
- </div>
- </td><!-- /rightcolumn td -->
-
- </tr>
-
- <tr>
-
-<td>
-<h2><?php echo elgg_echo("widgets:leftcolumn"); ?></h2>
-<div id="leftcolumn_widgets">
-
-<?php
- $leftcolumn_widgets = "";
- if (is_array($area1widgets) && sizeof($area1widgets) > 0) {
- foreach($area1widgets as $widget) {
- if (!empty($leftcolumn_widgets)) {
- $leftcolumn_widgets .= "::";
- }
- $leftcolumn_widgets .= "{$widget}::0";
-?>
-
-<table class="draggable_widget" cellspacing="0"><tr><td width="149px">
- <h3>
- <?php echo $widgettypes[$widget]->name; ?>
- <input type="hidden" name="handler" value="<?php
- echo $widget;
- ?>" />
- <input type="hidden" name="multiple" value="<?php echo $widgettypes[$widget]->multiple; ?>" />
- <input type="hidden" name="side" value="<?php echo in_array('side',$widgettypes[$widget]->positions); ?>" />
- <input type="hidden" name="main" value="<?php echo in_array('main',$widgettypes[$widget]->positions); ?>" />
- <input type="hidden" name="description" value="<?php echo htmlentities($widgettypes[$widget]->description); ?>" />
- <input type="hidden" name="guid" value="0" />
- </h3>
-</td>
-<td width="17px" align="right"></td>
-<td width="17px" align="right"><a href="#"><img src="<?php echo elgg_get_site_url(); ?>_graphics/spacer.gif" width="14" height="14" class="more_info" /></a></td>
-<td width="17px" align="right"><a href="#"><img src="<?php echo elgg_get_site_url(); ?>_graphics/spacer.gif" width="15" height="15" class="drag_handle" /></a></td>
-</tr></table>
-
-<?php
-
- }
- }
-?>
-</div>
-</td>
-
-<td>
-
-<h2><?php echo elgg_echo("widgets:middlecolumn"); ?></h2>
-<div id="middlecolumn_widgets">
-
-<?php
- $middlecolumn_widgets = "";
- if (is_array($area2widgets) && sizeof($area2widgets) > 0) {
- foreach($area2widgets as $widget) {
- if (!empty($middlecolumn_widgets)) {
- $middlecolumn_widgets .= "::";
- }
- $middlecolumn_widgets .= "{$widget}::0";
-?>
-
-<table class="draggable_widget" cellspacing="0"><tr><td width="149px">
- <h3>
- <?php echo $widgettypes[$widget]->name; ?>
- <input type="hidden" name="handler" value="<?php
- echo $widget;
- ?>" />
- <input type="hidden" name="multiple" value="<?php echo $widgettypes[$widget]->multiple; ?>" />
- <input type="hidden" name="side" value="<?php echo in_array('side',$widgettypes[$widget]->positions); ?>" />
- <input type="hidden" name="main" value="<?php echo in_array('main',$widgettypes[$widget]->positions); ?>" />
- <input type="hidden" name="description" value="<?php echo htmlentities($widgettypes[$widget]->description); ?>" />
- <input type="hidden" name="guid" value="0" />
- </h3>
-</td>
-<td width="17px" align="right"></td>
-<td width="17px" align="right"><a href="#"><img src="<?php echo elgg_get_site_url(); ?>_graphics/spacer.gif" width="14" height="14" class="more_info" /></a></td>
-<td width="17px" align="right"><a href="#"><img src="<?php echo elgg_get_site_url(); ?>_graphics/spacer.gif" width="15" height="15" class="drag_handle" /></a></td>
-</tr></table>
-
-<?php
-
- }
- }
-?>
-
-</div>
-</td>
-
-
-
-
-
-
-</tr>
-</table>
-
-</div><!-- /#customise_page_view -->
-
-<form action="<?php echo elgg_get_site_url(); ?>action/defaultwidgets/update" method="post">
-<textarea type="textarea" value="Left widgets" style="display:none" name="debugField1" id="debugField1" /><?php echo $leftcolumn_widgets; ?></textarea>
-<textarea type="textarea" value="Middle widgets" style="display:none" name="debugField2" id="debugField2" /><?php echo $middlecolumn_widgets; ?></textarea>
-<textarea type="textarea" value="Right widgets" style="display:none" name="debugField3" id="debugField3" /><?php echo $rightcolumn_widgets; ?></textarea>
-<?php
- echo elgg_view('input/hidden',array('internalname' => '__elgg_token', 'value' => $vars['token']));
- echo elgg_view('input/hidden',array('internalname' => '__elgg_ts', 'value' => $vars['ts']));
-?>
-<input type="hidden" name="context" value="<?php echo $context; ?>" />
-<input type="hidden" name="owner" value="<?php echo elgg_get_page_owner_guid(); ?>" />
-<input type="submit" value="<?php echo elgg_echo('save'); ?>" class="submit_button" />
-
-</form>
-</div><!-- /customise_editpanel -->
diff --git a/mod/developers/actions/developers/inspect.php b/mod/developers/actions/developers/inspect.php
new file mode 100644
index 000000000..6fd9e90f9
--- /dev/null
+++ b/mod/developers/actions/developers/inspect.php
@@ -0,0 +1,16 @@
+<?php
+/**
+ * Ajax endpoint for inspection
+ *
+ */
+
+$inspect_type = get_input('inspect_type');
+$method = 'get' . str_replace(' ', '', $inspect_type);
+
+$inspector = new ElggInspector();
+if ($inspector && method_exists($inspector, $method)) {
+ $tree = $inspector->$method();
+ echo elgg_view('developers/tree', array('tree' => $tree));
+} else {
+ echo 'error';
+}
diff --git a/mod/developers/actions/developers/settings.php b/mod/developers/actions/developers/settings.php
new file mode 100644
index 000000000..7c91e2f5f
--- /dev/null
+++ b/mod/developers/actions/developers/settings.php
@@ -0,0 +1,35 @@
+<?php
+/**
+ * Save the developer settings
+ */
+
+$site = elgg_get_site_entity();
+
+if (get_input('simple_cache')) {
+ elgg_enable_simplecache();
+} else {
+ elgg_disable_simplecache();
+}
+
+if (get_input('system_cache')) {
+ elgg_enable_system_cache();
+} else {
+ elgg_disable_system_cache();
+}
+
+$debug = get_input('debug_level');
+if ($debug) {
+ set_config('debug', $debug, $site->getGUID());
+} else {
+ unset_config('debug', $site->getGUID());
+}
+
+$simple_settings = array('display_errors', 'screen_log', 'show_strings',
+ 'wrap_views', 'log_events',);
+foreach ($simple_settings as $setting) {
+ elgg_set_plugin_setting($setting, get_input($setting), 'developers');
+}
+
+system_message(elgg_echo('developers:settings:success'));
+
+forward(REFERER);
diff --git a/mod/developers/classes/ElggInspector.php b/mod/developers/classes/ElggInspector.php
new file mode 100644
index 000000000..e83fc6b81
--- /dev/null
+++ b/mod/developers/classes/ElggInspector.php
@@ -0,0 +1,201 @@
+<?php
+/**
+ * Inspect Elgg variables
+ *
+ */
+
+class ElggInspector {
+
+ /**
+ * Get Elgg event information
+ *
+ * returns [event,type] => array(handlers)
+ */
+ public function getEvents() {
+ global $CONFIG;
+
+ $tree = array();
+ foreach ($CONFIG->events as $event => $types) {
+ foreach ($types as $type => $handlers) {
+ $tree[$event . ',' . $type] = array_values($handlers);
+ }
+ }
+
+ ksort($tree);
+
+ return $tree;
+ }
+
+ /**
+ * Get Elgg plugin hooks information
+ *
+ * returns [hook,type] => array(handlers)
+ */
+ public function getPluginHooks() {
+ global $CONFIG;
+
+ $tree = array();
+ foreach ($CONFIG->hooks as $hook => $types) {
+ foreach ($types as $type => $handlers) {
+ $tree[$hook . ',' . $type] = array_values($handlers);
+ }
+ }
+
+ ksort($tree);
+
+ return $tree;
+ }
+
+ /**
+ * Get Elgg view information
+ *
+ * returns [view] => array(view location and extensions)
+ */
+ public function getViews() {
+ global $CONFIG;
+
+ $coreViews = $this->recurseFileTree($CONFIG->viewpath . "default/");
+
+ // remove base path and php extension
+ array_walk($coreViews, create_function('&$v,$k', 'global $CONFIG; $v = substr($v, strlen($CONFIG->viewpath . "default/"), -4);'));
+
+ // setup views array before adding extensions and plugin views
+ $views = array();
+ foreach ($coreViews as $view) {
+ $views[$view] = array($CONFIG->viewpath . "default/" . $view . ".php");
+ }
+
+ // add plugins and handle overrides
+ foreach ($CONFIG->views->locations['default'] as $view => $location) {
+ $views[$view] = array($location . $view . ".php");
+ }
+
+ // now extensions
+ foreach ($CONFIG->views->extensions as $view => $extensions) {
+ $view_list = array();
+ foreach ($extensions as $priority => $ext_view) {
+ if (isset($views[$ext_view])) {
+ $view_list[] = $views[$ext_view][0];
+ }
+ }
+ if (count($view_list) > 0) {
+ $views[$view] = $view_list;
+ }
+ }
+
+ ksort($views);
+
+ return $views;
+ }
+
+ /**
+ * Get Elgg widget information
+ *
+ * returns [widget] => array(name, contexts)
+ */
+ public function getWidgets() {
+ global $CONFIG;
+
+ $tree = array();
+ foreach ($CONFIG->widgets->handlers as $handler => $handler_obj) {
+ $tree[$handler] = array($handler_obj->name, implode(',', array_values($handler_obj->context)));
+ }
+
+ ksort($tree);
+
+ return $tree;
+ }
+
+
+ /**
+ * Get Elgg actions information
+ *
+ * returns [action] => array(file, public, admin)
+ */
+ public function getActions() {
+ global $CONFIG;
+
+ $tree = array();
+ foreach ($CONFIG->actions as $action => $info) {
+ $tree[$action] = array($info['file'], ($info['public']) ? 'public' : 'logged in only', ($info['admin']) ? 'admin only' : 'non-admin');
+ }
+
+ ksort($tree);
+
+ return $tree;
+ }
+
+ /**
+ * Get simplecache information
+ *
+ * returns [views]
+ */
+ public function getSimpleCache() {
+ global $CONFIG;
+
+ $tree = array();
+ foreach ($CONFIG->views->simplecache as $view) {
+ $tree[$view] = "";
+ }
+
+ ksort($tree);
+
+ return $tree;
+ }
+
+ /**
+ * Get Elgg web services API methods
+ *
+ * returns [method] => array(function, parameters, call_method, api auth, user auth)
+ */
+ public function getWebServices() {
+ global $API_METHODS;
+
+ $tree = array();
+ foreach ($API_METHODS as $method => $info) {
+ $params = implode(', ', array_keys($info['parameters']));
+ if (!$params) {
+ $params = 'none';
+ }
+ $tree[$method] = array(
+ $info['function'],
+ "params: $params",
+ $info['call_method'],
+ ($info['require_api_auth']) ? 'API authentication required' : 'No API authentication required',
+ ($info['require_user_auth']) ? 'User authentication required' : 'No user authentication required',
+ );
+ }
+
+ ksort($tree);
+
+ return $tree;
+ }
+
+ /**
+ * Create array of all php files in directory and subdirectories
+ *
+ * @param $dir full path to directory to begin search
+ * @return array of every php file in $dir or below in file tree
+ */
+ protected function recurseFileTree($dir) {
+ $view_list = array();
+
+ $handle = opendir($dir);
+ while ($file = readdir($handle)) {
+ if ($file[0] == '.') {
+
+ } else if (is_dir($dir . $file)) {
+ $view_list = array_merge($view_list, $this->recurseFileTree($dir . $file. "/"));
+ } else {
+ $extension = strrchr(trim($file, "/"), '.');
+ if ($extension === ".php") {
+ $view_list[] = $dir . $file;
+ }
+ }
+ }
+ closedir($handle);
+
+ return $view_list;
+ }
+
+}
diff --git a/mod/developers/classes/ElggLogCache.php b/mod/developers/classes/ElggLogCache.php
new file mode 100644
index 000000000..5bd4bce28
--- /dev/null
+++ b/mod/developers/classes/ElggLogCache.php
@@ -0,0 +1,44 @@
+<?php
+/**
+ * Cache logging information for later display
+ *
+ */
+
+class ElggLogCache {
+ protected $cache;
+
+ public function __construct() {
+ $this->cache = array();
+ }
+
+ /**
+ * Insert into cache
+ *
+ * @param mixed $data The log data to cache
+ */
+ public function insert($data) {
+ $this->cache[] = $data;
+ }
+
+ /**
+ * Insert into cache from plugin hook
+ *
+ * @param string $hook
+ * @param string $type
+ * @param bool $result
+ * @param array $params Must have the data at $params['msg']
+ */
+ public function insertDump($hook, $type, $result, $params) {
+ $this->insert($params['msg']);
+ return false;
+ }
+
+ /**
+ * Get the cache
+ *
+ * @return array
+ */
+ public function get() {
+ return $this->cache;
+ }
+}
diff --git a/mod/developers/languages/en.php b/mod/developers/languages/en.php
new file mode 100644
index 000000000..266b5406e
--- /dev/null
+++ b/mod/developers/languages/en.php
@@ -0,0 +1,69 @@
+<?php
+/**
+ * Elgg developer tools English language file.
+ *
+ */
+
+$english = array(
+ // menu
+ 'admin:develop_tools' => 'Tools',
+ 'admin:develop_tools:preview' => 'Theming Sandbox',
+ 'admin:develop_tools:inspect' => 'Inspect',
+ 'admin:develop_tools:unit_tests' => 'Unit Tests',
+ 'admin:developers' => 'Developers',
+ 'admin:developers:settings' => 'Settings',
+
+ // settings
+ 'elgg_dev_tools:settings:explanation' => 'Control your development and debugging settings below. Some of these settings are also available on other admin pages.',
+ 'developers:label:simple_cache' => 'Use simple cache',
+ 'developers:help:simple_cache' => 'Turn off this cache when developing. Otherwise, changes to your CSS and JavaScript will be ignored.',
+ 'developers:label:system_cache' => 'Use system cache',
+ 'developers:help:system_cache' => 'Turn this off when developing. Otherwise, changes in your plugins will not be registered.',
+ 'developers:label:debug_level' => "Trace level",
+ 'developers:help:debug_level' => "This controls the amount of information logged. See elgg_log() for more information.",
+ 'developers:label:display_errors' => 'Display fatal PHP errors',
+ 'developers:help:display_errors' => "By default, Elgg's .htaccess file supresses the display of fatal errors.",
+ 'developers:label:screen_log' => "Log to the screen",
+ 'developers:help:screen_log' => "This displays elgg_log() and elgg_dump() output on the web page.",
+ 'developers:label:show_strings' => "Show raw translation strings",
+ 'developers:help:show_strings' => "This displays the translation strings used by elgg_echo().",
+ 'developers:label:wrap_views' => "Wrap views",
+ 'developers:help:wrap_views' => "This wraps almost every view with HTML comments. Useful for finding the view creating particular HTML.
+ This can break non-HTML views in the default viewtype. See developers_wrap_views() for details.",
+ 'developers:label:log_events' => "Log events and plugin hooks",
+ 'developers:help:log_events' => "Write events and plugin hooks to the log. Warning: there are many of these per page.",
+
+ 'developers:debug:off' => 'Off',
+ 'developers:debug:error' => 'Error',
+ 'developers:debug:warning' => 'Warning',
+ 'developers:debug:notice' => 'Notice',
+
+ // inspection
+ 'developers:inspect:help' => 'Inspect configuration of the Elgg framework.',
+
+ // event logging
+ 'developers:event_log_msg' => "%s: '%s, %s' in %s",
+
+ // theme preview
+ 'theme_preview:general' => 'Introduction',
+ 'theme_preview:breakout' => 'Break out of iframe',
+ 'theme_preview:buttons' => 'Buttons',
+ 'theme_preview:components' => 'Components',
+ 'theme_preview:forms' => 'Forms',
+ 'theme_preview:grid' => 'Grid',
+ 'theme_preview:icons' => 'Icons',
+ 'theme_preview:modules' => 'Modules',
+ 'theme_preview:navigation' => 'Navigation',
+ 'theme_preview:typography' => 'Typography',
+ 'theme_preview:miscellaneous' => 'Miscellaneous',
+
+ // unit tests
+ 'developers:unit_tests:description' => 'Elgg has unit and integration tests for detecting bugs in its core classes and functions.',
+ 'developers:unit_tests:warning' => 'Warning: Do Not Run These Tests on a Production Site. They can corrupt your database.',
+ 'developers:unit_tests:run' => 'Run',
+
+ // status messages
+ 'developers:settings:success' => 'Settings saved',
+);
+
+add_translation('en', $english);
diff --git a/mod/developers/manifest.xml b/mod/developers/manifest.xml
new file mode 100644
index 000000000..23e726e2b
--- /dev/null
+++ b/mod/developers/manifest.xml
@@ -0,0 +1,19 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<plugin_manifest xmlns="http://www.elgg.org/plugin_manifest/1.8">
+ <name>Elgg Developer Tools</name>
+ <author>Core developers</author>
+ <version>1.0</version>
+ <category>bundled</category>
+ <category>development</category>
+ <blurb>Developer tools for Elgg</blurb>
+ <description>A set of tools for writing plugins and themes. It is recommended that you have this plugin at the top of the plugin list.</description>
+ <website>http://www.elgg.org/</website>
+ <bugtracker>https://github.com/Elgg/Elgg/issues</bugtracker>
+ <copyright>See COPYRIGHT.txt</copyright>
+ <license>GNU General Public License version 2</license>
+
+ <requires>
+ <type>elgg_release</type>
+ <version>1.8</version>
+ </requires>
+</plugin_manifest>
diff --git a/mod/developers/start.php b/mod/developers/start.php
new file mode 100644
index 000000000..94d0f652c
--- /dev/null
+++ b/mod/developers/start.php
@@ -0,0 +1,209 @@
+<?php
+/**
+ * Elgg developer tools
+ */
+
+// we want to run this as soon as possible - other plugins should not need to do this
+developers_process_settings();
+
+elgg_register_event_handler('init', 'system', 'developers_init');
+
+function developers_init() {
+ elgg_register_event_handler('pagesetup', 'system', 'developers_setup_menu');
+
+ elgg_extend_view('css/admin', 'developers/css');
+ elgg_extend_view('css/elgg', 'developers/css');
+
+ elgg_register_page_handler('theme_preview', 'developers_theme_preview_controller');
+
+ $action_base = elgg_get_plugins_path() . 'developers/actions/developers';
+ elgg_register_action('developers/settings', "$action_base/settings.php", 'admin');
+ elgg_register_action('developers/inspect', "$action_base/inspect.php", 'admin');
+
+ elgg_register_js('jquery.jstree', 'mod/developers/vendors/jsTree/jquery.jstree.js', 'footer');
+ elgg_register_css('jquery.jstree', 'mod/developers/vendors/jsTree/themes/default/style.css');
+
+ elgg_load_js('jquery.form');
+
+ elgg_register_js('elgg.dev', 'js/developers/developers.js', 'footer');
+ elgg_load_js('elgg.dev');
+}
+
+function developers_process_settings() {
+ if (elgg_get_plugin_setting('display_errors', 'developers') == 1) {
+ ini_set('display_errors', 1);
+ } else {
+ ini_set('display_errors', 0);
+ }
+
+ if (elgg_get_plugin_setting('screen_log', 'developers') == 1) {
+ $cache = new ElggLogCache();
+ elgg_set_config('log_cache', $cache);
+ elgg_register_plugin_hook_handler('debug', 'log', array($cache, 'insertDump'));
+ elgg_extend_view('page/elements/foot', 'developers/log');
+ }
+
+ if (elgg_get_plugin_setting('show_strings', 'developers') == 1) {
+ // first and last in case a plugin registers a translation in an init method
+ elgg_register_event_handler('init', 'system', 'developers_clear_strings', 1000);
+ elgg_register_event_handler('init', 'system', 'developers_clear_strings', 1);
+ }
+
+ if (elgg_get_plugin_setting('wrap_views', 'developers') == 1) {
+ elgg_register_plugin_hook_handler('view', 'all', 'developers_wrap_views');
+ }
+
+ if (elgg_get_plugin_setting('log_events', 'developers') == 1) {
+ elgg_register_event_handler('all', 'all', 'developers_log_events', 1);
+ elgg_register_plugin_hook_handler('all', 'all', 'developers_log_events', 1);
+ }
+}
+
+function developers_setup_menu() {
+ if (elgg_in_context('admin')) {
+ elgg_register_admin_menu_item('develop', 'inspect', 'develop_tools');
+ elgg_register_admin_menu_item('develop', 'preview', 'develop_tools');
+ elgg_register_admin_menu_item('develop', 'unit_tests', 'develop_tools');
+
+ elgg_register_menu_item('page', array(
+ 'name' => 'dev_settings',
+ 'href' => 'admin/developers/settings',
+ 'text' => elgg_echo('settings'),
+ 'context' => 'admin',
+ 'priority' => 10,
+ 'section' => 'develop'
+ ));
+ }
+}
+
+/**
+ * Clear all the strings so the raw descriptor strings are displayed
+ */
+function developers_clear_strings() {
+ global $CONFIG;
+
+ $language = get_language();
+ $CONFIG->translations[$language] = array();
+ $CONFIG->translations['en'] = array();
+}
+
+/**
+ * Post-process a view to add wrapper comments to it
+ *
+ * 1. Only process views served with the 'default' viewtype.
+ * 2. Does not wrap views that begin with js/ or css/ as they are not HTML.
+ * 3. Does not wrap views that are images (start with icon/). Is this still true?
+ * 4. Does not wrap input and output views (why?).
+ * 5. Does not wrap html head or the primary page shells
+ *
+ * @warning this will break views in the default viewtype that return non-HTML data
+ * that do not match the above restrictions.
+ */
+function developers_wrap_views($hook, $type, $result, $params) {
+ if (elgg_get_viewtype() != "default") {
+ return;
+ }
+
+ $excluded_bases = array('css', 'js', 'input', 'output', 'embed', 'icon',);
+
+ $excluded_views = array(
+ 'page/default',
+ 'page/admin',
+ 'page/elements/head',
+ );
+
+ $view = $params['view'];
+
+ $view_hierarchy = explode('/',$view);
+ if (in_array($view_hierarchy[0], $excluded_bases)) {
+ return;
+ }
+
+ if (in_array($view, $excluded_views)) {
+ return;
+ }
+
+ if ($result) {
+ $result = "<!-- developers:begin $view -->$result<!-- developers:end $view -->";
+ }
+
+ return $result;
+}
+
+/**
+ * Log the events and plugin hooks
+ */
+function developers_log_events($name, $type) {
+
+ // filter out some very common events
+ if ($name == 'view' || $name == 'display' || $name == 'log' || $name == 'debug') {
+ return;
+ }
+ if ($name == 'session:get' || $name == 'validate') {
+ return;
+ }
+
+ $stack = debug_backtrace();
+ if ($stack[2]['function'] == 'elgg_trigger_event') {
+ $event_type = 'Event';
+ } else {
+ $event_type = 'Plugin hook';
+ }
+ $function = $stack[3]['function'] . '()';
+ if ($function == 'require_once' || $function == 'include_once') {
+ $function = $stack[3]['file'];
+ }
+
+ $msg = elgg_echo('developers:event_log_msg', array(
+ $event_type,
+ $name,
+ $type,
+ $function,
+ ));
+ elgg_dump($msg, false, 'WARNING');
+
+ unset($stack);
+}
+
+/**
+ * Serve the theme preview pages
+ *
+ * @param array $page
+ * @return bool
+ */
+function developers_theme_preview_controller($page) {
+ if (!isset($page[0])) {
+ forward('theme_preview/general');
+ }
+
+ $pages = array(
+ 'buttons',
+ 'components',
+ 'forms',
+ 'grid',
+ 'icons',
+ 'modules',
+ 'navigation',
+ 'typography',
+ 'miscellaneous'
+ );
+
+ foreach ($pages as $page_name) {
+ elgg_register_menu_item('page', array(
+ 'name' => $page_name,
+ 'text' => elgg_echo("theme_preview:$page_name"),
+ 'href' => "theme_preview/$page_name",
+ ));
+ }
+
+ $title = elgg_echo("theme_preview:{$page[0]}");
+ $body = elgg_view("theme_preview/{$page[0]}");
+
+ $layout = elgg_view_layout('one_sidebar', array(
+ 'title' => $title,
+ 'content' => $body,
+ ));
+
+ echo elgg_view_page($title, $layout, 'theme_preview');
+ return true;
+}
diff --git a/mod/developers/vendors/jsTree/jquery.jstree.js b/mod/developers/vendors/jsTree/jquery.jstree.js
new file mode 100644
index 000000000..965a612f6
--- /dev/null
+++ b/mod/developers/vendors/jsTree/jquery.jstree.js
@@ -0,0 +1,4544 @@
+/*
+ * jsTree 1.0-rc3
+ * http://jstree.com/
+ *
+ * Copyright (c) 2010 Ivan Bozhanov (vakata.com)
+ *
+ * Licensed same as jquery - under the terms of either the MIT License or the GPL Version 2 License
+ * http://www.opensource.org/licenses/mit-license.php
+ * http://www.gnu.org/licenses/gpl.html
+ *
+ * $Date: 2011-02-09 01:17:14 +0200 (褋褉, 09 褎械胁褉 2011) $
+ * $Revision: 236 $
+ */
+
+/*jslint browser: true, onevar: true, undef: true, bitwise: true, strict: true */
+/*global window : false, clearInterval: false, clearTimeout: false, document: false, setInterval: false, setTimeout: false, jQuery: false, navigator: false, XSLTProcessor: false, DOMParser: false, XMLSerializer: false*/
+
+"use strict";
+
+// top wrapper to prevent multiple inclusion (is this OK?)
+(function () { if(jQuery && jQuery.jstree) { return; }
+ var is_ie6 = false, is_ie7 = false, is_ff2 = false;
+
+/*
+ * jsTree core
+ */
+(function ($) {
+ // Common functions not related to jsTree
+ // decided to move them to a `vakata` "namespace"
+ $.vakata = {};
+ // CSS related functions
+ $.vakata.css = {
+ get_css : function(rule_name, delete_flag, sheet) {
+ rule_name = rule_name.toLowerCase();
+ var css_rules = sheet.cssRules || sheet.rules,
+ j = 0;
+ do {
+ if(css_rules.length && j > css_rules.length + 5) { return false; }
+ if(css_rules[j].selectorText && css_rules[j].selectorText.toLowerCase() == rule_name) {
+ if(delete_flag === true) {
+ if(sheet.removeRule) { sheet.removeRule(j); }
+ if(sheet.deleteRule) { sheet.deleteRule(j); }
+ return true;
+ }
+ else { return css_rules[j]; }
+ }
+ }
+ while (css_rules[++j]);
+ return false;
+ },
+ add_css : function(rule_name, sheet) {
+ if($.jstree.css.get_css(rule_name, false, sheet)) { return false; }
+ if(sheet.insertRule) { sheet.insertRule(rule_name + ' { }', 0); } else { sheet.addRule(rule_name, null, 0); }
+ return $.vakata.css.get_css(rule_name);
+ },
+ remove_css : function(rule_name, sheet) {
+ return $.vakata.css.get_css(rule_name, true, sheet);
+ },
+ add_sheet : function(opts) {
+ var tmp = false, is_new = true;
+ if(opts.str) {
+ if(opts.title) { tmp = $("style[id='" + opts.title + "-stylesheet']")[0]; }
+ if(tmp) { is_new = false; }
+ else {
+ tmp = document.createElement("style");
+ tmp.setAttribute('type',"text/css");
+ if(opts.title) { tmp.setAttribute("id", opts.title + "-stylesheet"); }
+ }
+ if(tmp.styleSheet) {
+ if(is_new) {
+ document.getElementsByTagName("head")[0].appendChild(tmp);
+ tmp.styleSheet.cssText = opts.str;
+ }
+ else {
+ tmp.styleSheet.cssText = tmp.styleSheet.cssText + " " + opts.str;
+ }
+ }
+ else {
+ tmp.appendChild(document.createTextNode(opts.str));
+ document.getElementsByTagName("head")[0].appendChild(tmp);
+ }
+ return tmp.sheet || tmp.styleSheet;
+ }
+ if(opts.url) {
+ if(document.createStyleSheet) {
+ try { tmp = document.createStyleSheet(opts.url); } catch (e) { }
+ }
+ else {
+ tmp = document.createElement('link');
+ tmp.rel = 'stylesheet';
+ tmp.type = 'text/css';
+ tmp.media = "all";
+ tmp.href = opts.url;
+ document.getElementsByTagName("head")[0].appendChild(tmp);
+ return tmp.styleSheet;
+ }
+ }
+ }
+ };
+
+ // private variables
+ var instances = [], // instance array (used by $.jstree.reference/create/focused)
+ focused_instance = -1, // the index in the instance array of the currently focused instance
+ plugins = {}, // list of included plugins
+ prepared_move = {}; // for the move_node function
+
+ // jQuery plugin wrapper (thanks to jquery UI widget function)
+ $.fn.jstree = function (settings) {
+ var isMethodCall = (typeof settings == 'string'), // is this a method call like $().jstree("open_node")
+ args = Array.prototype.slice.call(arguments, 1),
+ returnValue = this;
+
+ // if a method call execute the method on all selected instances
+ if(isMethodCall) {
+ if(settings.substring(0, 1) == '_') { return returnValue; }
+ this.each(function() {
+ var instance = instances[$.data(this, "jstree-instance-id")],
+ methodValue = (instance && $.isFunction(instance[settings])) ? instance[settings].apply(instance, args) : instance;
+ if(typeof methodValue !== "undefined" && (settings.indexOf("is_") === 0 || (methodValue !== true && methodValue !== false))) { returnValue = methodValue; return false; }
+ });
+ }
+ else {
+ this.each(function() {
+ // extend settings and allow for multiple hashes and $.data
+ var instance_id = $.data(this, "jstree-instance-id"),
+ a = [],
+ b = settings ? $.extend({}, true, settings) : {},
+ c = $(this),
+ s = false,
+ t = [];
+ a = a.concat(args);
+ if(c.data("jstree")) { a.push(c.data("jstree")); }
+ b = a.length ? $.extend.apply(null, [true, b].concat(a)) : b;
+
+ // if an instance already exists, destroy it first
+ if(typeof instance_id !== "undefined" && instances[instance_id]) { instances[instance_id].destroy(); }
+ // push a new empty object to the instances array
+ instance_id = parseInt(instances.push({}),10) - 1;
+ // store the jstree instance id to the container element
+ $.data(this, "jstree-instance-id", instance_id);
+ // clean up all plugins
+ b.plugins = $.isArray(b.plugins) ? b.plugins : $.jstree.defaults.plugins.slice();
+ b.plugins.unshift("core");
+ // only unique plugins
+ b.plugins = b.plugins.sort().join(",,").replace(/(,|^)([^,]+)(,,\2)+(,|$)/g,"$1$2$4").replace(/,,+/g,",").replace(/,$/,"").split(",");
+
+ // extend defaults with passed data
+ s = $.extend(true, {}, $.jstree.defaults, b);
+ s.plugins = b.plugins;
+ $.each(plugins, function (i, val) {
+ if($.inArray(i, s.plugins) === -1) { s[i] = null; delete s[i]; }
+ else { t.push(i); }
+ });
+ s.plugins = t;
+
+ // push the new object to the instances array (at the same time set the default classes to the container) and init
+ instances[instance_id] = new $.jstree._instance(instance_id, $(this).addClass("jstree jstree-" + instance_id), s);
+ // init all activated plugins for this instance
+ $.each(instances[instance_id]._get_settings().plugins, function (i, val) { instances[instance_id].data[val] = {}; });
+ $.each(instances[instance_id]._get_settings().plugins, function (i, val) { if(plugins[val]) { plugins[val].__init.apply(instances[instance_id]); } });
+ // initialize the instance
+ setTimeout(function() { instances[instance_id].init(); }, 0);
+ });
+ }
+ // return the jquery selection (or if it was a method call that returned a value - the returned value)
+ return returnValue;
+ };
+ // object to store exposed functions and objects
+ $.jstree = {
+ defaults : {
+ plugins : []
+ },
+ _focused : function () { return instances[focused_instance] || null; },
+ _reference : function (needle) {
+ // get by instance id
+ if(instances[needle]) { return instances[needle]; }
+ // get by DOM (if still no luck - return null
+ var o = $(needle);
+ if(!o.length && typeof needle === "string") { o = $("#" + needle); }
+ if(!o.length) { return null; }
+ return instances[o.closest(".jstree").data("jstree-instance-id")] || null;
+ },
+ _instance : function (index, container, settings) {
+ // for plugins to store data in
+ this.data = { core : {} };
+ this.get_settings = function () { return $.extend(true, {}, settings); };
+ this._get_settings = function () { return settings; };
+ this.get_index = function () { return index; };
+ this.get_container = function () { return container; };
+ this.get_container_ul = function () { return container.children("ul:eq(0)"); };
+ this._set_settings = function (s) {
+ settings = $.extend(true, {}, settings, s);
+ };
+ },
+ _fn : { },
+ plugin : function (pname, pdata) {
+ pdata = $.extend({}, {
+ __init : $.noop,
+ __destroy : $.noop,
+ _fn : {},
+ defaults : false
+ }, pdata);
+ plugins[pname] = pdata;
+
+ $.jstree.defaults[pname] = pdata.defaults;
+ $.each(pdata._fn, function (i, val) {
+ val.plugin = pname;
+ val.old = $.jstree._fn[i];
+ $.jstree._fn[i] = function () {
+ var rslt,
+ func = val,
+ args = Array.prototype.slice.call(arguments),
+ evnt = new $.Event("before.jstree"),
+ rlbk = false;
+
+ if(this.data.core.locked === true && i !== "unlock" && i !== "is_locked") { return; }
+
+ // Check if function belongs to the included plugins of this instance
+ do {
+ if(func && func.plugin && $.inArray(func.plugin, this._get_settings().plugins) !== -1) { break; }
+ func = func.old;
+ } while(func);
+ if(!func) { return; }
+
+ // context and function to trigger events, then finally call the function
+ if(i.indexOf("_") === 0) {
+ rslt = func.apply(this, args);
+ }
+ else {
+ rslt = this.get_container().triggerHandler(evnt, { "func" : i, "inst" : this, "args" : args, "plugin" : func.plugin });
+ if(rslt === false) { return; }
+ if(typeof rslt !== "undefined") { args = rslt; }
+
+ rslt = func.apply(
+ $.extend({}, this, {
+ __callback : function (data) {
+ this.get_container().triggerHandler( i + '.jstree', { "inst" : this, "args" : args, "rslt" : data, "rlbk" : rlbk });
+ },
+ __rollback : function () {
+ rlbk = this.get_rollback();
+ return rlbk;
+ },
+ __call_old : function (replace_arguments) {
+ return func.old.apply(this, (replace_arguments ? Array.prototype.slice.call(arguments, 1) : args ) );
+ }
+ }), args);
+ }
+
+ // return the result
+ return rslt;
+ };
+ $.jstree._fn[i].old = val.old;
+ $.jstree._fn[i].plugin = pname;
+ });
+ },
+ rollback : function (rb) {
+ if(rb) {
+ if(!$.isArray(rb)) { rb = [ rb ]; }
+ $.each(rb, function (i, val) {
+ instances[val.i].set_rollback(val.h, val.d);
+ });
+ }
+ }
+ };
+ // set the prototype for all instances
+ $.jstree._fn = $.jstree._instance.prototype = {};
+
+ // load the css when DOM is ready
+ $(function() {
+ // code is copied from jQuery ($.browser is deprecated + there is a bug in IE)
+ var u = navigator.userAgent.toLowerCase(),
+ v = (u.match( /.+?(?:rv|it|ra|ie)[\/: ]([\d.]+)/ ) || [0,'0'])[1],
+ css_string = '' +
+ '.jstree ul, .jstree li { display:block; margin:0 0 0 0; padding:0 0 0 0; list-style-type:none; } ' +
+ '.jstree li { display:block; min-height:18px; line-height:18px; white-space:nowrap; margin-left:18px; min-width:18px; } ' +
+ '.jstree-rtl li { margin-left:0; margin-right:18px; } ' +
+ '.jstree > ul > li { margin-left:0px; } ' +
+ '.jstree-rtl > ul > li { margin-right:0px; } ' +
+ '.jstree ins { display:inline-block; text-decoration:none; width:18px; height:18px; margin:0 0 0 0; padding:0; } ' +
+ '.jstree a { display:inline-block; line-height:16px; height:16px; color:black; white-space:nowrap; text-decoration:none; padding:1px 2px; margin:0; } ' +
+ '.jstree a:focus { outline: none; } ' +
+ '.jstree a > ins { height:16px; width:16px; } ' +
+ '.jstree a > .jstree-icon { margin-right:3px; } ' +
+ '.jstree-rtl a > .jstree-icon { margin-left:3px; margin-right:0; } ' +
+ 'li.jstree-open > ul { display:block; } ' +
+ 'li.jstree-closed > ul { display:none; } ';
+ // Correct IE 6 (does not support the > CSS selector)
+ if(/msie/.test(u) && parseInt(v, 10) == 6) {
+ is_ie6 = true;
+
+ // fix image flicker and lack of caching
+ try {
+ document.execCommand("BackgroundImageCache", false, true);
+ } catch (err) { }
+
+ css_string += '' +
+ '.jstree li { height:18px; margin-left:0; margin-right:0; } ' +
+ '.jstree li li { margin-left:18px; } ' +
+ '.jstree-rtl li li { margin-left:0px; margin-right:18px; } ' +
+ 'li.jstree-open ul { display:block; } ' +
+ 'li.jstree-closed ul { display:none !important; } ' +
+ '.jstree li a { display:inline; border-width:0 !important; padding:0px 2px !important; } ' +
+ '.jstree li a ins { height:16px; width:16px; margin-right:3px; } ' +
+ '.jstree-rtl li a ins { margin-right:0px; margin-left:3px; } ';
+ }
+ // Correct IE 7 (shifts anchor nodes onhover)
+ if(/msie/.test(u) && parseInt(v, 10) == 7) {
+ is_ie7 = true;
+ css_string += '.jstree li a { border-width:0 !important; padding:0px 2px !important; } ';
+ }
+ // correct ff2 lack of display:inline-block
+ if(!/compatible/.test(u) && /mozilla/.test(u) && parseFloat(v, 10) < 1.9) {
+ is_ff2 = true;
+ css_string += '' +
+ '.jstree ins { display:-moz-inline-box; } ' +
+ '.jstree li { line-height:12px; } ' + // WHY??
+ '.jstree a { display:-moz-inline-box; } ' +
+ '.jstree .jstree-no-icons .jstree-checkbox { display:-moz-inline-stack !important; } ';
+ /* this shouldn't be here as it is theme specific */
+ }
+ // the default stylesheet
+ $.vakata.css.add_sheet({ str : css_string, title : "jstree" });
+ });
+
+ // core functions (open, close, create, update, delete)
+ $.jstree.plugin("core", {
+ __init : function () {
+ this.data.core.locked = false;
+ this.data.core.to_open = this.get_settings().core.initially_open;
+ this.data.core.to_load = this.get_settings().core.initially_load;
+ },
+ defaults : {
+ html_titles : false,
+ animation : 500,
+ initially_open : [],
+ initially_load : [],
+ open_parents : true,
+ notify_plugins : true,
+ rtl : false,
+ load_open : false,
+ strings : {
+ loading : "Loading ...",
+ new_node : "New node",
+ multiple_selection : "Multiple selection"
+ }
+ },
+ _fn : {
+ init : function () {
+ this.set_focus();
+ if(this._get_settings().core.rtl) {
+ this.get_container().addClass("jstree-rtl").css("direction", "rtl");
+ }
+ this.get_container().html("<ul><li class='jstree-last jstree-leaf'><ins>&#160;</ins><a class='jstree-loading' href='#'><ins class='jstree-icon'>&#160;</ins>" + this._get_string("loading") + "</a></li></ul>");
+ this.data.core.li_height = this.get_container_ul().find("li.jstree-closed, li.jstree-leaf").eq(0).height() || 18;
+
+ this.get_container()
+ .delegate("li > ins", "click.jstree", $.proxy(function (event) {
+ var trgt = $(event.target);
+ if(trgt.is("ins") && event.pageY - trgt.offset().top < this.data.core.li_height) { this.toggle_node(trgt); }
+ }, this))
+ .bind("mousedown.jstree", $.proxy(function () {
+ this.set_focus(); // This used to be setTimeout(set_focus,0) - why?
+ }, this))
+ .bind("dblclick.jstree", function (event) {
+ var sel;
+ if(document.selection && document.selection.empty) { document.selection.empty(); }
+ else {
+ if(window.getSelection) {
+ sel = window.getSelection();
+ try {
+ sel.removeAllRanges();
+ sel.collapse();
+ } catch (err) { }
+ }
+ }
+ });
+ if(this._get_settings().core.notify_plugins) {
+ this.get_container()
+ .bind("load_node.jstree", $.proxy(function (e, data) {
+ var o = this._get_node(data.rslt.obj),
+ t = this;
+ if(o === -1) { o = this.get_container_ul(); }
+ if(!o.length) { return; }
+ o.find("li").each(function () {
+ var th = $(this);
+ if(th.data("jstree")) {
+ $.each(th.data("jstree"), function (plugin, values) {
+ if(t.data[plugin] && $.isFunction(t["_" + plugin + "_notify"])) {
+ t["_" + plugin + "_notify"].call(t, th, values);
+ }
+ });
+ }
+ });
+ }, this));
+ }
+ if(this._get_settings().core.load_open) {
+ this.get_container()
+ .bind("load_node.jstree", $.proxy(function (e, data) {
+ var o = this._get_node(data.rslt.obj),
+ t = this;
+ if(o === -1) { o = this.get_container_ul(); }
+ if(!o.length) { return; }
+ o.find("li.jstree-open:not(:has(ul))").each(function () {
+ t.load_node(this, $.noop, $.noop);
+ });
+ }, this));
+ }
+ this.__callback();
+ this.load_node(-1, function () { this.loaded(); this.reload_nodes(); });
+ },
+ destroy : function () {
+ var i,
+ n = this.get_index(),
+ s = this._get_settings(),
+ _this = this;
+
+ $.each(s.plugins, function (i, val) {
+ try { plugins[val].__destroy.apply(_this); } catch(err) { }
+ });
+ this.__callback();
+ // set focus to another instance if this one is focused
+ if(this.is_focused()) {
+ for(i in instances) {
+ if(instances.hasOwnProperty(i) && i != n) {
+ instances[i].set_focus();
+ break;
+ }
+ }
+ }
+ // if no other instance found
+ if(n === focused_instance) { focused_instance = -1; }
+ // remove all traces of jstree in the DOM (only the ones set using jstree*) and cleans all events
+ this.get_container()
+ .unbind(".jstree")
+ .undelegate(".jstree")
+ .removeData("jstree-instance-id")
+ .find("[class^='jstree']")
+ .andSelf()
+ .attr("class", function () { return this.className.replace(/jstree[^ ]*|$/ig,''); });
+ $(document)
+ .unbind(".jstree-" + n)
+ .undelegate(".jstree-" + n);
+ // remove the actual data
+ instances[n] = null;
+ delete instances[n];
+ },
+
+ _core_notify : function (n, data) {
+ if(data.opened) {
+ this.open_node(n, false, true);
+ }
+ },
+
+ lock : function () {
+ this.data.core.locked = true;
+ this.get_container().children("ul").addClass("jstree-locked").css("opacity","0.7");
+ this.__callback({});
+ },
+ unlock : function () {
+ this.data.core.locked = false;
+ this.get_container().children("ul").removeClass("jstree-locked").css("opacity","1");
+ this.__callback({});
+ },
+ is_locked : function () { return this.data.core.locked; },
+ save_opened : function () {
+ var _this = this;
+ this.data.core.to_open = [];
+ this.get_container_ul().find("li.jstree-open").each(function () {
+ if(this.id) { _this.data.core.to_open.push("#" + this.id.toString().replace(/^#/,"").replace(/\\\//g,"/").replace(/\//g,"\\\/").replace(/\\\./g,".").replace(/\./g,"\\.").replace(/\:/g,"\\:")); }
+ });
+ this.__callback(_this.data.core.to_open);
+ },
+ save_loaded : function () { },
+ reload_nodes : function (is_callback) {
+ var _this = this,
+ done = true,
+ current = [],
+ remaining = [];
+ if(!is_callback) {
+ this.data.core.reopen = false;
+ this.data.core.refreshing = true;
+ this.data.core.to_open = $.map($.makeArray(this.data.core.to_open), function (n) { return "#" + n.toString().replace(/^#/,"").replace(/\\\//g,"/").replace(/\//g,"\\\/").replace(/\\\./g,".").replace(/\./g,"\\.").replace(/\:/g,"\\:"); });
+ this.data.core.to_load = $.map($.makeArray(this.data.core.to_load), function (n) { return "#" + n.toString().replace(/^#/,"").replace(/\\\//g,"/").replace(/\//g,"\\\/").replace(/\\\./g,".").replace(/\./g,"\\.").replace(/\:/g,"\\:"); });
+ if(this.data.core.to_open.length) {
+ this.data.core.to_load = this.data.core.to_load.concat(this.data.core.to_open);
+ }
+ }
+ if(this.data.core.to_load.length) {
+ $.each(this.data.core.to_load, function (i, val) {
+ if(val == "#") { return true; }
+ if($(val).length) { current.push(val); }
+ else { remaining.push(val); }
+ });
+ if(current.length) {
+ this.data.core.to_load = remaining;
+ $.each(current, function (i, val) {
+ if(!_this._is_loaded(val)) {
+ _this.load_node(val, function () { _this.reload_nodes(true); }, function () { _this.reload_nodes(true); });
+ done = false;
+ }
+ });
+ }
+ }
+ if(this.data.core.to_open.length) {
+ $.each(this.data.core.to_open, function (i, val) {
+ _this.open_node(val, false, true);
+ });
+ }
+ if(done) {
+ // TODO: find a more elegant approach to syncronizing returning requests
+ if(this.data.core.reopen) { clearTimeout(this.data.core.reopen); }
+ this.data.core.reopen = setTimeout(function () { _this.__callback({}, _this); }, 50);
+ this.data.core.refreshing = false;
+ this.reopen();
+ }
+ },
+ reopen : function () {
+ var _this = this;
+ if(this.data.core.to_open.length) {
+ $.each(this.data.core.to_open, function (i, val) {
+ _this.open_node(val, false, true);
+ });
+ }
+ this.__callback({});
+ },
+ refresh : function (obj) {
+ var _this = this;
+ this.save_opened();
+ if(!obj) { obj = -1; }
+ obj = this._get_node(obj);
+ if(!obj) { obj = -1; }
+ if(obj !== -1) { obj.children("UL").remove(); }
+ else { this.get_container_ul().empty(); }
+ this.load_node(obj, function () { _this.__callback({ "obj" : obj}); _this.reload_nodes(); });
+ },
+ // Dummy function to fire after the first load (so that there is a jstree.loaded event)
+ loaded : function () {
+ this.__callback();
+ },
+ // deal with focus
+ set_focus : function () {
+ if(this.is_focused()) { return; }
+ var f = $.jstree._focused();
+ if(f) { f.unset_focus(); }
+
+ this.get_container().addClass("jstree-focused");
+ focused_instance = this.get_index();
+ this.__callback();
+ },
+ is_focused : function () {
+ return focused_instance == this.get_index();
+ },
+ unset_focus : function () {
+ if(this.is_focused()) {
+ this.get_container().removeClass("jstree-focused");
+ focused_instance = -1;
+ }
+ this.__callback();
+ },
+
+ // traverse
+ _get_node : function (obj) {
+ var $obj = $(obj, this.get_container());
+ if($obj.is(".jstree") || obj == -1) { return -1; }
+ $obj = $obj.closest("li", this.get_container());
+ return $obj.length ? $obj : false;
+ },
+ _get_next : function (obj, strict) {
+ obj = this._get_node(obj);
+ if(obj === -1) { return this.get_container().find("> ul > li:first-child"); }
+ if(!obj.length) { return false; }
+ if(strict) { return (obj.nextAll("li").size() > 0) ? obj.nextAll("li:eq(0)") : false; }
+
+ if(obj.hasClass("jstree-open")) { return obj.find("li:eq(0)"); }
+ else if(obj.nextAll("li").size() > 0) { return obj.nextAll("li:eq(0)"); }
+ else { return obj.parentsUntil(".jstree","li").next("li").eq(0); }
+ },
+ _get_prev : function (obj, strict) {
+ obj = this._get_node(obj);
+ if(obj === -1) { return this.get_container().find("> ul > li:last-child"); }
+ if(!obj.length) { return false; }
+ if(strict) { return (obj.prevAll("li").length > 0) ? obj.prevAll("li:eq(0)") : false; }
+
+ if(obj.prev("li").length) {
+ obj = obj.prev("li").eq(0);
+ while(obj.hasClass("jstree-open")) { obj = obj.children("ul:eq(0)").children("li:last"); }
+ return obj;
+ }
+ else { var o = obj.parentsUntil(".jstree","li:eq(0)"); return o.length ? o : false; }
+ },
+ _get_parent : function (obj) {
+ obj = this._get_node(obj);
+ if(obj == -1 || !obj.length) { return false; }
+ var o = obj.parentsUntil(".jstree", "li:eq(0)");
+ return o.length ? o : -1;
+ },
+ _get_children : function (obj) {
+ obj = this._get_node(obj);
+ if(obj === -1) { return this.get_container().children("ul:eq(0)").children("li"); }
+ if(!obj.length) { return false; }
+ return obj.children("ul:eq(0)").children("li");
+ },
+ get_path : function (obj, id_mode) {
+ var p = [],
+ _this = this;
+ obj = this._get_node(obj);
+ if(obj === -1 || !obj || !obj.length) { return false; }
+ obj.parentsUntil(".jstree", "li").each(function () {
+ p.push( id_mode ? this.id : _this.get_text(this) );
+ });
+ p.reverse();
+ p.push( id_mode ? obj.attr("id") : this.get_text(obj) );
+ return p;
+ },
+
+ // string functions
+ _get_string : function (key) {
+ return this._get_settings().core.strings[key] || key;
+ },
+
+ is_open : function (obj) { obj = this._get_node(obj); return obj && obj !== -1 && obj.hasClass("jstree-open"); },
+ is_closed : function (obj) { obj = this._get_node(obj); return obj && obj !== -1 && obj.hasClass("jstree-closed"); },
+ is_leaf : function (obj) { obj = this._get_node(obj); return obj && obj !== -1 && obj.hasClass("jstree-leaf"); },
+ correct_state : function (obj) {
+ obj = this._get_node(obj);
+ if(!obj || obj === -1) { return false; }
+ obj.removeClass("jstree-closed jstree-open").addClass("jstree-leaf").children("ul").remove();
+ this.__callback({ "obj" : obj });
+ },
+ // open/close
+ open_node : function (obj, callback, skip_animation) {
+ obj = this._get_node(obj);
+ if(!obj.length) { return false; }
+ if(!obj.hasClass("jstree-closed")) { if(callback) { callback.call(); } return false; }
+ var s = skip_animation || is_ie6 ? 0 : this._get_settings().core.animation,
+ t = this;
+ if(!this._is_loaded(obj)) {
+ obj.children("a").addClass("jstree-loading");
+ this.load_node(obj, function () { t.open_node(obj, callback, skip_animation); }, callback);
+ }
+ else {
+ if(this._get_settings().core.open_parents) {
+ obj.parentsUntil(".jstree",".jstree-closed").each(function () {
+ t.open_node(this, false, true);
+ });
+ }
+ if(s) { obj.children("ul").css("display","none"); }
+ obj.removeClass("jstree-closed").addClass("jstree-open").children("a").removeClass("jstree-loading");
+ if(s) { obj.children("ul").stop(true, true).slideDown(s, function () { this.style.display = ""; t.after_open(obj); }); }
+ else { t.after_open(obj); }
+ this.__callback({ "obj" : obj });
+ if(callback) { callback.call(); }
+ }
+ },
+ after_open : function (obj) { this.__callback({ "obj" : obj }); },
+ close_node : function (obj, skip_animation) {
+ obj = this._get_node(obj);
+ var s = skip_animation || is_ie6 ? 0 : this._get_settings().core.animation,
+ t = this;
+ if(!obj.length || !obj.hasClass("jstree-open")) { return false; }
+ if(s) { obj.children("ul").attr("style","display:block !important"); }
+ obj.removeClass("jstree-open").addClass("jstree-closed");
+ if(s) { obj.children("ul").stop(true, true).slideUp(s, function () { this.style.display = ""; t.after_close(obj); }); }
+ else { t.after_close(obj); }
+ this.__callback({ "obj" : obj });
+ },
+ after_close : function (obj) { this.__callback({ "obj" : obj }); },
+ toggle_node : function (obj) {
+ obj = this._get_node(obj);
+ if(obj.hasClass("jstree-closed")) { return this.open_node(obj); }
+ if(obj.hasClass("jstree-open")) { return this.close_node(obj); }
+ },
+ open_all : function (obj, do_animation, original_obj) {
+ obj = obj ? this._get_node(obj) : -1;
+ if(!obj || obj === -1) { obj = this.get_container_ul(); }
+ if(original_obj) {
+ obj = obj.find("li.jstree-closed");
+ }
+ else {
+ original_obj = obj;
+ if(obj.is(".jstree-closed")) { obj = obj.find("li.jstree-closed").andSelf(); }
+ else { obj = obj.find("li.jstree-closed"); }
+ }
+ var _this = this;
+ obj.each(function () {
+ var __this = this;
+ if(!_this._is_loaded(this)) { _this.open_node(this, function() { _this.open_all(__this, do_animation, original_obj); }, !do_animation); }
+ else { _this.open_node(this, false, !do_animation); }
+ });
+ // so that callback is fired AFTER all nodes are open
+ if(original_obj.find('li.jstree-closed').length === 0) { this.__callback({ "obj" : original_obj }); }
+ },
+ close_all : function (obj, do_animation) {
+ var _this = this;
+ obj = obj ? this._get_node(obj) : this.get_container();
+ if(!obj || obj === -1) { obj = this.get_container_ul(); }
+ obj.find("li.jstree-open").andSelf().each(function () { _this.close_node(this, !do_animation); });
+ this.__callback({ "obj" : obj });
+ },
+ clean_node : function (obj) {
+ obj = obj && obj != -1 ? $(obj) : this.get_container_ul();
+ obj = obj.is("li") ? obj.find("li").andSelf() : obj.find("li");
+ obj.removeClass("jstree-last")
+ .filter("li:last-child").addClass("jstree-last").end()
+ .filter(":has(li)")
+ .not(".jstree-open").removeClass("jstree-leaf").addClass("jstree-closed");
+ obj.not(".jstree-open, .jstree-closed").addClass("jstree-leaf").children("ul").remove();
+ this.__callback({ "obj" : obj });
+ },
+ // rollback
+ get_rollback : function () {
+ this.__callback();
+ return { i : this.get_index(), h : this.get_container().children("ul").clone(true), d : this.data };
+ },
+ set_rollback : function (html, data) {
+ this.get_container().empty().append(html);
+ this.data = data;
+ this.__callback();
+ },
+ // Dummy functions to be overwritten by any datastore plugin included
+ load_node : function (obj, s_call, e_call) { this.__callback({ "obj" : obj }); },
+ _is_loaded : function (obj) { return true; },
+
+ // Basic operations: create
+ create_node : function (obj, position, js, callback, is_loaded) {
+ obj = this._get_node(obj);
+ position = typeof position === "undefined" ? "last" : position;
+ var d = $("<li />"),
+ s = this._get_settings().core,
+ tmp;
+
+ if(obj !== -1 && !obj.length) { return false; }
+ if(!is_loaded && !this._is_loaded(obj)) { this.load_node(obj, function () { this.create_node(obj, position, js, callback, true); }); return false; }
+
+ this.__rollback();
+
+ if(typeof js === "string") { js = { "data" : js }; }
+ if(!js) { js = {}; }
+ if(js.attr) { d.attr(js.attr); }
+ if(js.metadata) { d.data(js.metadata); }
+ if(js.state) { d.addClass("jstree-" + js.state); }
+ if(!js.data) { js.data = this._get_string("new_node"); }
+ if(!$.isArray(js.data)) { tmp = js.data; js.data = []; js.data.push(tmp); }
+ $.each(js.data, function (i, m) {
+ tmp = $("<a />");
+ if($.isFunction(m)) { m = m.call(this, js); }
+ if(typeof m == "string") { tmp.attr('href','#')[ s.html_titles ? "html" : "text" ](m); }
+ else {
+ if(!m.attr) { m.attr = {}; }
+ if(!m.attr.href) { m.attr.href = '#'; }
+ tmp.attr(m.attr)[ s.html_titles ? "html" : "text" ](m.title);
+ if(m.language) { tmp.addClass(m.language); }
+ }
+ tmp.prepend("<ins class='jstree-icon'>&#160;</ins>");
+ if(m.icon) {
+ if(m.icon.indexOf("/") === -1) { tmp.children("ins").addClass(m.icon); }
+ else { tmp.children("ins").css("background","url('" + m.icon + "') center center no-repeat"); }
+ }
+ d.append(tmp);
+ });
+ d.prepend("<ins class='jstree-icon'>&#160;</ins>");
+ if(obj === -1) {
+ obj = this.get_container();
+ if(position === "before") { position = "first"; }
+ if(position === "after") { position = "last"; }
+ }
+ switch(position) {
+ case "before": obj.before(d); tmp = this._get_parent(obj); break;
+ case "after" : obj.after(d); tmp = this._get_parent(obj); break;
+ case "inside":
+ case "first" :
+ if(!obj.children("ul").length) { obj.append("<ul />"); }
+ obj.children("ul").prepend(d);
+ tmp = obj;
+ break;
+ case "last":
+ if(!obj.children("ul").length) { obj.append("<ul />"); }
+ obj.children("ul").append(d);
+ tmp = obj;
+ break;
+ default:
+ if(!obj.children("ul").length) { obj.append("<ul />"); }
+ if(!position) { position = 0; }
+ tmp = obj.children("ul").children("li").eq(position);
+ if(tmp.length) { tmp.before(d); }
+ else { obj.children("ul").append(d); }
+ tmp = obj;
+ break;
+ }
+ if(tmp === -1 || tmp.get(0) === this.get_container().get(0)) { tmp = -1; }
+ this.clean_node(tmp);
+ this.__callback({ "obj" : d, "parent" : tmp });
+ if(callback) { callback.call(this, d); }
+ return d;
+ },
+ // Basic operations: rename (deal with text)
+ get_text : function (obj) {
+ obj = this._get_node(obj);
+ if(!obj.length) { return false; }
+ var s = this._get_settings().core.html_titles;
+ obj = obj.children("a:eq(0)");
+ if(s) {
+ obj = obj.clone();
+ obj.children("INS").remove();
+ return obj.html();
+ }
+ else {
+ obj = obj.contents().filter(function() { return this.nodeType == 3; })[0];
+ return obj.nodeValue;
+ }
+ },
+ set_text : function (obj, val) {
+ obj = this._get_node(obj);
+ if(!obj.length) { return false; }
+ obj = obj.children("a:eq(0)");
+ if(this._get_settings().core.html_titles) {
+ var tmp = obj.children("INS").clone();
+ obj.html(val).prepend(tmp);
+ this.__callback({ "obj" : obj, "name" : val });
+ return true;
+ }
+ else {
+ obj = obj.contents().filter(function() { return this.nodeType == 3; })[0];
+ this.__callback({ "obj" : obj, "name" : val });
+ return (obj.nodeValue = val);
+ }
+ },
+ rename_node : function (obj, val) {
+ obj = this._get_node(obj);
+ this.__rollback();
+ if(obj && obj.length && this.set_text.apply(this, Array.prototype.slice.call(arguments))) { this.__callback({ "obj" : obj, "name" : val }); }
+ },
+ // Basic operations: deleting nodes
+ delete_node : function (obj) {
+ obj = this._get_node(obj);
+ if(!obj.length) { return false; }
+ this.__rollback();
+ var p = this._get_parent(obj), prev = $([]), t = this;
+ obj.each(function () {
+ prev = prev.add(t._get_prev(this));
+ });
+ obj = obj.detach();
+ if(p !== -1 && p.find("> ul > li").length === 0) {
+ p.removeClass("jstree-open jstree-closed").addClass("jstree-leaf");
+ }
+ this.clean_node(p);
+ this.__callback({ "obj" : obj, "prev" : prev, "parent" : p });
+ return obj;
+ },
+ prepare_move : function (o, r, pos, cb, is_cb) {
+ var p = {};
+
+ p.ot = $.jstree._reference(o) || this;
+ p.o = p.ot._get_node(o);
+ p.r = r === - 1 ? -1 : this._get_node(r);
+ p.p = (typeof pos === "undefined" || pos === false) ? "last" : pos; // TODO: move to a setting
+ if(!is_cb && prepared_move.o && prepared_move.o[0] === p.o[0] && prepared_move.r[0] === p.r[0] && prepared_move.p === p.p) {
+ this.__callback(prepared_move);
+ if(cb) { cb.call(this, prepared_move); }
+ return;
+ }
+ p.ot = $.jstree._reference(p.o) || this;
+ p.rt = $.jstree._reference(p.r) || this; // r === -1 ? p.ot : $.jstree._reference(p.r) || this
+ if(p.r === -1 || !p.r) {
+ p.cr = -1;
+ switch(p.p) {
+ case "first":
+ case "before":
+ case "inside":
+ p.cp = 0;
+ break;
+ case "after":
+ case "last":
+ p.cp = p.rt.get_container().find(" > ul > li").length;
+ break;
+ default:
+ p.cp = p.p;
+ break;
+ }
+ }
+ else {
+ if(!/^(before|after)$/.test(p.p) && !this._is_loaded(p.r)) {
+ return this.load_node(p.r, function () { this.prepare_move(o, r, pos, cb, true); });
+ }
+ switch(p.p) {
+ case "before":
+ p.cp = p.r.index();
+ p.cr = p.rt._get_parent(p.r);
+ break;
+ case "after":
+ p.cp = p.r.index() + 1;
+ p.cr = p.rt._get_parent(p.r);
+ break;
+ case "inside":
+ case "first":
+ p.cp = 0;
+ p.cr = p.r;
+ break;
+ case "last":
+ p.cp = p.r.find(" > ul > li").length;
+ p.cr = p.r;
+ break;
+ default:
+ p.cp = p.p;
+ p.cr = p.r;
+ break;
+ }
+ }
+ p.np = p.cr == -1 ? p.rt.get_container() : p.cr;
+ p.op = p.ot._get_parent(p.o);
+ p.cop = p.o.index();
+ if(p.op === -1) { p.op = p.ot ? p.ot.get_container() : this.get_container(); }
+ if(!/^(before|after)$/.test(p.p) && p.op && p.np && p.op[0] === p.np[0] && p.o.index() < p.cp) { p.cp++; }
+ //if(p.p === "before" && p.op && p.np && p.op[0] === p.np[0] && p.o.index() < p.cp) { p.cp--; }
+ p.or = p.np.find(" > ul > li:nth-child(" + (p.cp + 1) + ")");
+ prepared_move = p;
+ this.__callback(prepared_move);
+ if(cb) { cb.call(this, prepared_move); }
+ },
+ check_move : function () {
+ var obj = prepared_move, ret = true, r = obj.r === -1 ? this.get_container() : obj.r;
+ if(!obj || !obj.o || obj.or[0] === obj.o[0]) { return false; }
+ if(obj.op && obj.np && obj.op[0] === obj.np[0] && obj.cp - 1 === obj.o.index()) { return false; }
+ obj.o.each(function () {
+ if(r.parentsUntil(".jstree", "li").andSelf().index(this) !== -1) { ret = false; return false; }
+ });
+ return ret;
+ },
+ move_node : function (obj, ref, position, is_copy, is_prepared, skip_check) {
+ if(!is_prepared) {
+ return this.prepare_move(obj, ref, position, function (p) {
+ this.move_node(p, false, false, is_copy, true, skip_check);
+ });
+ }
+ if(is_copy) {
+ prepared_move.cy = true;
+ }
+ if(!skip_check && !this.check_move()) { return false; }
+
+ this.__rollback();
+ var o = false;
+ if(is_copy) {
+ o = obj.o.clone(true);
+ o.find("*[id]").andSelf().each(function () {
+ if(this.id) { this.id = "copy_" + this.id; }
+ });
+ }
+ else { o = obj.o; }
+
+ if(obj.or.length) { obj.or.before(o); }
+ else {
+ if(!obj.np.children("ul").length) { $("<ul />").appendTo(obj.np); }
+ obj.np.children("ul:eq(0)").append(o);
+ }
+
+ try {
+ obj.ot.clean_node(obj.op);
+ obj.rt.clean_node(obj.np);
+ if(!obj.op.find("> ul > li").length) {
+ obj.op.removeClass("jstree-open jstree-closed").addClass("jstree-leaf").children("ul").remove();
+ }
+ } catch (e) { }
+
+ if(is_copy) {
+ prepared_move.cy = true;
+ prepared_move.oc = o;
+ }
+ this.__callback(prepared_move);
+ return prepared_move;
+ },
+ _get_move : function () { return prepared_move; }
+ }
+ });
+})(jQuery);
+//*/
+
+/*
+ * jsTree ui plugin
+ * This plugins handles selecting/deselecting/hovering/dehovering nodes
+ */
+(function ($) {
+ var scrollbar_width, e1, e2;
+ $(function() {
+ if (/msie/.test(navigator.userAgent.toLowerCase())) {
+ e1 = $('<textarea cols="10" rows="2"></textarea>').css({ position: 'absolute', top: -1000, left: 0 }).appendTo('body');
+ e2 = $('<textarea cols="10" rows="2" style="overflow: hidden;"></textarea>').css({ position: 'absolute', top: -1000, left: 0 }).appendTo('body');
+ scrollbar_width = e1.width() - e2.width();
+ e1.add(e2).remove();
+ }
+ else {
+ e1 = $('<div />').css({ width: 100, height: 100, overflow: 'auto', position: 'absolute', top: -1000, left: 0 })
+ .prependTo('body').append('<div />').find('div').css({ width: '100%', height: 200 });
+ scrollbar_width = 100 - e1.width();
+ e1.parent().remove();
+ }
+ });
+ $.jstree.plugin("ui", {
+ __init : function () {
+ this.data.ui.selected = $();
+ this.data.ui.last_selected = false;
+ this.data.ui.hovered = null;
+ this.data.ui.to_select = this.get_settings().ui.initially_select;
+
+ this.get_container()
+ .delegate("a", "click.jstree", $.proxy(function (event) {
+ event.preventDefault();
+ event.currentTarget.blur();
+ if(!$(event.currentTarget).hasClass("jstree-loading")) {
+ this.select_node(event.currentTarget, true, event);
+ }
+ }, this))
+ .delegate("a", "mouseenter.jstree", $.proxy(function (event) {
+ if(!$(event.currentTarget).hasClass("jstree-loading")) {
+ this.hover_node(event.target);
+ }
+ }, this))
+ .delegate("a", "mouseleave.jstree", $.proxy(function (event) {
+ if(!$(event.currentTarget).hasClass("jstree-loading")) {
+ this.dehover_node(event.target);
+ }
+ }, this))
+ .bind("reopen.jstree", $.proxy(function () {
+ this.reselect();
+ }, this))
+ .bind("get_rollback.jstree", $.proxy(function () {
+ this.dehover_node();
+ this.save_selected();
+ }, this))
+ .bind("set_rollback.jstree", $.proxy(function () {
+ this.reselect();
+ }, this))
+ .bind("close_node.jstree", $.proxy(function (event, data) {
+ var s = this._get_settings().ui,
+ obj = this._get_node(data.rslt.obj),
+ clk = (obj && obj.length) ? obj.children("ul").find("a.jstree-clicked") : $(),
+ _this = this;
+ if(s.selected_parent_close === false || !clk.length) { return; }
+ clk.each(function () {
+ _this.deselect_node(this);
+ if(s.selected_parent_close === "select_parent") { _this.select_node(obj); }
+ });
+ }, this))
+ .bind("delete_node.jstree", $.proxy(function (event, data) {
+ var s = this._get_settings().ui.select_prev_on_delete,
+ obj = this._get_node(data.rslt.obj),
+ clk = (obj && obj.length) ? obj.find("a.jstree-clicked") : [],
+ _this = this;
+ clk.each(function () { _this.deselect_node(this); });
+ if(s && clk.length) {
+ data.rslt.prev.each(function () {
+ if(this.parentNode) { _this.select_node(this); return false; /* if return false is removed all prev nodes will be selected */}
+ });
+ }
+ }, this))
+ .bind("move_node.jstree", $.proxy(function (event, data) {
+ if(data.rslt.cy) {
+ data.rslt.oc.find("a.jstree-clicked").removeClass("jstree-clicked");
+ }
+ }, this));
+ },
+ defaults : {
+ select_limit : -1, // 0, 1, 2 ... or -1 for unlimited
+ select_multiple_modifier : "ctrl", // on, or ctrl, shift, alt
+ select_range_modifier : "shift",
+ selected_parent_close : "select_parent", // false, "deselect", "select_parent"
+ selected_parent_open : true,
+ select_prev_on_delete : true,
+ disable_selecting_children : false,
+ initially_select : []
+ },
+ _fn : {
+ _get_node : function (obj, allow_multiple) {
+ if(typeof obj === "undefined" || obj === null) { return allow_multiple ? this.data.ui.selected : this.data.ui.last_selected; }
+ var $obj = $(obj, this.get_container());
+ if($obj.is(".jstree") || obj == -1) { return -1; }
+ $obj = $obj.closest("li", this.get_container());
+ return $obj.length ? $obj : false;
+ },
+ _ui_notify : function (n, data) {
+ if(data.selected) {
+ this.select_node(n, false);
+ }
+ },
+ save_selected : function () {
+ var _this = this;
+ this.data.ui.to_select = [];
+ this.data.ui.selected.each(function () { if(this.id) { _this.data.ui.to_select.push("#" + this.id.toString().replace(/^#/,"").replace(/\\\//g,"/").replace(/\//g,"\\\/").replace(/\\\./g,".").replace(/\./g,"\\.").replace(/\:/g,"\\:")); } });
+ this.__callback(this.data.ui.to_select);
+ },
+ reselect : function () {
+ var _this = this,
+ s = this.data.ui.to_select;
+ s = $.map($.makeArray(s), function (n) { return "#" + n.toString().replace(/^#/,"").replace(/\\\//g,"/").replace(/\//g,"\\\/").replace(/\\\./g,".").replace(/\./g,"\\.").replace(/\:/g,"\\:"); });
+ // this.deselect_all(); WHY deselect, breaks plugin state notifier?
+ $.each(s, function (i, val) { if(val && val !== "#") { _this.select_node(val); } });
+ this.data.ui.selected = this.data.ui.selected.filter(function () { return this.parentNode; });
+ this.__callback();
+ },
+ refresh : function (obj) {
+ this.save_selected();
+ return this.__call_old();
+ },
+ hover_node : function (obj) {
+ obj = this._get_node(obj);
+ if(!obj.length) { return false; }
+ //if(this.data.ui.hovered && obj.get(0) === this.data.ui.hovered.get(0)) { return; }
+ if(!obj.hasClass("jstree-hovered")) { this.dehover_node(); }
+ this.data.ui.hovered = obj.children("a").addClass("jstree-hovered").parent();
+ this._fix_scroll(obj);
+ this.__callback({ "obj" : obj });
+ },
+ dehover_node : function () {
+ var obj = this.data.ui.hovered, p;
+ if(!obj || !obj.length) { return false; }
+ p = obj.children("a").removeClass("jstree-hovered").parent();
+ if(this.data.ui.hovered[0] === p[0]) { this.data.ui.hovered = null; }
+ this.__callback({ "obj" : obj });
+ },
+ select_node : function (obj, check, e) {
+ obj = this._get_node(obj);
+ if(obj == -1 || !obj || !obj.length) { return false; }
+ var s = this._get_settings().ui,
+ is_multiple = (s.select_multiple_modifier == "on" || (s.select_multiple_modifier !== false && e && e[s.select_multiple_modifier + "Key"])),
+ is_range = (s.select_range_modifier !== false && e && e[s.select_range_modifier + "Key"] && this.data.ui.last_selected && this.data.ui.last_selected[0] !== obj[0] && this.data.ui.last_selected.parent()[0] === obj.parent()[0]),
+ is_selected = this.is_selected(obj),
+ proceed = true,
+ t = this;
+ if(check) {
+ if(s.disable_selecting_children && is_multiple &&
+ (
+ (obj.parentsUntil(".jstree","li").children("a.jstree-clicked").length) ||
+ (obj.children("ul").find("a.jstree-clicked:eq(0)").length)
+ )
+ ) {
+ return false;
+ }
+ proceed = false;
+ switch(!0) {
+ case (is_range):
+ this.data.ui.last_selected.addClass("jstree-last-selected");
+ obj = obj[ obj.index() < this.data.ui.last_selected.index() ? "nextUntil" : "prevUntil" ](".jstree-last-selected").andSelf();
+ if(s.select_limit == -1 || obj.length < s.select_limit) {
+ this.data.ui.last_selected.removeClass("jstree-last-selected");
+ this.data.ui.selected.each(function () {
+ if(this !== t.data.ui.last_selected[0]) { t.deselect_node(this); }
+ });
+ is_selected = false;
+ proceed = true;
+ }
+ else {
+ proceed = false;
+ }
+ break;
+ case (is_selected && !is_multiple):
+ this.deselect_all();
+ is_selected = false;
+ proceed = true;
+ break;
+ case (!is_selected && !is_multiple):
+ if(s.select_limit == -1 || s.select_limit > 0) {
+ this.deselect_all();
+ proceed = true;
+ }
+ break;
+ case (is_selected && is_multiple):
+ this.deselect_node(obj);
+ break;
+ case (!is_selected && is_multiple):
+ if(s.select_limit == -1 || this.data.ui.selected.length + 1 <= s.select_limit) {
+ proceed = true;
+ }
+ break;
+ }
+ }
+ if(proceed && !is_selected) {
+ if(!is_range) { this.data.ui.last_selected = obj; }
+ obj.children("a").addClass("jstree-clicked");
+ if(s.selected_parent_open) {
+ obj.parents(".jstree-closed").each(function () { t.open_node(this, false, true); });
+ }
+ this.data.ui.selected = this.data.ui.selected.add(obj);
+ this._fix_scroll(obj.eq(0));
+ this.__callback({ "obj" : obj, "e" : e });
+ }
+ },
+ _fix_scroll : function (obj) {
+ var c = this.get_container()[0], t;
+ if(c.scrollHeight > c.offsetHeight) {
+ obj = this._get_node(obj);
+ if(!obj || obj === -1 || !obj.length || !obj.is(":visible")) { return; }
+ t = obj.offset().top - this.get_container().offset().top;
+ if(t < 0) {
+ c.scrollTop = c.scrollTop + t - 1;
+ }
+ if(t + this.data.core.li_height + (c.scrollWidth > c.offsetWidth ? scrollbar_width : 0) > c.offsetHeight) {
+ c.scrollTop = c.scrollTop + (t - c.offsetHeight + this.data.core.li_height + 1 + (c.scrollWidth > c.offsetWidth ? scrollbar_width : 0));
+ }
+ }
+ },
+ deselect_node : function (obj) {
+ obj = this._get_node(obj);
+ if(!obj.length) { return false; }
+ if(this.is_selected(obj)) {
+ obj.children("a").removeClass("jstree-clicked");
+ this.data.ui.selected = this.data.ui.selected.not(obj);
+ if(this.data.ui.last_selected.get(0) === obj.get(0)) { this.data.ui.last_selected = this.data.ui.selected.eq(0); }
+ this.__callback({ "obj" : obj });
+ }
+ },
+ toggle_select : function (obj) {
+ obj = this._get_node(obj);
+ if(!obj.length) { return false; }
+ if(this.is_selected(obj)) { this.deselect_node(obj); }
+ else { this.select_node(obj); }
+ },
+ is_selected : function (obj) { return this.data.ui.selected.index(this._get_node(obj)) >= 0; },
+ get_selected : function (context) {
+ return context ? $(context).find("a.jstree-clicked").parent() : this.data.ui.selected;
+ },
+ deselect_all : function (context) {
+ var ret = context ? $(context).find("a.jstree-clicked").parent() : this.get_container().find("a.jstree-clicked").parent();
+ ret.children("a.jstree-clicked").removeClass("jstree-clicked");
+ this.data.ui.selected = $([]);
+ this.data.ui.last_selected = false;
+ this.__callback({ "obj" : ret });
+ }
+ }
+ });
+ // include the selection plugin by default
+ $.jstree.defaults.plugins.push("ui");
+})(jQuery);
+//*/
+
+/*
+ * jsTree CRRM plugin
+ * Handles creating/renaming/removing/moving nodes by user interaction.
+ */
+(function ($) {
+ $.jstree.plugin("crrm", {
+ __init : function () {
+ this.get_container()
+ .bind("move_node.jstree", $.proxy(function (e, data) {
+ if(this._get_settings().crrm.move.open_onmove) {
+ var t = this;
+ data.rslt.np.parentsUntil(".jstree").andSelf().filter(".jstree-closed").each(function () {
+ t.open_node(this, false, true);
+ });
+ }
+ }, this));
+ },
+ defaults : {
+ input_width_limit : 200,
+ move : {
+ always_copy : false, // false, true or "multitree"
+ open_onmove : true,
+ default_position : "last",
+ check_move : function (m) { return true; }
+ }
+ },
+ _fn : {
+ _show_input : function (obj, callback) {
+ obj = this._get_node(obj);
+ var rtl = this._get_settings().core.rtl,
+ w = this._get_settings().crrm.input_width_limit,
+ w1 = obj.children("ins").width(),
+ w2 = obj.find("> a:visible > ins").width() * obj.find("> a:visible > ins").length,
+ t = this.get_text(obj),
+ h1 = $("<div />", { css : { "position" : "absolute", "top" : "-200px", "left" : (rtl ? "0px" : "-1000px"), "visibility" : "hidden" } }).appendTo("body"),
+ h2 = obj.css("position","relative").append(
+ $("<input />", {
+ "value" : t,
+ "class" : "jstree-rename-input",
+ // "size" : t.length,
+ "css" : {
+ "padding" : "0",
+ "border" : "1px solid silver",
+ "position" : "absolute",
+ "left" : (rtl ? "auto" : (w1 + w2 + 4) + "px"),
+ "right" : (rtl ? (w1 + w2 + 4) + "px" : "auto"),
+ "top" : "0px",
+ "height" : (this.data.core.li_height - 2) + "px",
+ "lineHeight" : (this.data.core.li_height - 2) + "px",
+ "width" : "150px" // will be set a bit further down
+ },
+ "blur" : $.proxy(function () {
+ var i = obj.children(".jstree-rename-input"),
+ v = i.val();
+ if(v === "") { v = t; }
+ h1.remove();
+ i.remove(); // rollback purposes
+ this.set_text(obj,t); // rollback purposes
+ this.rename_node(obj, v);
+ callback.call(this, obj, v, t);
+ obj.css("position","");
+ }, this),
+ "keyup" : function (event) {
+ var key = event.keyCode || event.which;
+ if(key == 27) { this.value = t; this.blur(); return; }
+ else if(key == 13) { this.blur(); return; }
+ else {
+ h2.width(Math.min(h1.text("pW" + this.value).width(),w));
+ }
+ },
+ "keypress" : function(event) {
+ var key = event.keyCode || event.which;
+ if(key == 13) { return false; }
+ }
+ })
+ ).children(".jstree-rename-input");
+ this.set_text(obj, "");
+ h1.css({
+ fontFamily : h2.css('fontFamily') || '',
+ fontSize : h2.css('fontSize') || '',
+ fontWeight : h2.css('fontWeight') || '',
+ fontStyle : h2.css('fontStyle') || '',
+ fontStretch : h2.css('fontStretch') || '',
+ fontVariant : h2.css('fontVariant') || '',
+ letterSpacing : h2.css('letterSpacing') || '',
+ wordSpacing : h2.css('wordSpacing') || ''
+ });
+ h2.width(Math.min(h1.text("pW" + h2[0].value).width(),w))[0].select();
+ },
+ rename : function (obj) {
+ obj = this._get_node(obj);
+ this.__rollback();
+ var f = this.__callback;
+ this._show_input(obj, function (obj, new_name, old_name) {
+ f.call(this, { "obj" : obj, "new_name" : new_name, "old_name" : old_name });
+ });
+ },
+ create : function (obj, position, js, callback, skip_rename) {
+ var t, _this = this;
+ obj = this._get_node(obj);
+ if(!obj) { obj = -1; }
+ this.__rollback();
+ t = this.create_node(obj, position, js, function (t) {
+ var p = this._get_parent(t),
+ pos = $(t).index();
+ if(callback) { callback.call(this, t); }
+ if(p.length && p.hasClass("jstree-closed")) { this.open_node(p, false, true); }
+ if(!skip_rename) {
+ this._show_input(t, function (obj, new_name, old_name) {
+ _this.__callback({ "obj" : obj, "name" : new_name, "parent" : p, "position" : pos });
+ });
+ }
+ else { _this.__callback({ "obj" : t, "name" : this.get_text(t), "parent" : p, "position" : pos }); }
+ });
+ return t;
+ },
+ remove : function (obj) {
+ obj = this._get_node(obj, true);
+ var p = this._get_parent(obj), prev = this._get_prev(obj);
+ this.__rollback();
+ obj = this.delete_node(obj);
+ if(obj !== false) { this.__callback({ "obj" : obj, "prev" : prev, "parent" : p }); }
+ },
+ check_move : function () {
+ if(!this.__call_old()) { return false; }
+ var s = this._get_settings().crrm.move;
+ if(!s.check_move.call(this, this._get_move())) { return false; }
+ return true;
+ },
+ move_node : function (obj, ref, position, is_copy, is_prepared, skip_check) {
+ var s = this._get_settings().crrm.move;
+ if(!is_prepared) {
+ if(typeof position === "undefined") { position = s.default_position; }
+ if(position === "inside" && !s.default_position.match(/^(before|after)$/)) { position = s.default_position; }
+ return this.__call_old(true, obj, ref, position, is_copy, false, skip_check);
+ }
+ // if the move is already prepared
+ if(s.always_copy === true || (s.always_copy === "multitree" && obj.rt.get_index() !== obj.ot.get_index() )) {
+ is_copy = true;
+ }
+ this.__call_old(true, obj, ref, position, is_copy, true, skip_check);
+ },
+
+ cut : function (obj) {
+ obj = this._get_node(obj, true);
+ if(!obj || !obj.length) { return false; }
+ this.data.crrm.cp_nodes = false;
+ this.data.crrm.ct_nodes = obj;
+ this.__callback({ "obj" : obj });
+ },
+ copy : function (obj) {
+ obj = this._get_node(obj, true);
+ if(!obj || !obj.length) { return false; }
+ this.data.crrm.ct_nodes = false;
+ this.data.crrm.cp_nodes = obj;
+ this.__callback({ "obj" : obj });
+ },
+ paste : function (obj) {
+ obj = this._get_node(obj);
+ if(!obj || !obj.length) { return false; }
+ var nodes = this.data.crrm.ct_nodes ? this.data.crrm.ct_nodes : this.data.crrm.cp_nodes;
+ if(!this.data.crrm.ct_nodes && !this.data.crrm.cp_nodes) { return false; }
+ if(this.data.crrm.ct_nodes) { this.move_node(this.data.crrm.ct_nodes, obj); this.data.crrm.ct_nodes = false; }
+ if(this.data.crrm.cp_nodes) { this.move_node(this.data.crrm.cp_nodes, obj, false, true); }
+ this.__callback({ "obj" : obj, "nodes" : nodes });
+ }
+ }
+ });
+ // include the crr plugin by default
+ // $.jstree.defaults.plugins.push("crrm");
+})(jQuery);
+//*/
+
+/*
+ * jsTree themes plugin
+ * Handles loading and setting themes, as well as detecting path to themes, etc.
+ */
+(function ($) {
+ var themes_loaded = [];
+ // this variable stores the path to the themes folder - if left as false - it will be autodetected
+ $.jstree._themes = false;
+ $.jstree.plugin("themes", {
+ __init : function () {
+ this.get_container()
+ .bind("init.jstree", $.proxy(function () {
+ var s = this._get_settings().themes;
+ this.data.themes.dots = s.dots;
+ this.data.themes.icons = s.icons;
+ this.set_theme(s.theme, s.url);
+ }, this))
+ .bind("loaded.jstree", $.proxy(function () {
+ // bound here too, as simple HTML tree's won't honor dots & icons otherwise
+ if(!this.data.themes.dots) { this.hide_dots(); }
+ else { this.show_dots(); }
+ if(!this.data.themes.icons) { this.hide_icons(); }
+ else { this.show_icons(); }
+ }, this));
+ },
+ defaults : {
+ theme : "default",
+ url : false,
+ dots : true,
+ icons : true
+ },
+ _fn : {
+ set_theme : function (theme_name, theme_url) {
+ if(!theme_name) { return false; }
+ if(!theme_url) { theme_url = $.jstree._themes + theme_name + '/style.css'; }
+ if($.inArray(theme_url, themes_loaded) == -1) {
+ $.vakata.css.add_sheet({ "url" : theme_url });
+ themes_loaded.push(theme_url);
+ }
+ if(this.data.themes.theme != theme_name) {
+ this.get_container().removeClass('jstree-' + this.data.themes.theme);
+ this.data.themes.theme = theme_name;
+ }
+ this.get_container().addClass('jstree-' + theme_name);
+ if(!this.data.themes.dots) { this.hide_dots(); }
+ else { this.show_dots(); }
+ if(!this.data.themes.icons) { this.hide_icons(); }
+ else { this.show_icons(); }
+ this.__callback();
+ },
+ get_theme : function () { return this.data.themes.theme; },
+
+ show_dots : function () { this.data.themes.dots = true; this.get_container().children("ul").removeClass("jstree-no-dots"); },
+ hide_dots : function () { this.data.themes.dots = false; this.get_container().children("ul").addClass("jstree-no-dots"); },
+ toggle_dots : function () { if(this.data.themes.dots) { this.hide_dots(); } else { this.show_dots(); } },
+
+ show_icons : function () { this.data.themes.icons = true; this.get_container().children("ul").removeClass("jstree-no-icons"); },
+ hide_icons : function () { this.data.themes.icons = false; this.get_container().children("ul").addClass("jstree-no-icons"); },
+ toggle_icons: function () { if(this.data.themes.icons) { this.hide_icons(); } else { this.show_icons(); } }
+ }
+ });
+ // autodetect themes path
+ $(function () {
+ if($.jstree._themes === false) {
+ $("script").each(function () {
+ if(this.src.toString().match(/jquery\.jstree[^\/]*?\.js(\?.*)?$/)) {
+ $.jstree._themes = this.src.toString().replace(/jquery\.jstree[^\/]*?\.js(\?.*)?$/, "") + 'themes/';
+ return false;
+ }
+ });
+ }
+ if($.jstree._themes === false) { $.jstree._themes = "themes/"; }
+ });
+ // include the themes plugin by default
+ $.jstree.defaults.plugins.push("themes");
+})(jQuery);
+//*/
+
+/*
+ * jsTree hotkeys plugin
+ * Enables keyboard navigation for all tree instances
+ * Depends on the jstree ui & jquery hotkeys plugins
+ */
+(function ($) {
+ var bound = [];
+ function exec(i, event) {
+ var f = $.jstree._focused(), tmp;
+ if(f && f.data && f.data.hotkeys && f.data.hotkeys.enabled) {
+ tmp = f._get_settings().hotkeys[i];
+ if(tmp) { return tmp.call(f, event); }
+ }
+ }
+ $.jstree.plugin("hotkeys", {
+ __init : function () {
+ if(typeof $.hotkeys === "undefined") { throw "jsTree hotkeys: jQuery hotkeys plugin not included."; }
+ if(!this.data.ui) { throw "jsTree hotkeys: jsTree UI plugin not included."; }
+ $.each(this._get_settings().hotkeys, function (i, v) {
+ if(v !== false && $.inArray(i, bound) == -1) {
+ $(document).bind("keydown", i, function (event) { return exec(i, event); });
+ bound.push(i);
+ }
+ });
+ this.get_container()
+ .bind("lock.jstree", $.proxy(function () {
+ if(this.data.hotkeys.enabled) { this.data.hotkeys.enabled = false; this.data.hotkeys.revert = true; }
+ }, this))
+ .bind("unlock.jstree", $.proxy(function () {
+ if(this.data.hotkeys.revert) { this.data.hotkeys.enabled = true; }
+ }, this));
+ this.enable_hotkeys();
+ },
+ defaults : {
+ "up" : function () {
+ var o = this.data.ui.hovered || this.data.ui.last_selected || -1;
+ this.hover_node(this._get_prev(o));
+ return false;
+ },
+ "ctrl+up" : function () {
+ var o = this.data.ui.hovered || this.data.ui.last_selected || -1;
+ this.hover_node(this._get_prev(o));
+ return false;
+ },
+ "shift+up" : function () {
+ var o = this.data.ui.hovered || this.data.ui.last_selected || -1;
+ this.hover_node(this._get_prev(o));
+ return false;
+ },
+ "down" : function () {
+ var o = this.data.ui.hovered || this.data.ui.last_selected || -1;
+ this.hover_node(this._get_next(o));
+ return false;
+ },
+ "ctrl+down" : function () {
+ var o = this.data.ui.hovered || this.data.ui.last_selected || -1;
+ this.hover_node(this._get_next(o));
+ return false;
+ },
+ "shift+down" : function () {
+ var o = this.data.ui.hovered || this.data.ui.last_selected || -1;
+ this.hover_node(this._get_next(o));
+ return false;
+ },
+ "left" : function () {
+ var o = this.data.ui.hovered || this.data.ui.last_selected;
+ if(o) {
+ if(o.hasClass("jstree-open")) { this.close_node(o); }
+ else { this.hover_node(this._get_prev(o)); }
+ }
+ return false;
+ },
+ "ctrl+left" : function () {
+ var o = this.data.ui.hovered || this.data.ui.last_selected;
+ if(o) {
+ if(o.hasClass("jstree-open")) { this.close_node(o); }
+ else { this.hover_node(this._get_prev(o)); }
+ }
+ return false;
+ },
+ "shift+left" : function () {
+ var o = this.data.ui.hovered || this.data.ui.last_selected;
+ if(o) {
+ if(o.hasClass("jstree-open")) { this.close_node(o); }
+ else { this.hover_node(this._get_prev(o)); }
+ }
+ return false;
+ },
+ "right" : function () {
+ var o = this.data.ui.hovered || this.data.ui.last_selected;
+ if(o && o.length) {
+ if(o.hasClass("jstree-closed")) { this.open_node(o); }
+ else { this.hover_node(this._get_next(o)); }
+ }
+ return false;
+ },
+ "ctrl+right" : function () {
+ var o = this.data.ui.hovered || this.data.ui.last_selected;
+ if(o && o.length) {
+ if(o.hasClass("jstree-closed")) { this.open_node(o); }
+ else { this.hover_node(this._get_next(o)); }
+ }
+ return false;
+ },
+ "shift+right" : function () {
+ var o = this.data.ui.hovered || this.data.ui.last_selected;
+ if(o && o.length) {
+ if(o.hasClass("jstree-closed")) { this.open_node(o); }
+ else { this.hover_node(this._get_next(o)); }
+ }
+ return false;
+ },
+ "space" : function () {
+ if(this.data.ui.hovered) { this.data.ui.hovered.children("a:eq(0)").click(); }
+ return false;
+ },
+ "ctrl+space" : function (event) {
+ event.type = "click";
+ if(this.data.ui.hovered) { this.data.ui.hovered.children("a:eq(0)").trigger(event); }
+ return false;
+ },
+ "shift+space" : function (event) {
+ event.type = "click";
+ if(this.data.ui.hovered) { this.data.ui.hovered.children("a:eq(0)").trigger(event); }
+ return false;
+ },
+ "f2" : function () { this.rename(this.data.ui.hovered || this.data.ui.last_selected); },
+ "del" : function () { this.remove(this.data.ui.hovered || this._get_node(null)); }
+ },
+ _fn : {
+ enable_hotkeys : function () {
+ this.data.hotkeys.enabled = true;
+ },
+ disable_hotkeys : function () {
+ this.data.hotkeys.enabled = false;
+ }
+ }
+ });
+})(jQuery);
+//*/
+
+/*
+ * jsTree JSON plugin
+ * The JSON data store. Datastores are build by overriding the `load_node` and `_is_loaded` functions.
+ */
+(function ($) {
+ $.jstree.plugin("json_data", {
+ __init : function() {
+ var s = this._get_settings().json_data;
+ if(s.progressive_unload) {
+ this.get_container().bind("after_close.jstree", function (e, data) {
+ data.rslt.obj.children("ul").remove();
+ });
+ }
+ },
+ defaults : {
+ // `data` can be a function:
+ // * accepts two arguments - node being loaded and a callback to pass the result to
+ // * will be executed in the current tree's scope & ajax won't be supported
+ data : false,
+ ajax : false,
+ correct_state : true,
+ progressive_render : false,
+ progressive_unload : false
+ },
+ _fn : {
+ load_node : function (obj, s_call, e_call) { var _this = this; this.load_node_json(obj, function () { _this.__callback({ "obj" : _this._get_node(obj) }); s_call.call(this); }, e_call); },
+ _is_loaded : function (obj) {
+ var s = this._get_settings().json_data;
+ obj = this._get_node(obj);
+ return obj == -1 || !obj || (!s.ajax && !s.progressive_render && !$.isFunction(s.data)) || obj.is(".jstree-open, .jstree-leaf") || obj.children("ul").children("li").length > 0;
+ },
+ refresh : function (obj) {
+ obj = this._get_node(obj);
+ var s = this._get_settings().json_data;
+ if(obj && obj !== -1 && s.progressive_unload && ($.isFunction(s.data) || !!s.ajax)) {
+ obj.removeData("jstree-children");
+ }
+ return this.__call_old();
+ },
+ load_node_json : function (obj, s_call, e_call) {
+ var s = this.get_settings().json_data, d,
+ error_func = function () {},
+ success_func = function () {};
+ obj = this._get_node(obj);
+
+ if(obj && obj !== -1 && (s.progressive_render || s.progressive_unload) && !obj.is(".jstree-open, .jstree-leaf") && obj.children("ul").children("li").length === 0 && obj.data("jstree-children")) {
+ d = this._parse_json(obj.data("jstree-children"), obj);
+ if(d) {
+ obj.append(d);
+ if(!s.progressive_unload) { obj.removeData("jstree-children"); }
+ }
+ this.clean_node(obj);
+ if(s_call) { s_call.call(this); }
+ return;
+ }
+
+ if(obj && obj !== -1) {
+ if(obj.data("jstree-is-loading")) { return; }
+ else { obj.data("jstree-is-loading",true); }
+ }
+ switch(!0) {
+ case (!s.data && !s.ajax): throw "Neither data nor ajax settings supplied.";
+ // function option added here for easier model integration (also supporting async - see callback)
+ case ($.isFunction(s.data)):
+ s.data.call(this, obj, $.proxy(function (d) {
+ d = this._parse_json(d, obj);
+ if(!d) {
+ if(obj === -1 || !obj) {
+ if(s.correct_state) { this.get_container().children("ul").empty(); }
+ }
+ else {
+ obj.children("a.jstree-loading").removeClass("jstree-loading");
+ obj.removeData("jstree-is-loading");
+ if(s.correct_state) { this.correct_state(obj); }
+ }
+ if(e_call) { e_call.call(this); }
+ }
+ else {
+ if(obj === -1 || !obj) { this.get_container().children("ul").empty().append(d.children()); }
+ else { obj.append(d).children("a.jstree-loading").removeClass("jstree-loading"); obj.removeData("jstree-is-loading"); }
+ this.clean_node(obj);
+ if(s_call) { s_call.call(this); }
+ }
+ }, this));
+ break;
+ case (!!s.data && !s.ajax) || (!!s.data && !!s.ajax && (!obj || obj === -1)):
+ if(!obj || obj == -1) {
+ d = this._parse_json(s.data, obj);
+ if(d) {
+ this.get_container().children("ul").empty().append(d.children());
+ this.clean_node();
+ }
+ else {
+ if(s.correct_state) { this.get_container().children("ul").empty(); }
+ }
+ }
+ if(s_call) { s_call.call(this); }
+ break;
+ case (!s.data && !!s.ajax) || (!!s.data && !!s.ajax && obj && obj !== -1):
+ error_func = function (x, t, e) {
+ var ef = this.get_settings().json_data.ajax.error;
+ if(ef) { ef.call(this, x, t, e); }
+ if(obj != -1 && obj.length) {
+ obj.children("a.jstree-loading").removeClass("jstree-loading");
+ obj.removeData("jstree-is-loading");
+ if(t === "success" && s.correct_state) { this.correct_state(obj); }
+ }
+ else {
+ if(t === "success" && s.correct_state) { this.get_container().children("ul").empty(); }
+ }
+ if(e_call) { e_call.call(this); }
+ };
+ success_func = function (d, t, x) {
+ var sf = this.get_settings().json_data.ajax.success;
+ if(sf) { d = sf.call(this,d,t,x) || d; }
+ if(d === "" || (d && d.toString && d.toString().replace(/^[\s\n]+$/,"") === "") || (!$.isArray(d) && !$.isPlainObject(d))) {
+ return error_func.call(this, x, t, "");
+ }
+ d = this._parse_json(d, obj);
+ if(d) {
+ if(obj === -1 || !obj) { this.get_container().children("ul").empty().append(d.children()); }
+ else { obj.append(d).children("a.jstree-loading").removeClass("jstree-loading"); obj.removeData("jstree-is-loading"); }
+ this.clean_node(obj);
+ if(s_call) { s_call.call(this); }
+ }
+ else {
+ if(obj === -1 || !obj) {
+ if(s.correct_state) {
+ this.get_container().children("ul").empty();
+ if(s_call) { s_call.call(this); }
+ }
+ }
+ else {
+ obj.children("a.jstree-loading").removeClass("jstree-loading");
+ obj.removeData("jstree-is-loading");
+ if(s.correct_state) {
+ this.correct_state(obj);
+ if(s_call) { s_call.call(this); }
+ }
+ }
+ }
+ };
+ s.ajax.context = this;
+ s.ajax.error = error_func;
+ s.ajax.success = success_func;
+ if(!s.ajax.dataType) { s.ajax.dataType = "json"; }
+ if($.isFunction(s.ajax.url)) { s.ajax.url = s.ajax.url.call(this, obj); }
+ if($.isFunction(s.ajax.data)) { s.ajax.data = s.ajax.data.call(this, obj); }
+ $.ajax(s.ajax);
+ break;
+ }
+ },
+ _parse_json : function (js, obj, is_callback) {
+ var d = false,
+ p = this._get_settings(),
+ s = p.json_data,
+ t = p.core.html_titles,
+ tmp, i, j, ul1, ul2;
+
+ if(!js) { return d; }
+ if(s.progressive_unload && obj && obj !== -1) {
+ obj.data("jstree-children", d);
+ }
+ if($.isArray(js)) {
+ d = $();
+ if(!js.length) { return false; }
+ for(i = 0, j = js.length; i < j; i++) {
+ tmp = this._parse_json(js[i], obj, true);
+ if(tmp.length) { d = d.add(tmp); }
+ }
+ }
+ else {
+ if(typeof js == "string") { js = { data : js }; }
+ if(!js.data && js.data !== "") { return d; }
+ d = $("<li />");
+ if(js.attr) { d.attr(js.attr); }
+ if(js.metadata) { d.data(js.metadata); }
+ if(js.state) { d.addClass("jstree-" + js.state); }
+ if(!$.isArray(js.data)) { tmp = js.data; js.data = []; js.data.push(tmp); }
+ $.each(js.data, function (i, m) {
+ tmp = $("<a />");
+ if($.isFunction(m)) { m = m.call(this, js); }
+ if(typeof m == "string") { tmp.attr('href','#')[ t ? "html" : "text" ](m); }
+ else {
+ if(!m.attr) { m.attr = {}; }
+ if(!m.attr.href) { m.attr.href = '#'; }
+ tmp.attr(m.attr)[ t ? "html" : "text" ](m.title);
+ if(m.language) { tmp.addClass(m.language); }
+ }
+ tmp.prepend("<ins class='jstree-icon'>&#160;</ins>");
+ if(!m.icon && js.icon) { m.icon = js.icon; }
+ if(m.icon) {
+ if(m.icon.indexOf("/") === -1) { tmp.children("ins").addClass(m.icon); }
+ else { tmp.children("ins").css("background","url('" + m.icon + "') center center no-repeat"); }
+ }
+ d.append(tmp);
+ });
+ d.prepend("<ins class='jstree-icon'>&#160;</ins>");
+ if(js.children) {
+ if(s.progressive_render && js.state !== "open") {
+ d.addClass("jstree-closed").data("jstree-children", js.children);
+ }
+ else {
+ if(s.progressive_unload) { d.data("jstree-children", js.children); }
+ if($.isArray(js.children) && js.children.length) {
+ tmp = this._parse_json(js.children, obj, true);
+ if(tmp.length) {
+ ul2 = $("<ul />");
+ ul2.append(tmp);
+ d.append(ul2);
+ }
+ }
+ }
+ }
+ }
+ if(!is_callback) {
+ ul1 = $("<ul />");
+ ul1.append(d);
+ d = ul1;
+ }
+ return d;
+ },
+ get_json : function (obj, li_attr, a_attr, is_callback) {
+ var result = [],
+ s = this._get_settings(),
+ _this = this,
+ tmp1, tmp2, li, a, t, lang;
+ obj = this._get_node(obj);
+ if(!obj || obj === -1) { obj = this.get_container().find("> ul > li"); }
+ li_attr = $.isArray(li_attr) ? li_attr : [ "id", "class" ];
+ if(!is_callback && this.data.types) { li_attr.push(s.types.type_attr); }
+ a_attr = $.isArray(a_attr) ? a_attr : [ ];
+
+ obj.each(function () {
+ li = $(this);
+ tmp1 = { data : [] };
+ if(li_attr.length) { tmp1.attr = { }; }
+ $.each(li_attr, function (i, v) {
+ tmp2 = li.attr(v);
+ if(tmp2 && tmp2.length && tmp2.replace(/jstree[^ ]*/ig,'').length) {
+ tmp1.attr[v] = (" " + tmp2).replace(/ jstree[^ ]*/ig,'').replace(/\s+$/ig," ").replace(/^ /,"").replace(/ $/,"");
+ }
+ });
+ if(li.hasClass("jstree-open")) { tmp1.state = "open"; }
+ if(li.hasClass("jstree-closed")) { tmp1.state = "closed"; }
+ if(li.data()) { tmp1.metadata = li.data(); }
+ a = li.children("a");
+ a.each(function () {
+ t = $(this);
+ if(
+ a_attr.length ||
+ $.inArray("languages", s.plugins) !== -1 ||
+ t.children("ins").get(0).style.backgroundImage.length ||
+ (t.children("ins").get(0).className && t.children("ins").get(0).className.replace(/jstree[^ ]*|$/ig,'').length)
+ ) {
+ lang = false;
+ if($.inArray("languages", s.plugins) !== -1 && $.isArray(s.languages) && s.languages.length) {
+ $.each(s.languages, function (l, lv) {
+ if(t.hasClass(lv)) {
+ lang = lv;
+ return false;
+ }
+ });
+ }
+ tmp2 = { attr : { }, title : _this.get_text(t, lang) };
+ $.each(a_attr, function (k, z) {
+ tmp2.attr[z] = (" " + (t.attr(z) || "")).replace(/ jstree[^ ]*/ig,'').replace(/\s+$/ig," ").replace(/^ /,"").replace(/ $/,"");
+ });
+ if($.inArray("languages", s.plugins) !== -1 && $.isArray(s.languages) && s.languages.length) {
+ $.each(s.languages, function (k, z) {
+ if(t.hasClass(z)) { tmp2.language = z; return true; }
+ });
+ }
+ if(t.children("ins").get(0).className.replace(/jstree[^ ]*|$/ig,'').replace(/^\s+$/ig,"").length) {
+ tmp2.icon = t.children("ins").get(0).className.replace(/jstree[^ ]*|$/ig,'').replace(/\s+$/ig," ").replace(/^ /,"").replace(/ $/,"");
+ }
+ if(t.children("ins").get(0).style.backgroundImage.length) {
+ tmp2.icon = t.children("ins").get(0).style.backgroundImage.replace("url(","").replace(")","");
+ }
+ }
+ else {
+ tmp2 = _this.get_text(t);
+ }
+ if(a.length > 1) { tmp1.data.push(tmp2); }
+ else { tmp1.data = tmp2; }
+ });
+ li = li.find("> ul > li");
+ if(li.length) { tmp1.children = _this.get_json(li, li_attr, a_attr, true); }
+ result.push(tmp1);
+ });
+ return result;
+ }
+ }
+ });
+})(jQuery);
+//*/
+
+/*
+ * jsTree languages plugin
+ * Adds support for multiple language versions in one tree
+ * This basically allows for many titles coexisting in one node, but only one of them being visible at any given time
+ * This is useful for maintaining the same structure in many languages (hence the name of the plugin)
+ */
+(function ($) {
+ $.jstree.plugin("languages", {
+ __init : function () { this._load_css(); },
+ defaults : [],
+ _fn : {
+ set_lang : function (i) {
+ var langs = this._get_settings().languages,
+ st = false,
+ selector = ".jstree-" + this.get_index() + ' a';
+ if(!$.isArray(langs) || langs.length === 0) { return false; }
+ if($.inArray(i,langs) == -1) {
+ if(!!langs[i]) { i = langs[i]; }
+ else { return false; }
+ }
+ if(i == this.data.languages.current_language) { return true; }
+ st = $.vakata.css.get_css(selector + "." + this.data.languages.current_language, false, this.data.languages.language_css);
+ if(st !== false) { st.style.display = "none"; }
+ st = $.vakata.css.get_css(selector + "." + i, false, this.data.languages.language_css);
+ if(st !== false) { st.style.display = ""; }
+ this.data.languages.current_language = i;
+ this.__callback(i);
+ return true;
+ },
+ get_lang : function () {
+ return this.data.languages.current_language;
+ },
+ _get_string : function (key, lang) {
+ var langs = this._get_settings().languages,
+ s = this._get_settings().core.strings;
+ if($.isArray(langs) && langs.length) {
+ lang = (lang && $.inArray(lang,langs) != -1) ? lang : this.data.languages.current_language;
+ }
+ if(s[lang] && s[lang][key]) { return s[lang][key]; }
+ if(s[key]) { return s[key]; }
+ return key;
+ },
+ get_text : function (obj, lang) {
+ obj = this._get_node(obj) || this.data.ui.last_selected;
+ if(!obj.size()) { return false; }
+ var langs = this._get_settings().languages,
+ s = this._get_settings().core.html_titles;
+ if($.isArray(langs) && langs.length) {
+ lang = (lang && $.inArray(lang,langs) != -1) ? lang : this.data.languages.current_language;
+ obj = obj.children("a." + lang);
+ }
+ else { obj = obj.children("a:eq(0)"); }
+ if(s) {
+ obj = obj.clone();
+ obj.children("INS").remove();
+ return obj.html();
+ }
+ else {
+ obj = obj.contents().filter(function() { return this.nodeType == 3; })[0];
+ return obj.nodeValue;
+ }
+ },
+ set_text : function (obj, val, lang) {
+ obj = this._get_node(obj) || this.data.ui.last_selected;
+ if(!obj.size()) { return false; }
+ var langs = this._get_settings().languages,
+ s = this._get_settings().core.html_titles,
+ tmp;
+ if($.isArray(langs) && langs.length) {
+ lang = (lang && $.inArray(lang,langs) != -1) ? lang : this.data.languages.current_language;
+ obj = obj.children("a." + lang);
+ }
+ else { obj = obj.children("a:eq(0)"); }
+ if(s) {
+ tmp = obj.children("INS").clone();
+ obj.html(val).prepend(tmp);
+ this.__callback({ "obj" : obj, "name" : val, "lang" : lang });
+ return true;
+ }
+ else {
+ obj = obj.contents().filter(function() { return this.nodeType == 3; })[0];
+ this.__callback({ "obj" : obj, "name" : val, "lang" : lang });
+ return (obj.nodeValue = val);
+ }
+ },
+ _load_css : function () {
+ var langs = this._get_settings().languages,
+ str = "/* languages css */",
+ selector = ".jstree-" + this.get_index() + ' a',
+ ln;
+ if($.isArray(langs) && langs.length) {
+ this.data.languages.current_language = langs[0];
+ for(ln = 0; ln < langs.length; ln++) {
+ str += selector + "." + langs[ln] + " {";
+ if(langs[ln] != this.data.languages.current_language) { str += " display:none; "; }
+ str += " } ";
+ }
+ this.data.languages.language_css = $.vakata.css.add_sheet({ 'str' : str, 'title' : "jstree-languages" });
+ }
+ },
+ create_node : function (obj, position, js, callback) {
+ var t = this.__call_old(true, obj, position, js, function (t) {
+ var langs = this._get_settings().languages,
+ a = t.children("a"),
+ ln;
+ if($.isArray(langs) && langs.length) {
+ for(ln = 0; ln < langs.length; ln++) {
+ if(!a.is("." + langs[ln])) {
+ t.append(a.eq(0).clone().removeClass(langs.join(" ")).addClass(langs[ln]));
+ }
+ }
+ a.not("." + langs.join(", .")).remove();
+ }
+ if(callback) { callback.call(this, t); }
+ });
+ return t;
+ }
+ }
+ });
+})(jQuery);
+//*/
+
+/*
+ * jsTree cookies plugin
+ * Stores the currently opened/selected nodes in a cookie and then restores them
+ * Depends on the jquery.cookie plugin
+ */
+(function ($) {
+ $.jstree.plugin("cookies", {
+ __init : function () {
+ if(typeof $.cookie === "undefined") { throw "jsTree cookie: jQuery cookie plugin not included."; }
+
+ var s = this._get_settings().cookies,
+ tmp;
+ if(!!s.save_loaded) {
+ tmp = $.cookie(s.save_loaded);
+ if(tmp && tmp.length) { this.data.core.to_load = tmp.split(","); }
+ }
+ if(!!s.save_opened) {
+ tmp = $.cookie(s.save_opened);
+ if(tmp && tmp.length) { this.data.core.to_open = tmp.split(","); }
+ }
+ if(!!s.save_selected) {
+ tmp = $.cookie(s.save_selected);
+ if(tmp && tmp.length && this.data.ui) { this.data.ui.to_select = tmp.split(","); }
+ }
+ this.get_container()
+ .one( ( this.data.ui ? "reselect" : "reopen" ) + ".jstree", $.proxy(function () {
+ this.get_container()
+ .bind("open_node.jstree close_node.jstree select_node.jstree deselect_node.jstree", $.proxy(function (e) {
+ if(this._get_settings().cookies.auto_save) { this.save_cookie((e.handleObj.namespace + e.handleObj.type).replace("jstree","")); }
+ }, this));
+ }, this));
+ },
+ defaults : {
+ save_loaded : "jstree_load",
+ save_opened : "jstree_open",
+ save_selected : "jstree_select",
+ auto_save : true,
+ cookie_options : {}
+ },
+ _fn : {
+ save_cookie : function (c) {
+ if(this.data.core.refreshing) { return; }
+ var s = this._get_settings().cookies;
+ if(!c) { // if called manually and not by event
+ if(s.save_loaded) {
+ this.save_loaded();
+ $.cookie(s.save_loaded, this.data.core.to_load.join(","), s.cookie_options);
+ }
+ if(s.save_opened) {
+ this.save_opened();
+ $.cookie(s.save_opened, this.data.core.to_open.join(","), s.cookie_options);
+ }
+ if(s.save_selected && this.data.ui) {
+ this.save_selected();
+ $.cookie(s.save_selected, this.data.ui.to_select.join(","), s.cookie_options);
+ }
+ return;
+ }
+ switch(c) {
+ case "open_node":
+ case "close_node":
+ if(!!s.save_opened) {
+ this.save_opened();
+ $.cookie(s.save_opened, this.data.core.to_open.join(","), s.cookie_options);
+ }
+ if(!!s.save_loaded) {
+ this.save_loaded();
+ $.cookie(s.save_loaded, this.data.core.to_load.join(","), s.cookie_options);
+ }
+ break;
+ case "select_node":
+ case "deselect_node":
+ if(!!s.save_selected && this.data.ui) {
+ this.save_selected();
+ $.cookie(s.save_selected, this.data.ui.to_select.join(","), s.cookie_options);
+ }
+ break;
+ }
+ }
+ }
+ });
+ // include cookies by default
+ // $.jstree.defaults.plugins.push("cookies");
+})(jQuery);
+//*/
+
+/*
+ * jsTree sort plugin
+ * Sorts items alphabetically (or using any other function)
+ */
+(function ($) {
+ $.jstree.plugin("sort", {
+ __init : function () {
+ this.get_container()
+ .bind("load_node.jstree", $.proxy(function (e, data) {
+ var obj = this._get_node(data.rslt.obj);
+ obj = obj === -1 ? this.get_container().children("ul") : obj.children("ul");
+ this.sort(obj);
+ }, this))
+ .bind("rename_node.jstree create_node.jstree create.jstree", $.proxy(function (e, data) {
+ this.sort(data.rslt.obj.parent());
+ }, this))
+ .bind("move_node.jstree", $.proxy(function (e, data) {
+ var m = data.rslt.np == -1 ? this.get_container() : data.rslt.np;
+ this.sort(m.children("ul"));
+ }, this));
+ },
+ defaults : function (a, b) { return this.get_text(a) > this.get_text(b) ? 1 : -1; },
+ _fn : {
+ sort : function (obj) {
+ var s = this._get_settings().sort,
+ t = this;
+ obj.append($.makeArray(obj.children("li")).sort($.proxy(s, t)));
+ obj.find("> li > ul").each(function() { t.sort($(this)); });
+ this.clean_node(obj);
+ }
+ }
+ });
+})(jQuery);
+//*/
+
+/*
+ * jsTree DND plugin
+ * Drag and drop plugin for moving/copying nodes
+ */
+(function ($) {
+ var o = false,
+ r = false,
+ m = false,
+ ml = false,
+ sli = false,
+ sti = false,
+ dir1 = false,
+ dir2 = false,
+ last_pos = false;
+ $.vakata.dnd = {
+ is_down : false,
+ is_drag : false,
+ helper : false,
+ scroll_spd : 10,
+ init_x : 0,
+ init_y : 0,
+ threshold : 5,
+ helper_left : 5,
+ helper_top : 10,
+ user_data : {},
+
+ drag_start : function (e, data, html) {
+ if($.vakata.dnd.is_drag) { $.vakata.drag_stop({}); }
+ try {
+ e.currentTarget.unselectable = "on";
+ e.currentTarget.onselectstart = function() { return false; };
+ if(e.currentTarget.style) { e.currentTarget.style.MozUserSelect = "none"; }
+ } catch(err) { }
+ $.vakata.dnd.init_x = e.pageX;
+ $.vakata.dnd.init_y = e.pageY;
+ $.vakata.dnd.user_data = data;
+ $.vakata.dnd.is_down = true;
+ $.vakata.dnd.helper = $("<div id='vakata-dragged' />").html(html); //.fadeTo(10,0.25);
+ $(document).bind("mousemove", $.vakata.dnd.drag);
+ $(document).bind("mouseup", $.vakata.dnd.drag_stop);
+ return false;
+ },
+ drag : function (e) {
+ if(!$.vakata.dnd.is_down) { return; }
+ if(!$.vakata.dnd.is_drag) {
+ if(Math.abs(e.pageX - $.vakata.dnd.init_x) > 5 || Math.abs(e.pageY - $.vakata.dnd.init_y) > 5) {
+ $.vakata.dnd.helper.appendTo("body");
+ $.vakata.dnd.is_drag = true;
+ $(document).triggerHandler("drag_start.vakata", { "event" : e, "data" : $.vakata.dnd.user_data });
+ }
+ else { return; }
+ }
+
+ // maybe use a scrolling parent element instead of document?
+ if(e.type === "mousemove") { // thought of adding scroll in order to move the helper, but mouse poisition is n/a
+ var d = $(document), t = d.scrollTop(), l = d.scrollLeft();
+ if(e.pageY - t < 20) {
+ if(sti && dir1 === "down") { clearInterval(sti); sti = false; }
+ if(!sti) { dir1 = "up"; sti = setInterval(function () { $(document).scrollTop($(document).scrollTop() - $.vakata.dnd.scroll_spd); }, 150); }
+ }
+ else {
+ if(sti && dir1 === "up") { clearInterval(sti); sti = false; }
+ }
+ if($(window).height() - (e.pageY - t) < 20) {
+ if(sti && dir1 === "up") { clearInterval(sti); sti = false; }
+ if(!sti) { dir1 = "down"; sti = setInterval(function () { $(document).scrollTop($(document).scrollTop() + $.vakata.dnd.scroll_spd); }, 150); }
+ }
+ else {
+ if(sti && dir1 === "down") { clearInterval(sti); sti = false; }
+ }
+
+ if(e.pageX - l < 20) {
+ if(sli && dir2 === "right") { clearInterval(sli); sli = false; }
+ if(!sli) { dir2 = "left"; sli = setInterval(function () { $(document).scrollLeft($(document).scrollLeft() - $.vakata.dnd.scroll_spd); }, 150); }
+ }
+ else {
+ if(sli && dir2 === "left") { clearInterval(sli); sli = false; }
+ }
+ if($(window).width() - (e.pageX - l) < 20) {
+ if(sli && dir2 === "left") { clearInterval(sli); sli = false; }
+ if(!sli) { dir2 = "right"; sli = setInterval(function () { $(document).scrollLeft($(document).scrollLeft() + $.vakata.dnd.scroll_spd); }, 150); }
+ }
+ else {
+ if(sli && dir2 === "right") { clearInterval(sli); sli = false; }
+ }
+ }
+
+ $.vakata.dnd.helper.css({ left : (e.pageX + $.vakata.dnd.helper_left) + "px", top : (e.pageY + $.vakata.dnd.helper_top) + "px" });
+ $(document).triggerHandler("drag.vakata", { "event" : e, "data" : $.vakata.dnd.user_data });
+ },
+ drag_stop : function (e) {
+ if(sli) { clearInterval(sli); }
+ if(sti) { clearInterval(sti); }
+ $(document).unbind("mousemove", $.vakata.dnd.drag);
+ $(document).unbind("mouseup", $.vakata.dnd.drag_stop);
+ $(document).triggerHandler("drag_stop.vakata", { "event" : e, "data" : $.vakata.dnd.user_data });
+ $.vakata.dnd.helper.remove();
+ $.vakata.dnd.init_x = 0;
+ $.vakata.dnd.init_y = 0;
+ $.vakata.dnd.user_data = {};
+ $.vakata.dnd.is_down = false;
+ $.vakata.dnd.is_drag = false;
+ }
+ };
+ $(function() {
+ var css_string = '#vakata-dragged { display:block; margin:0 0 0 0; padding:4px 4px 4px 24px; position:absolute; top:-2000px; line-height:16px; z-index:10000; } ';
+ $.vakata.css.add_sheet({ str : css_string, title : "vakata" });
+ });
+
+ $.jstree.plugin("dnd", {
+ __init : function () {
+ this.data.dnd = {
+ active : false,
+ after : false,
+ inside : false,
+ before : false,
+ off : false,
+ prepared : false,
+ w : 0,
+ to1 : false,
+ to2 : false,
+ cof : false,
+ cw : false,
+ ch : false,
+ i1 : false,
+ i2 : false,
+ mto : false
+ };
+ this.get_container()
+ .bind("mouseenter.jstree", $.proxy(function (e) {
+ if($.vakata.dnd.is_drag && $.vakata.dnd.user_data.jstree) {
+ if(this.data.themes) {
+ m.attr("class", "jstree-" + this.data.themes.theme);
+ if(ml) { ml.attr("class", "jstree-" + this.data.themes.theme); }
+ $.vakata.dnd.helper.attr("class", "jstree-dnd-helper jstree-" + this.data.themes.theme);
+ }
+ //if($(e.currentTarget).find("> ul > li").length === 0) {
+ if(e.currentTarget === e.target && $.vakata.dnd.user_data.obj && $($.vakata.dnd.user_data.obj).length && $($.vakata.dnd.user_data.obj).parents(".jstree:eq(0)")[0] !== e.target) { // node should not be from the same tree
+ var tr = $.jstree._reference(e.target), dc;
+ if(tr.data.dnd.foreign) {
+ dc = tr._get_settings().dnd.drag_check.call(this, { "o" : o, "r" : tr.get_container(), is_root : true });
+ if(dc === true || dc.inside === true || dc.before === true || dc.after === true) {
+ $.vakata.dnd.helper.children("ins").attr("class","jstree-ok");
+ }
+ }
+ else {
+ tr.prepare_move(o, tr.get_container(), "last");
+ if(tr.check_move()) {
+ $.vakata.dnd.helper.children("ins").attr("class","jstree-ok");
+ }
+ }
+ }
+ }
+ }, this))
+ .bind("mouseup.jstree", $.proxy(function (e) {
+ //if($.vakata.dnd.is_drag && $.vakata.dnd.user_data.jstree && $(e.currentTarget).find("> ul > li").length === 0) {
+ if($.vakata.dnd.is_drag && $.vakata.dnd.user_data.jstree && e.currentTarget === e.target && $.vakata.dnd.user_data.obj && $($.vakata.dnd.user_data.obj).length && $($.vakata.dnd.user_data.obj).parents(".jstree:eq(0)")[0] !== e.target) { // node should not be from the same tree
+ var tr = $.jstree._reference(e.currentTarget), dc;
+ if(tr.data.dnd.foreign) {
+ dc = tr._get_settings().dnd.drag_check.call(this, { "o" : o, "r" : tr.get_container(), is_root : true });
+ if(dc === true || dc.inside === true || dc.before === true || dc.after === true) {
+ tr._get_settings().dnd.drag_finish.call(this, { "o" : o, "r" : tr.get_container(), is_root : true });
+ }
+ }
+ else {
+ tr.move_node(o, tr.get_container(), "last", e[tr._get_settings().dnd.copy_modifier + "Key"]);
+ }
+ }
+ }, this))
+ .bind("mouseleave.jstree", $.proxy(function (e) {
+ if(e.relatedTarget && e.relatedTarget.id && e.relatedTarget.id === "jstree-marker-line") {
+ return false;
+ }
+ if($.vakata.dnd.is_drag && $.vakata.dnd.user_data.jstree) {
+ if(this.data.dnd.i1) { clearInterval(this.data.dnd.i1); }
+ if(this.data.dnd.i2) { clearInterval(this.data.dnd.i2); }
+ if(this.data.dnd.to1) { clearTimeout(this.data.dnd.to1); }
+ if(this.data.dnd.to2) { clearTimeout(this.data.dnd.to2); }
+ if($.vakata.dnd.helper.children("ins").hasClass("jstree-ok")) {
+ $.vakata.dnd.helper.children("ins").attr("class","jstree-invalid");
+ }
+ }
+ }, this))
+ .bind("mousemove.jstree", $.proxy(function (e) {
+ if($.vakata.dnd.is_drag && $.vakata.dnd.user_data.jstree) {
+ var cnt = this.get_container()[0];
+
+ // Horizontal scroll
+ if(e.pageX + 24 > this.data.dnd.cof.left + this.data.dnd.cw) {
+ if(this.data.dnd.i1) { clearInterval(this.data.dnd.i1); }
+ this.data.dnd.i1 = setInterval($.proxy(function () { this.scrollLeft += $.vakata.dnd.scroll_spd; }, cnt), 100);
+ }
+ else if(e.pageX - 24 < this.data.dnd.cof.left) {
+ if(this.data.dnd.i1) { clearInterval(this.data.dnd.i1); }
+ this.data.dnd.i1 = setInterval($.proxy(function () { this.scrollLeft -= $.vakata.dnd.scroll_spd; }, cnt), 100);
+ }
+ else {
+ if(this.data.dnd.i1) { clearInterval(this.data.dnd.i1); }
+ }
+
+ // Vertical scroll
+ if(e.pageY + 24 > this.data.dnd.cof.top + this.data.dnd.ch) {
+ if(this.data.dnd.i2) { clearInterval(this.data.dnd.i2); }
+ this.data.dnd.i2 = setInterval($.proxy(function () { this.scrollTop += $.vakata.dnd.scroll_spd; }, cnt), 100);
+ }
+ else if(e.pageY - 24 < this.data.dnd.cof.top) {
+ if(this.data.dnd.i2) { clearInterval(this.data.dnd.i2); }
+ this.data.dnd.i2 = setInterval($.proxy(function () { this.scrollTop -= $.vakata.dnd.scroll_spd; }, cnt), 100);
+ }
+ else {
+ if(this.data.dnd.i2) { clearInterval(this.data.dnd.i2); }
+ }
+
+ }
+ }, this))
+ .bind("scroll.jstree", $.proxy(function (e) {
+ if($.vakata.dnd.is_drag && $.vakata.dnd.user_data.jstree && m && ml) {
+ m.hide();
+ ml.hide();
+ }
+ }, this))
+ .delegate("a", "mousedown.jstree", $.proxy(function (e) {
+ if(e.which === 1) {
+ this.start_drag(e.currentTarget, e);
+ return false;
+ }
+ }, this))
+ .delegate("a", "mouseenter.jstree", $.proxy(function (e) {
+ if($.vakata.dnd.is_drag && $.vakata.dnd.user_data.jstree) {
+ this.dnd_enter(e.currentTarget);
+ }
+ }, this))
+ .delegate("a", "mousemove.jstree", $.proxy(function (e) {
+ if($.vakata.dnd.is_drag && $.vakata.dnd.user_data.jstree) {
+ if(!r || !r.length || r.children("a")[0] !== e.currentTarget) {
+ this.dnd_enter(e.currentTarget);
+ }
+ if(typeof this.data.dnd.off.top === "undefined") { this.data.dnd.off = $(e.target).offset(); }
+ this.data.dnd.w = (e.pageY - (this.data.dnd.off.top || 0)) % this.data.core.li_height;
+ if(this.data.dnd.w < 0) { this.data.dnd.w += this.data.core.li_height; }
+ this.dnd_show();
+ }
+ }, this))
+ .delegate("a", "mouseleave.jstree", $.proxy(function (e) {
+ if($.vakata.dnd.is_drag && $.vakata.dnd.user_data.jstree) {
+ if(e.relatedTarget && e.relatedTarget.id && e.relatedTarget.id === "jstree-marker-line") {
+ return false;
+ }
+ if(m) { m.hide(); }
+ if(ml) { ml.hide(); }
+ /*
+ var ec = $(e.currentTarget).closest("li"),
+ er = $(e.relatedTarget).closest("li");
+ if(er[0] !== ec.prev()[0] && er[0] !== ec.next()[0]) {
+ if(m) { m.hide(); }
+ if(ml) { ml.hide(); }
+ }
+ */
+ this.data.dnd.mto = setTimeout(
+ (function (t) { return function () { t.dnd_leave(e); }; })(this),
+ 0);
+ }
+ }, this))
+ .delegate("a", "mouseup.jstree", $.proxy(function (e) {
+ if($.vakata.dnd.is_drag && $.vakata.dnd.user_data.jstree) {
+ this.dnd_finish(e);
+ }
+ }, this));
+
+ $(document)
+ .bind("drag_stop.vakata", $.proxy(function () {
+ if(this.data.dnd.to1) { clearTimeout(this.data.dnd.to1); }
+ if(this.data.dnd.to2) { clearTimeout(this.data.dnd.to2); }
+ if(this.data.dnd.i1) { clearInterval(this.data.dnd.i1); }
+ if(this.data.dnd.i2) { clearInterval(this.data.dnd.i2); }
+ this.data.dnd.after = false;
+ this.data.dnd.before = false;
+ this.data.dnd.inside = false;
+ this.data.dnd.off = false;
+ this.data.dnd.prepared = false;
+ this.data.dnd.w = false;
+ this.data.dnd.to1 = false;
+ this.data.dnd.to2 = false;
+ this.data.dnd.i1 = false;
+ this.data.dnd.i2 = false;
+ this.data.dnd.active = false;
+ this.data.dnd.foreign = false;
+ if(m) { m.css({ "top" : "-2000px" }); }
+ if(ml) { ml.css({ "top" : "-2000px" }); }
+ }, this))
+ .bind("drag_start.vakata", $.proxy(function (e, data) {
+ if(data.data.jstree) {
+ var et = $(data.event.target);
+ if(et.closest(".jstree").hasClass("jstree-" + this.get_index())) {
+ this.dnd_enter(et);
+ }
+ }
+ }, this));
+ /*
+ .bind("keydown.jstree-" + this.get_index() + " keyup.jstree-" + this.get_index(), $.proxy(function(e) {
+ if($.vakata.dnd.is_drag && $.vakata.dnd.user_data.jstree && !this.data.dnd.foreign) {
+ var h = $.vakata.dnd.helper.children("ins");
+ if(e[this._get_settings().dnd.copy_modifier + "Key"] && h.hasClass("jstree-ok")) {
+ h.parent().html(h.parent().html().replace(/ \(Copy\)$/, "") + " (Copy)");
+ }
+ else {
+ h.parent().html(h.parent().html().replace(/ \(Copy\)$/, ""));
+ }
+ }
+ }, this)); */
+
+
+
+ var s = this._get_settings().dnd;
+ if(s.drag_target) {
+ $(document)
+ .delegate(s.drag_target, "mousedown.jstree-" + this.get_index(), $.proxy(function (e) {
+ o = e.target;
+ $.vakata.dnd.drag_start(e, { jstree : true, obj : e.target }, "<ins class='jstree-icon'></ins>" + $(e.target).text() );
+ if(this.data.themes) {
+ if(m) { m.attr("class", "jstree-" + this.data.themes.theme); }
+ if(ml) { ml.attr("class", "jstree-" + this.data.themes.theme); }
+ $.vakata.dnd.helper.attr("class", "jstree-dnd-helper jstree-" + this.data.themes.theme);
+ }
+ $.vakata.dnd.helper.children("ins").attr("class","jstree-invalid");
+ var cnt = this.get_container();
+ this.data.dnd.cof = cnt.offset();
+ this.data.dnd.cw = parseInt(cnt.width(),10);
+ this.data.dnd.ch = parseInt(cnt.height(),10);
+ this.data.dnd.foreign = true;
+ e.preventDefault();
+ }, this));
+ }
+ if(s.drop_target) {
+ $(document)
+ .delegate(s.drop_target, "mouseenter.jstree-" + this.get_index(), $.proxy(function (e) {
+ if(this.data.dnd.active && this._get_settings().dnd.drop_check.call(this, { "o" : o, "r" : $(e.target), "e" : e })) {
+ $.vakata.dnd.helper.children("ins").attr("class","jstree-ok");
+ }
+ }, this))
+ .delegate(s.drop_target, "mouseleave.jstree-" + this.get_index(), $.proxy(function (e) {
+ if(this.data.dnd.active) {
+ $.vakata.dnd.helper.children("ins").attr("class","jstree-invalid");
+ }
+ }, this))
+ .delegate(s.drop_target, "mouseup.jstree-" + this.get_index(), $.proxy(function (e) {
+ if(this.data.dnd.active && $.vakata.dnd.helper.children("ins").hasClass("jstree-ok")) {
+ this._get_settings().dnd.drop_finish.call(this, { "o" : o, "r" : $(e.target), "e" : e });
+ }
+ }, this));
+ }
+ },
+ defaults : {
+ copy_modifier : "ctrl",
+ check_timeout : 100,
+ open_timeout : 500,
+ drop_target : ".jstree-drop",
+ drop_check : function (data) { return true; },
+ drop_finish : $.noop,
+ drag_target : ".jstree-draggable",
+ drag_finish : $.noop,
+ drag_check : function (data) { return { after : false, before : false, inside : true }; }
+ },
+ _fn : {
+ dnd_prepare : function () {
+ if(!r || !r.length) { return; }
+ this.data.dnd.off = r.offset();
+ if(this._get_settings().core.rtl) {
+ this.data.dnd.off.right = this.data.dnd.off.left + r.width();
+ }
+ if(this.data.dnd.foreign) {
+ var a = this._get_settings().dnd.drag_check.call(this, { "o" : o, "r" : r });
+ this.data.dnd.after = a.after;
+ this.data.dnd.before = a.before;
+ this.data.dnd.inside = a.inside;
+ this.data.dnd.prepared = true;
+ return this.dnd_show();
+ }
+ this.prepare_move(o, r, "before");
+ this.data.dnd.before = this.check_move();
+ this.prepare_move(o, r, "after");
+ this.data.dnd.after = this.check_move();
+ if(this._is_loaded(r)) {
+ this.prepare_move(o, r, "inside");
+ this.data.dnd.inside = this.check_move();
+ }
+ else {
+ this.data.dnd.inside = false;
+ }
+ this.data.dnd.prepared = true;
+ return this.dnd_show();
+ },
+ dnd_show : function () {
+ if(!this.data.dnd.prepared) { return; }
+ var o = ["before","inside","after"],
+ r = false,
+ rtl = this._get_settings().core.rtl,
+ pos;
+ if(this.data.dnd.w < this.data.core.li_height/3) { o = ["before","inside","after"]; }
+ else if(this.data.dnd.w <= this.data.core.li_height*2/3) {
+ o = this.data.dnd.w < this.data.core.li_height/2 ? ["inside","before","after"] : ["inside","after","before"];
+ }
+ else { o = ["after","inside","before"]; }
+ $.each(o, $.proxy(function (i, val) {
+ if(this.data.dnd[val]) {
+ $.vakata.dnd.helper.children("ins").attr("class","jstree-ok");
+ r = val;
+ return false;
+ }
+ }, this));
+ if(r === false) { $.vakata.dnd.helper.children("ins").attr("class","jstree-invalid"); }
+
+ pos = rtl ? (this.data.dnd.off.right - 18) : (this.data.dnd.off.left + 10);
+ switch(r) {
+ case "before":
+ m.css({ "left" : pos + "px", "top" : (this.data.dnd.off.top - 6) + "px" }).show();
+ if(ml) { ml.css({ "left" : (pos + 8) + "px", "top" : (this.data.dnd.off.top - 1) + "px" }).show(); }
+ break;
+ case "after":
+ m.css({ "left" : pos + "px", "top" : (this.data.dnd.off.top + this.data.core.li_height - 6) + "px" }).show();
+ if(ml) { ml.css({ "left" : (pos + 8) + "px", "top" : (this.data.dnd.off.top + this.data.core.li_height - 1) + "px" }).show(); }
+ break;
+ case "inside":
+ m.css({ "left" : pos + ( rtl ? -4 : 4) + "px", "top" : (this.data.dnd.off.top + this.data.core.li_height/2 - 5) + "px" }).show();
+ if(ml) { ml.hide(); }
+ break;
+ default:
+ m.hide();
+ if(ml) { ml.hide(); }
+ break;
+ }
+ last_pos = r;
+ return r;
+ },
+ dnd_open : function () {
+ this.data.dnd.to2 = false;
+ this.open_node(r, $.proxy(this.dnd_prepare,this), true);
+ },
+ dnd_finish : function (e) {
+ if(this.data.dnd.foreign) {
+ if(this.data.dnd.after || this.data.dnd.before || this.data.dnd.inside) {
+ this._get_settings().dnd.drag_finish.call(this, { "o" : o, "r" : r, "p" : last_pos });
+ }
+ }
+ else {
+ this.dnd_prepare();
+ this.move_node(o, r, last_pos, e[this._get_settings().dnd.copy_modifier + "Key"]);
+ }
+ o = false;
+ r = false;
+ m.hide();
+ if(ml) { ml.hide(); }
+ },
+ dnd_enter : function (obj) {
+ if(this.data.dnd.mto) {
+ clearTimeout(this.data.dnd.mto);
+ this.data.dnd.mto = false;
+ }
+ var s = this._get_settings().dnd;
+ this.data.dnd.prepared = false;
+ r = this._get_node(obj);
+ if(s.check_timeout) {
+ // do the calculations after a minimal timeout (users tend to drag quickly to the desired location)
+ if(this.data.dnd.to1) { clearTimeout(this.data.dnd.to1); }
+ this.data.dnd.to1 = setTimeout($.proxy(this.dnd_prepare, this), s.check_timeout);
+ }
+ else {
+ this.dnd_prepare();
+ }
+ if(s.open_timeout) {
+ if(this.data.dnd.to2) { clearTimeout(this.data.dnd.to2); }
+ if(r && r.length && r.hasClass("jstree-closed")) {
+ // if the node is closed - open it, then recalculate
+ this.data.dnd.to2 = setTimeout($.proxy(this.dnd_open, this), s.open_timeout);
+ }
+ }
+ else {
+ if(r && r.length && r.hasClass("jstree-closed")) {
+ this.dnd_open();
+ }
+ }
+ },
+ dnd_leave : function (e) {
+ this.data.dnd.after = false;
+ this.data.dnd.before = false;
+ this.data.dnd.inside = false;
+ $.vakata.dnd.helper.children("ins").attr("class","jstree-invalid");
+ m.hide();
+ if(ml) { ml.hide(); }
+ if(r && r[0] === e.target.parentNode) {
+ if(this.data.dnd.to1) {
+ clearTimeout(this.data.dnd.to1);
+ this.data.dnd.to1 = false;
+ }
+ if(this.data.dnd.to2) {
+ clearTimeout(this.data.dnd.to2);
+ this.data.dnd.to2 = false;
+ }
+ }
+ },
+ start_drag : function (obj, e) {
+ o = this._get_node(obj);
+ if(this.data.ui && this.is_selected(o)) { o = this._get_node(null, true); }
+ var dt = o.length > 1 ? this._get_string("multiple_selection") : this.get_text(o),
+ cnt = this.get_container();
+ if(!this._get_settings().core.html_titles) { dt = dt.replace(/</ig,"&lt;").replace(/>/ig,"&gt;"); }
+ $.vakata.dnd.drag_start(e, { jstree : true, obj : o }, "<ins class='jstree-icon'></ins>" + dt );
+ if(this.data.themes) {
+ if(m) { m.attr("class", "jstree-" + this.data.themes.theme); }
+ if(ml) { ml.attr("class", "jstree-" + this.data.themes.theme); }
+ $.vakata.dnd.helper.attr("class", "jstree-dnd-helper jstree-" + this.data.themes.theme);
+ }
+ this.data.dnd.cof = cnt.offset();
+ this.data.dnd.cw = parseInt(cnt.width(),10);
+ this.data.dnd.ch = parseInt(cnt.height(),10);
+ this.data.dnd.active = true;
+ }
+ }
+ });
+ $(function() {
+ var css_string = '' +
+ '#vakata-dragged ins { display:block; text-decoration:none; width:16px; height:16px; margin:0 0 0 0; padding:0; position:absolute; top:4px; left:4px; ' +
+ ' -moz-border-radius:4px; border-radius:4px; -webkit-border-radius:4px; ' +
+ '} ' +
+ '#vakata-dragged .jstree-ok { background:green; } ' +
+ '#vakata-dragged .jstree-invalid { background:red; } ' +
+ '#jstree-marker { padding:0; margin:0; font-size:12px; overflow:hidden; height:12px; width:8px; position:absolute; top:-30px; z-index:10001; background-repeat:no-repeat; display:none; background-color:transparent; text-shadow:1px 1px 1px white; color:black; line-height:10px; } ' +
+ '#jstree-marker-line { padding:0; margin:0; line-height:0%; font-size:1px; overflow:hidden; height:1px; width:100px; position:absolute; top:-30px; z-index:10000; background-repeat:no-repeat; display:none; background-color:#456c43; ' +
+ ' cursor:pointer; border:1px solid #eeeeee; border-left:0; -moz-box-shadow: 0px 0px 2px #666; -webkit-box-shadow: 0px 0px 2px #666; box-shadow: 0px 0px 2px #666; ' +
+ ' -moz-border-radius:1px; border-radius:1px; -webkit-border-radius:1px; ' +
+ '}' +
+ '';
+ $.vakata.css.add_sheet({ str : css_string, title : "jstree" });
+ m = $("<div />").attr({ id : "jstree-marker" }).hide().html("&raquo;")
+ .bind("mouseleave mouseenter", function (e) {
+ m.hide();
+ ml.hide();
+ e.preventDefault();
+ e.stopImmediatePropagation();
+ return false;
+ })
+ .appendTo("body");
+ ml = $("<div />").attr({ id : "jstree-marker-line" }).hide()
+ .bind("mouseup", function (e) {
+ if(r && r.length) {
+ r.children("a").trigger(e);
+ e.preventDefault();
+ e.stopImmediatePropagation();
+ return false;
+ }
+ })
+ .bind("mouseleave", function (e) {
+ var rt = $(e.relatedTarget);
+ if(rt.is(".jstree") || rt.closest(".jstree").length === 0) {
+ if(r && r.length) {
+ r.children("a").trigger(e);
+ m.hide();
+ ml.hide();
+ e.preventDefault();
+ e.stopImmediatePropagation();
+ return false;
+ }
+ }
+ })
+ .appendTo("body");
+ $(document).bind("drag_start.vakata", function (e, data) {
+ if(data.data.jstree) { m.show(); if(ml) { ml.show(); } }
+ });
+ $(document).bind("drag_stop.vakata", function (e, data) {
+ if(data.data.jstree) { m.hide(); if(ml) { ml.hide(); } }
+ });
+ });
+})(jQuery);
+//*/
+
+/*
+ * jsTree checkbox plugin
+ * Inserts checkboxes in front of every node
+ * Depends on the ui plugin
+ * DOES NOT WORK NICELY WITH MULTITREE DRAG'N'DROP
+ */
+(function ($) {
+ $.jstree.plugin("checkbox", {
+ __init : function () {
+ this.data.checkbox.noui = this._get_settings().checkbox.override_ui;
+ if(this.data.ui && this.data.checkbox.noui) {
+ this.select_node = this.deselect_node = this.deselect_all = $.noop;
+ this.get_selected = this.get_checked;
+ }
+
+ this.get_container()
+ .bind("open_node.jstree create_node.jstree clean_node.jstree refresh.jstree", $.proxy(function (e, data) {
+ this._prepare_checkboxes(data.rslt.obj);
+ }, this))
+ .bind("loaded.jstree", $.proxy(function (e) {
+ this._prepare_checkboxes();
+ }, this))
+ .delegate( (this.data.ui && this.data.checkbox.noui ? "a" : "ins.jstree-checkbox") , "click.jstree", $.proxy(function (e) {
+ e.preventDefault();
+ if(this._get_node(e.target).hasClass("jstree-checked")) { this.uncheck_node(e.target); }
+ else { this.check_node(e.target); }
+ if(this.data.ui && this.data.checkbox.noui) {
+ this.save_selected();
+ if(this.data.cookies) { this.save_cookie("select_node"); }
+ }
+ else {
+ e.stopImmediatePropagation();
+ return false;
+ }
+ }, this));
+ },
+ defaults : {
+ override_ui : false,
+ two_state : false,
+ real_checkboxes : false,
+ checked_parent_open : true,
+ real_checkboxes_names : function (n) { return [ ("check_" + (n[0].id || Math.ceil(Math.random() * 10000))) , 1]; }
+ },
+ __destroy : function () {
+ this.get_container()
+ .find("input.jstree-real-checkbox").removeClass("jstree-real-checkbox").end()
+ .find("ins.jstree-checkbox").remove();
+ },
+ _fn : {
+ _checkbox_notify : function (n, data) {
+ if(data.checked) {
+ this.check_node(n, false);
+ }
+ },
+ _prepare_checkboxes : function (obj) {
+ obj = !obj || obj == -1 ? this.get_container().find("> ul > li") : this._get_node(obj);
+ if(obj === false) { return; } // added for removing root nodes
+ var c, _this = this, t, ts = this._get_settings().checkbox.two_state, rc = this._get_settings().checkbox.real_checkboxes, rcn = this._get_settings().checkbox.real_checkboxes_names;
+ obj.each(function () {
+ t = $(this);
+ c = t.is("li") && (t.hasClass("jstree-checked") || (rc && t.children(":checked").length)) ? "jstree-checked" : "jstree-unchecked";
+ t.find("li").andSelf().each(function () {
+ var $t = $(this), nm;
+ $t.children("a" + (_this.data.languages ? "" : ":eq(0)") ).not(":has(.jstree-checkbox)").prepend("<ins class='jstree-checkbox'>&#160;</ins>").parent().not(".jstree-checked, .jstree-unchecked").addClass( ts ? "jstree-unchecked" : c );
+ if(rc) {
+ if(!$t.children(":checkbox").length) {
+ nm = rcn.call(_this, $t);
+ $t.prepend("<input type='checkbox' class='jstree-real-checkbox' id='" + nm[0] + "' name='" + nm[0] + "' value='" + nm[1] + "' />");
+ }
+ else {
+ $t.children(":checkbox").addClass("jstree-real-checkbox");
+ }
+ if(c === "jstree-checked") {
+ $t.children(":checkbox").attr("checked","checked");
+ }
+ }
+ if(c === "jstree-checked" && !ts) {
+ $t.find("li").addClass("jstree-checked");
+ }
+ });
+ });
+ if(!ts) {
+ if(obj.length === 1 && obj.is("li")) { this._repair_state(obj); }
+ if(obj.is("li")) { obj.each(function () { _this._repair_state(this); }); }
+ else { obj.find("> ul > li").each(function () { _this._repair_state(this); }); }
+ obj.find(".jstree-checked").parent().parent().each(function () { _this._repair_state(this); });
+ }
+ },
+ change_state : function (obj, state) {
+ obj = this._get_node(obj);
+ var coll = false, rc = this._get_settings().checkbox.real_checkboxes;
+ if(!obj || obj === -1) { return false; }
+ state = (state === false || state === true) ? state : obj.hasClass("jstree-checked");
+ if(this._get_settings().checkbox.two_state) {
+ if(state) {
+ obj.removeClass("jstree-checked").addClass("jstree-unchecked");
+ if(rc) { obj.children(":checkbox").removeAttr("checked"); }
+ }
+ else {
+ obj.removeClass("jstree-unchecked").addClass("jstree-checked");
+ if(rc) { obj.children(":checkbox").attr("checked","checked"); }
+ }
+ }
+ else {
+ if(state) {
+ coll = obj.find("li").andSelf();
+ if(!coll.filter(".jstree-checked, .jstree-undetermined").length) { return false; }
+ coll.removeClass("jstree-checked jstree-undetermined").addClass("jstree-unchecked");
+ if(rc) { coll.children(":checkbox").removeAttr("checked"); }
+ }
+ else {
+ coll = obj.find("li").andSelf();
+ if(!coll.filter(".jstree-unchecked, .jstree-undetermined").length) { return false; }
+ coll.removeClass("jstree-unchecked jstree-undetermined").addClass("jstree-checked");
+ if(rc) { coll.children(":checkbox").attr("checked","checked"); }
+ if(this.data.ui) { this.data.ui.last_selected = obj; }
+ this.data.checkbox.last_selected = obj;
+ }
+ obj.parentsUntil(".jstree", "li").each(function () {
+ var $this = $(this);
+ if(state) {
+ if($this.children("ul").children("li.jstree-checked, li.jstree-undetermined").length) {
+ $this.parentsUntil(".jstree", "li").andSelf().removeClass("jstree-checked jstree-unchecked").addClass("jstree-undetermined");
+ if(rc) { $this.parentsUntil(".jstree", "li").andSelf().children(":checkbox").removeAttr("checked"); }
+ return false;
+ }
+ else {
+ $this.removeClass("jstree-checked jstree-undetermined").addClass("jstree-unchecked");
+ if(rc) { $this.children(":checkbox").removeAttr("checked"); }
+ }
+ }
+ else {
+ if($this.children("ul").children("li.jstree-unchecked, li.jstree-undetermined").length) {
+ $this.parentsUntil(".jstree", "li").andSelf().removeClass("jstree-checked jstree-unchecked").addClass("jstree-undetermined");
+ if(rc) { $this.parentsUntil(".jstree", "li").andSelf().children(":checkbox").removeAttr("checked"); }
+ return false;
+ }
+ else {
+ $this.removeClass("jstree-unchecked jstree-undetermined").addClass("jstree-checked");
+ if(rc) { $this.children(":checkbox").attr("checked","checked"); }
+ }
+ }
+ });
+ }
+ if(this.data.ui && this.data.checkbox.noui) { this.data.ui.selected = this.get_checked(); }
+ this.__callback(obj);
+ return true;
+ },
+ check_node : function (obj) {
+ if(this.change_state(obj, false)) {
+ obj = this._get_node(obj);
+ if(this._get_settings().checkbox.checked_parent_open) {
+ var t = this;
+ obj.parents(".jstree-closed").each(function () { t.open_node(this, false, true); });
+ }
+ this.__callback({ "obj" : obj });
+ }
+ },
+ uncheck_node : function (obj) {
+ if(this.change_state(obj, true)) { this.__callback({ "obj" : this._get_node(obj) }); }
+ },
+ check_all : function () {
+ var _this = this,
+ coll = this._get_settings().checkbox.two_state ? this.get_container_ul().find("li") : this.get_container_ul().children("li");
+ coll.each(function () {
+ _this.change_state(this, false);
+ });
+ this.__callback();
+ },
+ uncheck_all : function () {
+ var _this = this,
+ coll = this._get_settings().checkbox.two_state ? this.get_container_ul().find("li") : this.get_container_ul().children("li");
+ coll.each(function () {
+ _this.change_state(this, true);
+ });
+ this.__callback();
+ },
+
+ is_checked : function(obj) {
+ obj = this._get_node(obj);
+ return obj.length ? obj.is(".jstree-checked") : false;
+ },
+ get_checked : function (obj, get_all) {
+ obj = !obj || obj === -1 ? this.get_container() : this._get_node(obj);
+ return get_all || this._get_settings().checkbox.two_state ? obj.find(".jstree-checked") : obj.find("> ul > .jstree-checked, .jstree-undetermined > ul > .jstree-checked");
+ },
+ get_unchecked : function (obj, get_all) {
+ obj = !obj || obj === -1 ? this.get_container() : this._get_node(obj);
+ return get_all || this._get_settings().checkbox.two_state ? obj.find(".jstree-unchecked") : obj.find("> ul > .jstree-unchecked, .jstree-undetermined > ul > .jstree-unchecked");
+ },
+
+ show_checkboxes : function () { this.get_container().children("ul").removeClass("jstree-no-checkboxes"); },
+ hide_checkboxes : function () { this.get_container().children("ul").addClass("jstree-no-checkboxes"); },
+
+ _repair_state : function (obj) {
+ obj = this._get_node(obj);
+ if(!obj.length) { return; }
+ var rc = this._get_settings().checkbox.real_checkboxes,
+ a = obj.find("> ul > .jstree-checked").length,
+ b = obj.find("> ul > .jstree-undetermined").length,
+ c = obj.find("> ul > li").length;
+ if(c === 0) { if(obj.hasClass("jstree-undetermined")) { this.change_state(obj, false); } }
+ else if(a === 0 && b === 0) { this.change_state(obj, true); }
+ else if(a === c) { this.change_state(obj, false); }
+ else {
+ obj.parentsUntil(".jstree","li").andSelf().removeClass("jstree-checked jstree-unchecked").addClass("jstree-undetermined");
+ if(rc) { obj.parentsUntil(".jstree", "li").andSelf().children(":checkbox").removeAttr("checked"); }
+ }
+ },
+ reselect : function () {
+ if(this.data.ui && this.data.checkbox.noui) {
+ var _this = this,
+ s = this.data.ui.to_select;
+ s = $.map($.makeArray(s), function (n) { return "#" + n.toString().replace(/^#/,"").replace(/\\\//g,"/").replace(/\//g,"\\\/").replace(/\\\./g,".").replace(/\./g,"\\.").replace(/\:/g,"\\:"); });
+ this.deselect_all();
+ $.each(s, function (i, val) { _this.check_node(val); });
+ this.__callback();
+ }
+ else {
+ this.__call_old();
+ }
+ },
+ save_loaded : function () {
+ var _this = this;
+ this.data.core.to_load = [];
+ this.get_container_ul().find("li.jstree-closed.jstree-undetermined").each(function () {
+ if(this.id) { _this.data.core.to_load.push("#" + this.id); }
+ });
+ }
+ }
+ });
+ $(function() {
+ var css_string = '.jstree .jstree-real-checkbox { display:none; } ';
+ $.vakata.css.add_sheet({ str : css_string, title : "jstree" });
+ });
+})(jQuery);
+//*/
+
+/*
+ * jsTree XML plugin
+ * The XML data store. Datastores are build by overriding the `load_node` and `_is_loaded` functions.
+ */
+(function ($) {
+ $.vakata.xslt = function (xml, xsl, callback) {
+ var rs = "", xm, xs, processor, support;
+ // TODO: IE9 no XSLTProcessor, no document.recalc
+ if(document.recalc) {
+ xm = document.createElement('xml');
+ xs = document.createElement('xml');
+ xm.innerHTML = xml;
+ xs.innerHTML = xsl;
+ $("body").append(xm).append(xs);
+ setTimeout( (function (xm, xs, callback) {
+ return function () {
+ callback.call(null, xm.transformNode(xs.XMLDocument));
+ setTimeout( (function (xm, xs) { return function () { $(xm).remove(); $(xs).remove(); }; })(xm, xs), 200);
+ };
+ })(xm, xs, callback), 100);
+ return true;
+ }
+ if(typeof window.DOMParser !== "undefined" && typeof window.XMLHttpRequest !== "undefined" && typeof window.XSLTProcessor === "undefined") {
+ xml = new DOMParser().parseFromString(xml, "text/xml");
+ xsl = new DOMParser().parseFromString(xsl, "text/xml");
+ // alert(xml.transformNode());
+ // callback.call(null, new XMLSerializer().serializeToString(rs));
+
+ }
+ if(typeof window.DOMParser !== "undefined" && typeof window.XMLHttpRequest !== "undefined" && typeof window.XSLTProcessor !== "undefined") {
+ processor = new XSLTProcessor();
+ support = $.isFunction(processor.transformDocument) ? (typeof window.XMLSerializer !== "undefined") : true;
+ if(!support) { return false; }
+ xml = new DOMParser().parseFromString(xml, "text/xml");
+ xsl = new DOMParser().parseFromString(xsl, "text/xml");
+ if($.isFunction(processor.transformDocument)) {
+ rs = document.implementation.createDocument("", "", null);
+ processor.transformDocument(xml, xsl, rs, null);
+ callback.call(null, new XMLSerializer().serializeToString(rs));
+ return true;
+ }
+ else {
+ processor.importStylesheet(xsl);
+ rs = processor.transformToFragment(xml, document);
+ callback.call(null, $("<div />").append(rs).html());
+ return true;
+ }
+ }
+ return false;
+ };
+ var xsl = {
+ 'nest' : '<' + '?xml version="1.0" encoding="utf-8" ?>' +
+ '<xsl:stylesheet version="1.0" xmlns:xsl="http://www.w3.org/1999/XSL/Transform" >' +
+ '<xsl:output method="html" encoding="utf-8" omit-xml-declaration="yes" standalone="no" indent="no" media-type="text/html" />' +
+ '<xsl:template match="/">' +
+ ' <xsl:call-template name="nodes">' +
+ ' <xsl:with-param name="node" select="/root" />' +
+ ' </xsl:call-template>' +
+ '</xsl:template>' +
+ '<xsl:template name="nodes">' +
+ ' <xsl:param name="node" />' +
+ ' <ul>' +
+ ' <xsl:for-each select="$node/item">' +
+ ' <xsl:variable name="children" select="count(./item) &gt; 0" />' +
+ ' <li>' +
+ ' <xsl:attribute name="class">' +
+ ' <xsl:if test="position() = last()">jstree-last </xsl:if>' +
+ ' <xsl:choose>' +
+ ' <xsl:when test="@state = \'open\'">jstree-open </xsl:when>' +
+ ' <xsl:when test="$children or @hasChildren or @state = \'closed\'">jstree-closed </xsl:when>' +
+ ' <xsl:otherwise>jstree-leaf </xsl:otherwise>' +
+ ' </xsl:choose>' +
+ ' <xsl:value-of select="@class" />' +
+ ' </xsl:attribute>' +
+ ' <xsl:for-each select="@*">' +
+ ' <xsl:if test="name() != \'class\' and name() != \'state\' and name() != \'hasChildren\'">' +
+ ' <xsl:attribute name="{name()}"><xsl:value-of select="." /></xsl:attribute>' +
+ ' </xsl:if>' +
+ ' </xsl:for-each>' +
+ ' <ins class="jstree-icon"><xsl:text>&#xa0;</xsl:text></ins>' +
+ ' <xsl:for-each select="content/name">' +
+ ' <a>' +
+ ' <xsl:attribute name="href">' +
+ ' <xsl:choose>' +
+ ' <xsl:when test="@href"><xsl:value-of select="@href" /></xsl:when>' +
+ ' <xsl:otherwise>#</xsl:otherwise>' +
+ ' </xsl:choose>' +
+ ' </xsl:attribute>' +
+ ' <xsl:attribute name="class"><xsl:value-of select="@lang" /> <xsl:value-of select="@class" /></xsl:attribute>' +
+ ' <xsl:attribute name="style"><xsl:value-of select="@style" /></xsl:attribute>' +
+ ' <xsl:for-each select="@*">' +
+ ' <xsl:if test="name() != \'style\' and name() != \'class\' and name() != \'href\'">' +
+ ' <xsl:attribute name="{name()}"><xsl:value-of select="." /></xsl:attribute>' +
+ ' </xsl:if>' +
+ ' </xsl:for-each>' +
+ ' <ins>' +
+ ' <xsl:attribute name="class">jstree-icon ' +
+ ' <xsl:if test="string-length(attribute::icon) > 0 and not(contains(@icon,\'/\'))"><xsl:value-of select="@icon" /></xsl:if>' +
+ ' </xsl:attribute>' +
+ ' <xsl:if test="string-length(attribute::icon) > 0 and contains(@icon,\'/\')"><xsl:attribute name="style">background:url(<xsl:value-of select="@icon" />) center center no-repeat;</xsl:attribute></xsl:if>' +
+ ' <xsl:text>&#xa0;</xsl:text>' +
+ ' </ins>' +
+ ' <xsl:copy-of select="./child::node()" />' +
+ ' </a>' +
+ ' </xsl:for-each>' +
+ ' <xsl:if test="$children or @hasChildren"><xsl:call-template name="nodes"><xsl:with-param name="node" select="current()" /></xsl:call-template></xsl:if>' +
+ ' </li>' +
+ ' </xsl:for-each>' +
+ ' </ul>' +
+ '</xsl:template>' +
+ '</xsl:stylesheet>',
+
+ 'flat' : '<' + '?xml version="1.0" encoding="utf-8" ?>' +
+ '<xsl:stylesheet version="1.0" xmlns:xsl="http://www.w3.org/1999/XSL/Transform" >' +
+ '<xsl:output method="html" encoding="utf-8" omit-xml-declaration="yes" standalone="no" indent="no" media-type="text/xml" />' +
+ '<xsl:template match="/">' +
+ ' <ul>' +
+ ' <xsl:for-each select="//item[not(@parent_id) or @parent_id=0 or not(@parent_id = //item/@id)]">' + /* the last `or` may be removed */
+ ' <xsl:call-template name="nodes">' +
+ ' <xsl:with-param name="node" select="." />' +
+ ' <xsl:with-param name="is_last" select="number(position() = last())" />' +
+ ' </xsl:call-template>' +
+ ' </xsl:for-each>' +
+ ' </ul>' +
+ '</xsl:template>' +
+ '<xsl:template name="nodes">' +
+ ' <xsl:param name="node" />' +
+ ' <xsl:param name="is_last" />' +
+ ' <xsl:variable name="children" select="count(//item[@parent_id=$node/attribute::id]) &gt; 0" />' +
+ ' <li>' +
+ ' <xsl:attribute name="class">' +
+ ' <xsl:if test="$is_last = true()">jstree-last </xsl:if>' +
+ ' <xsl:choose>' +
+ ' <xsl:when test="@state = \'open\'">jstree-open </xsl:when>' +
+ ' <xsl:when test="$children or @hasChildren or @state = \'closed\'">jstree-closed </xsl:when>' +
+ ' <xsl:otherwise>jstree-leaf </xsl:otherwise>' +
+ ' </xsl:choose>' +
+ ' <xsl:value-of select="@class" />' +
+ ' </xsl:attribute>' +
+ ' <xsl:for-each select="@*">' +
+ ' <xsl:if test="name() != \'parent_id\' and name() != \'hasChildren\' and name() != \'class\' and name() != \'state\'">' +
+ ' <xsl:attribute name="{name()}"><xsl:value-of select="." /></xsl:attribute>' +
+ ' </xsl:if>' +
+ ' </xsl:for-each>' +
+ ' <ins class="jstree-icon"><xsl:text>&#xa0;</xsl:text></ins>' +
+ ' <xsl:for-each select="content/name">' +
+ ' <a>' +
+ ' <xsl:attribute name="href">' +
+ ' <xsl:choose>' +
+ ' <xsl:when test="@href"><xsl:value-of select="@href" /></xsl:when>' +
+ ' <xsl:otherwise>#</xsl:otherwise>' +
+ ' </xsl:choose>' +
+ ' </xsl:attribute>' +
+ ' <xsl:attribute name="class"><xsl:value-of select="@lang" /> <xsl:value-of select="@class" /></xsl:attribute>' +
+ ' <xsl:attribute name="style"><xsl:value-of select="@style" /></xsl:attribute>' +
+ ' <xsl:for-each select="@*">' +
+ ' <xsl:if test="name() != \'style\' and name() != \'class\' and name() != \'href\'">' +
+ ' <xsl:attribute name="{name()}"><xsl:value-of select="." /></xsl:attribute>' +
+ ' </xsl:if>' +
+ ' </xsl:for-each>' +
+ ' <ins>' +
+ ' <xsl:attribute name="class">jstree-icon ' +
+ ' <xsl:if test="string-length(attribute::icon) > 0 and not(contains(@icon,\'/\'))"><xsl:value-of select="@icon" /></xsl:if>' +
+ ' </xsl:attribute>' +
+ ' <xsl:if test="string-length(attribute::icon) > 0 and contains(@icon,\'/\')"><xsl:attribute name="style">background:url(<xsl:value-of select="@icon" />) center center no-repeat;</xsl:attribute></xsl:if>' +
+ ' <xsl:text>&#xa0;</xsl:text>' +
+ ' </ins>' +
+ ' <xsl:copy-of select="./child::node()" />' +
+ ' </a>' +
+ ' </xsl:for-each>' +
+ ' <xsl:if test="$children">' +
+ ' <ul>' +
+ ' <xsl:for-each select="//item[@parent_id=$node/attribute::id]">' +
+ ' <xsl:call-template name="nodes">' +
+ ' <xsl:with-param name="node" select="." />' +
+ ' <xsl:with-param name="is_last" select="number(position() = last())" />' +
+ ' </xsl:call-template>' +
+ ' </xsl:for-each>' +
+ ' </ul>' +
+ ' </xsl:if>' +
+ ' </li>' +
+ '</xsl:template>' +
+ '</xsl:stylesheet>'
+ },
+ escape_xml = function(string) {
+ return string
+ .toString()
+ .replace(/&/g, '&amp;')
+ .replace(/</g, '&lt;')
+ .replace(/>/g, '&gt;')
+ .replace(/"/g, '&quot;')
+ .replace(/'/g, '&apos;');
+ };
+ $.jstree.plugin("xml_data", {
+ defaults : {
+ data : false,
+ ajax : false,
+ xsl : "flat",
+ clean_node : false,
+ correct_state : true,
+ get_skip_empty : false,
+ get_include_preamble : true
+ },
+ _fn : {
+ load_node : function (obj, s_call, e_call) { var _this = this; this.load_node_xml(obj, function () { _this.__callback({ "obj" : _this._get_node(obj) }); s_call.call(this); }, e_call); },
+ _is_loaded : function (obj) {
+ var s = this._get_settings().xml_data;
+ obj = this._get_node(obj);
+ return obj == -1 || !obj || (!s.ajax && !$.isFunction(s.data)) || obj.is(".jstree-open, .jstree-leaf") || obj.children("ul").children("li").size() > 0;
+ },
+ load_node_xml : function (obj, s_call, e_call) {
+ var s = this.get_settings().xml_data,
+ error_func = function () {},
+ success_func = function () {};
+
+ obj = this._get_node(obj);
+ if(obj && obj !== -1) {
+ if(obj.data("jstree-is-loading")) { return; }
+ else { obj.data("jstree-is-loading",true); }
+ }
+ switch(!0) {
+ case (!s.data && !s.ajax): throw "Neither data nor ajax settings supplied.";
+ case ($.isFunction(s.data)):
+ s.data.call(this, obj, $.proxy(function (d) {
+ this.parse_xml(d, $.proxy(function (d) {
+ if(d) {
+ d = d.replace(/ ?xmlns="[^"]*"/ig, "");
+ if(d.length > 10) {
+ d = $(d);
+ if(obj === -1 || !obj) { this.get_container().children("ul").empty().append(d.children()); }
+ else { obj.children("a.jstree-loading").removeClass("jstree-loading"); obj.append(d); obj.removeData("jstree-is-loading"); }
+ if(s.clean_node) { this.clean_node(obj); }
+ if(s_call) { s_call.call(this); }
+ }
+ else {
+ if(obj && obj !== -1) {
+ obj.children("a.jstree-loading").removeClass("jstree-loading");
+ obj.removeData("jstree-is-loading");
+ if(s.correct_state) {
+ this.correct_state(obj);
+ if(s_call) { s_call.call(this); }
+ }
+ }
+ else {
+ if(s.correct_state) {
+ this.get_container().children("ul").empty();
+ if(s_call) { s_call.call(this); }
+ }
+ }
+ }
+ }
+ }, this));
+ }, this));
+ break;
+ case (!!s.data && !s.ajax) || (!!s.data && !!s.ajax && (!obj || obj === -1)):
+ if(!obj || obj == -1) {
+ this.parse_xml(s.data, $.proxy(function (d) {
+ if(d) {
+ d = d.replace(/ ?xmlns="[^"]*"/ig, "");
+ if(d.length > 10) {
+ d = $(d);
+ this.get_container().children("ul").empty().append(d.children());
+ if(s.clean_node) { this.clean_node(obj); }
+ if(s_call) { s_call.call(this); }
+ }
+ }
+ else {
+ if(s.correct_state) {
+ this.get_container().children("ul").empty();
+ if(s_call) { s_call.call(this); }
+ }
+ }
+ }, this));
+ }
+ break;
+ case (!s.data && !!s.ajax) || (!!s.data && !!s.ajax && obj && obj !== -1):
+ error_func = function (x, t, e) {
+ var ef = this.get_settings().xml_data.ajax.error;
+ if(ef) { ef.call(this, x, t, e); }
+ if(obj !== -1 && obj.length) {
+ obj.children("a.jstree-loading").removeClass("jstree-loading");
+ obj.removeData("jstree-is-loading");
+ if(t === "success" && s.correct_state) { this.correct_state(obj); }
+ }
+ else {
+ if(t === "success" && s.correct_state) { this.get_container().children("ul").empty(); }
+ }
+ if(e_call) { e_call.call(this); }
+ };
+ success_func = function (d, t, x) {
+ d = x.responseText;
+ var sf = this.get_settings().xml_data.ajax.success;
+ if(sf) { d = sf.call(this,d,t,x) || d; }
+ if(d === "" || (d && d.toString && d.toString().replace(/^[\s\n]+$/,"") === "")) {
+ return error_func.call(this, x, t, "");
+ }
+ this.parse_xml(d, $.proxy(function (d) {
+ if(d) {
+ d = d.replace(/ ?xmlns="[^"]*"/ig, "");
+ if(d.length > 10) {
+ d = $(d);
+ if(obj === -1 || !obj) { this.get_container().children("ul").empty().append(d.children()); }
+ else { obj.children("a.jstree-loading").removeClass("jstree-loading"); obj.append(d); obj.removeData("jstree-is-loading"); }
+ if(s.clean_node) { this.clean_node(obj); }
+ if(s_call) { s_call.call(this); }
+ }
+ else {
+ if(obj && obj !== -1) {
+ obj.children("a.jstree-loading").removeClass("jstree-loading");
+ obj.removeData("jstree-is-loading");
+ if(s.correct_state) {
+ this.correct_state(obj);
+ if(s_call) { s_call.call(this); }
+ }
+ }
+ else {
+ if(s.correct_state) {
+ this.get_container().children("ul").empty();
+ if(s_call) { s_call.call(this); }
+ }
+ }
+ }
+ }
+ }, this));
+ };
+ s.ajax.context = this;
+ s.ajax.error = error_func;
+ s.ajax.success = success_func;
+ if(!s.ajax.dataType) { s.ajax.dataType = "xml"; }
+ if($.isFunction(s.ajax.url)) { s.ajax.url = s.ajax.url.call(this, obj); }
+ if($.isFunction(s.ajax.data)) { s.ajax.data = s.ajax.data.call(this, obj); }
+ $.ajax(s.ajax);
+ break;
+ }
+ },
+ parse_xml : function (xml, callback) {
+ var s = this._get_settings().xml_data;
+ $.vakata.xslt(xml, xsl[s.xsl], callback);
+ },
+ get_xml : function (tp, obj, li_attr, a_attr, is_callback) {
+ var result = "",
+ s = this._get_settings(),
+ _this = this,
+ tmp1, tmp2, li, a, lang;
+ if(!tp) { tp = "flat"; }
+ if(!is_callback) { is_callback = 0; }
+ obj = this._get_node(obj);
+ if(!obj || obj === -1) { obj = this.get_container().find("> ul > li"); }
+ li_attr = $.isArray(li_attr) ? li_attr : [ "id", "class" ];
+ if(!is_callback && this.data.types && $.inArray(s.types.type_attr, li_attr) === -1) { li_attr.push(s.types.type_attr); }
+
+ a_attr = $.isArray(a_attr) ? a_attr : [ ];
+
+ if(!is_callback) {
+ if(s.xml_data.get_include_preamble) {
+ result += '<' + '?xml version="1.0" encoding="UTF-8"?' + '>';
+ }
+ result += "<root>";
+ }
+ obj.each(function () {
+ result += "<item";
+ li = $(this);
+ $.each(li_attr, function (i, v) {
+ var t = li.attr(v);
+ if(!s.xml_data.get_skip_empty || typeof t !== "undefined") {
+ result += " " + v + "=\"" + escape_xml((" " + (t || "")).replace(/ jstree[^ ]*/ig,'').replace(/\s+$/ig," ").replace(/^ /,"").replace(/ $/,"")) + "\"";
+ }
+ });
+ if(li.hasClass("jstree-open")) { result += " state=\"open\""; }
+ if(li.hasClass("jstree-closed")) { result += " state=\"closed\""; }
+ if(tp === "flat") { result += " parent_id=\"" + escape_xml(is_callback) + "\""; }
+ result += ">";
+ result += "<content>";
+ a = li.children("a");
+ a.each(function () {
+ tmp1 = $(this);
+ lang = false;
+ result += "<name";
+ if($.inArray("languages", s.plugins) !== -1) {
+ $.each(s.languages, function (k, z) {
+ if(tmp1.hasClass(z)) { result += " lang=\"" + escape_xml(z) + "\""; lang = z; return false; }
+ });
+ }
+ if(a_attr.length) {
+ $.each(a_attr, function (k, z) {
+ var t = tmp1.attr(z);
+ if(!s.xml_data.get_skip_empty || typeof t !== "undefined") {
+ result += " " + z + "=\"" + escape_xml((" " + t || "").replace(/ jstree[^ ]*/ig,'').replace(/\s+$/ig," ").replace(/^ /,"").replace(/ $/,"")) + "\"";
+ }
+ });
+ }
+ if(tmp1.children("ins").get(0).className.replace(/jstree[^ ]*|$/ig,'').replace(/^\s+$/ig,"").length) {
+ result += ' icon="' + escape_xml(tmp1.children("ins").get(0).className.replace(/jstree[^ ]*|$/ig,'').replace(/\s+$/ig," ").replace(/^ /,"").replace(/ $/,"")) + '"';
+ }
+ if(tmp1.children("ins").get(0).style.backgroundImage.length) {
+ result += ' icon="' + escape_xml(tmp1.children("ins").get(0).style.backgroundImage.replace("url(","").replace(")","").replace(/'/ig,"").replace(/"/ig,"")) + '"';
+ }
+ result += ">";
+ result += "<![CDATA[" + _this.get_text(tmp1, lang) + "]]>";
+ result += "</name>";
+ });
+ result += "</content>";
+ tmp2 = li[0].id || true;
+ li = li.find("> ul > li");
+ if(li.length) { tmp2 = _this.get_xml(tp, li, li_attr, a_attr, tmp2); }
+ else { tmp2 = ""; }
+ if(tp == "nest") { result += tmp2; }
+ result += "</item>";
+ if(tp == "flat") { result += tmp2; }
+ });
+ if(!is_callback) { result += "</root>"; }
+ return result;
+ }
+ }
+ });
+})(jQuery);
+//*/
+
+/*
+ * jsTree search plugin
+ * Enables both sync and async search on the tree
+ * DOES NOT WORK WITH JSON PROGRESSIVE RENDER
+ */
+(function ($) {
+ $.expr[':'].jstree_contains = function(a,i,m){
+ return (a.textContent || a.innerText || "").toLowerCase().indexOf(m[3].toLowerCase())>=0;
+ };
+ $.expr[':'].jstree_title_contains = function(a,i,m) {
+ return (a.getAttribute("title") || "").toLowerCase().indexOf(m[3].toLowerCase())>=0;
+ };
+ $.jstree.plugin("search", {
+ __init : function () {
+ this.data.search.str = "";
+ this.data.search.result = $();
+ if(this._get_settings().search.show_only_matches) {
+ this.get_container()
+ .bind("search.jstree", function (e, data) {
+ $(this).children("ul").find("li").hide().removeClass("jstree-last");
+ data.rslt.nodes.parentsUntil(".jstree").andSelf().show()
+ .filter("ul").each(function () { $(this).children("li:visible").eq(-1).addClass("jstree-last"); });
+ })
+ .bind("clear_search.jstree", function () {
+ $(this).children("ul").find("li").css("display","").end().end().jstree("clean_node", -1);
+ });
+ }
+ },
+ defaults : {
+ ajax : false,
+ search_method : "jstree_contains", // for case insensitive - jstree_contains
+ show_only_matches : false
+ },
+ _fn : {
+ search : function (str, skip_async) {
+ if($.trim(str) === "") { this.clear_search(); return; }
+ var s = this.get_settings().search,
+ t = this,
+ error_func = function () { },
+ success_func = function () { };
+ this.data.search.str = str;
+
+ if(!skip_async && s.ajax !== false && this.get_container_ul().find("li.jstree-closed:not(:has(ul)):eq(0)").length > 0) {
+ this.search.supress_callback = true;
+ error_func = function () { };
+ success_func = function (d, t, x) {
+ var sf = this.get_settings().search.ajax.success;
+ if(sf) { d = sf.call(this,d,t,x) || d; }
+ this.data.search.to_open = d;
+ this._search_open();
+ };
+ s.ajax.context = this;
+ s.ajax.error = error_func;
+ s.ajax.success = success_func;
+ if($.isFunction(s.ajax.url)) { s.ajax.url = s.ajax.url.call(this, str); }
+ if($.isFunction(s.ajax.data)) { s.ajax.data = s.ajax.data.call(this, str); }
+ if(!s.ajax.data) { s.ajax.data = { "search_string" : str }; }
+ if(!s.ajax.dataType || /^json/.exec(s.ajax.dataType)) { s.ajax.dataType = "json"; }
+ $.ajax(s.ajax);
+ return;
+ }
+ if(this.data.search.result.length) { this.clear_search(); }
+ this.data.search.result = this.get_container().find("a" + (this.data.languages ? "." + this.get_lang() : "" ) + ":" + (s.search_method) + "(" + this.data.search.str + ")");
+ this.data.search.result.addClass("jstree-search").parent().parents(".jstree-closed").each(function () {
+ t.open_node(this, false, true);
+ });
+ this.__callback({ nodes : this.data.search.result, str : str });
+ },
+ clear_search : function (str) {
+ this.data.search.result.removeClass("jstree-search");
+ this.__callback(this.data.search.result);
+ this.data.search.result = $();
+ },
+ _search_open : function (is_callback) {
+ var _this = this,
+ done = true,
+ current = [],
+ remaining = [];
+ if(this.data.search.to_open.length) {
+ $.each(this.data.search.to_open, function (i, val) {
+ if(val == "#") { return true; }
+ if($(val).length && $(val).is(".jstree-closed")) { current.push(val); }
+ else { remaining.push(val); }
+ });
+ if(current.length) {
+ this.data.search.to_open = remaining;
+ $.each(current, function (i, val) {
+ _this.open_node(val, function () { _this._search_open(true); });
+ });
+ done = false;
+ }
+ }
+ if(done) { this.search(this.data.search.str, true); }
+ }
+ }
+ });
+})(jQuery);
+//*/
+
+/*
+ * jsTree contextmenu plugin
+ */
+(function ($) {
+ $.vakata.context = {
+ hide_on_mouseleave : false,
+
+ cnt : $("<div id='vakata-contextmenu' />"),
+ vis : false,
+ tgt : false,
+ par : false,
+ func : false,
+ data : false,
+ rtl : false,
+ show : function (s, t, x, y, d, p, rtl) {
+ $.vakata.context.rtl = !!rtl;
+ var html = $.vakata.context.parse(s), h, w;
+ if(!html) { return; }
+ $.vakata.context.vis = true;
+ $.vakata.context.tgt = t;
+ $.vakata.context.par = p || t || null;
+ $.vakata.context.data = d || null;
+ $.vakata.context.cnt
+ .html(html)
+ .css({ "visibility" : "hidden", "display" : "block", "left" : 0, "top" : 0 });
+
+ if($.vakata.context.hide_on_mouseleave) {
+ $.vakata.context.cnt
+ .one("mouseleave", function(e) { $.vakata.context.hide(); });
+ }
+
+ h = $.vakata.context.cnt.height();
+ w = $.vakata.context.cnt.width();
+ if(x + w > $(document).width()) {
+ x = $(document).width() - (w + 5);
+ $.vakata.context.cnt.find("li > ul").addClass("right");
+ }
+ if(y + h > $(document).height()) {
+ y = y - (h + t[0].offsetHeight);
+ $.vakata.context.cnt.find("li > ul").addClass("bottom");
+ }
+
+ $.vakata.context.cnt
+ .css({ "left" : x, "top" : y })
+ .find("li:has(ul)")
+ .bind("mouseenter", function (e) {
+ var w = $(document).width(),
+ h = $(document).height(),
+ ul = $(this).children("ul").show();
+ if(w !== $(document).width()) { ul.toggleClass("right"); }
+ if(h !== $(document).height()) { ul.toggleClass("bottom"); }
+ })
+ .bind("mouseleave", function (e) {
+ $(this).children("ul").hide();
+ })
+ .end()
+ .css({ "visibility" : "visible" })
+ .show();
+ $(document).triggerHandler("context_show.vakata");
+ },
+ hide : function () {
+ $.vakata.context.vis = false;
+ $.vakata.context.cnt.attr("class","").css({ "visibility" : "hidden" });
+ $(document).triggerHandler("context_hide.vakata");
+ },
+ parse : function (s, is_callback) {
+ if(!s) { return false; }
+ var str = "",
+ tmp = false,
+ was_sep = true;
+ if(!is_callback) { $.vakata.context.func = {}; }
+ str += "<ul>";
+ $.each(s, function (i, val) {
+ if(!val) { return true; }
+ $.vakata.context.func[i] = val.action;
+ if(!was_sep && val.separator_before) {
+ str += "<li class='vakata-separator vakata-separator-before'></li>";
+ }
+ was_sep = false;
+ str += "<li class='" + (val._class || "") + (val._disabled ? " jstree-contextmenu-disabled " : "") + "'><ins ";
+ if(val.icon && val.icon.indexOf("/") === -1) { str += " class='" + val.icon + "' "; }
+ if(val.icon && val.icon.indexOf("/") !== -1) { str += " style='background:url(" + val.icon + ") center center no-repeat;' "; }
+ str += ">&#160;</ins><a href='#' rel='" + i + "'>";
+ if(val.submenu) {
+ str += "<span style='float:" + ($.vakata.context.rtl ? "left" : "right") + ";'>&raquo;</span>";
+ }
+ str += val.label + "</a>";
+ if(val.submenu) {
+ tmp = $.vakata.context.parse(val.submenu, true);
+ if(tmp) { str += tmp; }
+ }
+ str += "</li>";
+ if(val.separator_after) {
+ str += "<li class='vakata-separator vakata-separator-after'></li>";
+ was_sep = true;
+ }
+ });
+ str = str.replace(/<li class\='vakata-separator vakata-separator-after'\><\/li\>$/,"");
+ str += "</ul>";
+ $(document).triggerHandler("context_parse.vakata");
+ return str.length > 10 ? str : false;
+ },
+ exec : function (i) {
+ if($.isFunction($.vakata.context.func[i])) {
+ // if is string - eval and call it!
+ $.vakata.context.func[i].call($.vakata.context.data, $.vakata.context.par);
+ return true;
+ }
+ else { return false; }
+ }
+ };
+ $(function () {
+ var css_string = '' +
+ '#vakata-contextmenu { display:block; visibility:hidden; left:0; top:-200px; position:absolute; margin:0; padding:0; min-width:180px; background:#ebebeb; border:1px solid silver; z-index:10000; *width:180px; } ' +
+ '#vakata-contextmenu ul { min-width:180px; *width:180px; } ' +
+ '#vakata-contextmenu ul, #vakata-contextmenu li { margin:0; padding:0; list-style-type:none; display:block; } ' +
+ '#vakata-contextmenu li { line-height:20px; min-height:20px; position:relative; padding:0px; } ' +
+ '#vakata-contextmenu li a { padding:1px 6px; line-height:17px; display:block; text-decoration:none; margin:1px 1px 0 1px; } ' +
+ '#vakata-contextmenu li ins { float:left; width:16px; height:16px; text-decoration:none; margin-right:2px; } ' +
+ '#vakata-contextmenu li a:hover, #vakata-contextmenu li.vakata-hover > a { background:gray; color:white; } ' +
+ '#vakata-contextmenu li ul { display:none; position:absolute; top:-2px; left:100%; background:#ebebeb; border:1px solid gray; } ' +
+ '#vakata-contextmenu .right { right:100%; left:auto; } ' +
+ '#vakata-contextmenu .bottom { bottom:-1px; top:auto; } ' +
+ '#vakata-contextmenu li.vakata-separator { min-height:0; height:1px; line-height:1px; font-size:1px; overflow:hidden; margin:0 2px; background:silver; /* border-top:1px solid #fefefe; */ padding:0; } ';
+ $.vakata.css.add_sheet({ str : css_string, title : "vakata" });
+ $.vakata.context.cnt
+ .delegate("a","click", function (e) { e.preventDefault(); })
+ .delegate("a","mouseup", function (e) {
+ if(!$(this).parent().hasClass("jstree-contextmenu-disabled") && $.vakata.context.exec($(this).attr("rel"))) {
+ $.vakata.context.hide();
+ }
+ else { $(this).blur(); }
+ })
+ .delegate("a","mouseover", function () {
+ $.vakata.context.cnt.find(".vakata-hover").removeClass("vakata-hover");
+ })
+ .appendTo("body");
+ $(document).bind("mousedown", function (e) { if($.vakata.context.vis && !$.contains($.vakata.context.cnt[0], e.target)) { $.vakata.context.hide(); } });
+ if(typeof $.hotkeys !== "undefined") {
+ $(document)
+ .bind("keydown", "up", function (e) {
+ if($.vakata.context.vis) {
+ var o = $.vakata.context.cnt.find("ul:visible").last().children(".vakata-hover").removeClass("vakata-hover").prevAll("li:not(.vakata-separator)").first();
+ if(!o.length) { o = $.vakata.context.cnt.find("ul:visible").last().children("li:not(.vakata-separator)").last(); }
+ o.addClass("vakata-hover");
+ e.stopImmediatePropagation();
+ e.preventDefault();
+ }
+ })
+ .bind("keydown", "down", function (e) {
+ if($.vakata.context.vis) {
+ var o = $.vakata.context.cnt.find("ul:visible").last().children(".vakata-hover").removeClass("vakata-hover").nextAll("li:not(.vakata-separator)").first();
+ if(!o.length) { o = $.vakata.context.cnt.find("ul:visible").last().children("li:not(.vakata-separator)").first(); }
+ o.addClass("vakata-hover");
+ e.stopImmediatePropagation();
+ e.preventDefault();
+ }
+ })
+ .bind("keydown", "right", function (e) {
+ if($.vakata.context.vis) {
+ $.vakata.context.cnt.find(".vakata-hover").children("ul").show().children("li:not(.vakata-separator)").removeClass("vakata-hover").first().addClass("vakata-hover");
+ e.stopImmediatePropagation();
+ e.preventDefault();
+ }
+ })
+ .bind("keydown", "left", function (e) {
+ if($.vakata.context.vis) {
+ $.vakata.context.cnt.find(".vakata-hover").children("ul").hide().children(".vakata-separator").removeClass("vakata-hover");
+ e.stopImmediatePropagation();
+ e.preventDefault();
+ }
+ })
+ .bind("keydown", "esc", function (e) {
+ $.vakata.context.hide();
+ e.preventDefault();
+ })
+ .bind("keydown", "space", function (e) {
+ $.vakata.context.cnt.find(".vakata-hover").last().children("a").click();
+ e.preventDefault();
+ });
+ }
+ });
+
+ $.jstree.plugin("contextmenu", {
+ __init : function () {
+ this.get_container()
+ .delegate("a", "contextmenu.jstree", $.proxy(function (e) {
+ e.preventDefault();
+ if(!$(e.currentTarget).hasClass("jstree-loading")) {
+ this.show_contextmenu(e.currentTarget, e.pageX, e.pageY);
+ }
+ }, this))
+ .delegate("a", "click.jstree", $.proxy(function (e) {
+ if(this.data.contextmenu) {
+ $.vakata.context.hide();
+ }
+ }, this))
+ .bind("destroy.jstree", $.proxy(function () {
+ // TODO: move this to descruct method
+ if(this.data.contextmenu) {
+ $.vakata.context.hide();
+ }
+ }, this));
+ $(document).bind("context_hide.vakata", $.proxy(function () { this.data.contextmenu = false; }, this));
+ },
+ defaults : {
+ select_node : false, // requires UI plugin
+ show_at_node : true,
+ items : { // Could be a function that should return an object like this one
+ "create" : {
+ "separator_before" : false,
+ "separator_after" : true,
+ "label" : "Create",
+ "action" : function (obj) { this.create(obj); }
+ },
+ "rename" : {
+ "separator_before" : false,
+ "separator_after" : false,
+ "label" : "Rename",
+ "action" : function (obj) { this.rename(obj); }
+ },
+ "remove" : {
+ "separator_before" : false,
+ "icon" : false,
+ "separator_after" : false,
+ "label" : "Delete",
+ "action" : function (obj) { if(this.is_selected(obj)) { this.remove(); } else { this.remove(obj); } }
+ },
+ "ccp" : {
+ "separator_before" : true,
+ "icon" : false,
+ "separator_after" : false,
+ "label" : "Edit",
+ "action" : false,
+ "submenu" : {
+ "cut" : {
+ "separator_before" : false,
+ "separator_after" : false,
+ "label" : "Cut",
+ "action" : function (obj) { this.cut(obj); }
+ },
+ "copy" : {
+ "separator_before" : false,
+ "icon" : false,
+ "separator_after" : false,
+ "label" : "Copy",
+ "action" : function (obj) { this.copy(obj); }
+ },
+ "paste" : {
+ "separator_before" : false,
+ "icon" : false,
+ "separator_after" : false,
+ "label" : "Paste",
+ "action" : function (obj) { this.paste(obj); }
+ }
+ }
+ }
+ }
+ },
+ _fn : {
+ show_contextmenu : function (obj, x, y) {
+ obj = this._get_node(obj);
+ var s = this.get_settings().contextmenu,
+ a = obj.children("a:visible:eq(0)"),
+ o = false,
+ i = false;
+ if(s.select_node && this.data.ui && !this.is_selected(obj)) {
+ this.deselect_all();
+ this.select_node(obj, true);
+ }
+ if(s.show_at_node || typeof x === "undefined" || typeof y === "undefined") {
+ o = a.offset();
+ x = o.left;
+ y = o.top + this.data.core.li_height;
+ }
+ i = obj.data("jstree") && obj.data("jstree").contextmenu ? obj.data("jstree").contextmenu : s.items;
+ if($.isFunction(i)) { i = i.call(this, obj); }
+ this.data.contextmenu = true;
+ $.vakata.context.show(i, a, x, y, this, obj, this._get_settings().core.rtl);
+ if(this.data.themes) { $.vakata.context.cnt.attr("class", "jstree-" + this.data.themes.theme + "-context"); }
+ }
+ }
+ });
+})(jQuery);
+//*/
+
+/*
+ * jsTree types plugin
+ * Adds support types of nodes
+ * You can set an attribute on each li node, that represents its type.
+ * According to the type setting the node may get custom icon/validation rules
+ */
+(function ($) {
+ $.jstree.plugin("types", {
+ __init : function () {
+ var s = this._get_settings().types;
+ this.data.types.attach_to = [];
+ this.get_container()
+ .bind("init.jstree", $.proxy(function () {
+ var types = s.types,
+ attr = s.type_attr,
+ icons_css = "",
+ _this = this;
+
+ $.each(types, function (i, tp) {
+ $.each(tp, function (k, v) {
+ if(!/^(max_depth|max_children|icon|valid_children)$/.test(k)) { _this.data.types.attach_to.push(k); }
+ });
+ if(!tp.icon) { return true; }
+ if( tp.icon.image || tp.icon.position) {
+ if(i == "default") { icons_css += '.jstree-' + _this.get_index() + ' a > .jstree-icon { '; }
+ else { icons_css += '.jstree-' + _this.get_index() + ' li[' + attr + '="' + i + '"] > a > .jstree-icon { '; }
+ if(tp.icon.image) { icons_css += ' background-image:url(' + tp.icon.image + '); '; }
+ if(tp.icon.position){ icons_css += ' background-position:' + tp.icon.position + '; '; }
+ else { icons_css += ' background-position:0 0; '; }
+ icons_css += '} ';
+ }
+ });
+ if(icons_css !== "") { $.vakata.css.add_sheet({ 'str' : icons_css, title : "jstree-types" }); }
+ }, this))
+ .bind("before.jstree", $.proxy(function (e, data) {
+ var s, t,
+ o = this._get_settings().types.use_data ? this._get_node(data.args[0]) : false,
+ d = o && o !== -1 && o.length ? o.data("jstree") : false;
+ if(d && d.types && d.types[data.func] === false) { e.stopImmediatePropagation(); return false; }
+ if($.inArray(data.func, this.data.types.attach_to) !== -1) {
+ if(!data.args[0] || (!data.args[0].tagName && !data.args[0].jquery)) { return; }
+ s = this._get_settings().types.types;
+ t = this._get_type(data.args[0]);
+ if(
+ (
+ (s[t] && typeof s[t][data.func] !== "undefined") ||
+ (s["default"] && typeof s["default"][data.func] !== "undefined")
+ ) && this._check(data.func, data.args[0]) === false
+ ) {
+ e.stopImmediatePropagation();
+ return false;
+ }
+ }
+ }, this));
+ if(is_ie6) {
+ this.get_container()
+ .bind("load_node.jstree set_type.jstree", $.proxy(function (e, data) {
+ var r = data && data.rslt && data.rslt.obj && data.rslt.obj !== -1 ? this._get_node(data.rslt.obj).parent() : this.get_container_ul(),
+ c = false,
+ s = this._get_settings().types;
+ $.each(s.types, function (i, tp) {
+ if(tp.icon && (tp.icon.image || tp.icon.position)) {
+ c = i === "default" ? r.find("li > a > .jstree-icon") : r.find("li[" + s.type_attr + "='" + i + "'] > a > .jstree-icon");
+ if(tp.icon.image) { c.css("backgroundImage","url(" + tp.icon.image + ")"); }
+ c.css("backgroundPosition", tp.icon.position || "0 0");
+ }
+ });
+ }, this));
+ }
+ },
+ defaults : {
+ // defines maximum number of root nodes (-1 means unlimited, -2 means disable max_children checking)
+ max_children : -1,
+ // defines the maximum depth of the tree (-1 means unlimited, -2 means disable max_depth checking)
+ max_depth : -1,
+ // defines valid node types for the root nodes
+ valid_children : "all",
+
+ // whether to use $.data
+ use_data : false,
+ // where is the type stores (the rel attribute of the LI element)
+ type_attr : "rel",
+ // a list of types
+ types : {
+ // the default type
+ "default" : {
+ "max_children" : -1,
+ "max_depth" : -1,
+ "valid_children": "all"
+
+ // Bound functions - you can bind any other function here (using boolean or function)
+ //"select_node" : true
+ }
+ }
+ },
+ _fn : {
+ _types_notify : function (n, data) {
+ if(data.type && this._get_settings().types.use_data) {
+ this.set_type(data.type, n);
+ }
+ },
+ _get_type : function (obj) {
+ obj = this._get_node(obj);
+ return (!obj || !obj.length) ? false : obj.attr(this._get_settings().types.type_attr) || "default";
+ },
+ set_type : function (str, obj) {
+ obj = this._get_node(obj);
+ var ret = (!obj.length || !str) ? false : obj.attr(this._get_settings().types.type_attr, str);
+ if(ret) { this.__callback({ obj : obj, type : str}); }
+ return ret;
+ },
+ _check : function (rule, obj, opts) {
+ obj = this._get_node(obj);
+ var v = false, t = this._get_type(obj), d = 0, _this = this, s = this._get_settings().types, data = false;
+ if(obj === -1) {
+ if(!!s[rule]) { v = s[rule]; }
+ else { return; }
+ }
+ else {
+ if(t === false) { return; }
+ data = s.use_data ? obj.data("jstree") : false;
+ if(data && data.types && typeof data.types[rule] !== "undefined") { v = data.types[rule]; }
+ else if(!!s.types[t] && typeof s.types[t][rule] !== "undefined") { v = s.types[t][rule]; }
+ else if(!!s.types["default"] && typeof s.types["default"][rule] !== "undefined") { v = s.types["default"][rule]; }
+ }
+ if($.isFunction(v)) { v = v.call(this, obj); }
+ if(rule === "max_depth" && obj !== -1 && opts !== false && s.max_depth !== -2 && v !== 0) {
+ // also include the node itself - otherwise if root node it is not checked
+ obj.children("a:eq(0)").parentsUntil(".jstree","li").each(function (i) {
+ // check if current depth already exceeds global tree depth
+ if(s.max_depth !== -1 && s.max_depth - (i + 1) <= 0) { v = 0; return false; }
+ d = (i === 0) ? v : _this._check(rule, this, false);
+ // check if current node max depth is already matched or exceeded
+ if(d !== -1 && d - (i + 1) <= 0) { v = 0; return false; }
+ // otherwise - set the max depth to the current value minus current depth
+ if(d >= 0 && (d - (i + 1) < v || v < 0) ) { v = d - (i + 1); }
+ // if the global tree depth exists and it minus the nodes calculated so far is less than `v` or `v` is unlimited
+ if(s.max_depth >= 0 && (s.max_depth - (i + 1) < v || v < 0) ) { v = s.max_depth - (i + 1); }
+ });
+ }
+ return v;
+ },
+ check_move : function () {
+ if(!this.__call_old()) { return false; }
+ var m = this._get_move(),
+ s = m.rt._get_settings().types,
+ mc = m.rt._check("max_children", m.cr),
+ md = m.rt._check("max_depth", m.cr),
+ vc = m.rt._check("valid_children", m.cr),
+ ch = 0, d = 1, t;
+
+ if(vc === "none") { return false; }
+ if($.isArray(vc) && m.ot && m.ot._get_type) {
+ m.o.each(function () {
+ if($.inArray(m.ot._get_type(this), vc) === -1) { d = false; return false; }
+ });
+ if(d === false) { return false; }
+ }
+ if(s.max_children !== -2 && mc !== -1) {
+ ch = m.cr === -1 ? this.get_container().find("> ul > li").not(m.o).length : m.cr.find("> ul > li").not(m.o).length;
+ if(ch + m.o.length > mc) { return false; }
+ }
+ if(s.max_depth !== -2 && md !== -1) {
+ d = 0;
+ if(md === 0) { return false; }
+ if(typeof m.o.d === "undefined") {
+ // TODO: deal with progressive rendering and async when checking max_depth (how to know the depth of the moved node)
+ t = m.o;
+ while(t.length > 0) {
+ t = t.find("> ul > li");
+ d ++;
+ }
+ m.o.d = d;
+ }
+ if(md - m.o.d < 0) { return false; }
+ }
+ return true;
+ },
+ create_node : function (obj, position, js, callback, is_loaded, skip_check) {
+ if(!skip_check && (is_loaded || this._is_loaded(obj))) {
+ var p = (typeof position == "string" && position.match(/^before|after$/i) && obj !== -1) ? this._get_parent(obj) : this._get_node(obj),
+ s = this._get_settings().types,
+ mc = this._check("max_children", p),
+ md = this._check("max_depth", p),
+ vc = this._check("valid_children", p),
+ ch;
+ if(typeof js === "string") { js = { data : js }; }
+ if(!js) { js = {}; }
+ if(vc === "none") { return false; }
+ if($.isArray(vc)) {
+ if(!js.attr || !js.attr[s.type_attr]) {
+ if(!js.attr) { js.attr = {}; }
+ js.attr[s.type_attr] = vc[0];
+ }
+ else {
+ if($.inArray(js.attr[s.type_attr], vc) === -1) { return false; }
+ }
+ }
+ if(s.max_children !== -2 && mc !== -1) {
+ ch = p === -1 ? this.get_container().find("> ul > li").length : p.find("> ul > li").length;
+ if(ch + 1 > mc) { return false; }
+ }
+ if(s.max_depth !== -2 && md !== -1 && (md - 1) < 0) { return false; }
+ }
+ return this.__call_old(true, obj, position, js, callback, is_loaded, skip_check);
+ }
+ }
+ });
+})(jQuery);
+//*/
+
+/*
+ * jsTree HTML plugin
+ * The HTML data store. Datastores are build by replacing the `load_node` and `_is_loaded` functions.
+ */
+(function ($) {
+ $.jstree.plugin("html_data", {
+ __init : function () {
+ // this used to use html() and clean the whitespace, but this way any attached data was lost
+ this.data.html_data.original_container_html = this.get_container().find(" > ul > li").clone(true);
+ // remove white space from LI node - otherwise nodes appear a bit to the right
+ this.data.html_data.original_container_html.find("li").andSelf().contents().filter(function() { return this.nodeType == 3; }).remove();
+ },
+ defaults : {
+ data : false,
+ ajax : false,
+ correct_state : true
+ },
+ _fn : {
+ load_node : function (obj, s_call, e_call) { var _this = this; this.load_node_html(obj, function () { _this.__callback({ "obj" : _this._get_node(obj) }); s_call.call(this); }, e_call); },
+ _is_loaded : function (obj) {
+ obj = this._get_node(obj);
+ return obj == -1 || !obj || (!this._get_settings().html_data.ajax && !$.isFunction(this._get_settings().html_data.data)) || obj.is(".jstree-open, .jstree-leaf") || obj.children("ul").children("li").size() > 0;
+ },
+ load_node_html : function (obj, s_call, e_call) {
+ var d,
+ s = this.get_settings().html_data,
+ error_func = function () {},
+ success_func = function () {};
+ obj = this._get_node(obj);
+ if(obj && obj !== -1) {
+ if(obj.data("jstree-is-loading")) { return; }
+ else { obj.data("jstree-is-loading",true); }
+ }
+ switch(!0) {
+ case ($.isFunction(s.data)):
+ s.data.call(this, obj, $.proxy(function (d) {
+ if(d && d !== "" && d.toString && d.toString().replace(/^[\s\n]+$/,"") !== "") {
+ d = $(d);
+ if(!d.is("ul")) { d = $("<ul />").append(d); }
+ if(obj == -1 || !obj) { this.get_container().children("ul").empty().append(d.children()).find("li, a").filter(function () { return !this.firstChild || !this.firstChild.tagName || this.firstChild.tagName !== "INS"; }).prepend("<ins class='jstree-icon'>&#160;</ins>").end().filter("a").children("ins:first-child").not(".jstree-icon").addClass("jstree-icon"); }
+ else { obj.children("a.jstree-loading").removeClass("jstree-loading"); obj.append(d).children("ul").find("li, a").filter(function () { return !this.firstChild || !this.firstChild.tagName || this.firstChild.tagName !== "INS"; }).prepend("<ins class='jstree-icon'>&#160;</ins>").end().filter("a").children("ins:first-child").not(".jstree-icon").addClass("jstree-icon"); obj.removeData("jstree-is-loading"); }
+ this.clean_node(obj);
+ if(s_call) { s_call.call(this); }
+ }
+ else {
+ if(obj && obj !== -1) {
+ obj.children("a.jstree-loading").removeClass("jstree-loading");
+ obj.removeData("jstree-is-loading");
+ if(s.correct_state) {
+ this.correct_state(obj);
+ if(s_call) { s_call.call(this); }
+ }
+ }
+ else {
+ if(s.correct_state) {
+ this.get_container().children("ul").empty();
+ if(s_call) { s_call.call(this); }
+ }
+ }
+ }
+ }, this));
+ break;
+ case (!s.data && !s.ajax):
+ if(!obj || obj == -1) {
+ this.get_container()
+ .children("ul").empty()
+ .append(this.data.html_data.original_container_html)
+ .find("li, a").filter(function () { return !this.firstChild || !this.firstChild.tagName || this.firstChild.tagName !== "INS"; }).prepend("<ins class='jstree-icon'>&#160;</ins>").end()
+ .filter("a").children("ins:first-child").not(".jstree-icon").addClass("jstree-icon");
+ this.clean_node();
+ }
+ if(s_call) { s_call.call(this); }
+ break;
+ case (!!s.data && !s.ajax) || (!!s.data && !!s.ajax && (!obj || obj === -1)):
+ if(!obj || obj == -1) {
+ d = $(s.data);
+ if(!d.is("ul")) { d = $("<ul />").append(d); }
+ this.get_container()
+ .children("ul").empty().append(d.children())
+ .find("li, a").filter(function () { return !this.firstChild || !this.firstChild.tagName || this.firstChild.tagName !== "INS"; }).prepend("<ins class='jstree-icon'>&#160;</ins>").end()
+ .filter("a").children("ins:first-child").not(".jstree-icon").addClass("jstree-icon");
+ this.clean_node();
+ }
+ if(s_call) { s_call.call(this); }
+ break;
+ case (!s.data && !!s.ajax) || (!!s.data && !!s.ajax && obj && obj !== -1):
+ obj = this._get_node(obj);
+ error_func = function (x, t, e) {
+ var ef = this.get_settings().html_data.ajax.error;
+ if(ef) { ef.call(this, x, t, e); }
+ if(obj != -1 && obj.length) {
+ obj.children("a.jstree-loading").removeClass("jstree-loading");
+ obj.removeData("jstree-is-loading");
+ if(t === "success" && s.correct_state) { this.correct_state(obj); }
+ }
+ else {
+ if(t === "success" && s.correct_state) { this.get_container().children("ul").empty(); }
+ }
+ if(e_call) { e_call.call(this); }
+ };
+ success_func = function (d, t, x) {
+ var sf = this.get_settings().html_data.ajax.success;
+ if(sf) { d = sf.call(this,d,t,x) || d; }
+ if(d === "" || (d && d.toString && d.toString().replace(/^[\s\n]+$/,"") === "")) {
+ return error_func.call(this, x, t, "");
+ }
+ if(d) {
+ d = $(d);
+ if(!d.is("ul")) { d = $("<ul />").append(d); }
+ if(obj == -1 || !obj) { this.get_container().children("ul").empty().append(d.children()).find("li, a").filter(function () { return !this.firstChild || !this.firstChild.tagName || this.firstChild.tagName !== "INS"; }).prepend("<ins class='jstree-icon'>&#160;</ins>").end().filter("a").children("ins:first-child").not(".jstree-icon").addClass("jstree-icon"); }
+ else { obj.children("a.jstree-loading").removeClass("jstree-loading"); obj.append(d).children("ul").find("li, a").filter(function () { return !this.firstChild || !this.firstChild.tagName || this.firstChild.tagName !== "INS"; }).prepend("<ins class='jstree-icon'>&#160;</ins>").end().filter("a").children("ins:first-child").not(".jstree-icon").addClass("jstree-icon"); obj.removeData("jstree-is-loading"); }
+ this.clean_node(obj);
+ if(s_call) { s_call.call(this); }
+ }
+ else {
+ if(obj && obj !== -1) {
+ obj.children("a.jstree-loading").removeClass("jstree-loading");
+ obj.removeData("jstree-is-loading");
+ if(s.correct_state) {
+ this.correct_state(obj);
+ if(s_call) { s_call.call(this); }
+ }
+ }
+ else {
+ if(s.correct_state) {
+ this.get_container().children("ul").empty();
+ if(s_call) { s_call.call(this); }
+ }
+ }
+ }
+ };
+ s.ajax.context = this;
+ s.ajax.error = error_func;
+ s.ajax.success = success_func;
+ if(!s.ajax.dataType) { s.ajax.dataType = "html"; }
+ if($.isFunction(s.ajax.url)) { s.ajax.url = s.ajax.url.call(this, obj); }
+ if($.isFunction(s.ajax.data)) { s.ajax.data = s.ajax.data.call(this, obj); }
+ $.ajax(s.ajax);
+ break;
+ }
+ }
+ }
+ });
+ // include the HTML data plugin by default
+ $.jstree.defaults.plugins.push("html_data");
+})(jQuery);
+//*/
+
+/*
+ * jsTree themeroller plugin
+ * Adds support for jQuery UI themes. Include this at the end of your plugins list, also make sure "themes" is not included.
+ */
+(function ($) {
+ $.jstree.plugin("themeroller", {
+ __init : function () {
+ var s = this._get_settings().themeroller;
+ this.get_container()
+ .addClass("ui-widget-content")
+ .addClass("jstree-themeroller")
+ .delegate("a","mouseenter.jstree", function (e) {
+ if(!$(e.currentTarget).hasClass("jstree-loading")) {
+ $(this).addClass(s.item_h);
+ }
+ })
+ .delegate("a","mouseleave.jstree", function () {
+ $(this).removeClass(s.item_h);
+ })
+ .bind("init.jstree", $.proxy(function (e, data) {
+ data.inst.get_container().find("> ul > li > .jstree-loading > ins").addClass("ui-icon-refresh");
+ this._themeroller(data.inst.get_container().find("> ul > li"));
+ }, this))
+ .bind("open_node.jstree create_node.jstree", $.proxy(function (e, data) {
+ this._themeroller(data.rslt.obj);
+ }, this))
+ .bind("loaded.jstree refresh.jstree", $.proxy(function (e) {
+ this._themeroller();
+ }, this))
+ .bind("close_node.jstree", $.proxy(function (e, data) {
+ this._themeroller(data.rslt.obj);
+ }, this))
+ .bind("delete_node.jstree", $.proxy(function (e, data) {
+ this._themeroller(data.rslt.parent);
+ }, this))
+ .bind("correct_state.jstree", $.proxy(function (e, data) {
+ data.rslt.obj
+ .children("ins.jstree-icon").removeClass(s.opened + " " + s.closed + " ui-icon").end()
+ .find("> a > ins.ui-icon")
+ .filter(function() {
+ return this.className.toString()
+ .replace(s.item_clsd,"").replace(s.item_open,"").replace(s.item_leaf,"")
+ .indexOf("ui-icon-") === -1;
+ }).removeClass(s.item_open + " " + s.item_clsd).addClass(s.item_leaf || "jstree-no-icon");
+ }, this))
+ .bind("select_node.jstree", $.proxy(function (e, data) {
+ data.rslt.obj.children("a").addClass(s.item_a);
+ }, this))
+ .bind("deselect_node.jstree deselect_all.jstree", $.proxy(function (e, data) {
+ this.get_container()
+ .find("a." + s.item_a).removeClass(s.item_a).end()
+ .find("a.jstree-clicked").addClass(s.item_a);
+ }, this))
+ .bind("dehover_node.jstree", $.proxy(function (e, data) {
+ data.rslt.obj.children("a").removeClass(s.item_h);
+ }, this))
+ .bind("hover_node.jstree", $.proxy(function (e, data) {
+ this.get_container()
+ .find("a." + s.item_h).not(data.rslt.obj).removeClass(s.item_h);
+ data.rslt.obj.children("a").addClass(s.item_h);
+ }, this))
+ .bind("move_node.jstree", $.proxy(function (e, data) {
+ this._themeroller(data.rslt.o);
+ this._themeroller(data.rslt.op);
+ }, this));
+ },
+ __destroy : function () {
+ var s = this._get_settings().themeroller,
+ c = [ "ui-icon" ];
+ $.each(s, function (i, v) {
+ v = v.split(" ");
+ if(v.length) { c = c.concat(v); }
+ });
+ this.get_container()
+ .removeClass("ui-widget-content")
+ .find("." + c.join(", .")).removeClass(c.join(" "));
+ },
+ _fn : {
+ _themeroller : function (obj) {
+ var s = this._get_settings().themeroller;
+ obj = !obj || obj == -1 ? this.get_container_ul() : this._get_node(obj).parent();
+ obj
+ .find("li.jstree-closed")
+ .children("ins.jstree-icon").removeClass(s.opened).addClass("ui-icon " + s.closed).end()
+ .children("a").addClass(s.item)
+ .children("ins.jstree-icon").addClass("ui-icon")
+ .filter(function() {
+ return this.className.toString()
+ .replace(s.item_clsd,"").replace(s.item_open,"").replace(s.item_leaf,"")
+ .indexOf("ui-icon-") === -1;
+ }).removeClass(s.item_leaf + " " + s.item_open).addClass(s.item_clsd || "jstree-no-icon")
+ .end()
+ .end()
+ .end()
+ .end()
+ .find("li.jstree-open")
+ .children("ins.jstree-icon").removeClass(s.closed).addClass("ui-icon " + s.opened).end()
+ .children("a").addClass(s.item)
+ .children("ins.jstree-icon").addClass("ui-icon")
+ .filter(function() {
+ return this.className.toString()
+ .replace(s.item_clsd,"").replace(s.item_open,"").replace(s.item_leaf,"")
+ .indexOf("ui-icon-") === -1;
+ }).removeClass(s.item_leaf + " " + s.item_clsd).addClass(s.item_open || "jstree-no-icon")
+ .end()
+ .end()
+ .end()
+ .end()
+ .find("li.jstree-leaf")
+ .children("ins.jstree-icon").removeClass(s.closed + " ui-icon " + s.opened).end()
+ .children("a").addClass(s.item)
+ .children("ins.jstree-icon").addClass("ui-icon")
+ .filter(function() {
+ return this.className.toString()
+ .replace(s.item_clsd,"").replace(s.item_open,"").replace(s.item_leaf,"")
+ .indexOf("ui-icon-") === -1;
+ }).removeClass(s.item_clsd + " " + s.item_open).addClass(s.item_leaf || "jstree-no-icon");
+ }
+ },
+ defaults : {
+ "opened" : "ui-icon-triangle-1-se",
+ "closed" : "ui-icon-triangle-1-e",
+ "item" : "ui-state-default",
+ "item_h" : "ui-state-hover",
+ "item_a" : "ui-state-active",
+ "item_open" : "ui-icon-folder-open",
+ "item_clsd" : "ui-icon-folder-collapsed",
+ "item_leaf" : "ui-icon-document"
+ }
+ });
+ $(function() {
+ var css_string = '' +
+ '.jstree-themeroller .ui-icon { overflow:visible; } ' +
+ '.jstree-themeroller a { padding:0 2px; } ' +
+ '.jstree-themeroller .jstree-no-icon { display:none; }';
+ $.vakata.css.add_sheet({ str : css_string, title : "jstree" });
+ });
+})(jQuery);
+//*/
+
+/*
+ * jsTree unique plugin
+ * Forces different names amongst siblings (still a bit experimental)
+ * NOTE: does not check language versions (it will not be possible to have nodes with the same title, even in different languages)
+ */
+(function ($) {
+ $.jstree.plugin("unique", {
+ __init : function () {
+ this.get_container()
+ .bind("before.jstree", $.proxy(function (e, data) {
+ var nms = [], res = true, p, t;
+ if(data.func == "move_node") {
+ // obj, ref, position, is_copy, is_prepared, skip_check
+ if(data.args[4] === true) {
+ if(data.args[0].o && data.args[0].o.length) {
+ data.args[0].o.children("a").each(function () { nms.push($(this).text().replace(/^\s+/g,"")); });
+ res = this._check_unique(nms, data.args[0].np.find("> ul > li").not(data.args[0].o), "move_node");
+ }
+ }
+ }
+ if(data.func == "create_node") {
+ // obj, position, js, callback, is_loaded
+ if(data.args[4] || this._is_loaded(data.args[0])) {
+ p = this._get_node(data.args[0]);
+ if(data.args[1] && (data.args[1] === "before" || data.args[1] === "after")) {
+ p = this._get_parent(data.args[0]);
+ if(!p || p === -1) { p = this.get_container(); }
+ }
+ if(typeof data.args[2] === "string") { nms.push(data.args[2]); }
+ else if(!data.args[2] || !data.args[2].data) { nms.push(this._get_string("new_node")); }
+ else { nms.push(data.args[2].data); }
+ res = this._check_unique(nms, p.find("> ul > li"), "create_node");
+ }
+ }
+ if(data.func == "rename_node") {
+ // obj, val
+ nms.push(data.args[1]);
+ t = this._get_node(data.args[0]);
+ p = this._get_parent(t);
+ if(!p || p === -1) { p = this.get_container(); }
+ res = this._check_unique(nms, p.find("> ul > li").not(t), "rename_node");
+ }
+ if(!res) {
+ e.stopPropagation();
+ return false;
+ }
+ }, this));
+ },
+ defaults : {
+ error_callback : $.noop
+ },
+ _fn : {
+ _check_unique : function (nms, p, func) {
+ var cnms = [];
+ p.children("a").each(function () { cnms.push($(this).text().replace(/^\s+/g,"")); });
+ if(!cnms.length || !nms.length) { return true; }
+ cnms = cnms.sort().join(",,").replace(/(,|^)([^,]+)(,,\2)+(,|$)/g,"$1$2$4").replace(/,,+/g,",").replace(/,$/,"").split(",");
+ if((cnms.length + nms.length) != cnms.concat(nms).sort().join(",,").replace(/(,|^)([^,]+)(,,\2)+(,|$)/g,"$1$2$4").replace(/,,+/g,",").replace(/,$/,"").split(",").length) {
+ this._get_settings().unique.error_callback.call(null, nms, p, func);
+ return false;
+ }
+ return true;
+ },
+ check_move : function () {
+ if(!this.__call_old()) { return false; }
+ var p = this._get_move(), nms = [];
+ if(p.o && p.o.length) {
+ p.o.children("a").each(function () { nms.push($(this).text().replace(/^\s+/g,"")); });
+ return this._check_unique(nms, p.np.find("> ul > li").not(p.o), "check_move");
+ }
+ return true;
+ }
+ }
+ });
+})(jQuery);
+//*/
+
+/*
+ * jsTree wholerow plugin
+ * Makes select and hover work on the entire width of the node
+ * MAY BE HEAVY IN LARGE DOM
+ */
+(function ($) {
+ $.jstree.plugin("wholerow", {
+ __init : function () {
+ if(!this.data.ui) { throw "jsTree wholerow: jsTree UI plugin not included."; }
+ this.data.wholerow.html = false;
+ this.data.wholerow.to = false;
+ this.get_container()
+ .bind("init.jstree", $.proxy(function (e, data) {
+ this._get_settings().core.animation = 0;
+ }, this))
+ .bind("open_node.jstree create_node.jstree clean_node.jstree loaded.jstree", $.proxy(function (e, data) {
+ this._prepare_wholerow_span( data && data.rslt && data.rslt.obj ? data.rslt.obj : -1 );
+ }, this))
+ .bind("search.jstree clear_search.jstree reopen.jstree after_open.jstree after_close.jstree create_node.jstree delete_node.jstree clean_node.jstree", $.proxy(function (e, data) {
+ if(this.data.to) { clearTimeout(this.data.to); }
+ this.data.to = setTimeout( (function (t, o) { return function() { t._prepare_wholerow_ul(o); }; })(this, data && data.rslt && data.rslt.obj ? data.rslt.obj : -1), 0);
+ }, this))
+ .bind("deselect_all.jstree", $.proxy(function (e, data) {
+ this.get_container().find(" > .jstree-wholerow .jstree-clicked").removeClass("jstree-clicked " + (this.data.themeroller ? this._get_settings().themeroller.item_a : "" ));
+ }, this))
+ .bind("select_node.jstree deselect_node.jstree ", $.proxy(function (e, data) {
+ data.rslt.obj.each(function () {
+ var ref = data.inst.get_container().find(" > .jstree-wholerow li:visible:eq(" + ( parseInt((($(this).offset().top - data.inst.get_container().offset().top + data.inst.get_container()[0].scrollTop) / data.inst.data.core.li_height),10)) + ")");
+ // ref.children("a")[e.type === "select_node" ? "addClass" : "removeClass"]("jstree-clicked");
+ ref.children("a").attr("class",data.rslt.obj.children("a").attr("class"));
+ });
+ }, this))
+ .bind("hover_node.jstree dehover_node.jstree", $.proxy(function (e, data) {
+ this.get_container().find(" > .jstree-wholerow .jstree-hovered").removeClass("jstree-hovered " + (this.data.themeroller ? this._get_settings().themeroller.item_h : "" ));
+ if(e.type === "hover_node") {
+ var ref = this.get_container().find(" > .jstree-wholerow li:visible:eq(" + ( parseInt(((data.rslt.obj.offset().top - this.get_container().offset().top + this.get_container()[0].scrollTop) / this.data.core.li_height),10)) + ")");
+ // ref.children("a").addClass("jstree-hovered");
+ ref.children("a").attr("class",data.rslt.obj.children(".jstree-hovered").attr("class"));
+ }
+ }, this))
+ .delegate(".jstree-wholerow-span, ins.jstree-icon, li", "click.jstree", function (e) {
+ var n = $(e.currentTarget);
+ if(e.target.tagName === "A" || (e.target.tagName === "INS" && n.closest("li").is(".jstree-open, .jstree-closed"))) { return; }
+ n.closest("li").children("a:visible:eq(0)").click();
+ e.stopImmediatePropagation();
+ })
+ .delegate("li", "mouseover.jstree", $.proxy(function (e) {
+ e.stopImmediatePropagation();
+ if($(e.currentTarget).children(".jstree-hovered, .jstree-clicked").length) { return false; }
+ this.hover_node(e.currentTarget);
+ return false;
+ }, this))
+ .delegate("li", "mouseleave.jstree", $.proxy(function (e) {
+ if($(e.currentTarget).children("a").hasClass("jstree-hovered").length) { return; }
+ this.dehover_node(e.currentTarget);
+ }, this));
+ if(is_ie7 || is_ie6) {
+ $.vakata.css.add_sheet({ str : ".jstree-" + this.get_index() + " { position:relative; } ", title : "jstree" });
+ }
+ },
+ defaults : {
+ },
+ __destroy : function () {
+ this.get_container().children(".jstree-wholerow").remove();
+ this.get_container().find(".jstree-wholerow-span").remove();
+ },
+ _fn : {
+ _prepare_wholerow_span : function (obj) {
+ obj = !obj || obj == -1 ? this.get_container().find("> ul > li") : this._get_node(obj);
+ if(obj === false) { return; } // added for removing root nodes
+ obj.each(function () {
+ $(this).find("li").andSelf().each(function () {
+ var $t = $(this);
+ if($t.children(".jstree-wholerow-span").length) { return true; }
+ $t.prepend("<span class='jstree-wholerow-span' style='width:" + ($t.parentsUntil(".jstree","li").length * 18) + "px;'>&#160;</span>");
+ });
+ });
+ },
+ _prepare_wholerow_ul : function () {
+ var o = this.get_container().children("ul").eq(0), h = o.html();
+ o.addClass("jstree-wholerow-real");
+ if(this.data.wholerow.last_html !== h) {
+ this.data.wholerow.last_html = h;
+ this.get_container().children(".jstree-wholerow").remove();
+ this.get_container().append(
+ o.clone().removeClass("jstree-wholerow-real")
+ .wrapAll("<div class='jstree-wholerow' />").parent()
+ .width(o.parent()[0].scrollWidth)
+ .css("top", (o.height() + ( is_ie7 ? 5 : 0)) * -1 )
+ .find("li[id]").each(function () { this.removeAttribute("id"); }).end()
+ );
+ }
+ }
+ }
+ });
+ $(function() {
+ var css_string = '' +
+ '.jstree .jstree-wholerow-real { position:relative; z-index:1; } ' +
+ '.jstree .jstree-wholerow-real li { cursor:pointer; } ' +
+ '.jstree .jstree-wholerow-real a { border-left-color:transparent !important; border-right-color:transparent !important; } ' +
+ '.jstree .jstree-wholerow { position:relative; z-index:0; height:0; } ' +
+ '.jstree .jstree-wholerow ul, .jstree .jstree-wholerow li { width:100%; } ' +
+ '.jstree .jstree-wholerow, .jstree .jstree-wholerow ul, .jstree .jstree-wholerow li, .jstree .jstree-wholerow a { margin:0 !important; padding:0 !important; } ' +
+ '.jstree .jstree-wholerow, .jstree .jstree-wholerow ul, .jstree .jstree-wholerow li { background:transparent !important; }' +
+ '.jstree .jstree-wholerow ins, .jstree .jstree-wholerow span, .jstree .jstree-wholerow input { display:none !important; }' +
+ '.jstree .jstree-wholerow a, .jstree .jstree-wholerow a:hover { text-indent:-9999px; !important; width:100%; padding:0 !important; border-right-width:0px !important; border-left-width:0px !important; } ' +
+ '.jstree .jstree-wholerow-span { position:absolute; left:0; margin:0px; padding:0; height:18px; border-width:0; padding:0; z-index:0; }';
+ if(is_ff2) {
+ css_string += '' +
+ '.jstree .jstree-wholerow a { display:block; height:18px; margin:0; padding:0; border:0; } ' +
+ '.jstree .jstree-wholerow-real a { border-color:transparent !important; } ';
+ }
+ if(is_ie7 || is_ie6) {
+ css_string += '' +
+ '.jstree .jstree-wholerow, .jstree .jstree-wholerow li, .jstree .jstree-wholerow ul, .jstree .jstree-wholerow a { margin:0; padding:0; line-height:18px; } ' +
+ '.jstree .jstree-wholerow a { display:block; height:18px; line-height:18px; overflow:hidden; } ';
+ }
+ $.vakata.css.add_sheet({ str : css_string, title : "jstree" });
+ });
+})(jQuery);
+//*/
+
+/*
+* jsTree model plugin
+* This plugin gets jstree to use a class model to retrieve data, creating great dynamism
+*/
+(function ($) {
+ var nodeInterface = ["getChildren","getChildrenCount","getAttr","getName","getProps"],
+ validateInterface = function(obj, inter) {
+ var valid = true;
+ obj = obj || {};
+ inter = [].concat(inter);
+ $.each(inter, function (i, v) {
+ if(!$.isFunction(obj[v])) { valid = false; return false; }
+ });
+ return valid;
+ };
+ $.jstree.plugin("model", {
+ __init : function () {
+ if(!this.data.json_data) { throw "jsTree model: jsTree json_data plugin not included."; }
+ this._get_settings().json_data.data = function (n, b) {
+ var obj = (n == -1) ? this._get_settings().model.object : n.data("jstree_model");
+ if(!validateInterface(obj, nodeInterface)) { return b.call(null, false); }
+ if(this._get_settings().model.async) {
+ obj.getChildren($.proxy(function (data) {
+ this.model_done(data, b);
+ }, this));
+ }
+ else {
+ this.model_done(obj.getChildren(), b);
+ }
+ };
+ },
+ defaults : {
+ object : false,
+ id_prefix : false,
+ async : false
+ },
+ _fn : {
+ model_done : function (data, callback) {
+ var ret = [],
+ s = this._get_settings(),
+ _this = this;
+
+ if(!$.isArray(data)) { data = [data]; }
+ $.each(data, function (i, nd) {
+ var r = nd.getProps() || {};
+ r.attr = nd.getAttr() || {};
+ if(nd.getChildrenCount()) { r.state = "closed"; }
+ r.data = nd.getName();
+ if(!$.isArray(r.data)) { r.data = [r.data]; }
+ if(_this.data.types && $.isFunction(nd.getType)) {
+ r.attr[s.types.type_attr] = nd.getType();
+ }
+ if(r.attr.id && s.model.id_prefix) { r.attr.id = s.model.id_prefix + r.attr.id; }
+ if(!r.metadata) { r.metadata = { }; }
+ r.metadata.jstree_model = nd;
+ ret.push(r);
+ });
+ callback.call(null, ret);
+ }
+ }
+ });
+})(jQuery);
+//*/
+
+})(); \ No newline at end of file
diff --git a/mod/developers/vendors/jsTree/themes/apple/bg.jpg b/mod/developers/vendors/jsTree/themes/apple/bg.jpg
new file mode 100644
index 000000000..3aad05d8f
--- /dev/null
+++ b/mod/developers/vendors/jsTree/themes/apple/bg.jpg
Binary files differ
diff --git a/mod/developers/vendors/jsTree/themes/apple/d.png b/mod/developers/vendors/jsTree/themes/apple/d.png
new file mode 100644
index 000000000..2463ba6df
--- /dev/null
+++ b/mod/developers/vendors/jsTree/themes/apple/d.png
Binary files differ
diff --git a/mod/developers/vendors/jsTree/themes/apple/dot_for_ie.gif b/mod/developers/vendors/jsTree/themes/apple/dot_for_ie.gif
new file mode 100644
index 000000000..c0cc5fda7
--- /dev/null
+++ b/mod/developers/vendors/jsTree/themes/apple/dot_for_ie.gif
Binary files differ
diff --git a/mod/developers/vendors/jsTree/themes/apple/style.css b/mod/developers/vendors/jsTree/themes/apple/style.css
new file mode 100644
index 000000000..db7a143e0
--- /dev/null
+++ b/mod/developers/vendors/jsTree/themes/apple/style.css
@@ -0,0 +1,61 @@
+/*
+ * jsTree apple theme 1.0
+ * Supported features: dots/no-dots, icons/no-icons, focused, loading
+ * Supported plugins: ui (hovered, clicked), checkbox, contextmenu, search
+ */
+
+.jstree-apple > ul { background:url("bg.jpg") left top repeat; }
+.jstree-apple li,
+.jstree-apple ins { background-image:url("d.png"); background-repeat:no-repeat; background-color:transparent; }
+.jstree-apple li { background-position:-90px 0; background-repeat:repeat-y; }
+.jstree-apple li.jstree-last { background:transparent; }
+.jstree-apple .jstree-open > ins { background-position:-72px 0; }
+.jstree-apple .jstree-closed > ins { background-position:-54px 0; }
+.jstree-apple .jstree-leaf > ins { background-position:-36px 0; }
+
+.jstree-apple a { border-radius:4px; -moz-border-radius:4px; -webkit-border-radius:4px; text-shadow:1px 1px 1px white; }
+.jstree-apple .jstree-hovered { background:#e7f4f9; border:1px solid #d8f0fa; padding:0 3px 0 1px; text-shadow:1px 1px 1px silver; }
+.jstree-apple .jstree-clicked { background:#beebff; border:1px solid #99defd; padding:0 3px 0 1px; }
+.jstree-apple a .jstree-icon { background-position:-56px -20px; }
+.jstree-apple a.jstree-loading .jstree-icon { background:url("throbber.gif") center center no-repeat !important; }
+
+.jstree-apple.jstree-focused { background:white; }
+
+.jstree-apple .jstree-no-dots li,
+.jstree-apple .jstree-no-dots .jstree-leaf > ins { background:transparent; }
+.jstree-apple .jstree-no-dots .jstree-open > ins { background-position:-18px 0; }
+.jstree-apple .jstree-no-dots .jstree-closed > ins { background-position:0 0; }
+
+.jstree-apple .jstree-no-icons a .jstree-icon { display:none; }
+
+.jstree-apple .jstree-search { font-style:italic; }
+
+.jstree-apple .jstree-no-icons .jstree-checkbox { display:inline-block; }
+.jstree-apple .jstree-no-checkboxes .jstree-checkbox { display:none !important; }
+.jstree-apple .jstree-checked > a > .jstree-checkbox { background-position:-38px -19px; }
+.jstree-apple .jstree-unchecked > a > .jstree-checkbox { background-position:-2px -19px; }
+.jstree-apple .jstree-undetermined > a > .jstree-checkbox { background-position:-20px -19px; }
+.jstree-apple .jstree-checked > a > .checkbox:hover { background-position:-38px -37px; }
+.jstree-apple .jstree-unchecked > a > .jstree-checkbox:hover { background-position:-2px -37px; }
+.jstree-apple .jstree-undetermined > a > .jstree-checkbox:hover { background-position:-20px -37px; }
+
+#vakata-dragged.jstree-apple ins { background:transparent !important; }
+#vakata-dragged.jstree-apple .jstree-ok { background:url("d.png") -2px -53px no-repeat !important; }
+#vakata-dragged.jstree-apple .jstree-invalid { background:url("d.png") -18px -53px no-repeat !important; }
+#jstree-marker.jstree-apple { background:url("d.png") -41px -57px no-repeat !important; text-indent:-100px; }
+
+.jstree-apple a.jstree-search { color:aqua; }
+.jstree-apple .jstree-locked a { color:silver; cursor:default; }
+
+#vakata-contextmenu.jstree-apple-context,
+#vakata-contextmenu.jstree-apple-context li ul { background:#f0f0f0; border:1px solid #979797; -moz-box-shadow: 1px 1px 2px #999; -webkit-box-shadow: 1px 1px 2px #999; box-shadow: 1px 1px 2px #999; }
+#vakata-contextmenu.jstree-apple-context li { }
+#vakata-contextmenu.jstree-apple-context a { color:black; }
+#vakata-contextmenu.jstree-apple-context a:hover,
+#vakata-contextmenu.jstree-apple-context .vakata-hover > a { padding:0 5px; background:#e8eff7; border:1px solid #aecff7; color:black; -moz-border-radius:2px; -webkit-border-radius:2px; border-radius:2px; }
+#vakata-contextmenu.jstree-apple-context li.jstree-contextmenu-disabled a,
+#vakata-contextmenu.jstree-apple-context li.jstree-contextmenu-disabled a:hover { color:silver; background:transparent; border:0; padding:1px 4px; }
+#vakata-contextmenu.jstree-apple-context li.vakata-separator { background:white; border-top:1px solid #e0e0e0; margin:0; }
+#vakata-contextmenu.jstree-apple-context li ul { margin-left:-4px; }
+
+/* TODO: IE6 support - the `>` selectors */ \ No newline at end of file
diff --git a/mod/developers/vendors/jsTree/themes/apple/throbber.gif b/mod/developers/vendors/jsTree/themes/apple/throbber.gif
new file mode 100644
index 000000000..5b33f7e54
--- /dev/null
+++ b/mod/developers/vendors/jsTree/themes/apple/throbber.gif
Binary files differ
diff --git a/mod/developers/vendors/jsTree/themes/classic/d.gif b/mod/developers/vendors/jsTree/themes/classic/d.gif
new file mode 100644
index 000000000..6eb0004ce
--- /dev/null
+++ b/mod/developers/vendors/jsTree/themes/classic/d.gif
Binary files differ
diff --git a/mod/developers/vendors/jsTree/themes/classic/d.png b/mod/developers/vendors/jsTree/themes/classic/d.png
new file mode 100644
index 000000000..275daeca2
--- /dev/null
+++ b/mod/developers/vendors/jsTree/themes/classic/d.png
Binary files differ
diff --git a/mod/developers/vendors/jsTree/themes/classic/dot_for_ie.gif b/mod/developers/vendors/jsTree/themes/classic/dot_for_ie.gif
new file mode 100644
index 000000000..c0cc5fda7
--- /dev/null
+++ b/mod/developers/vendors/jsTree/themes/classic/dot_for_ie.gif
Binary files differ
diff --git a/mod/developers/vendors/jsTree/themes/classic/style.css b/mod/developers/vendors/jsTree/themes/classic/style.css
new file mode 100644
index 000000000..492856ddc
--- /dev/null
+++ b/mod/developers/vendors/jsTree/themes/classic/style.css
@@ -0,0 +1,77 @@
+/*
+ * jsTree classic theme 1.0
+ * Supported features: dots/no-dots, icons/no-icons, focused, loading
+ * Supported plugins: ui (hovered, clicked), checkbox, contextmenu, search
+ */
+
+.jstree-classic li,
+.jstree-classic ins { background-image:url("d.png"); background-repeat:no-repeat; background-color:transparent; }
+.jstree-classic li { background-position:-90px 0; background-repeat:repeat-y; }
+.jstree-classic li.jstree-last { background:transparent; }
+.jstree-classic .jstree-open > ins { background-position:-72px 0; }
+.jstree-classic .jstree-closed > ins { background-position:-54px 0; }
+.jstree-classic .jstree-leaf > ins { background-position:-36px 0; }
+
+.jstree-classic .jstree-hovered { background:#e7f4f9; border:1px solid #e7f4f9; padding:0 2px 0 1px; }
+.jstree-classic .jstree-clicked { background:navy; border:1px solid navy; padding:0 2px 0 1px; color:white; }
+.jstree-classic a .jstree-icon { background-position:-56px -19px; }
+.jstree-classic .jstree-open > a .jstree-icon { background-position:-56px -36px; }
+.jstree-classic a.jstree-loading .jstree-icon { background:url("throbber.gif") center center no-repeat !important; }
+
+.jstree-classic.jstree-focused { background:white; }
+
+.jstree-classic .jstree-no-dots li,
+.jstree-classic .jstree-no-dots .jstree-leaf > ins { background:transparent; }
+.jstree-classic .jstree-no-dots .jstree-open > ins { background-position:-18px 0; }
+.jstree-classic .jstree-no-dots .jstree-closed > ins { background-position:0 0; }
+
+.jstree-classic .jstree-no-icons a .jstree-icon { display:none; }
+
+.jstree-classic .jstree-search { font-style:italic; }
+
+.jstree-classic .jstree-no-icons .jstree-checkbox { display:inline-block; }
+.jstree-classic .jstree-no-checkboxes .jstree-checkbox { display:none !important; }
+.jstree-classic .jstree-checked > a > .jstree-checkbox { background-position:-38px -19px; }
+.jstree-classic .jstree-unchecked > a > .jstree-checkbox { background-position:-2px -19px; }
+.jstree-classic .jstree-undetermined > a > .jstree-checkbox { background-position:-20px -19px; }
+.jstree-classic .jstree-checked > a > .jstree-checkbox:hover { background-position:-38px -37px; }
+.jstree-classic .jstree-unchecked > a > .jstree-checkbox:hover { background-position:-2px -37px; }
+.jstree-classic .jstree-undetermined > a > .jstree-checkbox:hover { background-position:-20px -37px; }
+
+#vakata-dragged.jstree-classic ins { background:transparent !important; }
+#vakata-dragged.jstree-classic .jstree-ok { background:url("d.png") -2px -53px no-repeat !important; }
+#vakata-dragged.jstree-classic .jstree-invalid { background:url("d.png") -18px -53px no-repeat !important; }
+#jstree-marker.jstree-classic { background:url("d.png") -41px -57px no-repeat !important; text-indent:-100px; }
+
+.jstree-classic a.jstree-search { color:aqua; }
+.jstree-classic .jstree-locked a { color:silver; cursor:default; }
+
+#vakata-contextmenu.jstree-classic-context,
+#vakata-contextmenu.jstree-classic-context li ul { background:#f0f0f0; border:1px solid #979797; -moz-box-shadow: 1px 1px 2px #999; -webkit-box-shadow: 1px 1px 2px #999; box-shadow: 1px 1px 2px #999; }
+#vakata-contextmenu.jstree-classic-context li { }
+#vakata-contextmenu.jstree-classic-context a { color:black; }
+#vakata-contextmenu.jstree-classic-context a:hover,
+#vakata-contextmenu.jstree-classic-context .vakata-hover > a { padding:0 5px; background:#e8eff7; border:1px solid #aecff7; color:black; -moz-border-radius:2px; -webkit-border-radius:2px; border-radius:2px; }
+#vakata-contextmenu.jstree-classic-context li.jstree-contextmenu-disabled a,
+#vakata-contextmenu.jstree-classic-context li.jstree-contextmenu-disabled a:hover { color:silver; background:transparent; border:0; padding:1px 4px; }
+#vakata-contextmenu.jstree-classic-context li.vakata-separator { background:white; border-top:1px solid #e0e0e0; margin:0; }
+#vakata-contextmenu.jstree-classic-context li ul { margin-left:-4px; }
+
+/* IE6 BEGIN */
+.jstree-classic li,
+.jstree-classic ins,
+#vakata-dragged.jstree-classic .jstree-invalid,
+#vakata-dragged.jstree-classic .jstree-ok,
+#jstree-marker.jstree-classic { _background-image:url("d.gif"); }
+.jstree-classic .jstree-open ins { _background-position:-72px 0; }
+.jstree-classic .jstree-closed ins { _background-position:-54px 0; }
+.jstree-classic .jstree-leaf ins { _background-position:-36px 0; }
+.jstree-classic .jstree-open a ins.jstree-icon { _background-position:-56px -36px; }
+.jstree-classic .jstree-closed a ins.jstree-icon { _background-position:-56px -19px; }
+.jstree-classic .jstree-leaf a ins.jstree-icon { _background-position:-56px -19px; }
+#vakata-contextmenu.jstree-classic-context ins { _display:none; }
+#vakata-contextmenu.jstree-classic-context li { _zoom:1; }
+.jstree-classic .jstree-undetermined a .jstree-checkbox { _background-position:-20px -19px; }
+.jstree-classic .jstree-checked a .jstree-checkbox { _background-position:-38px -19px; }
+.jstree-classic .jstree-unchecked a .jstree-checkbox { _background-position:-2px -19px; }
+/* IE6 END */ \ No newline at end of file
diff --git a/mod/developers/vendors/jsTree/themes/classic/throbber.gif b/mod/developers/vendors/jsTree/themes/classic/throbber.gif
new file mode 100644
index 000000000..5b33f7e54
--- /dev/null
+++ b/mod/developers/vendors/jsTree/themes/classic/throbber.gif
Binary files differ
diff --git a/mod/developers/vendors/jsTree/themes/default-rtl/d.gif b/mod/developers/vendors/jsTree/themes/default-rtl/d.gif
new file mode 100644
index 000000000..d85aba049
--- /dev/null
+++ b/mod/developers/vendors/jsTree/themes/default-rtl/d.gif
Binary files differ
diff --git a/mod/developers/vendors/jsTree/themes/default-rtl/d.png b/mod/developers/vendors/jsTree/themes/default-rtl/d.png
new file mode 100644
index 000000000..5179cf64e
--- /dev/null
+++ b/mod/developers/vendors/jsTree/themes/default-rtl/d.png
Binary files differ
diff --git a/mod/developers/vendors/jsTree/themes/default-rtl/dots.gif b/mod/developers/vendors/jsTree/themes/default-rtl/dots.gif
new file mode 100644
index 000000000..00433648c
--- /dev/null
+++ b/mod/developers/vendors/jsTree/themes/default-rtl/dots.gif
Binary files differ
diff --git a/mod/developers/vendors/jsTree/themes/default-rtl/style.css b/mod/developers/vendors/jsTree/themes/default-rtl/style.css
new file mode 100644
index 000000000..d51aef6cc
--- /dev/null
+++ b/mod/developers/vendors/jsTree/themes/default-rtl/style.css
@@ -0,0 +1,84 @@
+/*
+ * jsTree default-rtl theme 1.0
+ * Supported features: dots/no-dots, icons/no-icons, focused, loading
+ * Supported plugins: ui (hovered, clicked), checkbox, contextmenu, search
+ */
+
+.jstree-default-rtl li,
+.jstree-default-rtl ins { background-image:url("d.png"); background-repeat:no-repeat; background-color:transparent; }
+.jstree-default-rtl li { background-position:-90px 0; background-repeat:repeat-y; }
+.jstree-default-rtl li.jstree-last { background:transparent; }
+.jstree-default-rtl .jstree-open > ins { background-position:-72px 0; }
+.jstree-default-rtl .jstree-closed > ins { background-position:-54px 0; }
+.jstree-default-rtl .jstree-leaf > ins { background-position:-36px 0; }
+
+.jstree-default-rtl .jstree-hovered { background:#e7f4f9; border:1px solid #d8f0fa; padding:0 2px 0 1px; }
+.jstree-default-rtl .jstree-clicked { background:#beebff; border:1px solid #99defd; padding:0 2px 0 1px; }
+.jstree-default-rtl a .jstree-icon { background-position:-56px -19px; }
+.jstree-default-rtl a.jstree-loading .jstree-icon { background:url("throbber.gif") center center no-repeat !important; }
+
+.jstree-default-rtl.jstree-focused { background:#ffffee; }
+
+.jstree-default-rtl .jstree-no-dots li,
+.jstree-default-rtl .jstree-no-dots .jstree-leaf > ins { background:transparent; }
+.jstree-default-rtl .jstree-no-dots .jstree-open > ins { background-position:-18px 0; }
+.jstree-default-rtl .jstree-no-dots .jstree-closed > ins { background-position:0 0; }
+
+.jstree-default-rtl .jstree-no-icons a .jstree-icon { display:none; }
+
+.jstree-default-rtl .jstree-search { font-style:italic; }
+
+.jstree-default-rtl .jstree-no-icons .jstree-checkbox { display:inline-block; }
+.jstree-default-rtl .jstree-no-checkboxes .jstree-checkbox { display:none !important; }
+.jstree-default-rtl .jstree-checked > a > .jstree-checkbox { background-position:-38px -19px; }
+.jstree-default-rtl .jstree-unchecked > a > .jstree-checkbox { background-position:-2px -19px; }
+.jstree-default-rtl .jstree-undetermined > a > .jstree-checkbox { background-position:-20px -19px; }
+.jstree-default-rtl .jstree-checked > a > .jstree-checkbox:hover { background-position:-38px -37px; }
+.jstree-default-rtl .jstree-unchecked > a > .jstree-checkbox:hover { background-position:-2px -37px; }
+.jstree-default-rtl .jstree-undetermined > a > .jstree-checkbox:hover { background-position:-20px -37px; }
+
+#vakata-dragged.jstree-default-rtl ins { background:transparent !important; }
+#vakata-dragged.jstree-default-rtl .jstree-ok { background:url("d.png") -2px -53px no-repeat !important; }
+#vakata-dragged.jstree-default-rtl .jstree-invalid { background:url("d.png") -18px -53px no-repeat !important; }
+#jstree-marker.jstree-default-rtl { background:url("d.png") -41px -57px no-repeat !important; text-indent:-100px; }
+
+.jstree-default-rtl a.jstree-search { color:aqua; }
+.jstree-default-rtl .jstree-locked a { color:silver; cursor:default; }
+
+#vakata-contextmenu.jstree-default-rtl-context,
+#vakata-contextmenu.jstree-default-rtl-context li ul { background:#f0f0f0; border:1px solid #979797; -moz-box-shadow: 1px 1px 2px #999; -webkit-box-shadow: 1px 1px 2px #999; box-shadow: 1px 1px 2px #999; }
+#vakata-contextmenu.jstree-default-rtl-context li { }
+#vakata-contextmenu.jstree-default-rtl-context a { color:black; }
+#vakata-contextmenu.jstree-default-rtl-context a:hover,
+#vakata-contextmenu.jstree-default-rtl-context .vakata-hover > a { padding:0 5px; background:#e8eff7; border:1px solid #aecff7; color:black; -moz-border-radius:2px; -webkit-border-radius:2px; border-radius:2px; }
+#vakata-contextmenu.jstree-default-rtl-context li.jstree-contextmenu-disabled a,
+#vakata-contextmenu.jstree-default-rtl-context li.jstree-contextmenu-disabled a:hover { color:silver; background:transparent; border:0; padding:1px 4px; }
+#vakata-contextmenu.jstree-default-rtl-context li.vakata-separator { background:white; border-top:1px solid #e0e0e0; margin:0; }
+#vakata-contextmenu.jstree-default-rtl-context li ul { margin-left:-4px; }
+
+/* IE6 BEGIN */
+.jstree-default-rtl li,
+.jstree-default-rtl ins,
+#vakata-dragged.jstree-default-rtl .jstree-invalid,
+#vakata-dragged.jstree-default-rtl .jstree-ok,
+#jstree-marker.jstree-default-rtl { _background-image:url("d.gif"); }
+.jstree-default-rtl .jstree-open ins { _background-position:-72px 0; }
+.jstree-default-rtl .jstree-closed ins { _background-position:-54px 0; }
+.jstree-default-rtl .jstree-leaf ins { _background-position:-36px 0; }
+.jstree-default-rtl a ins.jstree-icon { _background-position:-56px -19px; }
+#vakata-contextmenu.jstree-default-rtl-context ins { _display:none; }
+#vakata-contextmenu.jstree-default-rtl-context li { _zoom:1; }
+.jstree-default-rtl .jstree-undetermined a .jstree-checkbox { _background-position:-18px -19px; }
+.jstree-default-rtl .jstree-checked a .jstree-checkbox { _background-position:-36px -19px; }
+.jstree-default-rtl .jstree-unchecked a .jstree-checkbox { _background-position:0px -19px; }
+/* IE6 END */
+
+/* RTL part */
+.jstree-default-rtl .jstree-hovered, .jstree-default-rtl .jstree-clicked { padding:0 1px 0 2px; }
+.jstree-default-rtl li { background-image:url("dots.gif"); background-position: 100% 0px; }
+.jstree-default-rtl .jstree-checked > a > .jstree-checkbox { background-position:-36px -19px; margin-left:2px; }
+.jstree-default-rtl .jstree-unchecked > a > .jstree-checkbox { background-position:0px -19px; margin-left:2px; }
+.jstree-default-rtl .jstree-undetermined > a > .jstree-checkbox { background-position:-18px -19px; margin-left:2px; }
+.jstree-default-rtl .jstree-checked > a > .jstree-checkbox:hover { background-position:-36px -37px; }
+.jstree-default-rtl .jstree-unchecked > a > .jstree-checkbox:hover { background-position:0px -37px; }
+.jstree-default-rtl .jstree-undetermined > a > .jstree-checkbox:hover { background-position:-18px -37px; } \ No newline at end of file
diff --git a/mod/developers/vendors/jsTree/themes/default-rtl/throbber.gif b/mod/developers/vendors/jsTree/themes/default-rtl/throbber.gif
new file mode 100644
index 000000000..5b33f7e54
--- /dev/null
+++ b/mod/developers/vendors/jsTree/themes/default-rtl/throbber.gif
Binary files differ
diff --git a/mod/developers/vendors/jsTree/themes/default/d.gif b/mod/developers/vendors/jsTree/themes/default/d.gif
new file mode 100644
index 000000000..0e958d387
--- /dev/null
+++ b/mod/developers/vendors/jsTree/themes/default/d.gif
Binary files differ
diff --git a/mod/developers/vendors/jsTree/themes/default/d.png b/mod/developers/vendors/jsTree/themes/default/d.png
new file mode 100644
index 000000000..8540175a0
--- /dev/null
+++ b/mod/developers/vendors/jsTree/themes/default/d.png
Binary files differ
diff --git a/mod/developers/vendors/jsTree/themes/default/style.css b/mod/developers/vendors/jsTree/themes/default/style.css
new file mode 100644
index 000000000..238ce1a1c
--- /dev/null
+++ b/mod/developers/vendors/jsTree/themes/default/style.css
@@ -0,0 +1,74 @@
+/*
+ * jsTree default theme 1.0
+ * Supported features: dots/no-dots, icons/no-icons, focused, loading
+ * Supported plugins: ui (hovered, clicked), checkbox, contextmenu, search
+ */
+
+.jstree-default li,
+.jstree-default ins { background-image:url("d.png"); background-repeat:no-repeat; background-color:transparent; }
+.jstree-default li { background-position:-90px 0; background-repeat:repeat-y; }
+.jstree-default li.jstree-last { background:transparent; }
+.jstree-default .jstree-open > ins { background-position:-72px 0; }
+.jstree-default .jstree-closed > ins { background-position:-54px 0; }
+.jstree-default .jstree-leaf > ins { background-position:-36px 0; }
+
+.jstree-default .jstree-hovered { background:#e7f4f9; border:1px solid #d8f0fa; padding:0 2px 0 1px; }
+.jstree-default .jstree-clicked { background:#beebff; border:1px solid #99defd; padding:0 2px 0 1px; }
+.jstree-default a .jstree-icon { background-position:-56px -19px; }
+.jstree-default a.jstree-loading .jstree-icon { background:url("throbber.gif") center center no-repeat !important; }
+
+.jstree-default.jstree-focused { background:#ffffee; }
+
+.jstree-default .jstree-no-dots li,
+.jstree-default .jstree-no-dots .jstree-leaf > ins { background:transparent; }
+.jstree-default .jstree-no-dots .jstree-open > ins { background-position:-18px 0; }
+.jstree-default .jstree-no-dots .jstree-closed > ins { background-position:0 0; }
+
+.jstree-default .jstree-no-icons a .jstree-icon { display:none; }
+
+.jstree-default .jstree-search { font-style:italic; }
+
+.jstree-default .jstree-no-icons .jstree-checkbox { display:inline-block; }
+.jstree-default .jstree-no-checkboxes .jstree-checkbox { display:none !important; }
+.jstree-default .jstree-checked > a > .jstree-checkbox { background-position:-38px -19px; }
+.jstree-default .jstree-unchecked > a > .jstree-checkbox { background-position:-2px -19px; }
+.jstree-default .jstree-undetermined > a > .jstree-checkbox { background-position:-20px -19px; }
+.jstree-default .jstree-checked > a > .jstree-checkbox:hover { background-position:-38px -37px; }
+.jstree-default .jstree-unchecked > a > .jstree-checkbox:hover { background-position:-2px -37px; }
+.jstree-default .jstree-undetermined > a > .jstree-checkbox:hover { background-position:-20px -37px; }
+
+#vakata-dragged.jstree-default ins { background:transparent !important; }
+#vakata-dragged.jstree-default .jstree-ok { background:url("d.png") -2px -53px no-repeat !important; }
+#vakata-dragged.jstree-default .jstree-invalid { background:url("d.png") -18px -53px no-repeat !important; }
+#jstree-marker.jstree-default { background:url("d.png") -41px -57px no-repeat !important; text-indent:-100px; }
+
+.jstree-default a.jstree-search { color:aqua; }
+.jstree-default .jstree-locked a { color:silver; cursor:default; }
+
+#vakata-contextmenu.jstree-default-context,
+#vakata-contextmenu.jstree-default-context li ul { background:#f0f0f0; border:1px solid #979797; -moz-box-shadow: 1px 1px 2px #999; -webkit-box-shadow: 1px 1px 2px #999; box-shadow: 1px 1px 2px #999; }
+#vakata-contextmenu.jstree-default-context li { }
+#vakata-contextmenu.jstree-default-context a { color:black; }
+#vakata-contextmenu.jstree-default-context a:hover,
+#vakata-contextmenu.jstree-default-context .vakata-hover > a { padding:0 5px; background:#e8eff7; border:1px solid #aecff7; color:black; -moz-border-radius:2px; -webkit-border-radius:2px; border-radius:2px; }
+#vakata-contextmenu.jstree-default-context li.jstree-contextmenu-disabled a,
+#vakata-contextmenu.jstree-default-context li.jstree-contextmenu-disabled a:hover { color:silver; background:transparent; border:0; padding:1px 4px; }
+#vakata-contextmenu.jstree-default-context li.vakata-separator { background:white; border-top:1px solid #e0e0e0; margin:0; }
+#vakata-contextmenu.jstree-default-context li ul { margin-left:-4px; }
+
+/* IE6 BEGIN */
+.jstree-default li,
+.jstree-default ins,
+#vakata-dragged.jstree-default .jstree-invalid,
+#vakata-dragged.jstree-default .jstree-ok,
+#jstree-marker.jstree-default { _background-image:url("d.gif"); }
+.jstree-default .jstree-open ins { _background-position:-72px 0; }
+.jstree-default .jstree-closed ins { _background-position:-54px 0; }
+.jstree-default .jstree-leaf ins { _background-position:-36px 0; }
+.jstree-default a ins.jstree-icon { _background-position:-56px -19px; }
+#vakata-contextmenu.jstree-default-context ins { _display:none; }
+#vakata-contextmenu.jstree-default-context li { _zoom:1; }
+.jstree-default .jstree-undetermined a .jstree-checkbox { _background-position:-20px -19px; }
+.jstree-default .jstree-checked a .jstree-checkbox { _background-position:-38px -19px; }
+.jstree-default .jstree-unchecked a .jstree-checkbox { _background-position:-2px -19px; }
+/* IE6 END */ \ No newline at end of file
diff --git a/mod/developers/vendors/jsTree/themes/default/throbber.gif b/mod/developers/vendors/jsTree/themes/default/throbber.gif
new file mode 100644
index 000000000..5b33f7e54
--- /dev/null
+++ b/mod/developers/vendors/jsTree/themes/default/throbber.gif
Binary files differ
diff --git a/mod/developers/views/default/admin/develop_tools/inspect.php b/mod/developers/views/default/admin/develop_tools/inspect.php
new file mode 100644
index 000000000..cfa3de2ea
--- /dev/null
+++ b/mod/developers/views/default/admin/develop_tools/inspect.php
@@ -0,0 +1,14 @@
+<?php
+/**
+* Inspect View
+*
+* Inspect global variables of Elgg
+*/
+
+elgg_load_js('jquery.jstree');
+elgg_load_css('jquery.jstree');
+
+echo elgg_view_form('developers/inspect', array('class' => 'developers-form-inspect'));
+
+echo '<div id="developers-inspect-results"></div>';
+echo elgg_view('graphics/ajax_loader', array('id' => 'developers-ajax-loader'));
diff --git a/mod/developers/views/default/admin/develop_tools/preview.php b/mod/developers/views/default/admin/develop_tools/preview.php
new file mode 100644
index 000000000..920047d98
--- /dev/null
+++ b/mod/developers/views/default/admin/develop_tools/preview.php
@@ -0,0 +1,8 @@
+<?php
+/**
+ * CSS Preview
+ */
+
+$url = elgg_get_site_url() . 'theme_preview';
+?>
+<iframe id="developers-iframe" src="<?php echo $url; ?>"></iframe> \ No newline at end of file
diff --git a/mod/developers/views/default/admin/develop_tools/unit_tests.php b/mod/developers/views/default/admin/develop_tools/unit_tests.php
new file mode 100644
index 000000000..81658e88b
--- /dev/null
+++ b/mod/developers/views/default/admin/develop_tools/unit_tests.php
@@ -0,0 +1,17 @@
+<?php
+/**
+ * Elgg unit and integration tests
+ *
+ */
+
+echo '<p>' . elgg_echo('developers:unit_tests:description') . '</p>';
+echo '<p><strong>' . elgg_echo('developers:unit_tests:warning') . '</strong></p>';
+
+// create a button to run tests
+$params = array(
+ 'text' => elgg_echo('developers:unit_tests:run'),
+ 'href' => 'engine/tests/suite.php',
+ 'class' => 'elgg-button elgg-button-submit',
+ 'is_trusted' => true,
+);
+echo '<p>' . elgg_view('output/url', $params) . '</p>';
diff --git a/mod/developers/views/default/admin/developers/settings.php b/mod/developers/views/default/admin/developers/settings.php
new file mode 100644
index 000000000..459cbabad
--- /dev/null
+++ b/mod/developers/views/default/admin/developers/settings.php
@@ -0,0 +1,63 @@
+<?php
+/**
+ * Developer settings
+ */
+
+$data = array(
+ 'simple_cache' => array(
+ 'type' => 'checkbox',
+ 'value' => 1,
+ 'checked' => elgg_get_config('simplecache_enabled') == 1,
+ ),
+
+ 'system_cache' => array(
+ 'type' => 'checkbox',
+ 'value' => 1,
+ 'checked' => elgg_get_config('system_cache_enabled') == 1,
+ ),
+
+ 'display_errors' => array(
+ 'type' => 'checkbox',
+ 'value' => 1,
+ 'checked' => elgg_get_plugin_setting('display_errors', 'developers') == 1,
+ ),
+
+ 'debug_level' => array(
+ 'type' => 'dropdown',
+ 'value' => elgg_get_config('debug'),
+ 'options_values' => array(
+ false => elgg_echo('developers:debug:off'),
+ 'ERROR' => elgg_echo('developers:debug:error'),
+ 'WARNING' => elgg_echo('developers:debug:warning'),
+ 'NOTICE' => elgg_echo('developers:debug:notice'),
+ ),
+ ),
+
+ 'screen_log' => array(
+ 'type' => 'checkbox',
+ 'value' => 1,
+ 'checked' => elgg_get_plugin_setting('screen_log', 'developers') == 1,
+ ),
+
+ 'show_strings' => array(
+ 'type' => 'checkbox',
+ 'value' => 1,
+ 'checked' => elgg_get_plugin_setting('show_strings', 'developers') == 1,
+ ),
+
+ 'wrap_views' => array(
+ 'type' => 'checkbox',
+ 'value' => 1,
+ 'checked' => elgg_get_plugin_setting('wrap_views', 'developers') == 1,
+ ),
+
+ 'log_events' => array(
+ 'type' => 'checkbox',
+ 'value' => 1,
+ 'checked' => elgg_get_plugin_setting('log_events', 'developers') == 1,
+ ),
+);
+
+$form_vars = array('id' => 'developer-settings-form', 'class' => 'elgg-form-settings');
+$body_vars = array('data' => $data);
+echo elgg_view_form('developers/settings', $form_vars, $body_vars);
diff --git a/mod/developers/views/default/developers/css.php b/mod/developers/views/default/developers/css.php
new file mode 100644
index 000000000..b4f59fdec
--- /dev/null
+++ b/mod/developers/views/default/developers/css.php
@@ -0,0 +1,27 @@
+<?php
+/**
+ * Admin CSS for Elgg Developers plugin
+ */
+?>
+/*** Elgg Developer Tools ***/
+#developers-iframe {
+ width: 100%;
+ height: 600px;
+ border: none;
+}
+#developer-settings-form label {
+ margin-right: 5px;
+}
+.elgg-page .jstree-default.jstree-focused {
+ background-color: transparent;
+}
+.developers-log {
+ background-color: #EBF5FF;
+ border: 1px solid #999;
+ color: #666;
+ padding: 20px;
+}
+
+.developers-content-thin {
+ max-width: 600px;
+} \ No newline at end of file
diff --git a/mod/developers/views/default/developers/ipsum.php b/mod/developers/views/default/developers/ipsum.php
new file mode 100644
index 000000000..dd9bb278f
--- /dev/null
+++ b/mod/developers/views/default/developers/ipsum.php
@@ -0,0 +1,7 @@
+<?php
+/**
+ * Lorem ipsum text
+ */
+?>
+Sed scelerisque sagittis lorem. Phasellus sodales.
+Nulla urna justo, vehicula in, suscipit nec, molestie sed, tellus. \ No newline at end of file
diff --git a/mod/developers/views/default/developers/log.php b/mod/developers/views/default/developers/log.php
new file mode 100644
index 000000000..98120ca98
--- /dev/null
+++ b/mod/developers/views/default/developers/log.php
@@ -0,0 +1,18 @@
+<?php
+/**
+ * Logging information
+ */
+
+$cache = elgg_get_config('log_cache');
+$items = $cache->get();
+
+echo '<div class="developers-log">';
+if ($items) {
+ foreach ($items as $item) {
+ echo '<pre>';
+ print_r($item);
+ echo '</pre>';
+ }
+}
+
+echo '</div>'; \ No newline at end of file
diff --git a/mod/developers/views/default/developers/tree.php b/mod/developers/views/default/developers/tree.php
new file mode 100644
index 000000000..a8e47333b
--- /dev/null
+++ b/mod/developers/views/default/developers/tree.php
@@ -0,0 +1,18 @@
+<?php
+/**
+ * Displays a tree for inspection
+ *
+ * @uses $vars['tree']
+ */
+
+echo "<ul>";
+foreach ($vars['tree'] as $key => $arr) {
+ echo "<li><a>$key</a>";
+ echo "<ul>";
+ foreach ($arr as $value) {
+ echo "<li><a>$value</a></li>";
+ }
+ echo "</ul>";
+ echo "</li>";
+}
+echo "</ul>";
diff --git a/mod/developers/views/default/forms/developers/inspect.php b/mod/developers/views/default/forms/developers/inspect.php
new file mode 100644
index 000000000..a1b433fea
--- /dev/null
+++ b/mod/developers/views/default/forms/developers/inspect.php
@@ -0,0 +1,23 @@
+<?php
+/**
+ * Configuration inspection form
+ */
+
+echo '<div>';
+echo '<p>' . elgg_echo('developers:inspect:help') . '</p>';
+
+echo elgg_view('input/dropdown', array(
+ 'name' => 'inspect_type',
+ 'options_values' => array(
+ 'Actions' => 'Actions',
+ 'Events' => 'Events',
+ 'Plugin Hooks' => 'Plugin Hooks',
+ 'Simple Cache' => 'Simple Cache',
+ 'Views' => 'Views',
+ 'Web Services' => 'Web Services',
+ 'Widgets' => 'Widgets',
+ ),
+));
+
+echo elgg_view('input/submit', array('value' => elgg_echo('submit')));
+echo '</div>';
diff --git a/mod/developers/views/default/forms/developers/settings.php b/mod/developers/views/default/forms/developers/settings.php
new file mode 100644
index 000000000..78e1372de
--- /dev/null
+++ b/mod/developers/views/default/forms/developers/settings.php
@@ -0,0 +1,36 @@
+<?php
+/**
+ * Settings form body
+ *
+ * @uses $vars['values']
+ */
+
+echo '<p>' . elgg_echo('elgg_dev_tools:settings:explanation') . '</p>';
+
+foreach ($vars['data'] as $name => $info) {
+ echo '<div>';
+ if ($info['type'] == 'checkbox') {
+ echo '<label>';
+ echo elgg_view("input/checkbox", array(
+ 'name' => $name,
+ 'value' => $info['value'],
+ 'checked' => $info['checked'],
+ ));
+ echo elgg_echo("developers:label:$name") . '</label>';
+ echo '<span class="elgg-text-help">' . elgg_echo("developers:help:$name") . '</span>';
+ } else {
+ echo '<label>' . elgg_echo("developers:label:$name");
+ echo elgg_view("input/{$info['type']}", array(
+ 'name' => $name,
+ 'value' => $info['value'],
+ 'options_values' => $info['options_values'],
+ ));
+ echo '</label>';
+ echo '<span class="elgg-text-help">' . elgg_echo("developers:help:$name") . '</span>';
+ }
+ echo '</div>';
+}
+
+echo '<div class="elgg-foot">';
+echo elgg_view('input/submit', array('value' => elgg_echo('save')));
+echo '</div>';
diff --git a/mod/developers/views/default/js/developers/developers.php b/mod/developers/views/default/js/developers/developers.php
new file mode 100644
index 000000000..6e82295aa
--- /dev/null
+++ b/mod/developers/views/default/js/developers/developers.php
@@ -0,0 +1,44 @@
+<?php
+/**
+ * Elgg developers tool JavaScript
+ */
+?>
+
+elgg.provide('elgg.dev');
+
+elgg.dev.init = function() {
+ $('.developers-form-inspect').live('submit', elgg.dev.inspectSubmit);
+};
+
+/**
+ * Submit the inspect form through Ajax
+ *
+ * Requires the jQuery Form Plugin.
+ *
+ * @param {Object} event
+ */
+elgg.dev.inspectSubmit = function(event) {
+
+ $("#developers-inspect-results").hide();
+ $("#developers-ajax-loader").show();
+
+ $(this).ajaxSubmit({
+ dataType : 'json',
+ success : function(response) {
+ if (response) {
+ $("#developers-inspect-results").html(response.output);
+ $("#developers-inspect-results").jstree({
+ "plugins" : [ "themes", "html_data" ],
+ "themes" : {"icons" : false}
+ }).bind("loaded.jstree", function() {
+ $("#developers-inspect-results").fadeIn();
+ $("#developers-ajax-loader").hide();
+ });
+ }
+ }
+ });
+
+ event.preventDefault();
+};
+
+elgg.register_hook_handler('init', 'system', elgg.dev.init); \ No newline at end of file
diff --git a/mod/developers/views/default/page/theme_preview.php b/mod/developers/views/default/page/theme_preview.php
new file mode 100644
index 000000000..ee2bc0c0f
--- /dev/null
+++ b/mod/developers/views/default/page/theme_preview.php
@@ -0,0 +1,43 @@
+<?php
+/**
+ * Page shell for theme preview
+ */
+
+$elgg = elgg_get_simplecache_url('css', 'elgg');
+$ie_url = elgg_get_simplecache_url('css', 'ie');
+$ie6_url = elgg_get_simplecache_url('css', 'ie6');
+
+// Set the content type
+header("Content-type: text/html; charset=UTF-8");
+
+?>
+<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Strict//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-strict.dtd">
+<html xmlns="http://www.w3.org/1999/xhtml" xml:lang="en" lang="en">
+<head>
+ <?php echo elgg_view('page/elements/head', $vars); ?>
+</head>
+<body>
+<div class="elgg-page elgg-page-default">
+ <div class="elgg-page-messages">
+ <ul class="elgg-system-messages">
+ <li class="hidden"></li>
+ </ul>
+ </div>
+ <div class="elgg-page-header">
+ <div class="elgg-inner">
+ <h1 class="elgg-heading-site">Theme Sandbox</h1>
+ <?php
+ if (get_input("site_menu", false)) {
+ echo elgg_view_menu('site');
+ }
+ ?>
+ </div>
+ </div>
+ <div class="elgg-page-body">
+ <div class="elgg-inner">
+ <?php echo elgg_view('page/elements/body', $vars); ?>
+ </div>
+ </div>
+</div>
+</body>
+</html> \ No newline at end of file
diff --git a/mod/developers/views/default/theme_preview/buttons.php b/mod/developers/views/default/theme_preview/buttons.php
new file mode 100644
index 000000000..718d88617
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/buttons.php
@@ -0,0 +1,41 @@
+<table class="elgg-table">
+ <thead>
+ <tr>
+ <th></th>
+ <th>Default</th>
+ <th>Disabled (.elgg-state-disabled)</th>
+ </tr>
+ </thead>
+ <tbody>
+ <tr>
+ <th>Base (.elgg-button)</th>
+ <td><a href="#" class="elgg-button">anchor</a></td>
+ <td><a href="#" class="elgg-button elgg-state-disabled">anchor</a></td>
+ </tr>
+ <tr>
+ <th>Action (.elgg-button-action)</th>
+ <td><a href="#" class="elgg-button elgg-button-action">anchor</a></td>
+ <td><a href="#" class="elgg-button elgg-button-action elgg-state-disabled">anchor</a></td>
+ </tr>
+ <tr>
+ <th>Cancel (.elgg-button-cancel)</th>
+ <td><a href="#" class="elgg-button elgg-button-cancel">anchor</a></td>
+ <td><a href="#" class="elgg-button elgg-button-cancel elgg-state-disabled">anchor</a></td>
+ </tr>
+ <tr>
+ <th>Submit (.elgg-button-submit)</th>
+ <td><a href="#" class="elgg-button elgg-button-submit">anchor</a></td>
+ <td><a href="#" class="elgg-button elgg-button-submit elgg-state-disabled">anchor</a></td>
+ </tr>
+ <tr>
+ <th>Special (.elgg-button-special)</th>
+ <td><a href="#" class="elgg-button elgg-button-special">anchor</a></td>
+ <td><a href="#" class="elgg-button elgg-button-special elgg-state-disabled">anchor</a></td>
+ </tr>
+ <tr>
+ <th>Delete (.elgg-button-delete)</th>
+ <td><a href="#" class="elgg-button elgg-button-delete">anchor</a></td>
+ <td><a href="#" class="elgg-button elgg-button-delete elgg-state-disabled">anchor</a></td>
+ </tr>
+ </tbody>
+</table> \ No newline at end of file
diff --git a/mod/developers/views/default/theme_preview/components.php b/mod/developers/views/default/theme_preview/components.php
new file mode 100644
index 000000000..50c155b14
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/components.php
@@ -0,0 +1,25 @@
+<?php
+/**
+ * CSS Objects: list, module, image_block, table, messages
+ */
+
+$body = elgg_view('theme_preview/components/image_block');
+echo elgg_view_module('info', 'Image Block (.elgg-image-block)', $body);
+
+$body = elgg_view('theme_preview/components/list');
+echo elgg_view_module('info', 'List (.elgg-list)', $body);
+
+$body = elgg_view('theme_preview/components/table', array('class' => 'elgg-table'));
+echo elgg_view_module('info', 'Table (.elgg-table)', $body);
+
+$body = elgg_view('theme_preview/components/table', array('class' => 'elgg-table-alt'));
+echo elgg_view_module('info', 'Table Alternate (.elgg-table-alt)', $body);
+
+$body = elgg_view('theme_preview/components/tagcloud');
+echo elgg_view_module('info', 'Tag cloud (.elgg-tagcloud)', $body);
+
+$body = elgg_view('theme_preview/components/tags');
+echo elgg_view_module('info', 'Tags (.elgg-tag)', $body);
+
+$body = elgg_view('theme_preview/components/messages');
+echo elgg_view_module('info', 'Messages (.elgg-message)', $body); \ No newline at end of file
diff --git a/mod/developers/views/default/theme_preview/components/image_block.php b/mod/developers/views/default/theme_preview/components/image_block.php
new file mode 100644
index 000000000..ecd35ac65
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/components/image_block.php
@@ -0,0 +1,6 @@
+<?php
+$ipsum = elgg_view('developers/ipsum');
+
+$user = new ElggUser();
+$image = elgg_view_entity_icon($user, 'small');
+echo elgg_view_image_block($image, "$ipsum $ipsum $ipsum $ipsum $ipsum $ipsum $ipsum");
diff --git a/mod/developers/views/default/theme_preview/components/list.php b/mod/developers/views/default/theme_preview/components/list.php
new file mode 100644
index 000000000..fcb6f768a
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/components/list.php
@@ -0,0 +1,19 @@
+<?php
+
+$obj1 = new ElggObject();
+$obj1->title = "Object 1";
+$obj1->description = $ipsum;
+
+$obj2 = new ElggObject();
+$obj2->title = "Object 2";
+$obj2->description = $ipsum;
+
+$obj3 = new ElggObject();
+$obj3->title = "Object 3";
+$obj3->description = $ipsum;
+
+$obj4 = new ElggObject();
+$obj4->title = "Object 4";
+$obj4->description = $ipsum;
+
+echo elgg_view('page/components/list', array('items' => array($obj1, $obj2, $obj3, $obj4)));
diff --git a/mod/developers/views/default/theme_preview/components/messages.php b/mod/developers/views/default/theme_preview/components/messages.php
new file mode 100644
index 000000000..a53255291
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/components/messages.php
@@ -0,0 +1,5 @@
+<ul>
+ <li class="elgg-message elgg-state-success mas">Success message (.elgg-state-success)</li>
+ <li class="elgg-message elgg-state-error mas">Error message (.elgg-state-error)</li>
+ <li class="elgg-message elgg-state-notice mas">Notice message (.elgg-state-notice)</li>
+</ul>
diff --git a/mod/developers/views/default/theme_preview/components/table.php b/mod/developers/views/default/theme_preview/components/table.php
new file mode 100644
index 000000000..7d619dcea
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/components/table.php
@@ -0,0 +1,12 @@
+<table class="<?php echo $vars['class']; ?>">
+<?php
+ echo "<thead><tr><th>column 1</th><th>column 2</th></tr></thead>";
+ for ($i = 1; $i < 5; $i++) {
+ echo '<tr>';
+ for ($j = 1; $j < 3; $j++) {
+ echo "<td>value $j</td>";
+ }
+ echo '</tr>';
+ }
+?>
+</table> \ No newline at end of file
diff --git a/mod/developers/views/default/theme_preview/components/tagcloud.php b/mod/developers/views/default/theme_preview/components/tagcloud.php
new file mode 100644
index 000000000..3cbf34745
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/components/tagcloud.php
@@ -0,0 +1,17 @@
+<?php
+
+$tags = array(
+ (object)array('tag' => 'php', 'total' => 2),
+ (object)array('tag' => 'elgg', 'total' => 8),
+ (object)array('tag' => 'javascript', 'total' => 3),
+ (object)array('tag' => 'css', 'total' => 4),
+ (object)array('tag' => 'html', 'total' => 1),
+ (object)array('tag' => 'framework', 'total' => 4),
+ (object)array('tag' => 'social', 'total' => 3),
+ (object)array('tag' => 'web', 'total' => 7),
+ (object)array('tag' => 'code', 'total' => 2),
+);
+
+echo '<div style="width: 200px;">';
+echo elgg_view('output/tagcloud', array('value' => $tags));
+echo '</div>';
diff --git a/mod/developers/views/default/theme_preview/components/tags.php b/mod/developers/views/default/theme_preview/components/tags.php
new file mode 100644
index 000000000..04df9511a
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/components/tags.php
@@ -0,0 +1,5 @@
+<?php
+
+echo elgg_view('output/tags', array(
+ 'value' => array('one', 'two', 'three', 'four', 'cinco'),
+));
diff --git a/mod/developers/views/default/theme_preview/forms.php b/mod/developers/views/default/theme_preview/forms.php
new file mode 100644
index 000000000..ffb77f3a9
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/forms.php
@@ -0,0 +1,138 @@
+<form action="#">
+ <fieldset>
+ <legend>Fieldset Legend</legend>
+ <div>
+ <label for="f1">Text input (.elgg-input-text):</label>
+ <?php echo elgg_view('input/text', array(
+ 'name' => 'f1',
+ 'id' => 'f1',
+ 'value' => 'input text',
+ ));
+ ?>
+ </div>
+ <div>
+ <label for="f2">Password input (.elgg-input-password):</label>
+ <?php echo elgg_view('input/password', array(
+ 'name' => 'f2',
+ 'id' => 'f2',
+ 'value' => 'password',
+ ));
+ ?>
+ </div>
+ <div>
+ <label for="f3">Radio input (.elgg-input-radios):</label><br />
+ <?php echo elgg_view('input/radio', array(
+ 'name' => 'f3',
+ 'id' => 'f3',
+ 'options' => array('a (.elgg-input-radio)' => 1, 'b (.elgg-input-radio)' => 2),
+ ));
+ ?>
+ </div>
+ <div>
+ <label for="f4">Checkboxes input (.elgg-input-checkboxes):</label><br />
+ <?php echo elgg_view('input/checkboxes', array(
+ 'name' => 'f4',
+ 'id' => 'f4',
+ 'options' => array('a (.elgg-input-checkbox)' => 1, 'b (.elgg-input-checkbox)' => 2),
+ ));
+ ?>
+ </div>
+ <div>
+ <label for="f5">Dropdown input (.elgg-input-dropdown):</label><br />
+ <?php echo elgg_view('input/dropdown', array(
+ 'name' => 'f5',
+ 'id' => 'f5',
+ 'options' => array('option 1', 'option 2'),
+ ));
+ ?>
+ </div>
+ <div>
+ <label for="f6">Access input (.elgg-input-access):</label><br />
+ <?php echo elgg_view('input/access', array(
+ 'name' => 'f6',
+ 'id' => 'f6',
+ 'value' => ACCESS_PUBLIC,
+ ));
+ ?>
+ </div>
+ <div>
+ <label for="f7">File input (.elgg-input-file):</label>
+ <?php echo elgg_view('input/file', array(
+ 'name' => 'f7',
+ 'id' => 'f7',
+ ));
+ ?>
+ </div>
+ <div>
+ <label for="f8">URL input (.elgg-input-url):</label>
+ <?php echo elgg_view('input/url', array(
+ 'name' => 'f8',
+ 'id' => 'f8',
+ 'value' => 'http://elgg.org/',
+ ));
+ ?>
+ </div>
+ <div>
+ <label for="f9">Tags input (.elgg-input-tags):</label>
+ <?php echo elgg_view('input/tags', array(
+ 'name' => 'f9',
+ 'id' => 'f9',
+ 'value' => 'one, two, three',
+ ));
+ ?>
+ </div>
+ <div>
+ <label for="f10">Email input (.elgg-input-email):</label>
+ <?php echo elgg_view('input/email', array(
+ 'name' => 'f10',
+ 'id' => 'f10',
+ 'value' => 'noone@elgg.org',
+ ));
+ ?>
+ </div>
+ <div>
+ <label for="f11">Autocomplete input (.elgg-input-autocomplete):</label>
+ <?php echo elgg_view('input/autocomplete', array(
+ 'name' => 'f11',
+ 'id' => 'f11',
+ 'match_on' => 'users',
+ ));
+ ?>
+ </div>
+ <div>
+ <label for="f12">Date input (.elgg-input-date):</label>
+ <?php echo elgg_view('input/date', array(
+ 'name' => 'f12',
+ 'id' => 'f12',
+ 'value' => '2012-12-31',
+ ));
+ ?>
+ </div>
+ <div>
+ <label for="f13">User picker input (.elgg-user-picker):</label>
+ <?php echo elgg_view('input/userpicker', array(
+ 'name' => 'f13',
+ 'id' => 'f13',
+ ));
+ ?>
+ </div>
+ <div>
+ <label for="f15">Plain textarea input (.elgg-input-plaintext):</label>
+ <?php echo elgg_view('input/plaintext', array(
+ 'name' => 'f15',
+ 'id' => 'f15',
+ 'value' => $ipsum,
+ ));
+ ?>
+ </div>
+ <div>
+ <label for="f14">Long textarea input (.elgg-input-longtext):</label>
+ <?php echo elgg_view('input/longtext', array(
+ 'name' => 'f14',
+ 'id' => 'f14',
+ 'value' => $ipsum,
+ ));
+ ?>
+ </div>
+ </fieldset>
+</form> \ No newline at end of file
diff --git a/mod/developers/views/default/theme_preview/general.php b/mod/developers/views/default/theme_preview/general.php
new file mode 100644
index 000000000..7d98d4443
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/general.php
@@ -0,0 +1,46 @@
+<?php
+/**
+ * Quick introduction to the theme sandbox
+ *
+ * @todo links to resources?
+ */
+?>
+
+<p>This theme sandbox provides a visual catalog for many of the theming elements
+ that Elgg uses. The primary css selector is listed with each theme element.
+ The preview is divided into sections that are listed in the page menu
+ (usually in the sidebar but depends on your current theme).
+</p>
+<?php
+$simple_cache = elgg_get_config('simplecache_enabled');
+$system_cache = elgg_get_config('system_cache_enabled');
+
+if ($simple_cache || $system_cache) {
+ $advanced = elgg_view('output/url', array(
+ 'text' => 'Advanced Settings',
+ 'href' => 'admin/settings/advanced',
+ 'is_trusted' => true
+ ));
+ $developers = elgg_view('output/url', array(
+ 'text' => 'Developers\' Plugin Settings',
+ 'href' => 'admin/developers/settings',
+ 'is_trusted' => true
+ ));
+
+ $body = "Caches are enabled. Changes you make to CSS and views might not appear. It is
+ always recommended to disable caches while developing themes and plugins. To
+ disable caches, visit the $advanced or $developers pages.";
+
+ echo elgg_view_module('info', 'Warning', $body);
+}
+?>
+<p>
+<?php
+ echo elgg_view('output/url', array(
+ 'text' => elgg_echo('theme_preview:breakout'),
+ 'href' => current_page_url(),
+ 'target' => '_parent',
+ 'is_trusted' => true,
+ ));
+?>
+</p>
diff --git a/mod/developers/views/default/theme_preview/grid.php b/mod/developers/views/default/theme_preview/grid.php
new file mode 100644
index 000000000..0c4cbb251
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/grid.php
@@ -0,0 +1,114 @@
+<?php
+/**
+ * Grid CSS
+ */
+
+?>
+<div class="elgg-grid">
+ <div class="elgg-col elgg-col-1of1"><div class="elgg-inner"><h3>1/1</h3></div></div>
+</div>
+<div class="elgg-grid">
+ <div class="elgg-col elgg-col-1of2"><div class="elgg-inner"><h3>1/2</h3></div></div>
+ <div class="elgg-col elgg-col-1of2"><div class="elgg-inner"><h3>1/2</h3></div></div>
+</div>
+<div class="elgg-grid">
+ <div class="elgg-col elgg-col-1of3"><div class="elgg-inner"><h3>1/3</h3></div></div>
+ <div class="elgg-col elgg-col-2of3"><div class="elgg-inner"><h3>2/3</h3></div></div>
+</div>
+<div class="elgg-grid">
+ <div class="elgg-col elgg-col-3of4"><div class="elgg-inner"><h3>3/4</h3></div></div>
+ <div class="elgg-col elgg-col-1of4"><div class="elgg-inner"><h3>1/4</h3></div></div>
+</div>
+<div class="elgg-grid">
+ <div class="elgg-col elgg-col-1of5"><div class="elgg-inner"><h3>1/5</h3></div></div>
+ <div class="elgg-col elgg-col-4of5"><div class="elgg-inner"><h3>4/5</h3></div></div>
+</div>
+<div class="elgg-grid">
+ <div class="elgg-col elgg-col-3of5"><div class="elgg-inner"><h3>3/5</h3></div></div>
+ <div class="elgg-col elgg-col-2of5"><div class="elgg-inner"><h3>2/5</h3></div></div>
+</div>
+<div class="elgg-grid">
+ <div class="elgg-col elgg-col-1of6"><div class="elgg-inner"><h3>1/6</h3></div></div>
+ <div class="elgg-col elgg-col-5of6"><div class="elgg-inner"><h3>5/6</h3></div></div>
+</div>
+<div class="elgg-grid">
+ <style>
+ h3 { text-align: center; }
+ .elgg-col > .elgg-inner {
+ border: 1px solid #cccccc;
+ border-radius: 5px;
+ padding: 5px;
+ }
+ </style>
+ <div class="elgg-col elgg-col-1of5">
+ <div class="elgg-inner">
+ <h3>1/5</h3>
+ <p>Lorem ipsum dolor sit amet, consectetur adipisicing elit, sed do eiusmod tempor incididunt ut labore et dolore magna aliqua. Ut enim ad minim veniam, quis nostrud exercitation ullamco laboris nisi ut aliquip ex ea commodo consequat. Duis aute irure dolor in reprehenderit in voluptate velit esse cillum dolore eu fugiat nulla pariatur. Excepteur sint occaecat cupidatat non proident, sunt in culpa qui officia deserunt mollit anim id est laborum.</p>
+ <p>Lorem ipsum dolor sit amet, consectetur adipisicing elit, sed do eiusmod tempor incididunt ut labore et dolore magna aliqua. Ut enim ad minim veniam, quis nostrud exercitation ullamco laboris nisi ut aliquip ex ea commodo consequat. Duis aute irure dolor in reprehenderit in voluptate velit esse cillum dolore eu fugiat nulla pariatur. Excepteur sint occaecat cupidatat non proident, sunt in culpa qui officia deserunt mollit anim id est laborum.</p>
+ <p>Lorem ipsum dolor sit amet, consectetur adipisicing elit, sed do eiusmod tempor incididunt ut labore et dolore magna aliqua. Ut enim ad minim veniam, quis nostrud exercitation ullamco laboris nisi ut aliquip ex ea commodo consequat. Duis aute irure dolor in reprehenderit in voluptate velit esse cillum dolore eu fugiat nulla pariatur. Excepteur sint occaecat cupidatat non proident, sunt in culpa qui officia deserunt mollit anim id est laborum.</p>
+ </div>
+ </div>
+ <div class="elgg-col elgg-col-3of5">
+ <div class="elgg-inner clearfix">
+ <h3>3/5</h3>
+ <div class="elgg-grid">
+ <div class="elgg-col elgg-col-1of2">
+ <div class="elgg-inner">
+ <h3>1/2</h3>
+ <p>Lorem ipsum dolor sit amet, consectetur adipisicing elit, sed do eiusmod tempor incididunt ut labore et dolore magna aliqua. Ut enim ad minim veniam, quis nostrud exercitation ullamco laboris nisi ut aliquip ex ea commodo consequat. Duis aute irure dolor in reprehenderit in voluptate velit esse cillum dolore eu fugiat nulla pariatur. Excepteur sint occaecat cupidatat non proident, sunt in culpa qui officia deserunt mollit anim id est laborum.</p>
+ </div>
+ </div>
+ <div class="elgg-col elgg-col-1of2 elgg-col-last">
+ <div class="elgg-inner">
+ <h3>1/2</h3>
+ <p>Lorem ipsum dolor sit amet, consectetur adipisicing elit, sed do eiusmod tempor incididunt ut labore et dolore magna aliqua. Ut enim ad minim veniam, quis nostrud exercitation ullamco laboris nisi ut aliquip ex ea commodo consequat. Duis aute irure dolor in reprehenderit in voluptate velit esse cillum dolore eu fugiat nulla pariatur. Excepteur sint occaecat cupidatat non proident, sunt in culpa qui officia deserunt mollit anim id est laborum.</p>
+ </div>
+ </div>
+ </div>
+ <div class="elgg-grid">
+ <div class="elgg-col elgg-col-1of3">
+ <div class="elgg-inner">
+ <h3>1/3</h3>
+ <p>Lorem ipsum dolor sit amet, consectetur adipisicing elit, sed do eiusmod tempor incididunt ut labore et dolore magna aliqua. Ut enim ad minim veniam, quis nostrud exercitation ullamco laboris nisi ut aliquip ex ea commodo consequat. Duis aute irure dolor in reprehenderit in voluptate velit esse cillum dolore eu fugiat nulla pariatur. Excepteur sint occaecat cupidatat non proident, sunt in culpa qui officia deserunt mollit anim id est laborum.</p>
+ <p>Lorem ipsum dolor sit amet, consectetur adipisicing elit, sed do eiusmod tempor incididunt ut labore et dolore magna aliqua. Ut enim ad minim veniam, quis nostrud exercitation ullamco laboris nisi ut aliquip ex ea commodo consequat. Duis aute irure dolor in reprehenderit in voluptate velit esse cillum dolore eu fugiat nulla pariatur. Excepteur sint occaecat cupidatat non proident, sunt in culpa qui officia deserunt mollit anim id est laborum.</p>
+ </div>
+ </div>
+ <div class="elgg-col elgg-col-2of3 elgg-col-last">
+ <div class="elgg-inner">
+ <h3>2/3</h3>
+ <div class="elgg-grid">
+ <div class="elgg-col elgg-col-1of2">
+ <div class="elgg-inner">
+ <h3>1/2</h3>
+ <p>Lorem ipsum dolor sit amet, consectetur adipisicing elit, sed do eiusmod tempor incididunt ut labore et dolore magna aliqua. Ut enim ad minim veniam, quis nostrud exercitation ullamco laboris nisi ut aliquip ex ea commodo consequat. Duis aute irure dolor in reprehenderit in voluptate velit esse cillum dolore eu fugiat nulla pariatur. Excepteur sint occaecat cupidatat non proident, sunt in culpa qui officia deserunt mollit anim id est laborum.</p>
+ </div>
+ </div>
+ <div class="elgg-col elgg-col-1of2 elgg-col-last">
+ <div class="elgg-inner">
+ <h3>1/2</h3>
+ <p>Lorem ipsum dolor sit amet, consectetur adipisicing elit, sed do eiusmod tempor incididunt ut labore et dolore magna aliqua. Ut enim ad minim veniam, quis nostrud exercitation ullamco laboris nisi ut aliquip ex ea commodo consequat. Duis aute irure dolor in reprehenderit in voluptate velit esse cillum dolore eu fugiat nulla pariatur. Excepteur sint occaecat cupidatat non proident, sunt in culpa qui officia deserunt mollit anim id est laborum.</p>
+ </div>
+ </div>
+ </div>
+ <div class="elgg-grid">
+ <div class="elgg-col elgg-col-1of1">
+ <div class="elgg-inner">
+ <h3>1</h3>
+ <p>Lorem ipsum dolor sit amet, consectetur adipisicing elit, sed do eiusmod tempor incididunt ut labore et dolore magna aliqua. Ut enim ad minim veniam, quis nostrud exercitation ullamco laboris nisi ut aliquip ex ea commodo consequat. Duis aute irure dolor in reprehenderit in voluptate velit esse cillum dolore eu fugiat nulla pariatur. Excepteur sint occaecat cupidatat non proident, sunt in culpa qui officia deserunt mollit anim id est laborum.</p>
+ </div>
+ </div>
+ </div>
+ </div>
+ </div>
+ </div>
+ </div>
+ </div>
+ <div class="elgg-col elgg-col-1of5 elgg-col-last">
+ <div class="elgg-inner">
+ <h3>1/5</h3>
+ <p>Lorem ipsum dolor sit amet, consectetur adipisicing elit, sed do eiusmod tempor incididunt ut labore et dolore magna aliqua. Ut enim ad minim veniam, quis nostrud exercitation ullamco laboris nisi ut aliquip ex ea commodo consequat. Duis aute irure dolor in reprehenderit in voluptate velit esse cillum dolore eu fugiat nulla pariatur. Excepteur sint occaecat cupidatat non proident, sunt in culpa qui officia deserunt mollit anim id est laborum.</p>
+ <p>Lorem ipsum dolor sit amet, consectetur adipisicing elit, sed do eiusmod tempor incididunt ut labore et dolore magna aliqua. Ut enim ad minim veniam, quis nostrud exercitation ullamco laboris nisi ut aliquip ex ea commodo consequat. Duis aute irure dolor in reprehenderit in voluptate velit esse cillum dolore eu fugiat nulla pariatur. Excepteur sint occaecat cupidatat non proident, sunt in culpa qui officia deserunt mollit anim id est laborum.</p>
+ <p>Lorem ipsum dolor sit amet, consectetur adipisicing elit, sed do eiusmod tempor incididunt ut labore et dolore magna aliqua. Ut enim ad minim veniam, quis nostrud exercitation ullamco laboris nisi ut aliquip ex ea commodo consequat. Duis aute irure dolor in reprehenderit in voluptate velit esse cillum dolore eu fugiat nulla pariatur. Excepteur sint occaecat cupidatat non proident, sunt in culpa qui officia deserunt mollit anim id est laborum.</p>
+ </div>
+ </div>
+</div>
diff --git a/mod/developers/views/default/theme_preview/icons.php b/mod/developers/views/default/theme_preview/icons.php
new file mode 100644
index 000000000..e1684c110
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/icons.php
@@ -0,0 +1,10 @@
+<?php
+/**
+ * Icons CSS
+ */
+
+echo elgg_view_module('info', 'Icon Sprites (.elgg-icon)', elgg_view('theme_preview/icons/sprites'));
+
+echo elgg_view_module('info', 'Ajax Loader (.elgg-ajax-loader)', elgg_view('theme_preview/icons/loader'));
+
+echo elgg_view_module('info', 'Avatars (.elgg-avatar)', elgg_view('theme_preview/icons/avatars'));
diff --git a/mod/developers/views/default/theme_preview/icons/avatars.php b/mod/developers/views/default/theme_preview/icons/avatars.php
new file mode 100644
index 000000000..3aa1eda26
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/icons/avatars.php
@@ -0,0 +1,36 @@
+<?php
+ $user = new ElggUser();
+ $group = new ElggGroup();
+
+ $sizes = array('large', 'medium', 'small', 'tiny');
+?>
+<table class="elgg-table">
+ <tr>
+ <th></th>
+ <?php
+ foreach ($sizes as $size) {
+ echo "<th>$size</th>";
+ }
+ ?>
+ </tr>
+ <tr>
+ <th>User</th>
+ <?php
+ foreach ($sizes as $size) {
+ echo '<td>';
+ echo elgg_view_entity_icon($user, $size, array('use_hover' => false));
+ echo '</td>';
+ }
+ ?>
+ </tr>
+ <tr>
+ <th>Group</th>
+ <?php
+ foreach ($sizes as $size) {
+ echo '<td>';
+ echo elgg_view_entity_icon($group, $size, array('use_hover' => false));
+ echo '</td>';
+ }
+ ?>
+ </tr>
+</table>
diff --git a/mod/developers/views/default/theme_preview/icons/loader.php b/mod/developers/views/default/theme_preview/icons/loader.php
new file mode 100644
index 000000000..e542da27c
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/icons/loader.php
@@ -0,0 +1 @@
+<?php echo elgg_view('graphics/ajax_loader', array('hidden' => false)); ?> \ No newline at end of file
diff --git a/mod/developers/views/default/theme_preview/icons/sprites.php b/mod/developers/views/default/theme_preview/icons/sprites.php
new file mode 100644
index 000000000..3edb0bd7c
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/icons/sprites.php
@@ -0,0 +1,61 @@
+<?php
+$icons = array(
+ 'arrow-left',
+ 'arrow-right',
+ 'arrow-two-head',
+ 'calendar',
+ 'checkmark',
+ 'clip',
+ 'cursor-drag-arrow',
+ 'delete-alt',
+ 'delete',
+ 'download',
+ 'facebook',
+ 'home',
+ 'hover-menu',
+ 'link',
+ 'mail-alt',
+ 'mail',
+ 'print-alt',
+ 'print',
+ 'push-pin-alt',
+ 'push-pin',
+ 'redo',
+ 'refresh',
+ 'round-arrow-left',
+ 'round-arrow-right',
+ 'round-checkmark',
+ 'round-minus',
+ 'round-plus',
+ 'rss',
+ 'search-focus',
+ 'search',
+ 'settings-alt',
+ 'settings',
+ 'share',
+ 'shop-cart',
+ 'speech-bubble-alt',
+ 'speech-bubble',
+ 'star-alt',
+ 'star-empty',
+ 'star',
+ 'tag',
+ 'thumbs-down-alt',
+ 'thumbs-down',
+ 'thumbs-up-alt',
+ 'thumbs-up',
+ 'trash',
+ 'twitter',
+ 'undo',
+ 'user',
+ 'users',
+);
+?>
+
+<ul class="elgg-gallery">
+<?php
+ foreach ($icons as $icon) {
+ echo "<li title=\".elgg-icon-$icon\" style=\"margin:10px\">" . elgg_view_icon($icon) . "</li>";
+ }
+?>
+</ul> \ No newline at end of file
diff --git a/mod/developers/views/default/theme_preview/miscellaneous.php b/mod/developers/views/default/theme_preview/miscellaneous.php
new file mode 100644
index 000000000..2f4ee4acd
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/miscellaneous.php
@@ -0,0 +1,22 @@
+<?php
+/**
+ * Miscellaneous and complex components
+ */
+
+$body = elgg_view('theme_preview/miscellaneous/lightbox');
+echo elgg_view_module('info', 'Lightbox (.elgg-lightbox)', $body);
+
+$body = elgg_view('theme_preview/miscellaneous/popup');
+echo elgg_view_module('info', 'Popup (rel=popup)', $body);
+
+$body = elgg_view('theme_preview/miscellaneous/toggle');
+echo elgg_view_module('info', 'Toggle (rel=toggle)', $body);
+
+$body = elgg_view('theme_preview/miscellaneous/system_messages');
+echo elgg_view_module('info', 'System Messages and Errors', $body);
+
+$body = elgg_view('theme_preview/miscellaneous/site_menu');
+echo elgg_view_module('info', 'Site Menu', $body);
+
+$body = elgg_view('theme_preview/miscellaneous/user_hover_menu');
+echo elgg_view_module('info', 'User Icon with Hover Menu', $body); \ No newline at end of file
diff --git a/mod/developers/views/default/theme_preview/miscellaneous/lightbox.php b/mod/developers/views/default/theme_preview/miscellaneous/lightbox.php
new file mode 100644
index 000000000..b673c4ee0
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/miscellaneous/lightbox.php
@@ -0,0 +1,19 @@
+<?php
+
+elgg_load_js('lightbox');
+elgg_load_css('lightbox');
+
+$ipsum = elgg_view('developers/ipsum');
+
+$link = elgg_view('output/url', array(
+ 'text' => 'Open lighbox',
+ 'href' => "#elgg-lightbox-test",
+ 'class' => 'elgg-lightbox'
+));
+
+echo $link;
+echo '<div class="hidden">';
+echo elgg_view_module('aside', 'Lightbox Test', $ipsum, array(
+ 'id' => 'elgg-lightbox-test'
+));
+echo '</div>'; \ No newline at end of file
diff --git a/mod/developers/views/default/theme_preview/miscellaneous/popup.php b/mod/developers/views/default/theme_preview/miscellaneous/popup.php
new file mode 100644
index 000000000..b711bb7cc
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/miscellaneous/popup.php
@@ -0,0 +1,15 @@
+<?php
+
+$ipsum = elgg_view('developers/ipsum');
+
+$link = elgg_view('output/url', array(
+ 'text' => 'Popup content',
+ 'href' => "#elgg-popup-test",
+ 'rel' => 'popup'
+));
+
+echo $link;
+echo elgg_view_module('popup', 'Popup Test', $ipsum, array(
+ 'id' => 'elgg-popup-test',
+ 'class' => 'hidden clearfix developers-content-thin',
+)); \ No newline at end of file
diff --git a/mod/developers/views/default/theme_preview/miscellaneous/site_menu.php b/mod/developers/views/default/theme_preview/miscellaneous/site_menu.php
new file mode 100644
index 000000000..e2384b9f7
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/miscellaneous/site_menu.php
@@ -0,0 +1,15 @@
+<?php
+
+if (!get_input('site_menu')) {
+ echo elgg_view('output/url', array(
+ 'text' => 'Show Site Menu',
+ 'href' => elgg_http_add_url_query_elements(current_page_url(), array('site_menu' => 1)),
+ 'is_trusted' => true
+ ));
+} else {
+ echo elgg_view('output/url', array(
+ 'text' => 'Hide Site Menu',
+ 'href' => elgg_http_remove_url_query_element(current_page_url(), 'site_menu'),
+ 'is_trusted' => true
+ ));
+} \ No newline at end of file
diff --git a/mod/developers/views/default/theme_preview/miscellaneous/system_messages.php b/mod/developers/views/default/theme_preview/miscellaneous/system_messages.php
new file mode 100644
index 000000000..a6663a3dc
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/miscellaneous/system_messages.php
@@ -0,0 +1,35 @@
+<?php
+
+// can't use the ipsum because it includes html when wrapping views.
+$message = elgg_view('output/url', array(
+ 'text' => 'Show system message (system_message())',
+ 'is_trusted' => true,
+ 'href' => '#',
+ 'id' => 'developers-system-message',
+// 'onclick' => "elgg.system_message('Elgg System Message');"
+));
+
+$error = elgg_view('output/url', array(
+ 'text' => 'Show error message (register_error())',
+ 'is_trusted' => true,
+ 'href' => '#',
+ 'id' => 'developers-error-message',
+));
+
+?>
+<script type="text/javascript">
+ $(function() {
+ $('#developers-system-message').click(function() {
+ elgg.system_message('Elgg System Message');
+ })
+
+ $('#developers-error-message').click(function() {
+ elgg.register_error('Elgg Error Message');
+ })
+ });
+</script>
+
+<ul>
+ <li><?php echo $message; ?></li>
+ <li><?php echo $error; ?></li>
+</ul> \ No newline at end of file
diff --git a/mod/developers/views/default/theme_preview/miscellaneous/toggle.php b/mod/developers/views/default/theme_preview/miscellaneous/toggle.php
new file mode 100644
index 000000000..abe39ddd8
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/miscellaneous/toggle.php
@@ -0,0 +1,15 @@
+<?php
+
+$ipsum = elgg_view('developers/ipsum');
+
+$link = elgg_view('output/url', array(
+ 'text' => 'Toggle content',
+ 'href' => "#elgg-toggle-test",
+ 'rel' => 'toggle'
+));
+
+echo $link;
+echo elgg_view_module('featured', 'Toggle Test', $ipsum, array(
+ 'id' => 'elgg-toggle-test',
+ 'class' => 'hidden clearfix developers-content-thin',
+)); \ No newline at end of file
diff --git a/mod/developers/views/default/theme_preview/miscellaneous/user_hover_menu.php b/mod/developers/views/default/theme_preview/miscellaneous/user_hover_menu.php
new file mode 100644
index 000000000..45331b6e0
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/miscellaneous/user_hover_menu.php
@@ -0,0 +1,16 @@
+<?php
+
+$me = elgg_get_logged_in_user_entity();
+echo elgg_view_entity_icon($me);
+
+// show another user if available
+$users = elgg_get_entities(array(
+ 'type' => 'user',
+ 'wheres' => array("guid != {$me->getGUID()}"),
+ 'limit' => 1
+));
+
+if (is_array($users) && count($users) > 0) {
+ echo elgg_view_entity_icon($users[0]);
+}
+
diff --git a/mod/developers/views/default/theme_preview/modules.php b/mod/developers/views/default/theme_preview/modules.php
new file mode 100644
index 000000000..c46c94296
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/modules.php
@@ -0,0 +1,6 @@
+<?php
+
+echo elgg_view_module('info', 'Modules (.elgg-module)', elgg_view('theme_preview/modules/modules'));
+
+echo elgg_view_module('info', 'Widgets (.elgg-module-widget)', elgg_view('theme_preview/modules/widgets'));
+
diff --git a/mod/developers/views/default/theme_preview/modules/modules.php b/mod/developers/views/default/theme_preview/modules/modules.php
new file mode 100644
index 000000000..04f5917b4
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/modules/modules.php
@@ -0,0 +1,23 @@
+<?php
+
+$ipsum = elgg_view('developers/ipsum');
+
+?>
+<div class="elgg-grid">
+ <div class="elgg-col elgg-col-1of2">
+ <div class="pam">
+ <?php
+ echo elgg_view_module('aside', 'Aside (.elgg-module-aside)', $ipsum);
+ echo elgg_view_module('popup', 'Popup (.elgg-module-popup)', $ipsum);
+ ?>
+ </div>
+ </div>
+ <div class="elgg-col elgg-col-1of2">
+ <div class="pam">
+ <?php
+ echo elgg_view_module('info', 'Info (.elgg-module-info)', $ipsum);
+ echo elgg_view_module('featured', 'Featured (.elgg-module-featured)', $ipsum);
+ ?>
+ </div>
+ </div>
+</div> \ No newline at end of file
diff --git a/mod/developers/views/default/theme_preview/modules/widgets.php b/mod/developers/views/default/theme_preview/modules/widgets.php
new file mode 100644
index 000000000..8c9fd6483
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/modules/widgets.php
@@ -0,0 +1,64 @@
+<?php
+/**
+ * Widgets CSS
+ */
+
+$url = current_page_url();
+
+elgg_register_plugin_hook_handler('view', 'widgets/friends/content', 'css_widget_content');
+elgg_register_plugin_hook_handler('view', 'widgets/friends/edit', 'css_widget_content');
+elgg_register_plugin_hook_handler('permissions_check', 'all', 'css_permissions_override');
+
+function css_widget_content() {
+ return $ipsum = elgg_view('developers/ipsum');
+}
+
+function css_permissions_override() {
+ return true;
+}
+
+
+?>
+<div class="elgg-body mal">
+ <?php echo elgg_view('theme_preview/header', $vars); ?>
+<?php
+$w = array();
+for ($i=1; $i<=6; $i++) {
+ $obj = new ElggWidget();
+ $obj->handler = 'friends';
+ $obj->title = "Widget $i";
+ $w[] = $obj;
+}
+$column1 = array($w[0], $w[1]);
+$column2 = array($w[2], $w[3]);
+$column3 = array($w[4], $w[5]);
+$widgets = array(1 => $column1, 2 => $column2, 3 => $column3);
+$num_columns = 3;
+$widget_class = "elgg-col-1of{$num_columns}";
+for ($column_index = 1; $column_index <= $num_columns; $column_index++) {
+ $column_widgets = $widgets[$column_index];
+
+ echo "<div class=\"$widget_class elgg-widgets\" id=\"elgg-widget-col-$column_index\">";
+ if (is_array($column_widgets) && sizeof($column_widgets) > 0) {
+ foreach ($column_widgets as $widget) {
+ echo elgg_view_entity($widget);
+ }
+ }
+ echo '</div>';
+}
+?>
+</div>
+<script type="text/javascript">
+ // widgets do not have guids so we override the edit toggle and delete button
+ $(function() {
+ $('.elgg-widget-edit-button').unbind('click');
+ $('.elgg-widget-edit-button').click(function() {
+ $(this).closest('.elgg-module-widget').find('.elgg-widget-edit').slideToggle('medium');
+ return false;
+ });
+ $('.elgg-widget-delete-button').click(function() {
+ $(this).closest('.elgg-module-widget').remove();
+ return false;
+ });
+ });
+</script>
diff --git a/mod/developers/views/default/theme_preview/navigation.php b/mod/developers/views/default/theme_preview/navigation.php
new file mode 100644
index 000000000..5d3060d10
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/navigation.php
@@ -0,0 +1,23 @@
+<?php
+/**
+ * Navigation CSS
+ */
+echo elgg_view_module('info', "Tabs (.elgg-tabs)", elgg_view('theme_preview/navigation/tabs'));
+
+echo elgg_view_module('info', "Pagination (.elgg-pagination)", elgg_view('theme_preview/navigation/pagination'));
+
+echo elgg_view_module('info', "Site Menu (.elgg-menu-site)", elgg_view('theme_preview/navigation/site'));
+
+echo elgg_view_module('info', "Breadcrumbs (.elgg-breadcrumbs)", elgg_view('theme_preview/navigation/breadcrumbs'));
+
+echo elgg_view_module('info', "Page Menu (.elgg-menu-page)", elgg_view('theme_preview/navigation/page'));
+
+echo elgg_view_module('info', "Filter Menu (.elgg-menu-filter)", elgg_view('theme_preview/navigation/filter'));
+
+echo elgg_view_module('info', "Extras Menu (.elgg-menu-extras and .elgg-menu-hz)", elgg_view('theme_preview/navigation/extras'));
+
+echo elgg_view_module('info', "Entity Menu (.elgg-menu-entity and .elgg-menu-hz)", elgg_view('theme_preview/navigation/entity'));
+
+echo elgg_view_module('info', "Owner Block Menu (.elgg-menu-owner-block)", elgg_view('theme_preview/navigation/owner_block'));
+
+echo elgg_view_module('info', "Footer Menu (.elgg-menu-footer)", elgg_view('theme_preview/navigation/footer'));
diff --git a/mod/developers/views/default/theme_preview/navigation/breadcrumbs.php b/mod/developers/views/default/theme_preview/navigation/breadcrumbs.php
new file mode 100644
index 000000000..0439bd577
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/navigation/breadcrumbs.php
@@ -0,0 +1,10 @@
+<?php
+elgg_push_breadcrumb('First', "#");
+elgg_push_breadcrumb('Second', "#");
+elgg_push_breadcrumb('Third');
+
+echo elgg_view('navigation/breadcrumbs', array('class' => mts));
+
+elgg_pop_breadcrumb();
+elgg_pop_breadcrumb();
+elgg_pop_breadcrumb();
diff --git a/mod/developers/views/default/theme_preview/navigation/default.php b/mod/developers/views/default/theme_preview/navigation/default.php
new file mode 100644
index 000000000..6efcd8890
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/navigation/default.php
@@ -0,0 +1,11 @@
+<?php
+
+$params = array();
+$params['menu'] = array();
+$params['menu']['default'] = array();
+for ($i=1; $i<=5; $i++) {
+ $params['menu']['default'][] = new ElggMenuItem($i, "Page $i", "#");
+}
+$params['menu']['default'][2]->setSelected(true);
+
+echo elgg_view('navigation/menu/default', $params);
diff --git a/mod/developers/views/default/theme_preview/navigation/entity.php b/mod/developers/views/default/theme_preview/navigation/entity.php
new file mode 100644
index 000000000..465585b00
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/navigation/entity.php
@@ -0,0 +1,12 @@
+<?php
+$params = array();
+$params['menu'] = array();
+$params['menu']['default'] = array();
+$params['menu']['default'][] = new ElggMenuItem(1, "Public", false);
+$params['menu']['default'][] = new ElggMenuItem(2, "Edit", "#");
+$params['menu']['default'][] = new ElggMenuItem(3, elgg_view_icon('thumbs-up'), "#");
+$params['name'] = 'entity';
+$params['class'] = 'elgg-menu-hz';
+
+echo elgg_view('navigation/menu/default', $params);
+
diff --git a/mod/developers/views/default/theme_preview/navigation/extras.php b/mod/developers/views/default/theme_preview/navigation/extras.php
new file mode 100644
index 000000000..01bc6d434
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/navigation/extras.php
@@ -0,0 +1,18 @@
+<?php
+
+$params = array();
+$params['menu'] = array();
+$params['menu']['default'] = array();
+$params['menu']['default'][] = new ElggMenuItem(1, elgg_view_icon('push-pin-alt'), "#");
+$params['menu']['default'][] = new ElggMenuItem(2, elgg_view_icon('rss'), "#");
+$params['menu']['default'][] = new ElggMenuItem(3, elgg_view_icon('star-alt'), "#");
+$params['name'] = 'extras';
+$params['class'] = 'elgg-menu-hz';
+
+?>
+
+<div class="elgg-sidebar">
+<?php
+ echo elgg_view('navigation/menu/default', $params);
+?>
+</div> \ No newline at end of file
diff --git a/mod/developers/views/default/theme_preview/navigation/filter.php b/mod/developers/views/default/theme_preview/navigation/filter.php
new file mode 100644
index 000000000..70cd31d2a
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/navigation/filter.php
@@ -0,0 +1,13 @@
+<?php
+
+$params = array();
+$params['menu'] = array();
+$params['menu']['default'] = array();
+for ($i=1; $i<=5; $i++) {
+ $params['menu']['default'][] = new ElggMenuItem($i, "Page $i", "#");
+}
+$params['menu']['default'][2]->setSelected(true);
+
+$params['name'] = 'filter';
+
+echo elgg_view('navigation/menu/default', $params);
diff --git a/mod/developers/views/default/theme_preview/navigation/footer.php b/mod/developers/views/default/theme_preview/navigation/footer.php
new file mode 100644
index 000000000..92a1bb43c
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/navigation/footer.php
@@ -0,0 +1,10 @@
+<?php
+$params = array();
+$params['menu'] = array();
+$params['menu']['default'] = array();
+for ($i=1; $i<=5; $i++) {
+ $params['menu']['default'][] = new ElggMenuItem($i, "Page $i", "#");
+}
+$params['name'] = 'footer';
+
+echo elgg_view('navigation/menu/default', $params);
diff --git a/mod/developers/views/default/theme_preview/navigation/horizontal.php b/mod/developers/views/default/theme_preview/navigation/horizontal.php
new file mode 100644
index 000000000..44e04cd7c
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/navigation/horizontal.php
@@ -0,0 +1,12 @@
+<?php
+
+$params = array();
+$params['menu'] = array();
+$params['menu']['default'] = array();
+for ($i=1; $i<=5; $i++) {
+ $params['menu']['default'][] = new ElggMenuItem($i, "Page $i", "#");
+}
+$params['menu']['default'][2]->setSelected(true);
+$params['class'] = 'elgg-menu-hz';
+
+echo elgg_view('navigation/menu/default', $params);
diff --git a/mod/developers/views/default/theme_preview/navigation/owner_block.php b/mod/developers/views/default/theme_preview/navigation/owner_block.php
new file mode 100644
index 000000000..f5f203947
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/navigation/owner_block.php
@@ -0,0 +1,13 @@
+<?php
+$params = array();
+$params['menu'] = array();
+$params['menu']['default'] = array();
+for ($i=1; $i<=5; $i++) {
+ $params['menu']['default'][] = new ElggMenuItem($i, "Page $i", "#");
+}
+$params['menu']['default'][2]->setSelected(true);
+$params['name'] = 'owner-block';
+
+echo '<div class="elgg-sidebar">';
+echo elgg_view('navigation/menu/default', $params);
+echo '</div>';
diff --git a/mod/developers/views/default/theme_preview/navigation/page.php b/mod/developers/views/default/theme_preview/navigation/page.php
new file mode 100644
index 000000000..1da6a1fd9
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/navigation/page.php
@@ -0,0 +1,20 @@
+<?php
+
+$params = array();
+$params['menu'] = array();
+$params['menu']['default'] = array();
+for ($i=1; $i<=5; $i++) {
+ $params['menu']['default'][] = new ElggMenuItem($i, "Page $i", "#");
+}
+$params['menu']['default'][2]->setSelected(true);
+
+$m = new ElggMenuItem(10, "Child", "#");
+$m->setParent($params['menu']['default'][1]);
+$params['menu']['default'][1]->addChild($m);
+?>
+
+<div class="elgg-sidebar">
+<?php
+ echo elgg_view('navigation/menu/page', $params);
+?>
+</div> \ No newline at end of file
diff --git a/mod/developers/views/default/theme_preview/navigation/pagination.php b/mod/developers/views/default/theme_preview/navigation/pagination.php
new file mode 100644
index 000000000..f5e1b632d
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/navigation/pagination.php
@@ -0,0 +1,8 @@
+<?php
+$params = array(
+ 'count' => 1000,
+ 'limit' => 10,
+ 'offset' => 230,
+);
+
+echo elgg_view('navigation/pagination', $params); \ No newline at end of file
diff --git a/mod/developers/views/default/theme_preview/navigation/site.php b/mod/developers/views/default/theme_preview/navigation/site.php
new file mode 100644
index 000000000..90bb8ff46
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/navigation/site.php
@@ -0,0 +1,18 @@
+<?php
+
+$params = array();
+$params['menu'] = array();
+$params['menu']['default'] = array();
+for ($i=1; $i<=5; $i++) {
+ $params['menu']['default'][] = new ElggMenuItem($i, "Page $i", "#");
+}
+$params['menu']['default'][2]->setSelected(true);
+?>
+
+<div class="elgg-page-header">
+ <div class="elgg-inner">
+ <?php
+ echo elgg_view('navigation/menu/site', $params);
+ ?>
+ </div>
+</div>
diff --git a/mod/developers/views/default/theme_preview/navigation/tabs.php b/mod/developers/views/default/theme_preview/navigation/tabs.php
new file mode 100644
index 000000000..dd282dc83
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/navigation/tabs.php
@@ -0,0 +1,10 @@
+<?php
+$params = array(
+ 'tabs' => array(
+ array('title' => 'First', 'url' => "#"),
+ array('title' => 'Second', 'url' => "#", 'selected' => true),
+ array('title' => 'Third', 'url' => "#"),
+ )
+);
+
+echo elgg_view('navigation/tabs', $params); \ No newline at end of file
diff --git a/mod/developers/views/default/theme_preview/typography.php b/mod/developers/views/default/theme_preview/typography.php
new file mode 100644
index 000000000..7a6328cf9
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/typography.php
@@ -0,0 +1,12 @@
+<?php
+/**
+ * General CSS
+ */
+
+echo elgg_view_module('info', "Headings", elgg_view('theme_preview/typography/headings'));
+
+echo elgg_view_module('info', "Fonts", elgg_view('theme_preview/typography/fonts'));
+
+echo elgg_view_module('info', "Paragraph", elgg_view('theme_preview/typography/paragraph'));
+
+echo elgg_view_module('info', "Misc", elgg_view('theme_preview/typography/misc')); \ No newline at end of file
diff --git a/mod/developers/views/default/theme_preview/typography/fonts.php b/mod/developers/views/default/theme_preview/typography/fonts.php
new file mode 100644
index 000000000..dd3c53f00
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/typography/fonts.php
@@ -0,0 +1,7 @@
+<ul>
+ <li>Lorem ipsum dolor sit amet (body)</li>
+ <li><span class="elgg-text-help" style="display: inline;">Lorem ipsum dolor sit amet</span> (.elgg-text-help)</li>
+ <li><span class="elgg-quiet">Lorem ipsum dolor sit amet</span> (.elgg-quiet)</li>
+ <li><span class="elgg-loud">Lorem ipsum dolor sit amet</span> (.elgg-loud)</li>
+ <li><span class="elgg-monospace">Lorem ipsum dolor sit amet</span> (.elgg-monospace)</li>
+</ul> \ No newline at end of file
diff --git a/mod/developers/views/default/theme_preview/typography/headings.php b/mod/developers/views/default/theme_preview/typography/headings.php
new file mode 100644
index 000000000..d843853e3
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/typography/headings.php
@@ -0,0 +1,6 @@
+<h1>Level 1 heading</h1>
+<h2>Level 2 heading</h2>
+<h3>Level 3 heading</h3>
+<h4>Level 4 heading</h4>
+<h5>Level 5 heading</h5>
+<h6>Level 6 heading</h6> \ No newline at end of file
diff --git a/mod/developers/views/default/theme_preview/typography/misc.php b/mod/developers/views/default/theme_preview/typography/misc.php
new file mode 100644
index 000000000..0b9fd9db7
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/typography/misc.php
@@ -0,0 +1,16 @@
+<ul>
+ <li>I am <a href="?abc123">the a tag</a> example</li>
+ <li>I am <abbr title="test">the abbr tag</abbr> example</li>
+ <li>I am <acronym>the acronym tag</acronym> example</li>
+ <li>I am <b>the b tag</b> example</li>
+ <li>I am <code>the code tag</code> example</li>
+ <li>I am <del>the del tag</del> example</li>
+ <li>I am <em>the em tag</em> example</li>
+ <li>I am <i>the i tag</i> example</li>
+ <li>I am <strong>the strong tag</strong> example</li>
+</ul>
+<blockquote><p>Paragraph inside Blockquote: <?php echo $ipsum; ?></p></blockquote>
+<pre>
+ <strong>Preformated:</strong>Testing one row
+ and another
+</pre>
diff --git a/mod/developers/views/default/theme_preview/typography/paragraph.php b/mod/developers/views/default/theme_preview/typography/paragraph.php
new file mode 100644
index 000000000..a3a7b2cfa
--- /dev/null
+++ b/mod/developers/views/default/theme_preview/typography/paragraph.php
@@ -0,0 +1,19 @@
+<p>Lorem ipsum dolor sit amet, <a href="#" title="test link">test link</a>
+adipiscing elit. Nullam dignissim convallis est. Quisque aliquam. Donec
+faucibus. Nunc iaculis suscipit dui. Nam sit amet sem. Aliquam libero
+nisi, imperdiet at, tincidunt nec, gravida vehicula, nisl. Praesent
+mattis, massa quis luctus <strong>strong</strong>, turpis mi volutpat justo, eu
+volutpat enim diam eget metus. Maecenas ornare tortor. Donec sed tellus
+eget sapien fringilla nonummy. Mauris a ante. Suspendisse quam sem,
+consequat at, commodo vitae, feugiat in, nunc. Morbi imperdiet augue
+quis tellus.</p>
+
+<p>Lorem ipsum dolor sit amet, <em>emphasis</em> consectetuer
+adipiscing elit. Nullam dignissim convallis est. Quisque aliquam. Donec
+faucibus. Nunc iaculis suscipit dui. Nam sit amet sem. Aliquam libero
+nisi, imperdiet at, tincidunt nec, gravida vehicula, nisl. Praesent
+mattis, massa quis luctus fermentum, turpis mi volutpat justo, eu
+volutpat enim diam eget metus. Maecenas ornare tortor. Donec sed tellus
+eget sapien fringilla nonummy. Mauris a ante. Suspendisse quam sem,
+consequat at, commodo vitae, feugiat in, nunc. Morbi imperdiet augue
+quis tellus.</p> \ No newline at end of file
diff --git a/mod/diagnostics/actions/download.php b/mod/diagnostics/actions/download.php
index 3c096eb0a..97775c92e 100644
--- a/mod/diagnostics/actions/download.php
+++ b/mod/diagnostics/actions/download.php
@@ -1,21 +1,18 @@
<?php
- /**
- * Elgg diagnostics
- *
- * @package ElggDiagnostics
- */
+/**
+ * Elgg diagnostics
+ *
+ * @package ElggDiagnostics
+ */
- admin_gatekeeper();
+$output = elgg_echo('diagnostics:header', array(date('r'), elgg_get_logged_in_user_entity()->name));
+$output = elgg_trigger_plugin_hook('diagnostics:report', 'system', null, $output);
- $output = elgg_echo('diagnostics:header', array(date('r'), get_loggedin_user()->name));
- $output = elgg_trigger_plugin_hook('diagnostics:report', 'system', null, $output);
+header("Cache-Control: public");
+header("Content-Description: File Transfer");
+header('Content-disposition: attachment; filename=elggdiagnostic.txt');
+header("Content-Type: text/plain");
+header('Content-Length: ' . strlen($output));
- header("Cache-Control: public");
- header("Content-Description: File Transfer");
- header('Content-disposition: attachment; filename=elggdiagnostic.txt');
- header("Content-Type: text/plain");
- header('Content-Length: '. strlen($output));
-
- echo $output;
- exit;
-?> \ No newline at end of file
+echo $output;
+exit;
diff --git a/mod/diagnostics/index.php b/mod/diagnostics/index.php
deleted file mode 100644
index ad77b5085..000000000
--- a/mod/diagnostics/index.php
+++ /dev/null
@@ -1,41 +0,0 @@
-<?php
-/**
- * Elgg diagnostics
- *
- * @package ElggDiagnostics
- */
-
-require_once(dirname(dirname(dirname(__FILE__))) . "/engine/start.php");
-
-admin_gatekeeper();
-elgg_set_context('admin');
-
-// system diagnostics
-$content = elgg_view_title(elgg_echo('diagnostics'));
-$content .= "<div class='admin_settings diagnostics'>";
-$content .= elgg_view('page_elements/content', array('body' =>
- "<h3>".elgg_echo('diagnostics:report')."</h3>".elgg_echo('diagnostics:description') . elgg_view('diagnostics/forms/download'))
-);
-
-// unit tests
-$content .= "<h3>".elgg_echo('diagnostics:unittester')."</h3>";
-$test_body = "<p>" . elgg_echo('diagnostics:unittester:description') . "</p>";
-$test_body .= "<p>" . elgg_echo('diagnostics:unittester:warning') . "</p>";
-
-if (isset($CONFIG->debug)) {
- // create a button to run tests
- $js = "onclick=\"window.location='".elgg_get_site_url()."engine/tests/suite.php'\"";
- $params = array('value' => elgg_echo('diagnostics:test:executeall'), 'js' => $js);
- $test_body .= elgg_view('input/button', $params);
-} else {
- // no tests when not in debug mode
- $test_body .= elgg_echo('diagnostics:unittester:debug');
-}
-
-$content .= elgg_view('page_elements/content', array(
- 'body' => $test_body)
-);
-$content .= "</div>";
-
-$body = elgg_view_layout("one_column_with_sidebar", array('content' => $content));
-echo elgg_view_page(elgg_echo('diagnostics'), $body);
diff --git a/mod/diagnostics/languages/en.php b/mod/diagnostics/languages/en.php
index 476c4fb5c..54859941d 100644
--- a/mod/diagnostics/languages/en.php
+++ b/mod/diagnostics/languages/en.php
@@ -1,70 +1,45 @@
<?php
- /**
- * Elgg diagnostics language pack.
- *
- * @package ElggDiagnostics
- */
-
- $english = array(
-
- 'diagnostics' => 'System diagnostics',
- 'diagnostics:report' => 'Diagnostics Report',
- 'diagnostics:unittester' => 'Unit Tests',
-
- 'diagnostics:description' => 'The following diagnostic report is useful for diagnosing any problems with Elgg, and should be attached to any bug reports you file.',
- 'diagnostics:unittester:description' => 'The following are diagnostic tests which are registered by plugins and may be performed in order to debug parts of the Elgg framework.',
-
- 'diagnostics:unittester:description' => 'Unit tests check Elgg Core for broken or buggy APIs.',
- 'diagnostics:unittester:debug' => 'The site must be in debug mode to run unit tests.',
- 'diagnostics:unittester:warning' => 'WARNING: These tests can leave behind debugging objects in your database.<br />DO NOT USE ON A PRODUCTION SITE!',
-
- 'diagnostics:test:executetest' => 'Execute test',
- 'diagnostics:test:executeall' => 'Execute All',
- 'diagnostics:unittester:notests' => 'Sorry, there are no unit test modules currently installed.',
- 'diagnostics:unittester:testnotfound' => 'Sorry, the report could not be generated because that test was not found',
-
- 'diagnostics:unittester:testresult:nottestclass' => 'FAIL - Result not a test class',
- 'diagnostics:unittester:testresult:fail' => 'FAIL',
- 'diagnostics:unittester:testresult:success' => 'SUCCESS',
-
- 'diagnostics:unittest:example' => 'Example unit test, only available in debug mode.',
-
- 'diagnostics:unittester:report' => 'Test report for %s',
-
- 'diagnostics:download' => 'Download .txt',
-
-
- 'diagnostics:header' => '========================================================================
+/**
+ * Elgg diagnostics language pack.
+ *
+ * @package ElggDiagnostics
+ */
+
+$english = array(
+ 'admin:administer_utilities:diagnostics' => 'System Diagnostics',
+ 'diagnostics' => 'System diagnostics',
+ 'diagnostics:report' => 'Diagnostics Report',
+ 'diagnostics:description' => 'The following diagnostic report can be useful for diagnosing problems with Elgg. The developers of Elgg may request that you attach it to a bug report.',
+ 'diagnostics:download' => 'Download',
+ 'diagnostics:header' => '========================================================================
Elgg Diagnostic Report
Generated %s by %s
========================================================================
',
- 'diagnostics:report:basic' => '
+ 'diagnostics:report:basic' => '
Elgg Release %s, version %s
------------------------------------------------------------------------',
- 'diagnostics:report:php' => '
+ 'diagnostics:report:php' => '
PHP info:
%s
------------------------------------------------------------------------',
- 'diagnostics:report:plugins' => '
+ 'diagnostics:report:plugins' => '
Installed plugins and details:
%s
------------------------------------------------------------------------',
- 'diagnostics:report:md5' => '
+ 'diagnostics:report:md5' => '
Installed files and checksums:
%s
------------------------------------------------------------------------',
- 'diagnostics:report:globals' => '
+ 'diagnostics:report:globals' => '
Global variables:
%s
------------------------------------------------------------------------',
+);
- );
-
- add_translation("en",$english);
-?> \ No newline at end of file
+add_translation("en", $english);
diff --git a/mod/diagnostics/manifest.xml b/mod/diagnostics/manifest.xml
index 1e1df8881..21e847d22 100644
--- a/mod/diagnostics/manifest.xml
+++ b/mod/diagnostics/manifest.xml
@@ -1,11 +1,17 @@
<?xml version="1.0" encoding="UTF-8"?>
-<plugin_manifest>
- <field key="author" value="Curverider Ltd" />
- <field key="version" value="1.5" />
- <field key="description" value="Elgg diagnostics tool" />
- <field key="website" value="http://www.elgg.org/" />
- <field key="copyright" value="(C) Curverider 2008-2010" />
- <field key="licence" value="GNU Public License version 2" />
- <field key="elgg_version" value="2009030702" />
- <field key="admin_interface" value="advanced" />
+<plugin_manifest xmlns="http://www.elgg.org/plugin_manifest/1.8">
+ <name>Diagnostics</name>
+ <author>Core developers</author>
+ <version>1.8</version>
+ <category>bundled</category>
+ <category>development</category>
+ <category>admin</category>
+ <description>Elgg diagnostics tool</description>
+ <website>http://www.elgg.org/</website>
+ <copyright>See COPYRIGHT.txt</copyright>
+ <license>GNU General Public License version 2</license>
+ <requires>
+ <type>elgg_release</type>
+ <version>1.8</version>
+ </requires>
</plugin_manifest>
diff --git a/mod/diagnostics/start.php b/mod/diagnostics/start.php
index 8895a2eec..55842800a 100644
--- a/mod/diagnostics/start.php
+++ b/mod/diagnostics/start.php
@@ -5,57 +5,27 @@
* @package ElggDiagnostics
*/
+elgg_register_event_handler('init', 'system', 'diagnostics_init');
+
/**
* Initialise the diagnostics tool
- *
*/
-function diagnostics_init()
-{
- global $CONFIG;
+function diagnostics_init() {
- // Register a page handler, so we can have nice URLs
- register_page_handler('diagnostics','diagnostics_page_handler');
+ // Add admin menu item
+ elgg_register_admin_menu_item('administer', 'diagnostics', 'administer_utilities');
// Register some actions
- register_action("diagnostics/download",false, $CONFIG->pluginspath . "diagnostics/actions/download.php");
-}
-
-/**
- * Adding the diagnostics to the admin menu
- *
- */
-function diagnostics_pagesetup()
-{
- if (elgg_get_context() == 'admin' && isadminloggedin()) {
- global $CONFIG;
- add_submenu_item(elgg_echo('diagnostics'), 'pg/diagnostics/');
- }
-}
-
-/**
- * Diagnostics page.
- *
- * @param array $page Array of page elements, forwarded by the page handling mechanism
- */
-function diagnostics_page_handler($page)
-{
- global $CONFIG;
-
- // only interested in one page for now
- include($CONFIG->pluginspath . "diagnostics/index.php");
+ $file = elgg_get_plugins_path() . "diagnostics/actions/download.php";
+ elgg_register_action("diagnostics/download", $file, 'admin');
}
/**
* Generate a basic report.
*
- * @param unknown_type $hook
- * @param unknown_type $entity_type
- * @param unknown_type $returnvalue
- * @param unknown_type $params
+ * @return string
*/
-function diagnostics_basic_hook($hook, $entity_type, $returnvalue, $params)
-{
- global $CONFIG;
+function diagnostics_basic_hook($hook, $entity_type, $returnvalue, $params) {
// Get version information
$version = get_version();
@@ -69,14 +39,11 @@ function diagnostics_basic_hook($hook, $entity_type, $returnvalue, $params)
/**
* Get some information about the plugins installed on the system.
*
- * @param unknown_type $hook
- * @param unknown_type $entity_type
- * @param unknown_type $returnvalue
- * @param unknown_type $params
+ * @return tring
*/
-function diagnostics_plugins_hook($hook, $entity_type, $returnvalue, $params)
-{
- $returnvalue .= elgg_echo('diagnostics:report:plugins', array(print_r(get_installed_plugins(), true)));
+function diagnostics_plugins_hook($hook, $entity_type, $returnvalue, $params) {
+ // @todo this is a really bad idea because of the new plugin system
+ //$returnvalue .= elgg_echo('diagnostics:report:plugins', array(print_r(elgg_get_plugins(), true)));
return $returnvalue;
}
@@ -87,8 +54,7 @@ function diagnostics_plugins_hook($hook, $entity_type, $returnvalue, $params)
* @param starting dir $dir
* @param buffer $buffer
*/
-function diagnostics_md5_dir($dir)
-{
+function diagnostics_md5_dir($dir) {
$extensions_allowed = array('.php', '.js', '.css');
$buffer = "";
@@ -113,16 +79,12 @@ function diagnostics_md5_dir($dir)
/**
* Get some information about the files installed on a system.
*
- * @param unknown_type $hook
- * @param unknown_type $entity_type
- * @param unknown_type $returnvalue
- * @param unknown_type $params
+ * @return string
*/
-function diagnostics_sigs_hook($hook, $entity_type, $returnvalue, $params)
-{
- global $CONFIG;
+function diagnostics_sigs_hook($hook, $entity_type, $returnvalue, $params) {
- $returnvalue .= elgg_echo('diagnostics:report:md5', array(diagnostics_md5_dir($CONFIG->path)));
+ $base_dir = elgg_get_root_path();
+ $returnvalue .= elgg_echo('diagnostics:report:md5', array(diagnostics_md5_dir($base_dir)));
return $returnvalue;
}
@@ -130,32 +92,27 @@ function diagnostics_sigs_hook($hook, $entity_type, $returnvalue, $params)
/**
* Get some information about the php install
*
- * @param unknown_type $hook
- * @param unknown_type $entity_type
- * @param unknown_type $returnvalue
- * @param unknown_type $params
+ * @return string
*/
-function diagnostics_phpinfo_hook($hook, $entity_type, $returnvalue, $params)
-{
- global $CONFIG;
+function diagnostics_phpinfo_hook($hook, $entity_type, $returnvalue, $params) {
ob_start();
phpinfo();
$phpinfo = array('phpinfo' => array());
- if(preg_match_all('#(?:<h2>(?:<a name=".*?">)?(.*?)(?:</a>)?</h2>)|(?:<tr(?: class=".*?")?><t[hd](?: class=".*?")?>(.*?)\s*</t[hd]>(?:<t[hd](?: class=".*?")?>(.*?)\s*</t[hd]>(?:<t[hd](?: class=".*?")?>(.*?)\s*</t[hd]>)?)?</tr>)#s', ob_get_clean(), $matches, PREG_SET_ORDER))
+ if (preg_match_all('#(?:<h2>(?:<a name=".*?">)?(.*?)(?:</a>)?</h2>)|(?:<tr(?: class=".*?")?><t[hd](?: class=".*?")?>(.*?)\s*</t[hd]>(?:<t[hd](?: class=".*?")?>(.*?)\s*</t[hd]>(?:<t[hd](?: class=".*?")?>(.*?)\s*</t[hd]>)?)?</tr>)#s', ob_get_clean(), $matches, PREG_SET_ORDER)) {
- foreach($matches as $match)
- {
- if(strlen($match[1]))
- $phpinfo[$match[1]] = array();
- else if(isset($match[3]))
- $phpinfo[end(array_keys($phpinfo))][$match[2]] = isset($match[4]) ? array($match[3], $match[4]) : $match[3];
- else
- $phpinfo[end(array_keys($phpinfo))][] = $match[2];
+ foreach ($matches as $match) {
+ if (strlen($match[1])) {
+ $phpinfo[$match[1]] = array();
+ } else if(isset($match[3])) {
+ $phpinfo[end(array_keys($phpinfo))][$match[2]] = isset($match[4]) ? array($match[3], $match[4]) : $match[3];
+ } else {
+ $phpinfo[end(array_keys($phpinfo))][] = $match[2];
+ }
+ }
}
-
$returnvalue .= elgg_echo('diagnostics:report:php', array(print_r($phpinfo, true)));
return $returnvalue;
@@ -164,14 +121,9 @@ function diagnostics_phpinfo_hook($hook, $entity_type, $returnvalue, $params)
/**
* Get global variables.
*
- * @param unknown_type $hook
- * @param unknown_type $entity_type
- * @param unknown_type $returnvalue
- * @param unknown_type $params
- * @return unknown
+ * @return string
*/
-function diagnostics_globals_hook($hook, $entity_type, $returnvalue, $params)
-{
+function diagnostics_globals_hook($hook, $entity_type, $returnvalue, $params) {
global $CONFIG;
$output = str_replace($CONFIG->dbpass, '<<DBPASS>>', print_r($GLOBALS, true));
@@ -180,14 +132,9 @@ function diagnostics_globals_hook($hook, $entity_type, $returnvalue, $params)
return $returnvalue;
}
-// Initialise log browser
-elgg_register_event_handler('init','system','diagnostics_init');
-elgg_register_event_handler('pagesetup','system','diagnostics_pagesetup');
-
elgg_register_plugin_hook_handler("diagnostics:report", "system", "diagnostics_basic_hook", 0); // show basics first
elgg_register_plugin_hook_handler("diagnostics:report", "system", "diagnostics_plugins_hook", 2); // Now the plugins
elgg_register_plugin_hook_handler("diagnostics:report", "system", "diagnostics_sigs_hook", 1); // Now the signatures
elgg_register_plugin_hook_handler("diagnostics:report", "system", "diagnostics_globals_hook"); // Global variables
elgg_register_plugin_hook_handler("diagnostics:report", "system", "diagnostics_phpinfo_hook"); // PHP info
-?> \ No newline at end of file
diff --git a/mod/diagnostics/views/default/admin/administer_utilities/diagnostics.php b/mod/diagnostics/views/default/admin/administer_utilities/diagnostics.php
new file mode 100644
index 000000000..c7ff3d5fc
--- /dev/null
+++ b/mod/diagnostics/views/default/admin/administer_utilities/diagnostics.php
@@ -0,0 +1,17 @@
+<?php
+/**
+ * Diagnostics admin page
+ */
+
+$diagnostics_title = elgg_echo('diagnostics:report');
+$diagnostics = '<p>' . elgg_echo('diagnostics:description') .'</p>';
+$params = array(
+ 'text' => elgg_echo('diagnostics:download'),
+ 'href' => 'action/diagnostics/download',
+ 'class' => 'elgg-button elgg-button-submit',
+ 'is_action' => true,
+ 'is_trusted' => true,
+);
+$diagnostics .= '<p>' . elgg_view('output/url', $params) . '</p>';
+
+echo elgg_view_module('inline', $diagnostics_title, $diagnostics, array('class' => 'elgg-form-settings'));
diff --git a/mod/diagnostics/views/default/diagnostics/forms/download.php b/mod/diagnostics/views/default/diagnostics/forms/download.php
deleted file mode 100644
index cbbd414df..000000000
--- a/mod/diagnostics/views/default/diagnostics/forms/download.php
+++ /dev/null
@@ -1,10 +0,0 @@
-<?php
- /**
- * Elgg diagnostics
- *
- * @package ElggDiagnostics
- */
-
- $form_body = elgg_view('input/submit', array('value' => elgg_echo('diagnostics:download')));
- echo elgg_view('input/form', array('body' => $form_body, 'action' => "action/diagnostics/download"));
-?> \ No newline at end of file
diff --git a/mod/diagnostics/views/default/diagnostics/runalltests.php b/mod/diagnostics/views/default/diagnostics/runalltests.php
deleted file mode 100644
index 05dd05d4d..000000000
--- a/mod/diagnostics/views/default/diagnostics/runalltests.php
+++ /dev/null
@@ -1,11 +0,0 @@
-<?php
- /**
- * Elgg diagnostics - unit tester
- *
- * @package ElggDiagnostics
- */
-
- $form_body .= elgg_view('input/submit', array('internalname' => 'execute', 'value' => elgg_echo('diagnostics:test:executeall')));
-
- echo elgg_view('input/form', array('action' => "pg/diagnostics/tests/all", 'body' => $form_body));
-?> \ No newline at end of file
diff --git a/mod/dokuwiki/AUTHORS b/mod/dokuwiki/AUTHORS
new file mode 100644
index 000000000..83b5cf583
--- /dev/null
+++ b/mod/dokuwiki/AUTHORS
@@ -0,0 +1,2 @@
+Pablo Martin <devel@lorea.cc>
+Sem <sembrestels@n-1.cc>
diff --git a/mod/dokuwiki/COPYING b/mod/dokuwiki/COPYING
new file mode 100755
index 000000000..60549be51
--- /dev/null
+++ b/mod/dokuwiki/COPYING
@@ -0,0 +1,340 @@
+ GNU GENERAL PUBLIC LICENSE
+ Version 2, June 1991
+
+ Copyright (C) 1989, 1991 Free Software Foundation, Inc.
+ 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ Everyone is permitted to copy and distribute verbatim copies
+ of this license document, but changing it is not allowed.
+
+ Preamble
+
+ The licenses for most software are designed to take away your
+freedom to share and change it. By contrast, the GNU General Public
+License is intended to guarantee your freedom to share and change free
+software--to make sure the software is free for all its users. This
+General Public License applies to most of the Free Software
+Foundation's software and to any other program whose authors commit to
+using it. (Some other Free Software Foundation software is covered by
+the GNU Library General Public License instead.) You can apply it to
+your programs, too.
+
+ When we speak of free software, we are referring to freedom, not
+price. Our General Public Licenses are designed to make sure that you
+have the freedom to distribute copies of free software (and charge for
+this service if you wish), that you receive source code or can get it
+if you want it, that you can change the software or use pieces of it
+in new free programs; and that you know you can do these things.
+
+ To protect your rights, we need to make restrictions that forbid
+anyone to deny you these rights or to ask you to surrender the rights.
+These restrictions translate to certain responsibilities for you if you
+distribute copies of the software, or if you modify it.
+
+ For example, if you distribute copies of such a program, whether
+gratis or for a fee, you must give the recipients all the rights that
+you have. You must make sure that they, too, receive or can get the
+source code. And you must show them these terms so they know their
+rights.
+
+ We protect your rights with two steps: (1) copyright the software, and
+(2) offer you this license which gives you legal permission to copy,
+distribute and/or modify the software.
+
+ Also, for each author's protection and ours, we want to make certain
+that everyone understands that there is no warranty for this free
+software. If the software is modified by someone else and passed on, we
+want its recipients to know that what they have is not the original, so
+that any problems introduced by others will not reflect on the original
+authors' reputations.
+
+ Finally, any free program is threatened constantly by software
+patents. We wish to avoid the danger that redistributors of a free
+program will individually obtain patent licenses, in effect making the
+program proprietary. To prevent this, we have made it clear that any
+patent must be licensed for everyone's free use or not licensed at all.
+
+ The precise terms and conditions for copying, distribution and
+modification follow.
+
+ GNU GENERAL PUBLIC LICENSE
+ TERMS AND CONDITIONS FOR COPYING, DISTRIBUTION AND MODIFICATION
+
+ 0. This License applies to any program or other work which contains
+a notice placed by the copyright holder saying it may be distributed
+under the terms of this General Public License. The "Program", below,
+refers to any such program or work, and a "work based on the Program"
+means either the Program or any derivative work under copyright law:
+that is to say, a work containing the Program or a portion of it,
+either verbatim or with modifications and/or translated into another
+language. (Hereinafter, translation is included without limitation in
+the term "modification".) Each licensee is addressed as "you".
+
+Activities other than copying, distribution and modification are not
+covered by this License; they are outside its scope. The act of
+running the Program is not restricted, and the output from the Program
+is covered only if its contents constitute a work based on the
+Program (independent of having been made by running the Program).
+Whether that is true depends on what the Program does.
+
+ 1. You may copy and distribute verbatim copies of the Program's
+source code as you receive it, in any medium, provided that you
+conspicuously and appropriately publish on each copy an appropriate
+copyright notice and disclaimer of warranty; keep intact all the
+notices that refer to this License and to the absence of any warranty;
+and give any other recipients of the Program a copy of this License
+along with the Program.
+
+You may charge a fee for the physical act of transferring a copy, and
+you may at your option offer warranty protection in exchange for a fee.
+
+ 2. You may modify your copy or copies of the Program or any portion
+of it, thus forming a work based on the Program, and copy and
+distribute such modifications or work under the terms of Section 1
+above, provided that you also meet all of these conditions:
+
+ a) You must cause the modified files to carry prominent notices
+ stating that you changed the files and the date of any change.
+
+ b) You must cause any work that you distribute or publish, that in
+ whole or in part contains or is derived from the Program or any
+ part thereof, to be licensed as a whole at no charge to all third
+ parties under the terms of this License.
+
+ c) If the modified program normally reads commands interactively
+ when run, you must cause it, when started running for such
+ interactive use in the most ordinary way, to print or display an
+ announcement including an appropriate copyright notice and a
+ notice that there is no warranty (or else, saying that you provide
+ a warranty) and that users may redistribute the program under
+ these conditions, and telling the user how to view a copy of this
+ License. (Exception: if the Program itself is interactive but
+ does not normally print such an announcement, your work based on
+ the Program is not required to print an announcement.)
+
+These requirements apply to the modified work as a whole. If
+identifiable sections of that work are not derived from the Program,
+and can be reasonably considered independent and separate works in
+themselves, then this License, and its terms, do not apply to those
+sections when you distribute them as separate works. But when you
+distribute the same sections as part of a whole which is a work based
+on the Program, the distribution of the whole must be on the terms of
+this License, whose permissions for other licensees extend to the
+entire whole, and thus to each and every part regardless of who wrote it.
+
+Thus, it is not the intent of this section to claim rights or contest
+your rights to work written entirely by you; rather, the intent is to
+exercise the right to control the distribution of derivative or
+collective works based on the Program.
+
+In addition, mere aggregation of another work not based on the Program
+with the Program (or with a work based on the Program) on a volume of
+a storage or distribution medium does not bring the other work under
+the scope of this License.
+
+ 3. You may copy and distribute the Program (or a work based on it,
+under Section 2) in object code or executable form under the terms of
+Sections 1 and 2 above provided that you also do one of the following:
+
+ a) Accompany it with the complete corresponding machine-readable
+ source code, which must be distributed under the terms of Sections
+ 1 and 2 above on a medium customarily used for software interchange; or,
+
+ b) Accompany it with a written offer, valid for at least three
+ years, to give any third party, for a charge no more than your
+ cost of physically performing source distribution, a complete
+ machine-readable copy of the corresponding source code, to be
+ distributed under the terms of Sections 1 and 2 above on a medium
+ customarily used for software interchange; or,
+
+ c) Accompany it with the information you received as to the offer
+ to distribute corresponding source code. (This alternative is
+ allowed only for noncommercial distribution and only if you
+ received the program in object code or executable form with such
+ an offer, in accord with Subsection b above.)
+
+The source code for a work means the preferred form of the work for
+making modifications to it. For an executable work, complete source
+code means all the source code for all modules it contains, plus any
+associated interface definition files, plus the scripts used to
+control compilation and installation of the executable. However, as a
+special exception, the source code distributed need not include
+anything that is normally distributed (in either source or binary
+form) with the major components (compiler, kernel, and so on) of the
+operating system on which the executable runs, unless that component
+itself accompanies the executable.
+
+If distribution of executable or object code is made by offering
+access to copy from a designated place, then offering equivalent
+access to copy the source code from the same place counts as
+distribution of the source code, even though third parties are not
+compelled to copy the source along with the object code.
+
+ 4. You may not copy, modify, sublicense, or distribute the Program
+except as expressly provided under this License. Any attempt
+otherwise to copy, modify, sublicense or distribute the Program is
+void, and will automatically terminate your rights under this License.
+However, parties who have received copies, or rights, from you under
+this License will not have their licenses terminated so long as such
+parties remain in full compliance.
+
+ 5. You are not required to accept this License, since you have not
+signed it. However, nothing else grants you permission to modify or
+distribute the Program or its derivative works. These actions are
+prohibited by law if you do not accept this License. Therefore, by
+modifying or distributing the Program (or any work based on the
+Program), you indicate your acceptance of this License to do so, and
+all its terms and conditions for copying, distributing or modifying
+the Program or works based on it.
+
+ 6. Each time you redistribute the Program (or any work based on the
+Program), the recipient automatically receives a license from the
+original licensor to copy, distribute or modify the Program subject to
+these terms and conditions. You may not impose any further
+restrictions on the recipients' exercise of the rights granted herein.
+You are not responsible for enforcing compliance by third parties to
+this License.
+
+ 7. If, as a consequence of a court judgment or allegation of patent
+infringement or for any other reason (not limited to patent issues),
+conditions are imposed on you (whether by court order, agreement or
+otherwise) that contradict the conditions of this License, they do not
+excuse you from the conditions of this License. If you cannot
+distribute so as to satisfy simultaneously your obligations under this
+License and any other pertinent obligations, then as a consequence you
+may not distribute the Program at all. For example, if a patent
+license would not permit royalty-free redistribution of the Program by
+all those who receive copies directly or indirectly through you, then
+the only way you could satisfy both it and this License would be to
+refrain entirely from distribution of the Program.
+
+If any portion of this section is held invalid or unenforceable under
+any particular circumstance, the balance of the section is intended to
+apply and the section as a whole is intended to apply in other
+circumstances.
+
+It is not the purpose of this section to induce you to infringe any
+patents or other property right claims or to contest validity of any
+such claims; this section has the sole purpose of protecting the
+integrity of the free software distribution system, which is
+implemented by public license practices. Many people have made
+generous contributions to the wide range of software distributed
+through that system in reliance on consistent application of that
+system; it is up to the author/donor to decide if he or she is willing
+to distribute software through any other system and a licensee cannot
+impose that choice.
+
+This section is intended to make thoroughly clear what is believed to
+be a consequence of the rest of this License.
+
+ 8. If the distribution and/or use of the Program is restricted in
+certain countries either by patents or by copyrighted interfaces, the
+original copyright holder who places the Program under this License
+may add an explicit geographical distribution limitation excluding
+those countries, so that distribution is permitted only in or among
+countries not thus excluded. In such case, this License incorporates
+the limitation as if written in the body of this License.
+
+ 9. The Free Software Foundation may publish revised and/or new versions
+of the General Public License from time to time. Such new versions will
+be similar in spirit to the present version, but may differ in detail to
+address new problems or concerns.
+
+Each version is given a distinguishing version number. If the Program
+specifies a version number of this License which applies to it and "any
+later version", you have the option of following the terms and conditions
+either of that version or of any later version published by the Free
+Software Foundation. If the Program does not specify a version number of
+this License, you may choose any version ever published by the Free Software
+Foundation.
+
+ 10. If you wish to incorporate parts of the Program into other free
+programs whose distribution conditions are different, write to the author
+to ask for permission. For software which is copyrighted by the Free
+Software Foundation, write to the Free Software Foundation; we sometimes
+make exceptions for this. Our decision will be guided by the two goals
+of preserving the free status of all derivatives of our free software and
+of promoting the sharing and reuse of software generally.
+
+ NO WARRANTY
+
+ 11. BECAUSE THE PROGRAM IS LICENSED FREE OF CHARGE, THERE IS NO WARRANTY
+FOR THE PROGRAM, TO THE EXTENT PERMITTED BY APPLICABLE LAW. EXCEPT WHEN
+OTHERWISE STATED IN WRITING THE COPYRIGHT HOLDERS AND/OR OTHER PARTIES
+PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER EXPRESSED
+OR IMPLIED, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
+MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE. THE ENTIRE RISK AS
+TO THE QUALITY AND PERFORMANCE OF THE PROGRAM IS WITH YOU. SHOULD THE
+PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF ALL NECESSARY SERVICING,
+REPAIR OR CORRECTION.
+
+ 12. IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING
+WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MAY MODIFY AND/OR
+REDISTRIBUTE THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES,
+INCLUDING ANY GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING
+OUT OF THE USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED
+TO LOSS OF DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY
+YOU OR THIRD PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER
+PROGRAMS), EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE
+POSSIBILITY OF SUCH DAMAGES.
+
+ END OF TERMS AND CONDITIONS
+
+ How to Apply These Terms to Your New Programs
+
+ If you develop a new program, and you want it to be of the greatest
+possible use to the public, the best way to achieve this is to make it
+free software which everyone can redistribute and change under these terms.
+
+ To do so, attach the following notices to the program. It is safest
+to attach them to the start of each source file to most effectively
+convey the exclusion of warranty; and each file should have at least
+the "copyright" line and a pointer to where the full notice is found.
+
+ <one line to give the program's name and a brief idea of what it does.>
+ Copyright (C) 19yy <name of author>
+
+ This program is free software; you can redistribute it and/or modify
+ it under the terms of the GNU General Public License as published by
+ the Free Software Foundation; either version 2 of the License, or
+ (at your option) any later version.
+
+ This program is distributed in the hope that it will be useful,
+ but WITHOUT ANY WARRANTY; without even the implied warranty of
+ MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ GNU General Public License for more details.
+
+ You should have received a copy of the GNU General Public License
+ along with this program; if not, write to the Free Software
+ Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+
+Also add information on how to contact you by electronic and paper mail.
+
+If the program is interactive, make it output a short notice like this
+when it starts in an interactive mode:
+
+ Gnomovision version 69, Copyright (C) 19yy name of author
+ Gnomovision comes with ABSOLUTELY NO WARRANTY; for details type `show w'.
+ This is free software, and you are welcome to redistribute it
+ under certain conditions; type `show c' for details.
+
+The hypothetical commands `show w' and `show c' should show the appropriate
+parts of the General Public License. Of course, the commands you use may
+be called something other than `show w' and `show c'; they could even be
+mouse-clicks or menu items--whatever suits your program.
+
+You should also get your employer (if you work as a programmer) or your
+school, if any, to sign a "copyright disclaimer" for the program, if
+necessary. Here is a sample; alter the names:
+
+ Yoyodyne, Inc., hereby disclaims all copyright interest in the program
+ `Gnomovision' (which makes passes at compilers) written by James Hacker.
+
+ <signature of Ty Coon>, 1 April 1989
+ Ty Coon, President of Vice
+
+This General Public License does not permit incorporating your program into
+proprietary programs. If your program is a subroutine library, you may
+consider it more useful to permit linking proprietary applications with the
+library. If this is what you want to do, use the GNU Library General
+Public License instead of this License.
diff --git a/mod/dokuwiki/README b/mod/dokuwiki/README
new file mode 100644
index 000000000..40e1f52ec
--- /dev/null
+++ b/mod/dokuwiki/README
@@ -0,0 +1,31 @@
+Elgg dokuwiki integration
+------------------------
+
+Adds wikis for groups, and integrates the user and permission system of elgg.
+
+NOTES:
+------------------------
+- Although the goal is to be able to use vanilla dokuwiki with maybe some extensions,
+ at the moment we provide a slightly modified version.
+- doku.php globals are not known, and had to be redeclared.
+- $_REQUEST not defined... dont know the reason but its something of elgg. -> yes elgg is mangling it... **had to comment it on elgg core**
+- acl is hardcoded, added a getACL method on the auth class to be able to generate
+ the acl on the fly... also setACL and modified the admin plugin to be able to use the auth backend.
+- uses an 'elgg' auth module, and a special dokuwiki template.
+- dokuwiki defines the javascript $ symbol, which conflicts with elgg usage of jquery, so had to change all usage inside dokuwiki (just a few instances).
+
+LICENSE:
+-----------------------
+- elgg plugin uses GPLv2. dokuwiki and mods (all under vendors/dokuwiki) go with original license to original copyright holders.
+
+REPO:
+-----------------------
+You can follow code changes or submit tickets at: https://github.com/lorea/dokuwiki
+
+TODO:
+------------------------
+- Maybe just one big wiki for the whole site using namespaces?
+
+---
+devel@lorea.cc
+
diff --git a/mod/dokuwiki/index.php b/mod/dokuwiki/index.php
new file mode 100644
index 000000000..e4e039f51
--- /dev/null
+++ b/mod/dokuwiki/index.php
@@ -0,0 +1,17 @@
+<?php
+
+ elgg_push_breadcrumb(elgg_echo('dokuwiki'));
+ $offset = (int)get_input('offset', 0);
+ $title = elgg_echo("dokuwiki:title");
+ $content = elgg_list_entities(array('subtype'=>'dokuwiki', 'offset'=>$offset, 'types'=>'object','full_view'=>false));
+ $body = elgg_view_layout('content', array(
+ 'content' => $content,
+ 'title' => $title,
+ 'filter' => '',
+ ));
+
+ // Finally draw the page
+ echo elgg_view_page($title, $body);
+
+
+?>
diff --git a/mod/dokuwiki/languages/ca.php b/mod/dokuwiki/languages/ca.php
new file mode 100644
index 000000000..ca2c0df1e
--- /dev/null
+++ b/mod/dokuwiki/languages/ca.php
@@ -0,0 +1,18 @@
+<?php
+$language = array (
+ 'dokuwiki:wiki' => 'Wiki',
+ 'dokuwiki:title' => 'Wikis',
+ 'item:object:dokuwiki' => 'Wikis',
+ 'dokuwiki:wikifrom' => 'la wiki de %s',
+ 'dokuwiki:pages' => '%s p脿gines',
+ 'dokuwiki:dokuwiki' => 'Wiki',
+ 'dokuwiki:group' => 'Wiki del group',
+ 'dokuwiki:userwiki' => 'La meva Wiki',
+ 'dokuwiki:sidebar' => 'Navegaci贸 wiki',
+ 'groups:enabledokuwiki' => 'Activar la wiki del grup',
+ 'groups:enabledokuwiki_frontpage' => 'Mostra la p脿gina d\'inici de la wiki a la p脿gina principal del grup (nom茅s si la wiki est脿 activada)',
+ 'groups:enabledokuwiki_frontsidebar' => 'Mostra la barra lateral de la wiki a la p脿gina principal del grup (nom茅s si la wiki est脿 activada)',
+ 'dokuwiki' => 'Wikis',
+ 'dokuwiki:river:modified' => '%s ha modificat la p脿gina %s el %s',
+);
+add_translation("ca", $language); \ No newline at end of file
diff --git a/mod/dokuwiki/languages/de.php b/mod/dokuwiki/languages/de.php
new file mode 100755
index 000000000..e951d0b41
--- /dev/null
+++ b/mod/dokuwiki/languages/de.php
@@ -0,0 +1,21 @@
+<?php
+
+// Mit dem translation browser generiert
+
+$german = array(
+ 'dokuwiki:wiki' => "Wiki" ,
+ 'dokuwiki:groupwiki' => "GruppenWiki" ,
+ 'dokuwiki:userwiki' => "Mein Wiki" ,
+ 'dokuwiki' => "Wikis" ,
+ 'item:object:dokuwiki' => "Wikis" ,
+ 'dokuwiki:title' => "Wikis" ,
+ 'dokuwiki:wikifrom' => "Wiki von %s" ,
+ 'dokuwiki:pages' => "Seiten von %s" ,
+ 'dokuwiki:dokuwiki' => "Wiki" ,
+ 'groups:enabledokuwiki' => "GruppenWiki einrichten" ,
+ 'dokuwiki:river:modified' => "%s hat die Seite %s im %s bearbeitet"
+);
+
+add_translation('de', $german);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/languages/en.php b/mod/dokuwiki/languages/en.php
new file mode 100755
index 000000000..20b7cb656
--- /dev/null
+++ b/mod/dokuwiki/languages/en.php
@@ -0,0 +1,32 @@
+<?php
+/**
+ * Elgg spotlight lorea
+ *
+ * @package
+ * @license http://www.gnu.org/licenses/old-licenses/gpl-2.0.html GNU Public License version 2
+ * @author lorea
+ * @copyright lorea
+ * @link http://lorea.cc
+ */
+
+ $english = array(
+ "dokuwiki:wiki"=>'Wiki',
+ "dokuwiki:title"=>'Wikis',
+ "item:object:dokuwiki"=>'Wikis',
+ "dokuwiki:wikifrom"=>'%s wiki',
+ "dokuwiki:pages"=>'%s pages',
+ "dokuwiki:dokuwiki"=>'Wiki',
+ "dokuwiki:group"=>'Group wiki',
+ "dokuwiki:userwiki"=>'My Wiki',
+ "dokuwiki:sidebar" => 'Wiki navigation',
+ "groups:enabledokuwiki"=>'Enable group wiki',
+ "groups:enabledokuwiki_frontpage"=>'Show main wiki page in the group front page (only if wiki is enabled)',
+ "groups:enabledokuwiki_frontsidebar"=>'Show wiki sidebar in the group front page (only if wiki is enabled)',
+ "dokuwiki"=>'Wikis',
+
+ "dokuwiki:river:modified"=>'%s modified page %s on %s',
+ );
+
+ add_translation("en",$english);
+
+?>
diff --git a/mod/dokuwiki/languages/es.php b/mod/dokuwiki/languages/es.php
new file mode 100644
index 000000000..613d79ba6
--- /dev/null
+++ b/mod/dokuwiki/languages/es.php
@@ -0,0 +1,18 @@
+<?php
+$language = array (
+ 'dokuwiki:wiki' => 'Wiki',
+ 'dokuwiki:title' => 'Wikis',
+ 'item:object:dokuwiki' => 'Wikis',
+ 'dokuwiki:wikifrom' => 'Wiki %s',
+ 'dokuwiki:pages' => 'p谩ginas %s',
+ 'dokuwiki:dokuwiki' => 'Wiki',
+ 'dokuwiki:group' => 'Wiki del grupo',
+ 'dokuwiki:userwiki' => 'Mi wiki',
+ 'dokuwiki:sidebar' => 'Navegaci贸n wiki',
+ 'groups:enabledokuwiki' => 'Activar wiki del grupo',
+ 'groups:enabledokuwiki_frontpage' => 'Muestra la p谩gina principal de la wiki en la p谩gina principal del grupo (s贸lo si la wiki est谩 activada)',
+ 'groups:enabledokuwiki_frontsidebar' => 'Muestra la barra lateral de wiki en la p谩gina principal del grupo (s贸lo si la wiki est谩 activada)',
+ 'dokuwiki' => 'Wikis',
+ 'dokuwiki:river:modified' => '%s ha modificado la p谩gina %s en %s',
+);
+add_translation("es", $language); \ No newline at end of file
diff --git a/mod/dokuwiki/languages/fr.php b/mod/dokuwiki/languages/fr.php
new file mode 100644
index 000000000..dcaa14c00
--- /dev/null
+++ b/mod/dokuwiki/languages/fr.php
@@ -0,0 +1,12 @@
+<?php
+
+// Generate By translationbrowser.
+
+$french = array(
+ 'dokuwiki:userwiki' => "Mon wiki" ,
+ 'groups:enabledokuwiki' => "Habiliter le wiki groupe"
+);
+
+add_translation('fr', $french);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/languages/gl.php b/mod/dokuwiki/languages/gl.php
new file mode 100644
index 000000000..ba67b6f32
--- /dev/null
+++ b/mod/dokuwiki/languages/gl.php
@@ -0,0 +1,23 @@
+<?php
+
+// Xerado por translationbrowser
+
+$galician = array(
+ 'dokuwiki:wiki' => "Wiki" ,
+ 'dokuwiki:groupwiki' => "Wiki do grupo" ,
+ 'dokuwiki:userwiki' => "A mi帽a Wiki" ,
+ 'dokuwiki' => "Wikis" ,
+ 'item:object:dokuwiki' => "Wikis" ,
+ 'dokuwiki:title' => "Wikis" ,
+ 'dokuwiki:wikifrom' => "%s wiki" ,
+ 'dokuwiki:pages' => "%s p谩xinas" ,
+ 'dokuwiki:dokuwiki' => "Wiki" ,
+ 'groups:enabledokuwiki' => "Habilitar wiki do grupo" ,
+ 'dokuwiki:river:modified' => "%s modificou a p谩xina %s en %s" ,
+ 'groups:enabledokuwiki_frontpage' => "Amosa la wiki na portada do grupo" ,
+ 'groups:enabledokuwiki_frontsidebar' => "Amosa la barra da wiki na portada do grupo"
+);
+
+add_translation('gl', $galician);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/languages/pl.php b/mod/dokuwiki/languages/pl.php
new file mode 100755
index 000000000..e43e14526
--- /dev/null
+++ b/mod/dokuwiki/languages/pl.php
@@ -0,0 +1,21 @@
+<?php
+
+// Generate By translationbrowser.
+
+$polish = array(
+ 'dokuwiki:wiki' => "Wiki" ,
+ 'dokuwiki:title' => "Wiki" ,
+ 'item:object:dokuwiki' => "Wiki" ,
+ 'dokuwiki:wikifrom' => "%s wiki" ,
+ 'dokuwiki:pages' => "%s strony" ,
+ 'dokuwiki:dokuwiki' => "Wiki" ,
+ 'dokuwiki:groupwiki' => "Wiki Grupy" ,
+ 'dokuwiki:userwiki' => "Twoje Wiki" ,
+ 'groups:enabledokuwiki' => "W艂膮cz wiki grupy" ,
+ 'dokuwiki' => "Wiki" ,
+ 'dokuwiki:river:modified' => "%s modyfikacja strony %s na %s"
+);
+
+add_translation('pl', $polish);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/languages/pt.php b/mod/dokuwiki/languages/pt.php
new file mode 100644
index 000000000..4c067cd52
--- /dev/null
+++ b/mod/dokuwiki/languages/pt.php
@@ -0,0 +1,23 @@
+<?php
+
+// Gerado pelo browser de tradu莽茫o.
+
+$portuguese = array(
+ 'dokuwiki:wiki' => "Wiki" ,
+ 'dokuwiki:title' => "Wikis" ,
+ 'dokuwiki:wikifrom' => "%s Wiki" ,
+ 'dokuwiki:pages' => "%s p谩ginas" ,
+ 'dokuwiki:dokuwiki' => "Wiki" ,
+ 'dokuwiki:groupwiki' => "Wiki" ,
+ 'dokuwiki:userwiki' => "Minha Wiki" ,
+ 'groups:enabledokuwiki' => "Habilitar Wiki do grupo" ,
+ 'dokuwiki' => "Wikis" ,
+ 'dokuwiki:river:modified' => "%s modificou a p谩gina %s em %s" ,
+ 'item:object:dokuwiki' => "Wikis" ,
+ 'groups:enabledokuwiki_frontpage' => "Mostrar a p谩gina wiki principal na p谩gina principal do grupo (s贸 se a wiki estiver habilitada)" ,
+ 'groups:enabledokuwiki_frontsidebar' => "Mostrar a barra lateral de wiki na p谩gina principal do grupo (s贸 se a wiki estiver habilitada)"
+);
+
+add_translation('pt', $portuguese);
+
+?>
diff --git a/mod/dokuwiki/lib/dokuwiki.php b/mod/dokuwiki/lib/dokuwiki.php
new file mode 100644
index 000000000..b49e4d4ee
--- /dev/null
+++ b/mod/dokuwiki/lib/dokuwiki.php
@@ -0,0 +1,60 @@
+<?php
+
+function current_dokuwiki_entity($create = true) {
+ $page_owner = elgg_get_page_owner_guid();
+ $user = elgg_get_logged_in_user_entity();
+ //error_log($page_owner->guid);
+ //error_log($user->guid);
+ if (!$page_owner)
+ $page_owner = 0;
+ $entities = elgg_get_entities(array('types' => 'object', 'subtypes' => 'dokuwiki', 'limit' => 1, 'owner_guid' => $page_owner));
+ if ($entities) {
+ $doku = $entities[0];
+ return $doku;
+ }
+ elseif ($user && $create) {
+ elgg_set_ignore_access(true);
+ $newdoku = new ElggObject();
+ $newdoku->access_id = ACCESS_PUBLIC;
+ $newdoku->owner_guid = $page_owner;
+ $newdoku->subtype = 'dokuwiki';
+ $newdoku->container_guid = $page_owner;
+ $newdoku->save();
+ $acl = array();
+ $acl[] = "# acl.auth.php";
+ $acl[] = '# <?php exit()?\>';
+ $acl[] = "* @ALL 0";
+ $acl[] = "* @user 1";
+ $acl[] = "* @member 8";
+ $acl[] = "* @admin 16";
+ $acl[] = "* @root 255";
+ $newdoku->wiki_acl = implode("\n", $acl)."\n";
+ elgg_set_ignore_access(false);
+ return $newdoku;
+ }
+}
+
+function dokuwiki_recurse_copy($src,$dst) {
+ $dir = opendir($src);
+ @mkdir($dst);
+ while(false !== ( $file = readdir($dir)) ) {
+ if (( $file != '.' ) && ( $file != '..' )) {
+ if ( is_dir($src . '/' . $file) ) {
+ dokuwiki_recurse_copy($src . '/' . $file,$dst . '/' . $file);
+ }
+ else {
+ copy($src . '/' . $file,$dst . '/' . $file);
+ }
+ }
+ }
+ closedir($dir);
+}
+
+function dokuwiki_create_datafolder($path) {
+ if (is_dir($path)) // if it exists must be already created
+ return;
+ mkdir($path, 0700, true);
+ $orig = elgg_get_plugins_path().'dokuwiki/vendors/dokuwiki/data';
+ dokuwiki_recurse_copy($orig, $path);
+
+}
diff --git a/mod/dokuwiki/manifest.xml b/mod/dokuwiki/manifest.xml
new file mode 100644
index 000000000..ed2969216
--- /dev/null
+++ b/mod/dokuwiki/manifest.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<plugin_manifest xmlns="http://www.elgg.org/plugin_manifest/1.8">
+ <name>Dokuwiki</name>
+ <author>Lorea developers</author>
+ <version>1.8.0</version>
+ <category>content</category>
+ <description>Dokuwiki integration for Elgg</description>
+ <website>https://lorea.org/</website>
+ <copyright>(C) Lorea 2011-2013</copyright>
+ <license>GNU General Public License, version 2 or later</license>
+ <requires>
+ <type>elgg_release</type>
+ <version>1.8</version>
+ </requires>
+ <requires>
+ <type>priority</type>
+ <priority>after</priority>
+ <plugin>groups</plugin>
+ </requires>
+ <activate_on_install>true</activate_on_install>
+</plugin_manifest>
diff --git a/mod/dokuwiki/start.php b/mod/dokuwiki/start.php
new file mode 100644
index 000000000..05c7c01f2
--- /dev/null
+++ b/mod/dokuwiki/start.php
@@ -0,0 +1,168 @@
+<?php
+/**
+ * Elgg dokuwiki plugin
+ *
+ * @package
+ * @license http://www.gnu.org/licenses/old-licenses/gpl-2.0.html GNU Public License version 2
+ * @author lorea
+ * @copyright lorea
+ * @link http://lorea.cc
+ */
+
+elgg_register_event_handler('init','system','dokuwiki_init');
+
+function dokuwiki_init(){
+
+ elgg_register_library('elgg:dokuwiki', elgg_get_plugins_path().'dokuwiki/lib/dokuwiki.php');
+
+ elgg_register_entity_type('object','dokuwiki');
+ elgg_register_plugin_hook_handler('entity:icon:url', 'object', 'dokuwiki_icon_hook');
+ elgg_register_entity_url_handler('object', 'dokuwiki', 'dokuwiki_url');
+
+ // add block link to
+ elgg_register_plugin_hook_handler('register', 'menu:owner_block', 'dokuwiki_owner_block_menu');
+
+ elgg_register_page_handler('dokuwiki','dokuwiki_page_handler');
+
+ add_group_tool_option('dokuwiki',elgg_echo('groups:enabledokuwiki'),false);
+ add_group_tool_option('dokuwiki_frontsidebar',elgg_echo('groups:enabledokuwiki_frontsidebar'),false);
+ add_group_tool_option('dokuwiki_frontpage',elgg_echo('groups:enabledokuwiki_frontpage'),false);
+
+ elgg_extend_view('groups/profile/summary','dokuwiki/grouppage');
+ elgg_extend_view('groups/tool_latest','dokuwiki/sidebar');
+
+ // Extending CSS
+ elgg_extend_view('css/elgg', 'dokuwiki/css');
+
+ // add a site navigation item
+ $item = new ElggMenuItem('wiki', elgg_echo('dokuwiki:title'), 'dokuwiki/all');
+ elgg_register_menu_item('site', $item);
+
+ elgg_extend_view("page/elements/head", "dokuwiki/metatags");
+}
+
+ /**
+ * Dispatches dokuwiki pages.
+ * URLs take the form of
+ * All wikis: dokuwiki/all
+ * Group wiki: dokuwiki/<guid>
+ *
+ * @param array $page
+ * @return NULL
+ */
+ function dokuwiki_page_handler($page) {
+
+ elgg_load_library('elgg:dokuwiki');
+
+ if ($page[0] === "all") {
+ elgg_set_context("search");
+ include(elgg_get_plugins_path().'dokuwiki/index.php');
+ return true;
+ }
+
+ elgg_set_context("dokuwiki");
+
+ $dokuwiki_path = elgg_get_plugins_path().'dokuwiki/vendors/dokuwiki/';
+ $doku = current_dokuwiki_entity();
+ if (!$doku) // can fail if there is no user and wiki doesnt exist
+ return false;
+ $parsed_url = parse_url(elgg_get_site_url().'dokuwiki/');
+ $url_base = $parsed_url['path'];
+ if (is_numeric($page[0])) {
+ $entity_guid = $page[0];
+ $ent = get_entity($entity_guid);
+
+ if (($ent && $ent instanceof ElggGroup) && $ent->dokuwiki_enable !== 'yes') {
+ // wiki not activated for this group. bail out.
+ elgg_pop_context();
+ return false;
+ }
+ if ($ent && (/*$ent instanceof ElggUser ||*/ $ent instanceof ElggGroup)) {
+ elgg_set_page_owner_guid($entity_guid);
+ $data_path = elgg_get_data_path().'wikis/'.$entity_guid;
+ } else {
+ // can't see the group
+ elgg_pop_context();
+ return false;
+ }
+ $page = array_slice($page, 1); // pop first element
+ define('DOKU_REL', $url_base.$entity_guid."/");
+ define('DOKU_BASE', $url_base.$entity_guid."/");
+ define('DOKU_URL', elgg_get_site_url().'dokuwiki/'.$entity_guid."/");
+
+ }
+ else {
+ $data_path = elgg_get_data_path().'wiki';
+ define('DOKU_REL', $url_base);
+ define('DOKU_BASE', $url_base);
+ define('DOKU_URL', elgg_get_site_url().'dokuwiki/');
+ }
+ define('DOKU_INC', $dokuwiki_path);
+ define('DOKU_MEDIA', elgg_get_site_url().'mod/dokuwiki/vendors/dokuwiki/');
+ define('DOKU_CONF', $dokuwiki_path."conf/");
+
+ dokuwiki_create_datafolder($data_path);
+ define('DOKU_ELGGDATA',$data_path);
+ if (empty($page) || (count($page)==1 && $page[0] == 'acl')) {
+ $page = array('doku.php');
+ }
+ else if ((count($page)==1 && $page[0] == 'usermanager')) {
+ $page = array('doku.php');
+ }
+ else if ((count($page)==1 && $page[0] == 'plugin')) {
+ $page = array('doku.php');
+ }
+ else if ((count($page)==1 && $page[0] == 'config')) {
+ $page = array('doku.php');
+ }
+ else if ((count($page)==1 && $page[0] == 'revert')) {
+ $page = array('doku.php');
+ }
+ else if ((count($page)==1 && $page[0] == 'popularity')) {
+ $page = array('doku.php');
+ }
+ if (empty($page) || (count($page)==1 && !$page[0])) {
+ $page = array('doku.php');
+ }
+ $_SERVER['PHP_AUTH_USER'] = elgg_get_logged_in_user_entity()->username;
+ $_SERVER['PHP_AUTH_PW'] = elgg_get_logged_in_user_entity()->password;
+ if (count($page) == 1) {
+ $doku_body = elgg_view('dokuwiki/index',array('page'=>$page[0]));
+ echo $doku_body;
+ }
+ else {
+ // avoid inclusion over root
+ $dest = realpath($dokuwiki_path.implode("/",$page));
+ if (strpos($dest, $dokuwiki_path) == 0)
+ $doku_body = elgg_view('dokuwiki/index',array('page'=>implode("/",$page)));
+ echo $doku_body;
+ }
+ return true;
+ }
+
+ /**
+ * Add a menu item to an ownerblock
+ */
+ function dokuwiki_owner_block_menu($hook, $type, $return, $params) {
+ if (elgg_instanceof($params['entity'], 'group') && $params['entity']->dokuwiki_enable == "yes") {
+ $url = "dokuwiki/{$params['entity']->guid}/";
+ $item = new ElggMenuItem('dokuwiki', elgg_echo('dokuwiki:group'), $url);
+ $return[] = $item;
+ }
+ return $return;
+ }
+
+ function dokuwiki_icon_hook($hook, $entity_type, $returnvalue, $params) {
+ if ($hook == 'entity:icon:url' && $params['entity']->getSubtype() == 'dokuwiki') {
+ $owner = get_entity($params['entity']->container_guid);
+ if ($owner)
+ return $owner->getIcon($params['size']);
+ }
+ return $returnvalue;
+ }
+
+ function dokuwiki_url($entity) {
+ return elgg_get_site_url() . "dokuwiki/".$entity->container_guid;
+ }
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/COPYING b/mod/dokuwiki/vendors/dokuwiki/COPYING
new file mode 100644
index 000000000..d60c31a97
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/COPYING
@@ -0,0 +1,340 @@
+ GNU GENERAL PUBLIC LICENSE
+ Version 2, June 1991
+
+ Copyright (C) 1989, 1991 Free Software Foundation, Inc.
+ 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ Everyone is permitted to copy and distribute verbatim copies
+ of this license document, but changing it is not allowed.
+
+ Preamble
+
+ The licenses for most software are designed to take away your
+freedom to share and change it. By contrast, the GNU General Public
+License is intended to guarantee your freedom to share and change free
+software--to make sure the software is free for all its users. This
+General Public License applies to most of the Free Software
+Foundation's software and to any other program whose authors commit to
+using it. (Some other Free Software Foundation software is covered by
+the GNU Library General Public License instead.) You can apply it to
+your programs, too.
+
+ When we speak of free software, we are referring to freedom, not
+price. Our General Public Licenses are designed to make sure that you
+have the freedom to distribute copies of free software (and charge for
+this service if you wish), that you receive source code or can get it
+if you want it, that you can change the software or use pieces of it
+in new free programs; and that you know you can do these things.
+
+ To protect your rights, we need to make restrictions that forbid
+anyone to deny you these rights or to ask you to surrender the rights.
+These restrictions translate to certain responsibilities for you if you
+distribute copies of the software, or if you modify it.
+
+ For example, if you distribute copies of such a program, whether
+gratis or for a fee, you must give the recipients all the rights that
+you have. You must make sure that they, too, receive or can get the
+source code. And you must show them these terms so they know their
+rights.
+
+ We protect your rights with two steps: (1) copyright the software, and
+(2) offer you this license which gives you legal permission to copy,
+distribute and/or modify the software.
+
+ Also, for each author's protection and ours, we want to make certain
+that everyone understands that there is no warranty for this free
+software. If the software is modified by someone else and passed on, we
+want its recipients to know that what they have is not the original, so
+that any problems introduced by others will not reflect on the original
+authors' reputations.
+
+ Finally, any free program is threatened constantly by software
+patents. We wish to avoid the danger that redistributors of a free
+program will individually obtain patent licenses, in effect making the
+program proprietary. To prevent this, we have made it clear that any
+patent must be licensed for everyone's free use or not licensed at all.
+
+ The precise terms and conditions for copying, distribution and
+modification follow.
+
+ GNU GENERAL PUBLIC LICENSE
+ TERMS AND CONDITIONS FOR COPYING, DISTRIBUTION AND MODIFICATION
+
+ 0. This License applies to any program or other work which contains
+a notice placed by the copyright holder saying it may be distributed
+under the terms of this General Public License. The "Program", below,
+refers to any such program or work, and a "work based on the Program"
+means either the Program or any derivative work under copyright law:
+that is to say, a work containing the Program or a portion of it,
+either verbatim or with modifications and/or translated into another
+language. (Hereinafter, translation is included without limitation in
+the term "modification".) Each licensee is addressed as "you".
+
+Activities other than copying, distribution and modification are not
+covered by this License; they are outside its scope. The act of
+running the Program is not restricted, and the output from the Program
+is covered only if its contents constitute a work based on the
+Program (independent of having been made by running the Program).
+Whether that is true depends on what the Program does.
+
+ 1. You may copy and distribute verbatim copies of the Program's
+source code as you receive it, in any medium, provided that you
+conspicuously and appropriately publish on each copy an appropriate
+copyright notice and disclaimer of warranty; keep intact all the
+notices that refer to this License and to the absence of any warranty;
+and give any other recipients of the Program a copy of this License
+along with the Program.
+
+You may charge a fee for the physical act of transferring a copy, and
+you may at your option offer warranty protection in exchange for a fee.
+
+ 2. You may modify your copy or copies of the Program or any portion
+of it, thus forming a work based on the Program, and copy and
+distribute such modifications or work under the terms of Section 1
+above, provided that you also meet all of these conditions:
+
+ a) You must cause the modified files to carry prominent notices
+ stating that you changed the files and the date of any change.
+
+ b) You must cause any work that you distribute or publish, that in
+ whole or in part contains or is derived from the Program or any
+ part thereof, to be licensed as a whole at no charge to all third
+ parties under the terms of this License.
+
+ c) If the modified program normally reads commands interactively
+ when run, you must cause it, when started running for such
+ interactive use in the most ordinary way, to print or display an
+ announcement including an appropriate copyright notice and a
+ notice that there is no warranty (or else, saying that you provide
+ a warranty) and that users may redistribute the program under
+ these conditions, and telling the user how to view a copy of this
+ License. (Exception: if the Program itself is interactive but
+ does not normally print such an announcement, your work based on
+ the Program is not required to print an announcement.)
+
+These requirements apply to the modified work as a whole. If
+identifiable sections of that work are not derived from the Program,
+and can be reasonably considered independent and separate works in
+themselves, then this License, and its terms, do not apply to those
+sections when you distribute them as separate works. But when you
+distribute the same sections as part of a whole which is a work based
+on the Program, the distribution of the whole must be on the terms of
+this License, whose permissions for other licensees extend to the
+entire whole, and thus to each and every part regardless of who wrote it.
+
+Thus, it is not the intent of this section to claim rights or contest
+your rights to work written entirely by you; rather, the intent is to
+exercise the right to control the distribution of derivative or
+collective works based on the Program.
+
+In addition, mere aggregation of another work not based on the Program
+with the Program (or with a work based on the Program) on a volume of
+a storage or distribution medium does not bring the other work under
+the scope of this License.
+
+ 3. You may copy and distribute the Program (or a work based on it,
+under Section 2) in object code or executable form under the terms of
+Sections 1 and 2 above provided that you also do one of the following:
+
+ a) Accompany it with the complete corresponding machine-readable
+ source code, which must be distributed under the terms of Sections
+ 1 and 2 above on a medium customarily used for software interchange; or,
+
+ b) Accompany it with a written offer, valid for at least three
+ years, to give any third party, for a charge no more than your
+ cost of physically performing source distribution, a complete
+ machine-readable copy of the corresponding source code, to be
+ distributed under the terms of Sections 1 and 2 above on a medium
+ customarily used for software interchange; or,
+
+ c) Accompany it with the information you received as to the offer
+ to distribute corresponding source code. (This alternative is
+ allowed only for noncommercial distribution and only if you
+ received the program in object code or executable form with such
+ an offer, in accord with Subsection b above.)
+
+The source code for a work means the preferred form of the work for
+making modifications to it. For an executable work, complete source
+code means all the source code for all modules it contains, plus any
+associated interface definition files, plus the scripts used to
+control compilation and installation of the executable. However, as a
+special exception, the source code distributed need not include
+anything that is normally distributed (in either source or binary
+form) with the major components (compiler, kernel, and so on) of the
+operating system on which the executable runs, unless that component
+itself accompanies the executable.
+
+If distribution of executable or object code is made by offering
+access to copy from a designated place, then offering equivalent
+access to copy the source code from the same place counts as
+distribution of the source code, even though third parties are not
+compelled to copy the source along with the object code.
+
+ 4. You may not copy, modify, sublicense, or distribute the Program
+except as expressly provided under this License. Any attempt
+otherwise to copy, modify, sublicense or distribute the Program is
+void, and will automatically terminate your rights under this License.
+However, parties who have received copies, or rights, from you under
+this License will not have their licenses terminated so long as such
+parties remain in full compliance.
+
+ 5. You are not required to accept this License, since you have not
+signed it. However, nothing else grants you permission to modify or
+distribute the Program or its derivative works. These actions are
+prohibited by law if you do not accept this License. Therefore, by
+modifying or distributing the Program (or any work based on the
+Program), you indicate your acceptance of this License to do so, and
+all its terms and conditions for copying, distributing or modifying
+the Program or works based on it.
+
+ 6. Each time you redistribute the Program (or any work based on the
+Program), the recipient automatically receives a license from the
+original licensor to copy, distribute or modify the Program subject to
+these terms and conditions. You may not impose any further
+restrictions on the recipients' exercise of the rights granted herein.
+You are not responsible for enforcing compliance by third parties to
+this License.
+
+ 7. If, as a consequence of a court judgment or allegation of patent
+infringement or for any other reason (not limited to patent issues),
+conditions are imposed on you (whether by court order, agreement or
+otherwise) that contradict the conditions of this License, they do not
+excuse you from the conditions of this License. If you cannot
+distribute so as to satisfy simultaneously your obligations under this
+License and any other pertinent obligations, then as a consequence you
+may not distribute the Program at all. For example, if a patent
+license would not permit royalty-free redistribution of the Program by
+all those who receive copies directly or indirectly through you, then
+the only way you could satisfy both it and this License would be to
+refrain entirely from distribution of the Program.
+
+If any portion of this section is held invalid or unenforceable under
+any particular circumstance, the balance of the section is intended to
+apply and the section as a whole is intended to apply in other
+circumstances.
+
+It is not the purpose of this section to induce you to infringe any
+patents or other property right claims or to contest validity of any
+such claims; this section has the sole purpose of protecting the
+integrity of the free software distribution system, which is
+implemented by public license practices. Many people have made
+generous contributions to the wide range of software distributed
+through that system in reliance on consistent application of that
+system; it is up to the author/donor to decide if he or she is willing
+to distribute software through any other system and a licensee cannot
+impose that choice.
+
+This section is intended to make thoroughly clear what is believed to
+be a consequence of the rest of this License.
+
+ 8. If the distribution and/or use of the Program is restricted in
+certain countries either by patents or by copyrighted interfaces, the
+original copyright holder who places the Program under this License
+may add an explicit geographical distribution limitation excluding
+those countries, so that distribution is permitted only in or among
+countries not thus excluded. In such case, this License incorporates
+the limitation as if written in the body of this License.
+
+ 9. The Free Software Foundation may publish revised and/or new versions
+of the General Public License from time to time. Such new versions will
+be similar in spirit to the present version, but may differ in detail to
+address new problems or concerns.
+
+Each version is given a distinguishing version number. If the Program
+specifies a version number of this License which applies to it and "any
+later version", you have the option of following the terms and conditions
+either of that version or of any later version published by the Free
+Software Foundation. If the Program does not specify a version number of
+this License, you may choose any version ever published by the Free Software
+Foundation.
+
+ 10. If you wish to incorporate parts of the Program into other free
+programs whose distribution conditions are different, write to the author
+to ask for permission. For software which is copyrighted by the Free
+Software Foundation, write to the Free Software Foundation; we sometimes
+make exceptions for this. Our decision will be guided by the two goals
+of preserving the free status of all derivatives of our free software and
+of promoting the sharing and reuse of software generally.
+
+ NO WARRANTY
+
+ 11. BECAUSE THE PROGRAM IS LICENSED FREE OF CHARGE, THERE IS NO WARRANTY
+FOR THE PROGRAM, TO THE EXTENT PERMITTED BY APPLICABLE LAW. EXCEPT WHEN
+OTHERWISE STATED IN WRITING THE COPYRIGHT HOLDERS AND/OR OTHER PARTIES
+PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER EXPRESSED
+OR IMPLIED, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
+MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE. THE ENTIRE RISK AS
+TO THE QUALITY AND PERFORMANCE OF THE PROGRAM IS WITH YOU. SHOULD THE
+PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF ALL NECESSARY SERVICING,
+REPAIR OR CORRECTION.
+
+ 12. IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING
+WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MAY MODIFY AND/OR
+REDISTRIBUTE THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES,
+INCLUDING ANY GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING
+OUT OF THE USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED
+TO LOSS OF DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY
+YOU OR THIRD PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER
+PROGRAMS), EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE
+POSSIBILITY OF SUCH DAMAGES.
+
+ END OF TERMS AND CONDITIONS
+
+ How to Apply These Terms to Your New Programs
+
+ If you develop a new program, and you want it to be of the greatest
+possible use to the public, the best way to achieve this is to make it
+free software which everyone can redistribute and change under these terms.
+
+ To do so, attach the following notices to the program. It is safest
+to attach them to the start of each source file to most effectively
+convey the exclusion of warranty; and each file should have at least
+the "copyright" line and a pointer to where the full notice is found.
+
+ <one line to give the program's name and a brief idea of what it does.>
+ Copyright (C) <year> <name of author>
+
+ This program is free software; you can redistribute it and/or modify
+ it under the terms of the GNU General Public License as published by
+ the Free Software Foundation; either version 2 of the License, or
+ (at your option) any later version.
+
+ This program is distributed in the hope that it will be useful,
+ but WITHOUT ANY WARRANTY; without even the implied warranty of
+ MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ GNU General Public License for more details.
+
+ You should have received a copy of the GNU General Public License
+ along with this program; if not, write to the Free Software
+ Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+
+Also add information on how to contact you by electronic and paper mail.
+
+If the program is interactive, make it output a short notice like this
+when it starts in an interactive mode:
+
+ Gnomovision version 69, Copyright (C) year name of author
+ Gnomovision comes with ABSOLUTELY NO WARRANTY; for details type `show w'.
+ This is free software, and you are welcome to redistribute it
+ under certain conditions; type `show c' for details.
+
+The hypothetical commands `show w' and `show c' should show the appropriate
+parts of the General Public License. Of course, the commands you use may
+be called something other than `show w' and `show c'; they could even be
+mouse-clicks or menu items--whatever suits your program.
+
+You should also get your employer (if you work as a programmer) or your
+school, if any, to sign a "copyright disclaimer" for the program, if
+necessary. Here is a sample; alter the names:
+
+ Yoyodyne, Inc., hereby disclaims all copyright interest in the program
+ `Gnomovision' (which makes passes at compilers) written by James Hacker.
+
+ <signature of Ty Coon>, 1 April 1989
+ Ty Coon, President of Vice
+
+This General Public License does not permit incorporating your program into
+proprietary programs. If your program is a subroutine library, you may
+consider it more useful to permit linking proprietary applications with the
+library. If this is what you want to do, use the GNU Library General
+Public License instead of this License.
diff --git a/mod/dokuwiki/vendors/dokuwiki/README b/mod/dokuwiki/vendors/dokuwiki/README
new file mode 100644
index 000000000..a000bba2b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/README
@@ -0,0 +1,9 @@
+All documentation for DokuWiki is available online
+at http://www.dokuwiki.org/
+
+For Installation Instructions see
+http://www.dokuwiki.org/install
+
+DokuWiki - 2004-2009 (c) Andreas Gohr <andi@splitbrain.org>
+See COPYING and file headers for license info
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/VERSION b/mod/dokuwiki/vendors/dokuwiki/VERSION
new file mode 100644
index 000000000..157cc6c56
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/VERSION
@@ -0,0 +1 @@
+2009-12-25c "Lemming"
diff --git a/mod/dokuwiki/vendors/dokuwiki/bin/dwpage.php b/mod/dokuwiki/vendors/dokuwiki/bin/dwpage.php
new file mode 100755
index 000000000..f664770bf
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/bin/dwpage.php
@@ -0,0 +1,378 @@
+#!/usr/bin/php
+<?php
+#------------------------------------------------------------------------------
+if ('cli' != php_sapi_name()) die();
+
+ini_set('memory_limit','128M');
+if(!defined('DOKU_INC')) define('DOKU_INC',realpath(dirname(__FILE__).'/../').'/');
+require_once DOKU_INC.'inc/init.php';
+require_once DOKU_INC.'inc/common.php';
+require_once DOKU_INC.'inc/cliopts.php';
+
+#------------------------------------------------------------------------------
+function usage($action) {
+ switch ( $action ) {
+ case 'checkout':
+ print "Usage: dwpage.php [opts] checkout <wiki:page> [working_file]
+
+ Checks out a file from the repository, using the wiki id and obtaining
+ a lock for the page.
+ If a working_file is specified, this is where the page is copied to.
+ Otherwise defaults to the same as the wiki page in the current
+ working directory.
+
+ EXAMPLE
+ $ ./dwpage.php checkout wiki:syntax ./new_syntax.txt
+
+ OPTIONS
+ -h, --help=<action>: get help
+ -f: force obtaining a lock for the page (generally bad idea)
+";
+ break;
+ case 'commit':
+ print "Usage: dwpage.php [opts] -m \"Msg\" commit <working_file> <wiki:page>
+
+ Checks in the working_file into the repository using the specified
+ wiki id, archiving the previous version.
+
+ EXAMPLE
+ $ ./dwpage.php -m \"Some message\" commit ./new_syntax.txt wiki:syntax
+
+ OPTIONS
+ -h, --help=<action>: get help
+ -f: force obtaining a lock for the page (generally bad idea)
+ -t, trivial: minor change
+ -m (required): Summary message describing the change
+";
+ break;
+ case 'lock':
+ print "Usage: dwpage.php [opts] lock <wiki:page>
+
+ Obtains or updates a lock for a wiki page
+
+ EXAMPLE
+ $ ./dwpage.php lock wiki:syntax
+
+ OPTIONS
+ -h, --help=<action>: get help
+ -f: force obtaining a lock for the page (generally bad idea)
+";
+ break;
+ case 'unlock':
+ print "Usage: dwpage.php [opts] unlock <wiki:page>
+
+ Removes a lock for a wiki page.
+
+ EXAMPLE
+ $ ./dwpage.php unlock wiki:syntax
+
+ OPTIONS
+ -h, --help=<action>: get help
+ -f: force obtaining a lock for the page (generally bad idea)
+";
+ break;
+ default:
+ print "Usage: dwpage.php [opts] <action>
+
+ Utility to help command line Dokuwiki page editing, allow
+ pages to be checked out for editing then committed after changes
+
+ Normal operation would be;
+
+
+
+ ACTIONS
+ checkout: see $ dwpage.php --help=checkout
+ commit: see $ dwpage.php --help=commit
+ lock: see $ dwpage.php --help=lock
+
+ OPTIONS
+ -h, --help=<action>: get help
+ e.g. $ ./dwpage.php -hcommit
+ e.g. $ ./dwpage.php --help=commit
+";
+ break;
+ }
+}
+
+#------------------------------------------------------------------------------
+function getUser() {
+ $user = getenv('USER');
+ if (empty ($username)) {
+ $user = getenv('USERNAME');
+ } else {
+ return $user;
+ }
+ if (empty ($username)) {
+ $user = 'admin';
+ }
+ return $user;
+}
+
+#------------------------------------------------------------------------------
+function getSuppliedArgument($OPTS, $short, $long) {
+ $arg = $OPTS->get($short);
+ if ( is_null($arg) ) {
+ $arg = $OPTS->get($long);
+ }
+ return $arg;
+}
+
+#------------------------------------------------------------------------------
+function obtainLock($WIKI_ID) {
+
+ global $USERNAME;
+
+ if ( !file_exists(wikiFN($WIKI_ID)) ) {
+ fwrite( STDERR, "$WIKI_ID does not yet exist\n");
+ }
+
+ $_SERVER['REMOTE_USER'] = $USERNAME;
+ if ( checklock($WIKI_ID) ) {
+ fwrite( STDERR, "Page $WIKI_ID is already locked by another user\n");
+ exit(1);
+ }
+
+ lock($WIKI_ID);
+
+ $_SERVER['REMOTE_USER'] = '_'.$USERNAME.'_';
+
+ if ( checklock($WIKI_ID) != $USERNAME ) {
+
+ fwrite( STDERR, "Unable to obtain lock for $WIKI_ID\n" );
+ exit(1);
+
+ }
+}
+
+#------------------------------------------------------------------------------
+function clearLock($WIKI_ID) {
+
+ global $USERNAME ;
+
+ if ( !file_exists(wikiFN($WIKI_ID)) ) {
+ fwrite( STDERR, "$WIKI_ID does not yet exist\n");
+ }
+
+ $_SERVER['REMOTE_USER'] = $USERNAME;
+ if ( checklock($WIKI_ID) ) {
+ fwrite( STDERR, "Page $WIKI_ID is locked by another user\n");
+ exit(1);
+ }
+
+ unlock($WIKI_ID);
+
+ if ( file_exists(wikiLockFN($WIKI_ID)) ) {
+ fwrite( STDERR, "Unable to clear lock for $WIKI_ID\n" );
+ exit(1);
+ }
+
+}
+
+#------------------------------------------------------------------------------
+function deleteLock($WIKI_ID) {
+
+ $wikiLockFN = wikiLockFN($WIKI_ID);
+
+ if ( file_exists($wikiLockFN) ) {
+ if ( !unlink($wikiLockFN) ) {
+ fwrite( STDERR, "Unable to delete $wikiLockFN\n" );
+ exit(1);
+ }
+ }
+
+}
+
+#------------------------------------------------------------------------------
+$USERNAME = getUser();
+$CWD = getcwd();
+$SYSTEM_ID = '127.0.0.1';
+
+#------------------------------------------------------------------------------
+$OPTS = Doku_Cli_Opts::getOptions(
+ __FILE__,
+ 'h::fm:u:s:t',
+ array(
+ 'help==',
+ 'user=',
+ 'system=',
+ 'trivial',
+ )
+);
+
+if ( $OPTS->isError() ) {
+ print $OPTS->getMessage()."\n";
+ exit(1);
+}
+
+if ( $OPTS->has('h') or $OPTS->has('help') or !$OPTS->hasArgs() ) {
+ usage(getSuppliedArgument($OPTS,'h','help'));
+ exit(0);
+}
+
+if ( $OPTS->has('u') or $OPTS->has('user') ) {
+ $USERNAME = getSuppliedArgument($OPTS,'u','user');
+}
+
+if ( $OPTS->has('s') or $OPTS->has('system') ) {
+ $SYSTEM_ID = getSuppliedArgument($OPTS,'s','system');
+}
+
+#------------------------------------------------------------------------------
+switch ( $OPTS->arg(0) ) {
+
+ #----------------------------------------------------------------------
+ case 'checkout':
+
+ $WIKI_ID = $OPTS->arg(1);
+
+ if ( !$WIKI_ID ) {
+ fwrite( STDERR, "Wiki page ID required\n");
+ exit(1);
+ }
+
+ $WIKI_FN = wikiFN($WIKI_ID);
+
+ if ( !file_exists($WIKI_FN) ) {
+ fwrite( STDERR, "$WIKI_ID does not yet exist\n");
+ exit(1);
+ }
+
+ $TARGET_FN = $OPTS->arg(2);
+
+ if ( empty($TARGET_FN) ) {
+ $TARGET_FN = getcwd().'/'.basename($WIKI_FN);
+ }
+
+ if ( !file_exists(dirname($TARGET_FN)) ) {
+ fwrite( STDERR, "Directory ".dirname($TARGET_FN)." does not exist\n");
+ exit(1);
+ }
+
+ if ( stristr( realpath(dirname($TARGET_FN)), realpath($conf['datadir']) ) !== false ) {
+ fwrite( STDERR, "Attempt to check out file into data directory - not allowed\n");
+ exit(1);
+ }
+
+ if ( $OPTS->has('f') ) {
+ deleteLock($WIKI_ID);
+ }
+
+ obtainLock($WIKI_ID);
+
+ # Need to lock the file first?
+ if ( !copy($WIKI_FN, $TARGET_FN) ) {
+ fwrite( STDERR, "Unable to copy $WIKI_FN to $TARGET_FN\n");
+ clearLock($WIKI_ID);
+ exit(1);
+ }
+
+ print "$WIKI_ID > $TARGET_FN\n";
+ exit(0);
+
+ break;
+
+ #----------------------------------------------------------------------
+ case 'commit':
+
+ $TARGET_FN = $OPTS->arg(1);
+
+ if ( !$TARGET_FN ) {
+ fwrite( STDERR, "Target filename required\n");
+ exit(1);
+ }
+
+ if ( !file_exists($TARGET_FN) ) {
+ fwrite( STDERR, "$TARGET_FN does not exist\n");
+ exit(1);
+ }
+
+ if ( !is_readable($TARGET_FN) ) {
+ fwrite( STDERR, "Cannot read from $TARGET_FN\n");
+ exit(1);
+ }
+
+ $WIKI_ID = $OPTS->arg(2);
+
+ if ( !$WIKI_ID ) {
+ fwrite( STDERR, "Wiki page ID required\n");
+ exit(1);
+ }
+
+ if ( !$OPTS->has('m') ) {
+ fwrite( STDERR, "Summary message required\n");
+ exit(1);
+ }
+
+ if ( $OPTS->has('f') ) {
+ deleteLock($WIKI_ID);
+ }
+
+ $_SERVER['REMOTE_USER'] = $USERNAME;
+ if ( checklock($WIKI_ID) ) {
+ fwrite( STDERR, "$WIKI_ID is locked by another user\n");
+ exit(1);
+ }
+
+ obtainLock($WIKI_ID);
+
+ saveWikiText($WIKI_ID, file_get_contents($TARGET_FN), $OPTS->get('m'), $OPTS->has('t'));
+
+ clearLock($WIKI_ID);
+
+ exit(0);
+
+ break;
+
+ #----------------------------------------------------------------------
+ case 'lock':
+
+ $WIKI_ID = $OPTS->arg(1);
+
+ if ( !$WIKI_ID ) {
+ fwrite( STDERR, "Wiki page ID required\n");
+ exit(1);
+ }
+
+ if ( $OPTS->has('f') ) {
+ deleteLock($WIKI_ID);
+ }
+
+ obtainLock($WIKI_ID);
+
+ print "Locked : $WIKI_ID\n";
+ exit(0);
+
+ break;
+
+ #----------------------------------------------------------------------
+ case 'unlock':
+
+ $WIKI_ID = $OPTS->arg(1);
+
+ if ( !$WIKI_ID ) {
+ fwrite( STDERR, "Wiki page ID required\n");
+ exit(1);
+ }
+
+ if ( $OPTS->has('f') ) {
+ deleteLock($WIKI_ID);
+ } else {
+ clearLock($WIKI_ID);
+ }
+
+ print "Unlocked : $WIKI_ID\n";
+ exit(0);
+
+ break;
+
+ #----------------------------------------------------------------------
+ default:
+
+ fwrite( STDERR, "Invalid action ".$OPTS->arg(0)."\n" );
+ exit(1);
+
+ break;
+
+}
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/bin/indexer.php b/mod/dokuwiki/vendors/dokuwiki/bin/indexer.php
new file mode 100755
index 000000000..55f3608d5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/bin/indexer.php
@@ -0,0 +1,172 @@
+#!/usr/bin/php
+<?php
+if ('cli' != php_sapi_name()) die();
+
+ini_set('memory_limit','128M');
+if(!defined('DOKU_INC')) define('DOKU_INC',realpath(dirname(__FILE__).'/../').'/');
+require_once(DOKU_INC.'inc/init.php');
+require_once(DOKU_INC.'inc/common.php');
+require_once(DOKU_INC.'inc/pageutils.php');
+require_once(DOKU_INC.'inc/search.php');
+require_once(DOKU_INC.'inc/indexer.php');
+require_once(DOKU_INC.'inc/auth.php');
+require_once(DOKU_INC.'inc/cliopts.php');
+session_write_close();
+
+// Version tag used to force rebuild on upgrade
+// Need to keep in sync with lib/exe/indexer.php
+if(!defined('INDEXER_VERSION')) define('INDEXER_VERSION', 2);
+
+// handle options
+$short_opts = 'hcuq';
+$long_opts = array('help', 'clear', 'update', 'quiet');
+$OPTS = Doku_Cli_Opts::getOptions(__FILE__,$short_opts,$long_opts);
+if ( $OPTS->isError() ) {
+ fwrite( STDERR, $OPTS->getMessage() . "\n");
+ _usage();
+ exit(1);
+}
+$CLEAR = false;
+$QUIET = false;
+foreach ($OPTS->options as $key => $val) {
+ switch ($key) {
+ case 'h':
+ case 'help':
+ _usage();
+ exit;
+ case 'c':
+ case 'clear':
+ $CLEAR = true;
+ break;
+ case 'q':
+ case 'quiet':
+ $QUIET = true;
+ break;
+ }
+}
+
+#------------------------------------------------------------------------------
+# Action
+
+if($CLEAR) _clearindex();
+_update();
+
+
+
+#------------------------------------------------------------------------------
+
+function _usage() {
+ print "Usage: indexer.php <options>
+
+ Updates the searchindex by indexing all new or changed pages
+ when the -c option is given the index is cleared first.
+
+ OPTIONS
+ -h, --help show this help and exit
+ -c, --clear clear the index before updating
+ -q, --quiet don't produce any output
+";
+}
+
+function _update(){
+ global $conf;
+
+ // upgrade to version 2
+ if (!@file_exists($conf['indexdir'].'/pageword.idx')){
+ _lock();
+ idx_upgradePageWords();
+ _unlock();
+ }
+
+ $data = array();
+ _quietecho("Searching pages... ");
+ search($data,$conf['datadir'],'search_allpages',array('skipacl' => true));
+ _quietecho(count($data)." pages found.\n");
+
+ foreach($data as $val){
+ _index($val['id']);
+ }
+}
+
+function _index($id){
+ global $CLEAR;
+
+ // if not cleared only update changed and new files
+ if(!$CLEAR){
+ $idxtag = metaFN($id,'.indexed');
+ if(@file_exists($idxtag)){
+ if(io_readFile($idxtag) >= INDEXER_VERSION){
+ $last = @filemtime(metaFN($id,'.indexed'));
+ if($last > @filemtime(wikiFN($id))) return;
+ }
+ }
+ }
+
+ _lock();
+ _quietecho("$id... ");
+ idx_addPage($id);
+ io_saveFile(metaFN($id,'.indexed'),INDEXER_VERSION);
+ _quietecho("done.\n");
+ _unlock();
+}
+
+/**
+ * lock the indexer system
+ */
+function _lock(){
+ global $conf;
+ $lock = $conf['lockdir'].'/_indexer.lock';
+ $said = false;
+ while(!@mkdir($lock, $conf['dmode'])){
+ if(time()-@filemtime($lock) > 60*5){
+ // looks like a stale lock - remove it
+ @rmdir($lock);
+ }else{
+ if($said){
+ _quietecho(".");
+ }else{
+ _quietecho("Waiting for lockfile (max. 5 min)");
+ $said = true;
+ }
+ sleep(15);
+ }
+ }
+ if($conf['dperm']) chmod($lock, $conf['dperm']);
+ if($said) _quietecho("\n");
+}
+
+/**
+ * unlock the indexer sytem
+ */
+function _unlock(){
+ global $conf;
+ $lock = $conf['lockdir'].'/_indexer.lock';
+ @rmdir($lock);
+}
+
+/**
+ * Clear all index files
+ */
+function _clearindex(){
+ global $conf;
+ _lock();
+ _quietecho("Clearing index... ");
+ io_saveFile($conf['indexdir'].'/page.idx','');
+ $dir = @opendir($conf['indexdir']);
+ if($dir!==false){
+ while(($f = readdir($dir)) !== false){
+ if(substr($f,-4)=='.idx' &&
+ (substr($f,0,1)=='i' || substr($f,0,1)=='w'))
+ @unlink($conf['indexdir']."/$f");
+ }
+ }
+ _quietecho("done.\n");
+ _unlock();
+}
+
+function _quietecho($msg) {
+ global $QUIET;
+ if(!$QUIET) echo $msg;
+}
+
+//Setup VIM: ex: et ts=2 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/bin/wantedpages.php b/mod/dokuwiki/vendors/dokuwiki/bin/wantedpages.php
new file mode 100755
index 000000000..30171fc15
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/bin/wantedpages.php
@@ -0,0 +1,134 @@
+#!/usr/bin/php
+<?php
+if ('cli' != php_sapi_name()) die();
+
+#------------------------------------------------------------------------------
+ini_set('memory_limit','128M');
+if(!defined('DOKU_INC')) define('DOKU_INC',realpath(dirname(__FILE__).'/../').'/');
+require_once DOKU_INC.'inc/init.php';
+require_once DOKU_INC.'inc/common.php';
+require_once DOKU_INC.'inc/search.php';
+require_once DOKU_INC.'inc/cliopts.php';
+
+#------------------------------------------------------------------------------
+function usage() {
+ print "Usage: wantedpages.php [wiki:namespace]
+
+ Outputs a list of wanted pages (pages which have
+ internal links but do not yet exist).
+
+ If the optional [wiki:namespace] is not provided,
+ defaults to the root wiki namespace
+
+ OPTIONS
+ -h, --help get help
+";
+}
+
+#------------------------------------------------------------------------------
+define ('DW_DIR_CONTINUE',1);
+define ('DW_DIR_NS',2);
+define ('DW_DIR_PAGE',3);
+
+#------------------------------------------------------------------------------
+function dw_dir_filter($entry, $basepath) {
+ if ($entry == '.' || $entry == '..' ) {
+ return DW_DIR_CONTINUE;
+ }
+ if ( is_dir($basepath . '/' . $entry) ) {
+ if ( strpos($entry, '_') === 0 ) {
+ return DW_DIR_CONTINUE;
+ }
+ return DW_DIR_NS;
+ }
+ if ( preg_match('/\.txt$/',$entry) ) {
+ return DW_DIR_PAGE;
+ }
+ return DW_DIR_CONTINUE;
+}
+
+#------------------------------------------------------------------------------
+function dw_get_pages($dir) {
+ static $trunclen = NULL;
+ if ( !$trunclen ) {
+ global $conf;
+ $trunclen = strlen($conf['datadir'].':');
+ }
+
+ if ( !is_dir($dir) ) {
+ fwrite( STDERR, "Unable to read directory $dir\n");
+ exit(1);
+ }
+
+ $pages = array();
+ $dh = opendir($dir);
+ while ( false !== ( $entry = readdir($dh) ) ) {
+ $status = dw_dir_filter($entry, $dir);
+ if ( $status == DW_DIR_CONTINUE ) {
+ continue;
+ } else if ( $status == DW_DIR_NS ) {
+ $pages = array_merge($pages, dw_get_pages($dir . '/' . $entry));
+ } else {
+ $page = array(
+ 'id' => pathID(substr($dir.'/'.$entry,$trunclen)),
+ 'file'=> $dir.'/'.$entry,
+ );
+ $pages[] = $page;
+ }
+ }
+ closedir($dh);
+ return $pages;
+}
+
+#------------------------------------------------------------------------------
+function dw_internal_links($page) {
+ global $conf;
+ $instructions = p_get_instructions(file_get_contents($page['file']));
+ $links = array();
+ $cns = getNS($page['id']);
+ $exists = false;
+ foreach($instructions as $ins){
+ if($ins[0] == 'internallink' || ($conf['camelcase'] && $ins[0] == 'camelcaselink') ){
+ $mid = $ins[1][0];
+ resolve_pageid($cns,$mid,$exists);
+ if ( !$exists ) {
+ list($mid) = explode('#',$mid); //record pages without hashs
+ $links[] = $mid;
+ }
+ }
+ }
+ return $links;
+}
+
+#------------------------------------------------------------------------------
+$OPTS = Doku_Cli_Opts::getOptions(__FILE__,'h',array('help'));
+
+if ( $OPTS->isError() ) {
+ fwrite( STDERR, $OPTS->getMessage() . "\n");
+ exit(1);
+}
+
+if ( $OPTS->has('h') or $OPTS->has('help') ) {
+ usage();
+ exit(0);
+}
+
+$START_DIR = $conf['datadir'];
+
+if ( $OPTS->numArgs() == 1 ) {
+ $START_DIR .= '/' . $OPTS->arg(0);
+}
+
+#------------------------------------------------------------------------------
+$WANTED_PAGES = array();
+
+foreach ( dw_get_pages($START_DIR) as $WIKI_PAGE ) {
+ $WANTED_PAGES = array_merge($WANTED_PAGES,dw_internal_links($WIKI_PAGE));
+}
+$WANTED_PAGES = array_unique($WANTED_PAGES);
+sort($WANTED_PAGES);
+
+foreach ( $WANTED_PAGES as $WANTED_PAGE ) {
+ print $WANTED_PAGE."\n";
+}
+exit(0);
diff --git a/mod/dokuwiki/vendors/dokuwiki/conf/acl.auth.php b/mod/dokuwiki/vendors/dokuwiki/conf/acl.auth.php
new file mode 100644
index 000000000..7678e918e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/conf/acl.auth.php
@@ -0,0 +1,24 @@
+# acl.auth.php
+# <?php exit()?>
+# Don't modify the lines above
+#
+# Access Control Lists
+#
+# Editing this file by hand shouldn't be necessary. Use the ACL
+# Manager interface instead.
+#
+# If your auth backend allows special char like spaces in groups
+# or user names you need to urlencode them (only chars <128, leave
+# UTF-8 multibyte chars as is)
+#
+# none 0
+# read 1
+# edit 2
+# create 4
+# upload 8
+# delete 16
+
+* @ALL 0
+* @user 1
+* @member 8
+* @admin 255
diff --git a/mod/dokuwiki/vendors/dokuwiki/conf/acl.auth.php.dist b/mod/dokuwiki/vendors/dokuwiki/conf/acl.auth.php.dist
new file mode 100644
index 000000000..14344d778
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/conf/acl.auth.php.dist
@@ -0,0 +1,21 @@
+# acl.auth.php
+# <?php exit()?>
+# Don't modify the lines above
+#
+# Access Control Lists
+#
+# Editing this file by hand shouldn't be necessary. Use the ACL
+# Manager interface instead.
+#
+# If your auth backend allows special char like spaces in groups
+# or user names you need to urlencode them (only chars <128, leave
+# UTF-8 multibyte chars as is)
+#
+# none 0
+# read 1
+# edit 2
+# create 4
+# upload 8
+# delete 16
+
+* @ALL 8
diff --git a/mod/dokuwiki/vendors/dokuwiki/conf/acronyms.conf b/mod/dokuwiki/vendors/dokuwiki/conf/acronyms.conf
new file mode 100644
index 000000000..13708d2df
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/conf/acronyms.conf
@@ -0,0 +1,143 @@
+# Acronyms.
+
+ACL Access Control List
+AFAICS As far as I can see
+AFAIK As far as I know
+AFAIR As far as I remember
+AJAX Asynchronous JavaScript and XML
+AIM AOL (America Online) Instant Messenger
+AOL America Online
+API Application Programming Interface
+ASAP As soon as possible
+ASCII American Standard Code for Information Interchange
+ASP Active Server Pages
+BTW By the way
+CGI Common Gateway Interface
+CMS Content Management System
+CSS Cascading Style Sheets
+CVS Concurrent Versions System
+DBA Database Administrator
+DHCP Dynamic Host Configuration Protocol
+DHTML Dynamic HyperText Markup Language
+DMCA Digital Millenium Copyright Act
+DNS Domain Name System
+DOM Document Object Model
+DTD Document Type Definition
+EOF End of file
+EOL End of line
+EOM End of message
+EOT End of text
+ESMTP Extended Simple Mail Transfer Protocol
+FAQ Frequently Asked Questions
+FDL GNU Free Documentation License
+FTP File Transfer Protocol
+FOSS Free & Open-Source Software
+FLOSS Free/Libre and Open Source Software
+FUD Fear, Uncertainty, and Doubt
+GB Gigabyte
+GHz Gigahertz
+GIF Graphics Interchange Format
+GPL GNU General Public License
+GUI Graphical User Interface
+HTML HyperText Markup Language
+HTTP Hyper Text Transfer Protocol
+IANAL I am not a lawyer (but)
+ICANN Internet Corporation for Assigned Names and Numbers
+ICQ I seek you (Instant Messenger)
+IE5 Internet Explorer 5
+IE6 Internet Explorer 6
+IE Internet Explorer
+IIRC If I remember correctly
+IIS Internet Information Services
+IMAP Internet Message Access Protocol
+IMHO In my humble opinion
+IMO In my opinion
+IOW In other words
+IRC Internet Relay Chat
+IRL In real life
+ISO International Organization for Standardization
+ISP Internet Service Provider
+JDK Java Development Kit
+JPEG Joint Photographics Experts Group
+JPG Joint Photographics Experts Group
+JS JavaScript
+KISS Keep it simple stupid
+LDAP Lightweight Directory Access Protocol
+LGPL GNU Lesser General Public License
+LOL Laughing out loud
+MathML Mathematical Markup Language
+MB Megabyte
+MHz Megahertz
+MIME Multipurpose Internet Mail Extension
+MIT Massachusetts Institute of Technology
+MML Mathematical Markup Language
+MP3 Motion Picture Experts Group Layer 3
+MPEG Motion Picture Experts Group
+MSDN Microsoft Developer Network
+MS Microsoft
+MSIE Microsoft Internet Explorer
+NIS Network Information Service
+NS4.7 Netscape 4.7
+NS4 Netscape 4
+NS6 Netscape 6
+NS7 Netscape 7
+OMG Oh my God
+OPML Outline Processor Markup Language
+OS Operating System
+OSS Open Source Software
+OTOH On the other hand
+P2P Peer to Peer
+PDA Personal Digital Assistant
+PDF Portable Document Format
+Perl Practical Extraction and Report Language
+PERL Practical Extraction and Report Language
+PHP Hypertext Preprocessor
+PICS Platform for Internet Content Selection
+PIN Personal Identification Number
+PITA Pain in the Ass
+PNG Portable Network Graphics
+POP3 Post Office Protocol 3
+POP Post Office Protocol
+QoS Quality of Service
+RAID Redundant Array of Inexpensive Disks
+RDF Resource Description Framework
+ROTFL Rolling on the floor laughing
+RPC Remote Procedure Call
+RSS Rich Site Summary
+RTFM Read The Fine Manual
+RTF Rich Text File
+SCSI Small Computer System Interface
+SDK Software Development Kit
+SGML Standard General Markup Language
+SMIL Synchronized Multimedia Integration Language
+SMTP Simple Mail Transfer Protocol
+SOAP Simple Object Access Protocol
+spec specification
+SQL Structured Query Language
+SSH Secure Shell
+SSI Server Side Includes
+SSL Secure Sockets Layer
+SVG Scalable Vector Graphics
+TIA Thanks in advance
+TIFF Tagged Image File Format
+TLD Top Level Domain
+TOC Table of Contents
+URI Uniform Resource Identifier
+URL Uniform Resource Locator
+URN Uniform Resource Name
+VBA Visual Basic for Applications
+VB Visual Basic
+W3C World Wide Web Consortium
+WAN Wide Area Network
+WAP Wireless Access Protocol
+WML Wireless Markup Language
+WTF? What the f***
+WWW World Wide Web
+WYSIWYG What You See Is What You Get
+XHTML Extensible HyperText Markup Language
+XML Extensible Markup Language
+XSD XML (Extensible Markup Language) Schema Definition
+XSL Extensible Stylesheet Language
+XSLT Extensible Stylesheet Language Transformations
+XUL XML User Interface Language
+YMMV Your mileage may vary
diff --git a/mod/dokuwiki/vendors/dokuwiki/conf/dokuwiki.php b/mod/dokuwiki/vendors/dokuwiki/conf/dokuwiki.php
new file mode 100644
index 000000000..74d95147e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/conf/dokuwiki.php
@@ -0,0 +1,156 @@
+<?php
+/**
+ * This is DokuWiki's Main Configuration file
+ *
+ * All the default values are kept here, you should not modify it but use
+ * a local.php file instead to override the settings from here.
+ *
+ * This is a piece of PHP code so PHP syntax applies!
+ *
+ * For help with the configuration see http://www.splitbrain.org/dokuwiki/wiki:config
+ */
+
+
+/* Datastorage and Permissions */
+
+$conf['fmode'] = 0644; //set file creation mode
+$conf['dmode'] = 0755; //set directory creation mode
+$conf['lang'] = 'en'; //your language
+$conf['basedir'] = ''; //absolute dir from serveroot - blank for autodetection
+$conf['baseurl'] = ''; //URL to server including protocol - blank for autodetect
+$conf['savedir'] = './data'; //where to store all the files
+$conf['allowdebug'] = 0; //allow debug output, enable if needed 0|1
+
+/* Display Options */
+
+$conf['start'] = 'start'; //name of start page
+$conf['title'] = 'DokuWiki'; //what to show in the title
+$conf['template'] = 'default'; //see lib/tpl directory
+$conf['license'] = 'cc-by-nc-sa'; //see conf/license.php
+$conf['fullpath'] = 0; //show full path of the document or relative to datadir only? 0|1
+$conf['recent'] = 20; //how many entries to show in recent
+$conf['breadcrumbs'] = 10; //how many recent visited pages to show
+$conf['youarehere'] = 0; //show "You are here" navigation? 0|1
+$conf['typography'] = 1; //smartquote conversion 0=off, 1=doublequotes, 2=all quotes
+$conf['htmlok'] = 0; //may raw HTML be embedded? This may break layout and XHTML validity 0|1
+$conf['phpok'] = 0; //may PHP code be embedded? Never do this on the internet! 0|1
+$conf['dformat'] = '%Y/%m/%d %H:%M'; //dateformat accepted by PHPs strftime() function
+$conf['signature'] = ' --- //[[@MAIL@|@NAME@]] @DATE@//'; //signature see wiki:config for details
+$conf['toptoclevel'] = 1; //Level starting with and below to include in AutoTOC (max. 5)
+$conf['tocminheads'] = 3; //Minimum amount of headlines that determines if a TOC is built
+$conf['maxtoclevel'] = 3; //Up to which level include into AutoTOC (max. 5)
+$conf['maxseclevel'] = 3; //Up to which level create editable sections (max. 5)
+$conf['camelcase'] = 0; //Use CamelCase for linking? (I don't like it) 0|1
+$conf['deaccent'] = 1; //deaccented chars in pagenames (1) or romanize (2) or keep (0)?
+$conf['useheading'] = 0; //use the first heading in a page as its name
+$conf['refcheck'] = 1; //check for references before deleting media files
+$conf['refshow'] = 0; //how many references should be shown, 5 is a good value
+$conf['showuseras'] = 'loginname'; // 'loginname' users login name
+ // 'username' users full name
+ // 'email' e-mail address (will be obfuscated as per mailguard)
+ // 'email_link' e-mail address as a mailto: link (obfuscated)
+
+/* Antispam Features */
+
+$conf['usewordblock']= 1; //block spam based on words? 0|1
+$conf['indexdelay'] = 60*60*24*5; //allow indexing after this time (seconds) default is 5 days
+$conf['relnofollow'] = 1; //use rel="nofollow" for external links?
+$conf['mailguard'] = 'hex'; //obfuscate email addresses against spam harvesters?
+ //valid entries are:
+ // 'visible' - replace @ with [at], . with [dot] and - with [dash]
+ // 'hex' - use hex entities to encode the mail address
+ // 'none' - do not obfuscate addresses
+$conf['iexssprotect']= 1; // check for JavaScript and HTML in uploaded files 0|1
+
+/* Authentication Options - read http://www.splitbrain.org/dokuwiki/wiki:acl */
+
+$conf['useacl'] = 0; //Use Access Control Lists to restrict access?
+$conf['autopasswd'] = 1; //autogenerate passwords and email them to user
+$conf['authtype'] = 'plain'; //which authentication backend should be used
+$conf['passcrypt'] = 'smd5'; //Used crypt method (smd5,md5,sha1,ssha,crypt,mysql,my411)
+$conf['defaultgroup']= 'user'; //Default groups new Users are added to
+$conf['superuser'] = '!!not set!!'; //The admin can be user or @group or comma separated list user1,@group1,user2
+$conf['manager'] = '!!not set!!'; //The manager can be user or @group or comma separated list user1,@group1,user2
+$conf['profileconfirm'] = 1; //Require current password to confirm changes to user profile
+$conf['disableactions'] = ''; //comma separated list of actions to disable
+$conf['sneaky_index'] = 0; //check for namespace read permission in index view (0|1) (1 might cause unexpected behavior)
+$conf['auth_security_timeout'] = 900; //time (seconds) auth data is considered valid, set to 0 to recheck on every page view
+$conf['securecookie'] = 1; //never send HTTPS cookies via HTTP
+
+$conf['xmlrpc'] = 0; //Enable/disable XML-RPC interface
+$conf['xmlrpcuser'] = '!!not set!!'; //Restrict XML-RPC access to this groups/users
+
+/* Advanced Options */
+
+$conf['updatecheck'] = 1; //automatically check for new releases?
+$conf['userewrite'] = 0; //this makes nice URLs: 0: off 1: .htaccess 2: internal
+$conf['useslash'] = 0; //use slash instead of colon? only when rewrite is on
+$conf['usedraft'] = 1; //automatically save a draft while editing (0|1)
+$conf['sepchar'] = '_'; //word separator character in page names; may be a
+ // letter, a digit, '_', '-', or '.'.
+$conf['canonical'] = 0; //Should all URLs use full canonical http://... style?
+$conf['autoplural'] = 0; //try (non)plural form of nonexisting files?
+$conf['compression'] = 'gz'; //compress old revisions: (0: off) ('gz': gnuzip) ('bz2': bzip)
+ // bz2 generates smaller files, but needs more cpu-power
+$conf['cachetime'] = 60*60*24; //maximum age for cachefile in seconds (defaults to a day)
+$conf['locktime'] = 15*60; //maximum age for lockfiles (defaults to 15 minutes)
+$conf['fetchsize'] = 0; //maximum size (bytes) fetch.php may download from extern, disabled by default
+$conf['notify'] = ''; //send change info to this email (leave blank for nobody)
+$conf['registernotify'] = ''; //send info about newly registered users to this email (leave blank for nobody)
+$conf['mailfrom'] = ''; //use this email when sending mails
+$conf['gzip_output'] = 0; //use gzip content encodeing for the output xhtml (if allowed by browser)
+$conf['gdlib'] = 2; //the GDlib version (0, 1 or 2) 2 tries to autodetect
+$conf['im_convert'] = ''; //path to ImageMagicks convert (will be used instead of GD)
+$conf['jpg_quality'] = '70'; //quality of compression when scaling jpg images (0-100)
+$conf['subscribers'] = 0; //enable change notice subscription support
+$conf['compress'] = 1; //Strip whitespaces and comments from Styles and JavaScript? 1|0
+$conf['hidepages'] = ''; //Regexp for pages to be skipped from RSS, Search and Recent Changes
+$conf['send404'] = 0; //Send a HTTP 404 status for non existing pages?
+$conf['sitemap'] = 0; //Create a google sitemap? How often? In days.
+$conf['rss_type'] = 'rss1'; //type of RSS feed to provide, by default:
+ // 'rss' - RSS 0.91
+ // 'rss1' - RSS 1.0
+ // 'rss2' - RSS 2.0
+ // 'atom' - Atom 0.3
+ // 'atom1' - Atom 1.0
+$conf['rss_linkto'] = 'diff'; //what page RSS entries link to:
+ // 'diff' - page showing revision differences
+ // 'page' - the revised page itself
+ // 'rev' - page showing all revisions
+ // 'current' - most recent revision of page
+$conf['rss_content'] = 'abstract'; // what to put in the items by deafult?
+ // 'abstract' - plain text, first paragraph or so
+ // 'diff' - plain text unified diff wrapped in <pre> tags
+ // 'htmldiff' - diff as HTML table
+ // 'html' - the full page rendered in XHTML
+$conf['rss_update'] = 5*60; //Update the RSS feed every n seconds (defaults to 5 minutes)
+$conf['recent_days'] = 7; //How many days of recent changes to keep. (days)
+$conf['rss_show_summary'] = 1; //Add revision summary to title? 0|1
+$conf['broken_iua'] = 0; //Platform with broken ignore_user_abort (IIS+CGI) 0|1
+$conf['xsendfile'] = 0; //Use X-Sendfile (1 = lighttpd, 2 = standard)
+$conf['renderer_xhtml'] = 'xhtml'; //renderer to use for main page generation
+$conf['rememberme'] = 1; //Enable/disable remember me on login
+
+//Set target to use when creating links - leave empty for same window
+$conf['target']['wiki'] = '';
+$conf['target']['interwiki'] = '';
+$conf['target']['extern'] = '';
+$conf['target']['media'] = '';
+$conf['target']['windows'] = '';
+
+//Proxy setup - if your Server needs a proxy to access the web set these
+$conf['proxy']['host'] = '';
+$conf['proxy']['port'] = '';
+$conf['proxy']['user'] = '';
+$conf['proxy']['pass'] = '';
+$conf['proxy']['ssl'] = 0;
+
+/* Safemode Hack */
+
+$conf['safemodehack'] = 0; //read http://www.dokuwiki.org/config:safemodehack !
+$conf['ftp']['host'] = 'localhost';
+$conf['ftp']['port'] = '21';
+$conf['ftp']['user'] = 'user';
+$conf['ftp']['pass'] = 'password';
+$conf['ftp']['root'] = '/home/user/htdocs';
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/conf/entities.conf b/mod/dokuwiki/vendors/dokuwiki/conf/entities.conf
new file mode 100644
index 000000000..be9ed6d40
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/conf/entities.conf
@@ -0,0 +1,22 @@
+# Typography replacements
+#
+# Order does matter!
+#
+# You can use HTML entities here, but it is not recomended because it may break
+# non-HTML renderers. Use UTF-8 chars directly instead.
+
+<-> 鈫
+-> 鈫
+<- 鈫
+<=> 鈬
+=> 鈬
+<= 鈬
+>> 禄
+<< 芦
+--- 鈥
+-- 鈥
+(c) 漏
+(tm) 鈩
+(r) 庐
+... 鈥
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/conf/interwiki.conf b/mod/dokuwiki/vendors/dokuwiki/conf/interwiki.conf
new file mode 100644
index 000000000..0e855f626
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/conf/interwiki.conf
@@ -0,0 +1,125 @@
+# Each URL may contain one of the placeholders {URL} or {NAME}
+# {URL} is replaced by the URL encoded representation of the wikiname
+# this is the right thing to do in most cases
+# {NAME} this is replaced by the wikiname as given in the document
+# no further encoding is done
+# If no placeholder is defined the urlencoded name is appended to the URL
+
+# You can add more InterWiki shortcuts here.
+
+wp http://en.wikipedia.org/wiki/{NAME}
+wpfr http://fr.wikipedia.org/wiki/{NAME}
+wpde http://de.wikipedia.org/wiki/{NAME}
+wpes http://es.wikipedia.org/wiki/{NAME}
+wppl http://pl.wikipedia.org/wiki/{NAME}
+wpjp http://ja.wikipedia.org/wiki/{NAME}
+wpmeta http://meta.wikipedia.org/wiki/{NAME}
+doku http://www.dokuwiki.org/
+dokubug http://bugs.splitbrain.org/index.php?do=details&amp;task_id=
+rfc http://www.cs.ccu.edu.tw/~chm91u/rfc2html.php?in=
+man http://man.cx/
+amazon http://www.amazon.com/exec/obidos/ASIN/{URL}/splitbrain-20/
+amazon.de http://www.amazon.de/exec/obidos/ASIN/{URL}/splitbrain-21/
+amazon.uk http://www.amazon.co.uk/exec/obidos/ASIN/
+phpfn http://www.php.net/{NAME}
+coral http://{HOST}.{PORT}.nyud.net:8090/{PATH}?{QUERY}
+freecache http://freecache.org/{NAME}
+sb http://www.splitbrain.org/go/
+google.de http://www.google.de/search?q=
+go http://www.google.com/search?q={URL}&amp;btnI=lucky
+
+# Standards from http://usemod.com/intermap.txt follow
+
+AbbeNormal http://www.ourpla.net/cgi-bin/pikie.cgi?
+AcadWiki http://xarch.tu-graz.ac.at/autocad/wiki/
+Acronym http://www.acronymfinder.com/af-query.asp?String=exact&amp;Acronym=
+Advogato http://www.advogato.org/
+AIWiki http://www.ifi.unizh.ch/ailab/aiwiki/aiw.cgi?
+ALife http://news.alife.org/wiki/index.php?
+AndStuff http://andstuff.org/wiki.php?
+Annotation http://bayle.stanford.edu/crit/nph-med.cgi/
+AnnotationWiki http://www.seedwiki.com/page.cfm?wikiid=368&amp;doc=
+AwarenessWiki http://taoriver.net/aware/
+BenefitsWiki http://www.benefitslink.com/cgi-bin/wiki.cgi?
+BridgesWiki http://c2.com/w2/bridges/
+C2find http://c2.com/cgi/wiki?FindPage&amp;value=
+Cache http://www.google.com/search?q=cache:
+CLiki http://ww.telent.net/cliki/
+CmWiki http://www.ourpla.net/cgi-bin/wiki.pl?
+CreationMatters http://www.ourpla.net/cgi-bin/wiki.pl?
+DejaNews http://www.deja.com/=dnc/getdoc.xp?AN=
+DeWikiPedia http://www.wikipedia.de/wiki.cgi?
+Dictionary http://www.dict.org/bin/Dict?Database=*&amp;Form=Dict1&amp;Strategy=*&amp;Query=
+DiveIntoOsx http://diveintoosx.org/
+DocBook http://docbook.org/wiki/moin.cgi/
+DolphinWiki http://www.object-arts.com/wiki/html/Dolphin/
+EfnetCeeWiki http://purl.net/wiki/c/
+EfnetCppWiki http://purl.net/wiki/cpp/
+EfnetPythonWiki http://purl.net/wiki/python/
+EfnetXmlWiki http://purl.net/wiki/xml/
+EljWiki http://elj.sourceforge.net/phpwiki/index.php/
+EmacsWiki http://www.emacswiki.org/cgi-bin/wiki.pl?
+FinalEmpire http://final-empire.sourceforge.net/cgi-bin/wiki.pl?
+Foldoc http://www.foldoc.org/foldoc/foldoc.cgi?
+FoxWiki http://fox.wikis.com/wc.dll?Wiki~
+FreeBSDman http://www.FreeBSD.org/cgi/man.cgi?apropos=1&amp;query=
+Google http://www.google.com/search?q=
+GoogleGroups http://groups.google.com/groups?q=
+GreenCheese http://www.greencheese.org/
+HammondWiki http://www.dairiki.org/HammondWiki/index.php3?
+Haribeau http://wiki.haribeau.de/cgi-bin/wiki.pl?
+IAWiki http://www.IAwiki.net/
+IMDB http://us.imdb.com/Title?
+JargonFile http://sunir.org/apps/meta.pl?wiki=JargonFile&amp;redirect=
+JiniWiki http://www.cdegroot.com/cgi-bin/jini?
+JspWiki http://www.ecyrd.com/JSPWiki/Wiki.jsp?page=
+KmWiki http://www.voght.com/cgi-bin/pywiki?
+KnowHow http://www2.iro.umontreal.ca/~paquetse/cgi-bin/wiki.cgi?
+LanifexWiki http://opt.lanifex.com/cgi-bin/wiki.pl?
+LegoWiki http://www.object-arts.com/wiki/html/Lego-Robotics/
+LinuxWiki http://www.linuxwiki.de/
+LugKR http://lug-kr.sourceforge.net/cgi-bin/lugwiki.pl?
+MathSongsWiki http://SeedWiki.com/page.cfm?wikiid=237&amp;doc=
+MbTest http://www.usemod.com/cgi-bin/mbtest.pl?
+MeatBall http://www.usemod.com/cgi-bin/mb.pl?
+MetaWiki http://sunir.org/apps/meta.pl?
+MetaWikiPedia http://meta.wikipedia.com/wiki/
+MoinMoin http://purl.net/wiki/moin/
+MuWeb http://www.dunstable.com/scripts/MuWebWeb?
+NetVillage http://www.netbros.com/?
+OpenWiki http://openwiki.com/?
+OrgPatterns http://www.bell-labs.com/cgi-user/OrgPatterns/OrgPatterns?
+PangalacticOrg http://www.pangalactic.org/Wiki/
+PersonalTelco http://www.personaltelco.net/index.cgi/
+PhpWiki http://phpwiki.sourceforge.net/phpwiki/index.php?
+Pikie http://pikie.darktech.org/cgi/pikie?
+PPR http://c2.com/cgi/wiki?
+PurlNet http://purl.oclc.org/NET/
+PythonInfo http://www.python.org/cgi-bin/moinmoin/
+PythonWiki http://www.pythonwiki.de/
+PyWiki http://www.voght.com/cgi-bin/pywiki?
+SeaPig http://www.seapig.org/
+SeattleWireless http://seattlewireless.net/?
+SenseisLibrary http://senseis.xmp.net/?
+Shakti http://cgi.algonet.se/htbin/cgiwrap/pgd/ShaktiWiki/
+SourceForge http://sourceforge.net/{NAME}
+Squeak http://minnow.cc.gatech.edu/squeak/
+StrikiWiki http://ch.twi.tudelft.nl/~mostert/striki/teststriki.pl?
+SVGWiki http://www.protocol7.com/svg-wiki/default.asp?
+Tavi http://tavi.sourceforge.net/index.php?
+TmNet http://www.technomanifestos.net/?
+TMwiki http://www.EasyTopicMaps.com/?page=
+TWiki http://twiki.org/cgi-bin/view/{NAME}
+TwistedWiki http://purl.net/wiki/twisted/
+Unreal http://wiki.beyondunreal.com/wiki/
+UseMod http://www.usemod.com/cgi-bin/wiki.pl?
+VisualWorks http://wiki.cs.uiuc.edu/VisualWorks/
+WebDevWikiNL http://www.promo-it.nl/WebDevWiki/index.php?page=
+WebSeitzWiki http://webseitz.fluxent.com/wiki/
+Why http://clublet.com/c/c/why?
+Wiki http://c2.com/cgi/wiki?
+WikiPedia http://www.wikipedia.com/wiki/
+WikiWorld http://WikiWorld.com/wiki/index.php/
+YpsiEyeball http://sknkwrks.dyndns.org:1957/writewiki/wiki.pl?
+ZWiki http://www.zwiki.org/
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/conf/license.php b/mod/dokuwiki/vendors/dokuwiki/conf/license.php
new file mode 100644
index 000000000..9a753baa7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/conf/license.php
@@ -0,0 +1,40 @@
+<?php
+/**
+ * This file defines multiple available licenses you can license your
+ * wiki contents under. Do not change this file, but create a
+ * license.local.php instead.
+ */
+
+$license['cc-by'] = array(
+ 'name' => 'CC Attribution 3.0 Unported',
+ 'url' => 'http://creativecommons.org/licenses/by/3.0/',
+);
+$license['cc-by-nc'] = array(
+ 'name' => 'CC Attribution-Noncommercial 3.0 Unported',
+ 'url' => 'http://creativecommons.org/licenses/by-nc/3.0/',
+);
+$license['cc-by-nc-nd'] = array(
+ 'name' => 'CC Attribution-Noncommercial-No Derivative Works 3.0 Unported',
+ 'url' => 'http://creativecommons.org/licenses/by-nc-nd/3.0/',
+);
+$license['cc-by-nc-sa'] = array(
+ 'name' => 'CC Attribution-Noncommercial-Share Alike 3.0 Unported',
+ 'url' => 'http://creativecommons.org/licenses/by-nc-sa/3.0/',
+);
+$license['cc-by-nd'] = array(
+ 'name' => 'CC Attribution-No Derivative Works 3.0 Unported',
+ 'url' => 'cc-by-nd',
+);
+$license['cc-by-sa'] = array(
+ 'name' => 'CC Attribution-Share Alike 3.0 Unported',
+ 'url' => 'http://creativecommons.org/licenses/by-sa/3.0/',
+);
+$license['publicdomain'] = array(
+ 'name' => 'Public Domain',
+ 'url' => 'http://creativecommons.org/licenses/publicdomain/',
+);
+$license['gnufdl'] = array(
+ 'name' => 'GNU Free Documentation License 1.2',
+ 'url' => 'http://www.gnu.org/licenses/fdl-1.2.html',
+);
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/conf/local.php b/mod/dokuwiki/vendors/dokuwiki/conf/local.php
new file mode 100644
index 000000000..74334812b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/conf/local.php
@@ -0,0 +1,43 @@
+<?php
+/**
+ * This is an example of how a local.php coul look like.
+ * Simply copy the options you want to change from dokuwiki.php
+ * to this file and change them.
+ *
+ * When using the installer, a correct local.php file be generated for
+ * you automatically.
+ */
+
+$conf['title'] = 'Elgg Wiki'; //what to show in the title
+$conf['basedir'] = ''; //absolute dir from serveroot - blank for autodetection
+$conf['baseurl'] = ''; //URL to server including protocol - blank for autodetect
+$conf['savedir'] = DOKU_ELGGDATA; //where to store all the files
+
+$conf['useacl'] = 1; //Use Access Control Lists to restrict access?
+$conf['disableactions'] = 'login,logout'; //comma separated list of actions to disable
+
+$conf['updatecheck'] = 0; //automatically check for new releases?
+
+// get language from elgg directly
+$conf['lang'] = get_current_language();
+
+$conf['superuser'] = '@root';
+
+$conf['license'] = 'cc-by-sa'; //see conf/license.php
+
+$conf['pluginmanager'] = 0;
+
+$conf['plugin']['indexmenu']['skip_file']="/(sidebar)/i";
+
+//$conf['superuser'] = 'joe';
+
+/**
+ * The following options are usefull, if you use a MySQL
+ * database as autentication backend. Have a look into
+ * mysql.conf.php too and adjust the options to match
+ * your database installation.
+ */
+$conf['authtype'] = 'elgg';
+//require_once ("mysql.conf.php");
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/conf/local.php.dist b/mod/dokuwiki/vendors/dokuwiki/conf/local.php.dist
new file mode 100644
index 000000000..4af6ea594
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/conf/local.php.dist
@@ -0,0 +1,26 @@
+<?php
+/**
+ * This is an example of how a local.php coul look like.
+ * Simply copy the options you want to change from dokuwiki.php
+ * to this file and change them.
+ *
+ * When using the installer, a correct local.php file be generated for
+ * you automatically.
+ */
+
+
+//$conf['title'] = 'My Wiki'; //what to show in the title
+
+//$conf['useacl'] = 1; //Use Access Control Lists to restrict access?
+//$conf['superuser'] = 'joe';
+
+/**
+ * The following options are usefull, if you use a MySQL
+ * database as autentication backend. Have a look into
+ * mysql.conf.php too and adjust the options to match
+ * your database installation.
+ */
+//$conf['authtype'] = 'mysql';
+//require_once ("mysql.conf.php");
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/conf/mediameta.php b/mod/dokuwiki/vendors/dokuwiki/conf/mediameta.php
new file mode 100644
index 000000000..ba7117643
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/conf/mediameta.php
@@ -0,0 +1,57 @@
+<?php
+/**
+ * This configures which meta data will be editable through
+ * the media manager. Each field of the array is an array with the
+ * following contents:
+ * fieldname - Where data will be saved (EXIF or IPTC field)
+ * label - key to lookup in the $lang var, if not found printed as is
+ * htmltype - 'text' or 'textarea'
+ * lookups - array additional fields to lookup the data (EXIF or IPTC fields)
+ *
+ * The fields are not ordered continously to make inserting additional items
+ * in between simpler.
+ *
+ * This is a PHP snippet, so PHP syntax applies.
+ *
+ * Note: $fields is not a global variable and will not be available to any
+ * other functions or templates later
+ *
+ * You may extend or overwrite this variable in a optional
+ * conf/mediameta.local.php file
+ *
+ * For a list of available EXIF/IPTC fields refer to
+ * http://www.dokuwiki.org/devel:templates:detail.php
+ */
+
+
+$fields = array(
+ 10 => array('Iptc.Headline',
+ 'img_title',
+ 'text'),
+
+ 20 => array('Iptc.Caption',
+ 'img_caption',
+ 'textarea',
+ array('Exif.UserComment',
+ 'Exif.TIFFImageDescription',
+ 'Exif.TIFFUserComment')),
+
+ 30 => array('Iptc.Byline',
+ 'img_artist',
+ 'text',
+ array('Exif.TIFFArtist',
+ 'Exif.Artist',
+ 'Iptc.Credit')),
+
+ 40 => array('Iptc.CopyrightNotice',
+ 'img_copyr',
+ 'text',
+ array('Exif.TIFFCopyright',
+ 'Exif.Copyright')),
+
+ 50 => array('Iptc.Keywords',
+ 'img_keywords',
+ 'text',
+ array('Exif.Category')),
+);
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/conf/mime.conf b/mod/dokuwiki/vendors/dokuwiki/conf/mime.conf
new file mode 100644
index 000000000..2e1ce6bb0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/conf/mime.conf
@@ -0,0 +1,52 @@
+# Allowed uploadable file extensions and mimetypes are defined here.
+# To extend this file it is recommended to create a mime.local.conf
+# file. Mimetypes that should be downloadable and not be opened in the
+# should be prefixed with a !
+
+jpg image/jpeg
+jpeg image/jpeg
+gif image/gif
+png image/png
+tgz !application/octet-stream
+tar !application/x-gtar
+gz !application/octet-stream
+bz2 !application/octet-stream
+zip !application/zip
+rar !application/rar
+pdf application/pdf
+ps !application/postscript
+doc !application/msword
+xls !application/msexcel
+ppt !application/mspowerpoint
+rtf !application/msword
+swf application/x-shockwave-flash
+
+rpm !application/octet-stream
+deb !application/octet-stream
+
+sxw !application/soffice
+sxc !application/soffice
+sxi !application/soffice
+sxd !application/soffice
+
+odc !application/vnd.oasis.opendocument.chart
+odf !application/vnd.oasis.opendocument.formula
+odg !application/vnd.oasis.opendocument.graphics
+odi !application/vnd.oasis.opendocument.image
+odp !application/vnd.oasis.opendocument.presentation
+ods !application/vnd.oasis.opendocument.spreadsheet
+odt !application/vnd.oasis.opendocument.text
+
+# You should enable HTML and Text uploads only for restricted Wikis.
+# Spammers are known to upload spam pages through unprotected Wikis.
+# Note: Enabling HTML opens Cross Site Scripting vulnerabilities
+# through JavaScript. Only enable this with trusted users. You
+# need to disable the iexssprotect option additionally to
+# adding the mime type here
+#html text/html
+#htm text/html
+#txt text/plain
+#conf text/plain
+#xml text/xml
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/conf/msg b/mod/dokuwiki/vendors/dokuwiki/conf/msg
new file mode 100644
index 000000000..38bbb133c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/conf/msg
@@ -0,0 +1,8 @@
+25
+The first line of this file contains a number, indicating
+which notification messages should not be displayed. This
+is the only information sent to dokuwiki.org when the
+updatecheck option is enabled. You usually don't need to
+change this number as it gets updated when you install the
+new release - but to ignore a certain message set its
+number here.
diff --git a/mod/dokuwiki/vendors/dokuwiki/conf/mysql.conf.php.example b/mod/dokuwiki/vendors/dokuwiki/conf/mysql.conf.php.example
new file mode 100644
index 000000000..bd67be82c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/conf/mysql.conf.php.example
@@ -0,0 +1,253 @@
+<?php
+/*
+ * This is an example configuration for the mysql auth module.
+ *
+ * This SQL statements are optimized for following table structure.
+ * If you use a different one you have to change them accordingly.
+ * See comments of every statement for details.
+ *
+ * TABLE users
+ * uid login pass firstname lastname email
+ *
+ * TABLE groups
+ * gid name
+ *
+ * TABLE usergroup
+ * uid gid
+ *
+ * To use this configuration you have to copy them to local.php
+ * or at least include this file in local.php.
+ */
+
+/* Options to configure database access. You need to set up this
+ * options carefully, otherwise you won't be able to access you
+ * database.
+ */
+$conf['auth']['mysql']['server'] = '';
+$conf['auth']['mysql']['user'] = '';
+$conf['auth']['mysql']['password'] = '';
+$conf['auth']['mysql']['database'] = '';
+
+/* This option enables debug messages in the mysql module. It is
+ * mostly usefull for system admins.
+ */
+$conf['auth']['mysql']['debug'] = 0;
+
+/* Normally password encryption is done by DokuWiki (recommended) but for
+ * some reasons it might be usefull to let the database do the encryption.
+ * Set 'forwardClearPass' to '1' and the cleartext password is forwarded to
+ * the database, otherwise the encrypted one.
+ */
+$conf['auth']['mysql']['forwardClearPass'] = 0;
+
+/* Multiple table operations will be protected by locks. This array tolds
+ * the module which tables to lock. If you use any aliases for table names
+ * these array must also contain these aliases. Any unamed alias will cause
+ * a warning during operation. See the example below.
+ */
+$conf['auth']['mysql']['TablesToLock']= array("users", "users AS u","groups", "groups AS g", "usergroup", "usergroup AS ug");
+
+/***********************************************************************/
+/* Basic SQL statements for user authentication (required) */
+/***********************************************************************/
+
+/* This statement is used to grant or deny access to the wiki. The result
+ * should be a table with exact one line containing at least the password
+ * of the user. If the result table is empty or contains more than one
+ * row, access will be denied.
+ *
+ * The module access the password as 'pass' so a alias might be necessary.
+ *
+ * Following patters will be replaced:
+ * %{user} user name
+ * %{pass} encrypted or clear text password (depends on 'encryptPass')
+ * %{dgroup} default group name
+ */
+$conf['auth']['mysql']['checkPass'] = "SELECT pass
+ FROM usergroup AS ug
+ JOIN users AS u ON u.uid=ug.uid
+ JOIN groups AS g ON g.gid=ug.gid
+ WHERE login='%{user}'
+ AND name='%{dgroup}'";
+
+/* This statement should return a table with exact one row containing
+ * information about one user. The field needed are:
+ * 'pass' containing the encrypted or clear text password
+ * 'name' the user's full name
+ * 'mail' the user's email address
+ *
+ * Keep in mind that Dokuwiki will access thise information through the
+ * names listed above so aliasses might be neseccary.
+ *
+ * Following patters will be replaced:
+ * %{user} user name
+ */
+$conf['auth']['mysql']['getUserInfo'] = "SELECT pass, CONCAT(firstname,' ',lastname) AS name, email AS mail
+ FROM users
+ WHERE login='%{user}'";
+
+/* This statement is used to get all groups a user is member of. The
+ * result should be a table containing all groups the given user is
+ * member of. The module access the group name as 'group' so a alias
+ * might be nessecary.
+ *
+ * Following patters will be replaced:
+ * %{user} user name
+ */
+$conf['auth']['mysql']['getGroups'] = "SELECT name as `group`
+ FROM groups g, users u, usergroup ug
+ WHERE u.uid = ug.uid
+ AND g.gid = ug.gid
+ AND u.login='%{user}'";
+
+/***********************************************************************/
+/* Additional minimum SQL statements to use the user manager */
+/***********************************************************************/
+
+/* This statement should return a table containing all user login names
+ * that meet certain filter criteria. The filter expressions will be added
+ * case dependend by the module. At the end a sort expression will be added.
+ * Important is that this list contains no double entries fo a user. Each
+ * user name is only allowed once in the table.
+ *
+ * The login name will be accessed as 'user' to a alias might be neseccary.
+ * No patterns will be replaced in this statement but following patters
+ * will be replaced in the filter expressions:
+ * %{user} in FilterLogin user's login name
+ * %{name} in FilterName user's full name
+ * %{email} in FilterEmail user's email address
+ * %{group} in FilterGroup group name
+ */
+$conf['auth']['mysql']['getUsers'] = "SELECT DISTINCT login AS user
+ FROM users AS u
+ LEFT JOIN usergroup AS ug ON u.uid=ug.uid
+ LEFT JOIN groups AS g ON ug.gid=g.gid";
+$conf['auth']['mysql']['FilterLogin'] = "login LIKE '%{user}'";
+$conf['auth']['mysql']['FilterName'] = "CONCAT(firstname,' ',lastname) LIKE '%{name}'";
+$conf['auth']['mysql']['FilterEmail'] = "email LIKE '%{email}'";
+$conf['auth']['mysql']['FilterGroup'] = "name LIKE '%{group}'";
+$conf['auth']['mysql']['SortOrder'] = "ORDER BY login";
+
+/***********************************************************************/
+/* Additional SQL statements to add new users with the user manager */
+/***********************************************************************/
+
+/* This statement should add a user to the database. Minimum information
+ * to store are: login name, password, email address and full name.
+ *
+ * Following patterns will be replaced:
+ * %{user} user's login name
+ * %{pass} password (encrypted or clear text, depends on 'encryptPass')
+ * %{email} email address
+ * %{name} user's full name
+ */
+$conf['auth']['mysql']['addUser'] = "INSERT INTO users
+ (login, pass, email, firstname, lastname)
+ VALUES ('%{user}', '%{pass}', '%{email}',
+ SUBSTRING_INDEX('%{name}',' ', 1),
+ SUBSTRING_INDEX('%{name}',' ', -1))";
+
+/* This statement should add a group to the database.
+ * Following patterns will be replaced:
+ * %{group} group name
+ */
+$conf['auth']['mysql']['addGroup'] = "INSERT INTO groups (name)
+ VALUES ('%{group}')";
+
+/* This statement should connect a user to a group (a user become member
+ * of that group).
+ * Following patterns will be replaced:
+ * %{user} user's login name
+ * %{uid} id of a user dataset
+ * %{group} group name
+ * %{gid} id of a group dataset
+ */
+$conf['auth']['mysql']['addUserGroup']= "INSERT INTO usergroup (uid, gid)
+ VALUES ('%{uid}', '%{gid}')";
+
+/* This statement should remove a group fom the database.
+ * Following patterns will be replaced:
+ * %{group} group name
+ * %{gid} id of a group dataset
+ */
+$conf['auth']['mysql']['delGroup'] = "DELETE FROM groups
+ WHERE gid='%{gid}'";
+
+/* This statement should return the database index of a given user name.
+ * The module will access the index with the name 'id' so a alias might be
+ * necessary.
+ * following patters will be replaced:
+ * %{user} user name
+ */
+$conf['auth']['mysql']['getUserID'] = "SELECT uid AS id
+ FROM users
+ WHERE login='%{user}'";
+
+/***********************************************************************/
+/* Additional SQL statements to delete users with the user manager */
+/***********************************************************************/
+
+/* This statement should remove a user fom the database.
+ * Following patterns will be replaced:
+ * %{user} user's login name
+ * %{uid} id of a user dataset
+ */
+$conf['auth']['mysql']['delUser'] = "DELETE FROM users
+ WHERE uid='%{uid}'";
+
+/* This statement should remove all connections from a user to any group
+ * (a user quits membership of all groups).
+ * Following patterns will be replaced:
+ * %{uid} id of a user dataset
+ */
+$conf['auth']['mysql']['delUserRefs'] = "DELETE FROM usergroup
+ WHERE uid='%{uid}'";
+
+/***********************************************************************/
+/* Additional SQL statements to modify users with the user manager */
+/***********************************************************************/
+
+/* This statements should modify a user entry in the database. The
+ * statements UpdateLogin, UpdatePass, UpdateEmail and UpdateName will be
+ * added to updateUser on demand. Only changed parameters will be used.
+ *
+ * Following patterns will be replaced:
+ * %{user} user's login name
+ * %{pass} password (encrypted or clear text, depends on 'encryptPass')
+ * %{email} email address
+ * %{name} user's full name
+ * %{uid} user id that should be updated
+ */
+$conf['auth']['mysql']['updateUser'] = "UPDATE users SET";
+$conf['auth']['mysql']['UpdateLogin'] = "login='%{user}'";
+$conf['auth']['mysql']['UpdatePass'] = "pass='%{pass}'";
+$conf['auth']['mysql']['UpdateEmail'] = "email='%{email}'";
+$conf['auth']['mysql']['UpdateName'] = "firstname=SUBSTRING_INDEX('%{name}',' ', 1),
+ lastname=SUBSTRING_INDEX('%{name}',' ', -1)";
+$conf['auth']['mysql']['UpdateTarget']= "WHERE uid=%{uid}";
+
+/* This statement should remove a single connection from a user to a
+ * group (a user quits membership of that group).
+ *
+ * Following patterns will be replaced:
+ * %{user} user's login name
+ * %{uid} id of a user dataset
+ * %{group} group name
+ * %{gid} id of a group dataset
+ */
+$conf['auth']['mysql']['delUserGroup']= "DELETE FROM usergroup
+ WHERE uid='%{uid}'
+ AND gid='%{gid}'";
+
+/* This statement should return the database index of a given group name.
+ * The module will access the index with the name 'id' so a alias might
+ * be necessary.
+ *
+ * Following patters will be replaced:
+ * %{group} group name
+ */
+$conf['auth']['mysql']['getGroupID'] = "SELECT gid AS id
+ FROM groups
+ WHERE name='%{group}'";
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/conf/scheme.conf b/mod/dokuwiki/vendors/dokuwiki/conf/scheme.conf
new file mode 100644
index 000000000..88cb3c44d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/conf/scheme.conf
@@ -0,0 +1,11 @@
+#Add URL schemes you want to be recognized as links here
+
+http
+https
+telnet
+gopher
+wais
+ftp
+ed2k
+irc
+ldap \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/conf/smileys.conf b/mod/dokuwiki/vendors/dokuwiki/conf/smileys.conf
new file mode 100644
index 000000000..47e4537e2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/conf/smileys.conf
@@ -0,0 +1,27 @@
+# Smileys configured here will be replaced by the
+# configured images in the smiley directory
+
+8-) icon_cool.gif
+8-O icon_eek.gif
+8-o icon_eek.gif
+:-( icon_sad.gif
+:-) icon_smile.gif
+=) icon_smile2.gif
+:-/ icon_doubt.gif
+:-\ icon_doubt2.gif
+:-? icon_confused.gif
+:-D icon_biggrin.gif
+:-P icon_razz.gif
+:-o icon_surprised.gif
+:-O icon_surprised.gif
+:-x icon_silenced.gif
+:-X icon_silenced.gif
+:-| icon_neutral.gif
+;-) icon_wink.gif
+^_^ icon_fun.gif
+:?: icon_question.gif
+:!: icon_exclaim.gif
+LOL icon_lol.gif
+FIXME fixme.gif
+DELETEME delete.gif
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/conf/users.auth.php b/mod/dokuwiki/vendors/dokuwiki/conf/users.auth.php
new file mode 100644
index 000000000..6576eeb5f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/conf/users.auth.php
@@ -0,0 +1,10 @@
+# users.auth.php
+# <?php exit()?>
+# Don't modify the lines above
+#
+# Userfile
+#
+# Format:
+#
+# user:MD5password:Real Name:email:groups,comma,seperated
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/conf/users.auth.php.dist b/mod/dokuwiki/vendors/dokuwiki/conf/users.auth.php.dist
new file mode 100644
index 000000000..6576eeb5f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/conf/users.auth.php.dist
@@ -0,0 +1,10 @@
+# users.auth.php
+# <?php exit()?>
+# Don't modify the lines above
+#
+# Userfile
+#
+# Format:
+#
+# user:MD5password:Real Name:email:groups,comma,seperated
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/conf/wordblock.conf b/mod/dokuwiki/vendors/dokuwiki/conf/wordblock.conf
new file mode 100644
index 000000000..da7143257
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/conf/wordblock.conf
@@ -0,0 +1,8004 @@
+# This is the URL blacklist from the chongqed.org database
+# it is available from http://blacklist.chongqed.org/
+# You can use each line below as a regular expression
+# that can be tested against URLs on your wiki.
+# The last spammer was added on 2008-09-11 10:14:51
+
+https?:\/\/([^\/]*\.)?-com-boob-sex-sexy\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?0--sex\.com
+https?:\/\/([^\/]*\.)?0-casinos\.org
+https?:\/\/([^\/]*\.)?0-poker\.net
+https?:\/\/([^\/]*\.)?00007\.com
+https?:\/\/([^\/]*\.)?001-mature-teacher\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?007vip\.cn
+https?:\/\/([^\/]*\.)?01incest\.za\.pl
+https?:\/\/([^\/]*\.)?021boy\.com
+https?:\/\/([^\/]*\.)?027168\.com
+https?:\/\/([^\/]*\.)?02incest\.za\.pl
+https?:\/\/([^\/]*\.)?03incest\.za\.pl
+https?:\/\/([^\/]*\.)?086books\.com
+https?:\/\/([^\/]*\.)?0esy-adult-sites\.blogspot\.com
+https?:\/\/([^\/]*\.)?0lmz-adult-sites\.blogspot\.com
+https?:\/\/([^\/]*\.)?0sxa-adult-sites\.blogspot\.com
+https?:\/\/([^\/]*\.)?0tdwrmq8\.org
+https?:\/\/([^\/]*\.)?0x009\.blogspot\.com
+https?:\/\/([^\/]*\.)?1-888-pokermon\.com
+https?:\/\/([^\/]*\.)?1-adipex\.us
+https?:\/\/([^\/]*\.)?1-cialis\.us
+https?:\/\/([^\/]*\.)?1-craps\.net
+https?:\/\/([^\/]*\.)?1-levitra\.us
+https?:\/\/([^\/]*\.)?1-poker-game\.com
+https?:\/\/([^\/]*\.)?1-xenical\.us
+https?:\/\/([^\/]*\.)?10-best-online-casinosx\.blogspot\.com
+https?:\/\/([^\/]*\.)?100-25-ebony-free\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?10med\.com
+https?:\/\/([^\/]*\.)?10pharm\.com
+https?:\/\/([^\/]*\.)?114418523\.blogspot\.com
+https?:\/\/([^\/]*\.)?117000\.com
+https?:\/\/([^\/]*\.)?1177888888\.com
+https?:\/\/([^\/]*\.)?123clips-com-cq03\.blogspot\.com
+https?:\/\/([^\/]*\.)?123clips-com-td\.blogspot\.com
+https?:\/\/([^\/]*\.)?123clips-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?123clips-tp5\.blogspot\.com
+https?:\/\/([^\/]*\.)?126hao\.com
+https?:\/\/([^\/]*\.)?163car\.com
+https?:\/\/([^\/]*\.)?163school\.com\.cn
+https?:\/\/([^\/]*\.)?168chinese\.com
+https?:\/\/([^\/]*\.)?168english\.com
+https?:\/\/([^\/]*\.)?168standard\.com
+https?:\/\/([^\/]*\.)?181st-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?18to19-com-dbo26u\.blogspot\.com
+https?:\/\/([^\/]*\.)?18to19-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?1avoro\.info
+https?:\/\/([^\/]*\.)?1c1garettes-777\.tripod\.com
+https?:\/\/([^\/]*\.)?1centpoker\.us
+https?:\/\/([^\/]*\.)?1clickpoker\.us
+https?:\/\/([^\/]*\.)?1dollarpoker\.us
+https?:\/\/([^\/]*\.)?1ebalo\.org
+https?:\/\/([^\/]*\.)?1freepoker\.us
+https?:\/\/([^\/]*\.)?1gogo\.awardspace\.com
+https?:\/\/([^\/]*\.)?1golod\.org
+https?:\/\/([^\/]*\.)?1i-casino\.com
+https?:\/\/([^\/]*\.)?1jolla\.org
+https?:\/\/([^\/]*\.)?1kaj-adult-sites\.blogspot\.com
+https?:\/\/([^\/]*\.)?1min\.us
+https?:\/\/([^\/]*\.)?1onlinepoker\.us
+https?:\/\/([^\/]*\.)?1ringtones\.fateback\.com
+https?:\/\/([^\/]*\.)?1st-in-poker\.us
+https?:\/\/([^\/]*\.)?1st-phentermine\.to\.pl
+https?:\/\/([^\/]*\.)?1st-place-poker\.com
+https?:\/\/([^\/]*\.)?1st-poker\.us
+https?:\/\/([^\/]*\.)?1stpharma\.noads\.biz
+https?:\/\/([^\/]*\.)?1stpharmacy\.rmcinfo\.fr
+https?:\/\/([^\/]*\.)?1stviagra\.coz\.in
+https?:\/\/([^\/]*\.)?1whs\.com
+https?:\/\/([^\/]*\.)?2-poker\.us
+https?:\/\/([^\/]*\.)?2008-web-hosting\.com
+https?:\/\/([^\/]*\.)?2010oa\.com
+https?:\/\/([^\/]*\.)?2228888\.com
+https?:\/\/([^\/]*\.)?24ringtonevme\.blogspot\.com
+https?:\/\/([^\/]*\.)?24x7\.soliday\.org
+https?:\/\/([^\/]*\.)?2apd-adult-sites\.blogspot\.com
+https?:\/\/([^\/]*\.)?2cpo-adult-sites\.blogspot\.com
+https?:\/\/([^\/]*\.)?30moms-com-dd8h8y1slq\.blogspot\.com
+https?:\/\/([^\/]*\.)?30moms-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?321\.cn
+https?:\/\/([^\/]*\.)?33b\.b33r\.net
+https?:\/\/([^\/]*\.)?33orgy\.org
+https?:\/\/([^\/]*\.)?3mac\.info
+https?:\/\/([^\/]*\.)?3pic-com-ai3\.blogspot\.com
+https?:\/\/([^\/]*\.)?3pic-com-kedet\.blogspot\.com
+https?:\/\/([^\/]*\.)?40inchplus-com-b4\.blogspot\.com
+https?:\/\/([^\/]*\.)?40inchplus-com-toqof\.blogspot\.com
+https?:\/\/([^\/]*\.)?40inchplus-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?4arf-adult-sites\.blogspot\.com
+https?:\/\/([^\/]*\.)?4erni-pes\.blogspot\.com
+https?:\/\/([^\/]*\.)?4mednew\.com
+https?:\/\/([^\/]*\.)?4mkat4\.com
+https?:\/\/([^\/]*\.)?4onlinecasino4\.blogspot\.com
+https?:\/\/([^\/]*\.)?4t7e\.info
+https?:\/\/([^\/]*\.)?4tsmiget\.forumzen\.com
+https?:\/\/([^\/]*\.)?4tsutmon\.forumzen\.com
+https?:\/\/([^\/]*\.)?4udf-adult-sites\.blogspot\.com
+https?:\/\/([^\/]*\.)?4x2\.net
+https?:\/\/([^\/]*\.)?510sms\.blogbus\.com
+https?:\/\/([^\/]*\.)?5188job\.com
+https?:\/\/([^\/]*\.)?51lac\.com
+https?:\/\/([^\/]*\.)?51lover\.org
+https?:\/\/([^\/]*\.)?51sms\.blogbus\.com
+https?:\/\/([^\/]*\.)?51web\.cn
+https?:\/\/([^\/]*\.)?51wisdom\.com
+https?:\/\/([^\/]*\.)?51wisdom\.com\.cn
+https?:\/\/([^\/]*\.)?51wisdom\.net
+https?:\/\/([^\/]*\.)?520sms\.blogbus\.com
+https?:\/\/([^\/]*\.)?52lac\.net
+https?:\/\/([^\/]*\.)?5417\.cn
+https?:\/\/([^\/]*\.)?54epson\.com
+https?:\/\/([^\/]*\.)?55\.2myip\.com
+https?:\/\/([^\/]*\.)?5588866\.com\.cn
+https?:\/\/([^\/]*\.)?56156\.com
+https?:\/\/([^\/]*\.)?563000\.com
+https?:\/\/([^\/]*\.)?56918\.com
+https?:\/\/([^\/]*\.)?59165\.net
+https?:\/\/([^\/]*\.)?5ijipiao\.com
+https?:\/\/([^\/]*\.)?5up-net-blmpo6qr0\.blogspot\.com
+https?:\/\/([^\/]*\.)?5up-net-wr00skgzbo\.blogspot\.com
+https?:\/\/([^\/]*\.)?63\.217\.31\.49
+https?:\/\/([^\/]*\.)?6717\.com\.cn
+https?:\/\/([^\/]*\.)?69pose\.org
+https?:\/\/([^\/]*\.)?6tvju0a\.nokedem\.com
+https?:\/\/([^\/]*\.)?70678\.com
+https?:\/\/([^\/]*\.)?7171\.blogshot\.nl
+https?:\/\/([^\/]*\.)?72\.net\.cn
+https?:\/\/([^\/]*\.)?77zhong\.com
+https?:\/\/([^\/]*\.)?78\.net\.cn
+https?:\/\/([^\/]*\.)?79179\.com
+https?:\/\/([^\/]*\.)?7982257596\.blogspot\.com
+https?:\/\/([^\/]*\.)?7dftw79\.nokedem\.com
+https?:\/\/([^\/]*\.)?7j5lj\.info
+https?:\/\/([^\/]*\.)?7shg-adult-sites\.blogspot\.com
+https?:\/\/([^\/]*\.)?7x7\.ruwe\.net
+https?:\/\/([^\/]*\.)?8-teenies\.freeinsite\.net
+https?:\/\/([^\/]*\.)?80880\.com
+https?:\/\/([^\/]*\.)?8224\.net
+https?:\/\/([^\/]*\.)?86wys\.com
+https?:\/\/([^\/]*\.)?87793798\.cn
+https?:\/\/([^\/]*\.)?888\.web\.com
+https?:\/\/([^\/]*\.)?88by88-ax4nzu\.blogspot\.com
+https?:\/\/([^\/]*\.)?88by88-com-hx06a\.blogspot\.com
+https?:\/\/([^\/]*\.)?88by88-com-nekuk\.blogspot\.com
+https?:\/\/([^\/]*\.)?88tm\.com
+https?:\/\/([^\/]*\.)?8pcg-adult-sites\.blogspot\.com
+https?:\/\/([^\/]*\.)?8teenfiles-com-dzlvk\.blogspot\.com
+https?:\/\/([^\/]*\.)?8teenfiles-com-mj6\.blogspot\.com
+https?:\/\/([^\/]*\.)?8teenfiles-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?8thstreetlatinas-com-rw48o84iwl\.blogspot\.com
+https?:\/\/([^\/]*\.)?8vwx-adult-sites\.blogspot\.com
+https?:\/\/([^\/]*\.)?90001\.cn
+https?:\/\/([^\/]*\.)?911\.x24hr\.com
+https?:\/\/([^\/]*\.)?911w\.net
+https?:\/\/([^\/]*\.)?91yg\.com
+https?:\/\/([^\/]*\.)?96china\.com
+https?:\/\/([^\/]*\.)?96china\.net
+https?:\/\/([^\/]*\.)?96d2\.com
+https?:\/\/([^\/]*\.)?98-boot-disk-japanese-window\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?99aids\.com
+https?:\/\/([^\/]*\.)?a-blonde-babe-in-bikini\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?a-milf-video-preview\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?a-onedigitizing\.com
+https?:\/\/([^\/]*\.)?a-oneemb\.com
+https?:\/\/([^\/]*\.)?a-phentermine\.us
+https?:\/\/([^\/]*\.)?a-poker-casino\.com
+https?:\/\/([^\/]*\.)?a-porn-sex-adult-xxx\.com
+https?:\/\/([^\/]*\.)?aaeon\.com\.cn
+https?:\/\/([^\/]*\.)?aalita\.com
+https?:\/\/([^\/]*\.)?aalive\.info
+https?:\/\/([^\/]*\.)?aalop\.info
+https?:\/\/([^\/]*\.)?aamjh\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?aaqqywh\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ababd1\.com
+https?:\/\/([^\/]*\.)?abasti\.com
+https?:\/\/([^\/]*\.)?abbama\.com
+https?:\/\/([^\/]*\.)?abbc\.edu
+https?:\/\/([^\/]*\.)?abbleg4\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?abca\.biz
+https?:\/\/([^\/]*\.)?abcink\.com
+https?:\/\/([^\/]*\.)?abijah\.phpbbx\.de
+https?:\/\/([^\/]*\.)?abijah\.romandie\.com
+https?:\/\/([^\/]*\.)?abito-da-sera\.bb22\.info
+https?:\/\/([^\/]*\.)?about-money-world\.com
+https?:\/\/([^\/]*\.)?aboutinfotech\.com
+https?:\/\/([^\/]*\.)?aboutmitsubishiparts\.cn
+https?:\/\/([^\/]*\.)?abriana\.phpbbx\.de
+https?:\/\/([^\/]*\.)?abrianna\.romandie\.com
+https?:\/\/([^\/]*\.)?absolutely-free-porn-clip-blogyvg\.blogspot\.com
+https?:\/\/([^\/]*\.)?absolutely-free-porn-clip-zone28k\.blogspot\.com
+https?:\/\/([^\/]*\.)?aburri\.com
+https?:\/\/([^\/]*\.)?academics\.smcvt\.edu
+https?:\/\/([^\/]*\.)?acantorr\.forumzen\.com
+https?:\/\/([^\/]*\.)?accounting-degree\.hotmail\.ru
+https?:\/\/([^\/]*\.)?accounting1degre\.chat\.ru
+https?:\/\/([^\/]*\.)?accredited-degre\.hotmail\.ru
+https?:\/\/([^\/]*\.)?accredited1degre\.chat\.ru
+https?:\/\/([^\/]*\.)?accredited2degre\.chat\.ru
+https?:\/\/([^\/]*\.)?acelacacel\.dl\.pl
+https?:\/\/([^\/]*\.)?acelalacel\.blogcu\.com
+https?:\/\/([^\/]*\.)?acelcocna\.lolbb\.com
+https?:\/\/([^\/]*\.)?aceldron\.lightbb\.com
+https?:\/\/([^\/]*\.)?acelgetric\.dl\.pl
+https?:\/\/([^\/]*\.)?acellibo\.dl\.pl
+https?:\/\/([^\/]*\.)?acellitroc\.xa\.pl
+https?:\/\/([^\/]*\.)?acelracer\.graphforum\.com
+https?:\/\/([^\/]*\.)?acelrel\.discutforum\.com
+https?:\/\/([^\/]*\.)?acgetvar\.iphorum\.com
+https?:\/\/([^\/]*\.)?achima\.phpbbx\.de
+https?:\/\/([^\/]*\.)?achima\.romandie\.com
+https?:\/\/([^\/]*\.)?achutsit\.forumzen\.com
+https?:\/\/([^\/]*\.)?acima\.phpbbx\.de
+https?:\/\/([^\/]*\.)?acima\.romandie\.com
+https?:\/\/([^\/]*\.)?acimah\.romandie\.com
+https?:\/\/([^\/]*\.)?aciphexbuy\.chip\.ms
+https?:\/\/([^\/]*\.)?aciphexcheap\.mysite\.de
+https?:\/\/([^\/]*\.)?aciphexcheapbuy\.cut\.by
+https?:\/\/([^\/]*\.)?aciphexgeneric\.redirect\.to
+https?:\/\/([^\/]*\.)?aciphexgenericbuy\.dive\.to
+https?:\/\/([^\/]*\.)?aclyulac\.forumzen\.com
+https?:\/\/([^\/]*\.)?acme-arts\.com
+https?:\/\/([^\/]*\.)?acmonno\.zikforum\.com
+https?:\/\/([^\/]*\.)?acne-medicinebco\.blogspot\.com
+https?:\/\/([^\/]*\.)?acololi\.winnerforum\.net
+https?:\/\/([^\/]*\.)?acouc\.zj\.pl
+https?:\/\/([^\/]*\.)?acrolrel\.blogcu\.com
+https?:\/\/([^\/]*\.)?acscuace\.forumzen\.com
+https?:\/\/([^\/]*\.)?actingland\.com
+https?:\/\/([^\/]*\.)?action-in-lesbian-lover\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?action36-kx8\.blogspot\.com
+https?:\/\/([^\/]*\.)?actonelbuy\.drop\.to
+https?:\/\/([^\/]*\.)?actonelcheap\.notrix\.ch
+https?:\/\/([^\/]*\.)?actonelcheapgeneric\.fanclub\.ms
+https?:\/\/([^\/]*\.)?actonelgeneric\.drop\.to
+https?:\/\/([^\/]*\.)?actonelonline\.drive\.to
+https?:\/\/([^\/]*\.)?acurahost\.cn
+https?:\/\/([^\/]*\.)?acuratrades\.cn
+https?:\/\/([^\/]*\.)?acxza\.szm\.sk
+https?:\/\/([^\/]*\.)?acyclovir\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?ad0571\.com
+https?:\/\/([^\/]*\.)?adapturl\.com
+https?:\/\/([^\/]*\.)?adault\.adultserv\.info
+https?:\/\/([^\/]*\.)?adds1\.trafflow\.com
+https?:\/\/([^\/]*\.)?adipex-08pr\.blogspot\.com
+https?:\/\/([^\/]*\.)?adipex-911\.coz\.in
+https?:\/\/([^\/]*\.)?adipex-buyvie\.blogspot\.com
+https?:\/\/([^\/]*\.)?adipex-cc\.blogspot\.com
+https?:\/\/([^\/]*\.)?adipex-phenterminepwf\.blogspot\.com
+https?:\/\/([^\/]*\.)?adipex-wiki\.com
+https?:\/\/([^\/]*\.)?adipex\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?adipex\.edu\.tf
+https?:\/\/([^\/]*\.)?adipex\.edublogs\.org
+https?:\/\/([^\/]*\.)?adipex\.health-livening\.com
+https?:\/\/([^\/]*\.)?adipexes\.blogspot\.com
+https?:\/\/([^\/]*\.)?adipexwoq\.blogspot\.com
+https?:\/\/([^\/]*\.)?adipexxdt\.blogspot\.com
+https?:\/\/([^\/]*\.)?adira\.phpbbx\.de
+https?:\/\/([^\/]*\.)?adira\.romandie\.com
+https?:\/\/([^\/]*\.)?adrienneee\.ifrance\.com
+https?:\/\/([^\/]*\.)?adrienneee\.xhostar\.com
+https?:\/\/([^\/]*\.)?adsenseready\.com
+https?:\/\/([^\/]*\.)?adult-clips-com-guqiax1tu\.blogspot\.com
+https?:\/\/([^\/]*\.)?adult-clips-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?adult-dating-free\.sexnation\.info
+https?:\/\/([^\/]*\.)?adult-dating\.sexnation\.info
+https?:\/\/([^\/]*\.)?adult-free-fucking-movie\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?adult-free-granny-video\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?adult-fx\.info
+https?:\/\/([^\/]*\.)?adult-list-com-wqf4ojq2uk\.blogspot\.com
+https?:\/\/([^\/]*\.)?adult-list-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?adult-personals\.sexnation\.info
+https?:\/\/([^\/]*\.)?adult-porn-clip-info887\.blogspot\.com
+https?:\/\/([^\/]*\.)?adult-sites-review\.beaffaired\.com
+https?:\/\/([^\/]*\.)?adult\.1foleks\.org
+https?:\/\/([^\/]*\.)?adult1clip\.blogspot\.com
+https?:\/\/([^\/]*\.)?adult1movies\.blogspot\.com
+https?:\/\/([^\/]*\.)?adult1video\.blogspot\.com
+https?:\/\/([^\/]*\.)?adult69club\.tripod\.com
+https?:\/\/([^\/]*\.)?adultbuffet-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?adultpersonalsxgj\.blogspot\.com
+https?:\/\/([^\/]*\.)?adultpersonalz\.net
+https?:\/\/([^\/]*\.)?adultsexvideo\.blogspot\.com
+https?:\/\/([^\/]*\.)?adultsitefind\.info
+https?:\/\/([^\/]*\.)?adultsiteshop\.info
+https?:\/\/([^\/]*\.)?adultsss\.cafe150\.com
+https?:\/\/([^\/]*\.)?adultsss\.freehostia\.com
+https?:\/\/([^\/]*\.)?adust\.my10gb\.com
+https?:\/\/([^\/]*\.)?advanceloanfast\.info
+https?:\/\/([^\/]*\.)?adventure-travel\.globaltr\.info
+https?:\/\/([^\/]*\.)?advice-kissing\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?adviser-financial-friendly-gay\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?adviser\.8888mb\.com
+https?:\/\/([^\/]*\.)?adwareremoval\.myinfo\.ws
+https?:\/\/([^\/]*\.)?ae\.siam\.edu
+https?:\/\/([^\/]*\.)?aebn-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?aezat\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?afdtf\.szm\.sk
+https?:\/\/([^\/]*\.)?affishe\.hostcroc\.com
+https?:\/\/([^\/]*\.)?affishe\.webmelia\.com
+https?:\/\/([^\/]*\.)?afraima\.phpbbx\.de
+https?:\/\/([^\/]*\.)?african-american-lesbian-personals\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?africanvagina-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?aftworld\.com
+https?:\/\/([^\/]*\.)?afxbmx\.info
+https?:\/\/([^\/]*\.)?agbht\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?agclore\.dl\.pl
+https?:\/\/([^\/]*\.)?agcw-com-cme2qnyzyt\.blogspot\.com
+https?:\/\/([^\/]*\.)?agcw-com-r10opb\.blogspot\.com
+https?:\/\/([^\/]*\.)?agcw-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?agentlovelette\.250free\.com
+https?:\/\/([^\/]*\.)?aghas\.info
+https?:\/\/([^\/]*\.)?agmy3\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?agrrjd4\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?agylavu\.org
+https?:\/\/([^\/]*\.)?ah-me-com-af7ipw\.blogspot\.com
+https?:\/\/([^\/]*\.)?ah-me-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?ah-me-t7o\.blogspot\.com
+https?:\/\/([^\/]*\.)?ahava\.phpbbx\.de
+https?:\/\/([^\/]*\.)?ahuva\.phpbbx\.de
+https?:\/\/([^\/]*\.)?aidlov\.com
+https?:\/\/([^\/]*\.)?aids-china\.com
+https?:\/\/([^\/]*\.)?aids\.ik8\.com
+https?:\/\/([^\/]*\.)?aids1\.zj\.com
+https?:\/\/([^\/]*\.)?aids333\.net
+https?:\/\/([^\/]*\.)?aikn3\.szm\.sk
+https?:\/\/([^\/]*\.)?air520\.com
+https?:\/\/([^\/]*\.)?airfarelowest\.net
+https?:\/\/([^\/]*\.)?airline-ticket-now\.com
+https?:\/\/([^\/]*\.)?airline-ticket\.globaltr\.info
+https?:\/\/([^\/]*\.)?ajneuas\.com
+https?:\/\/([^\/]*\.)?akbxb\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?akissbetweenthelegs-com-hesel\.blogspot\.com
+https?:\/\/([^\/]*\.)?akissbetweenthelegs-com-tsawya560\.blogspot\.com
+https?:\/\/([^\/]*\.)?akissbetweenthelegs-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?al-femminile\.freespase\.info
+https?:\/\/([^\/]*\.)?al4a-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?alabamamortgage-x\.com
+https?:\/\/([^\/]*\.)?alalal\.myrealboard\.com
+https?:\/\/([^\/]*\.)?alaldalli\.naturalforum\.net
+https?:\/\/([^\/]*\.)?alanthomashairsalon\.com
+https?:\/\/([^\/]*\.)?alarcit\.dynamicbb\.com
+https?:\/\/([^\/]*\.)?alaskamortgage-x\.com
+https?:\/\/([^\/]*\.)?alba-boob-jessica-slip\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?albergo-economici-rimini\.ll33\.info
+https?:\/\/([^\/]*\.)?albergo-parigi\.freespase\.info
+https?:\/\/([^\/]*\.)?albums-proshots\.com
+https?:\/\/([^\/]*\.)?alchita\.goodforum\.net
+https?:\/\/([^\/]*\.)?alchita\.grafbb\.com
+https?:\/\/([^\/]*\.)?aldabridgeman\.site\.io
+https?:\/\/([^\/]*\.)?aldarta\.discutforum\.com
+https?:\/\/([^\/]*\.)?aldomc\.jc\.pl
+https?:\/\/([^\/]*\.)?aldronboc\.lightbb\.com
+https?:\/\/([^\/]*\.)?aleluja\.asp2\.cz
+https?:\/\/([^\/]*\.)?alerou\.su\.pl
+https?:\/\/([^\/]*\.)?aleteta\.com
+https?:\/\/([^\/]*\.)?alexaner\.etowns\.net
+https?:\/\/([^\/]*\.)?alexmovies-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?alhilalb\.forumzen\.com
+https?:\/\/([^\/]*\.)?alias\.asp2\.cz
+https?:\/\/([^\/]*\.)?aliyah\.phpbbx\.de
+https?:\/\/([^\/]*\.)?aliza\.phpbbx\.de
+https?:\/\/([^\/]*\.)?all-rnb\.com
+https?:\/\/([^\/]*\.)?all4sexonline\.biz
+https?:\/\/([^\/]*\.)?allabout-casino\.com
+https?:\/\/([^\/]*\.)?allabout-poker\.com
+https?:\/\/([^\/]*\.)?allabout-poker\.net
+https?:\/\/([^\/]*\.)?allabout\.onplex\.de
+https?:\/\/([^\/]*\.)?allaboutx\.freeasyhost\.com
+https?:\/\/([^\/]*\.)?allaboutx\.hostingclub\.de
+https?:\/\/([^\/]*\.)?allaboutx\.m5t\.de
+https?:\/\/([^\/]*\.)?allall\.org
+https?:\/\/([^\/]*\.)?allcamsguide\.info
+https?:\/\/([^\/]*\.)?allcars\.digitalzones\.com
+https?:\/\/([^\/]*\.)?allcheapchat\.info
+https?:\/\/([^\/]*\.)?alldep\.com
+https?:\/\/([^\/]*\.)?allearjah\.com
+https?:\/\/([^\/]*\.)?alledasar\.com
+https?:\/\/([^\/]*\.)?allegra\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?allegradgeneric\.sblog\.cz
+https?:\/\/([^\/]*\.)?allen-edmonds-shoes\.info
+https?:\/\/([^\/]*\.)?allergy\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?allforsale\.org
+https?:\/\/([^\/]*\.)?allgoods\.be
+https?:\/\/([^\/]*\.)?allkinds-pills\.com
+https?:\/\/([^\/]*\.)?allkoholik\.php5\.cz
+https?:\/\/([^\/]*\.)?alllb\.com
+https?:\/\/([^\/]*\.)?alllf\.com
+https?:\/\/([^\/]*\.)?alllk\.com
+https?:\/\/([^\/]*\.)?alllm\.com
+https?:\/\/([^\/]*\.)?allln\.com
+https?:\/\/([^\/]*\.)?alllp\.com
+https?:\/\/([^\/]*\.)?allnewfilm\.com
+https?:\/\/([^\/]*\.)?allprintposters\.com
+https?:\/\/([^\/]*\.)?allsitesaccess-com-awfxbo7d\.blogspot\.com
+https?:\/\/([^\/]*\.)?alltel-ringtonedyd\.blogspot\.com
+https?:\/\/([^\/]*\.)?allvids-net-k0bdg\.blogspot\.com
+https?:\/\/([^\/]*\.)?allvids-net-kd0pe5\.blogspot\.com
+https?:\/\/([^\/]*\.)?allweb2006\.info
+https?:\/\/([^\/]*\.)?almgarc\.com
+https?:\/\/([^\/]*\.)?alneja\.goodbb\.net
+https?:\/\/([^\/]*\.)?alnm5\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?alona\.phpbbx\.de
+https?:\/\/([^\/]*\.)?alonso\.stabilt\.se
+https?:\/\/([^\/]*\.)?alphabadgirls\.info
+https?:\/\/([^\/]*\.)?alprazolam-cc\.blogspot\.com
+https?:\/\/([^\/]*\.)?alprazolam-xanax\.com
+https?:\/\/([^\/]*\.)?alpy01\.republika\.pl
+https?:\/\/([^\/]*\.)?alracmon\.zikforum\.com
+https?:\/\/([^\/]*\.)?altacebuy\.page\.to
+https?:\/\/([^\/]*\.)?altacebuycheap\.gameday\.de
+https?:\/\/([^\/]*\.)?altacebuygeneric\.cut\.by
+https?:\/\/([^\/]*\.)?altacegeneric\.dive\.to
+https?:\/\/([^\/]*\.)?altacegenericcheap\.notrix\.at
+https?:\/\/([^\/]*\.)?altazel\.blogcu\.com
+https?:\/\/([^\/]*\.)?altrocvi\.jc\.pl
+https?:\/\/([^\/]*\.)?altse\.com
+https?:\/\/([^\/]*\.)?always-credit\.com
+https?:\/\/([^\/]*\.)?always-drugs\.com
+https?:\/\/([^\/]*\.)?always-medications\.com
+https?:\/\/([^\/]*\.)?alyssa-teen\.freeinsite\.net
+https?:\/\/([^\/]*\.)?amal-fucking-movie\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?amateur-ass-free-gallery\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?amateur-atk-hairy-heather-natural\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?amateur-black-naked\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?amateur-blonde-cock\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?amateur-boob-bouncing\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?amateur-contacto-sexo\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?amateur-cum-queen\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?amateur-foto-free-nude\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?amateur-free-erotic\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?amateur-free-grannie-picture-xxx\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?amateur-free-indian-page-sex\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?amateur-free-man-naked\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?amateur-free-sex-xxx\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?amateur-fucking-milf\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?amateur-fucking-public\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?amateur-hard-com-a4w\.blogspot\.com
+https?:\/\/([^\/]*\.)?amateur-home-photo\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?amateur-index-nude\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?amateur-interracial-porn\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?amateur-man-naked\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?amateur-model-for-hire\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?amateur-nympho-wife-site\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?amateur-pages-porn\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?amateur-porn-clip-blog446\.blogspot\.com
+https?:\/\/([^\/]*\.)?amateur-porn-clip-blogqh1\.blogspot\.com
+https?:\/\/([^\/]*\.)?amateur-porn-clip-blogrv6\.blogspot\.com
+https?:\/\/([^\/]*\.)?amateur-porn-xxx\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?amateur-pussy-redhead\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?amateur-teen-movie\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?amateur-teen-sex-movie\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?amateurcurves-com-silib\.blogspot\.com
+https?:\/\/([^\/]*\.)?amateurcurves-com-t7zh3\.blogspot\.com
+https?:\/\/([^\/]*\.)?amazingcum-com-bd7uu0\.blogspot\.com
+https?:\/\/([^\/]*\.)?ambien-online\.presteert\.nl
+https?:\/\/([^\/]*\.)?ambien\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?ambien\.conto\.pl
+https?:\/\/([^\/]*\.)?ambien\.esguay\.com
+https?:\/\/([^\/]*\.)?ambien\.fws1\.com
+https?:\/\/([^\/]*\.)?ambien\.goodpharm\.info
+https?:\/\/([^\/]*\.)?ambien\.hav\.pl
+https?:\/\/([^\/]*\.)?ambien\.presteert\.nl
+https?:\/\/([^\/]*\.)?ambien\.skocz\.net
+https?:\/\/([^\/]*\.)?ambiena\.myblogvoice\.com
+https?:\/\/([^\/]*\.)?ambienpills\.coz\.in
+https?:\/\/([^\/]*\.)?ambienrx\.weboficial\.com
+https?:\/\/([^\/]*\.)?ambiens\.ru\.tf
+https?:\/\/([^\/]*\.)?amcq8\.szm\.sk
+https?:\/\/([^\/]*\.)?amenities\.8tt\.org
+https?:\/\/([^\/]*\.)?americansrebuildingneworleans\.com
+https?:\/\/([^\/]*\.)?amicizia-incontri\.bb22\.info
+https?:\/\/([^\/]*\.)?amico-maria\.freespase\.info
+https?:\/\/([^\/]*\.)?amidnitesunset\.blogspot\.com
+https?:\/\/([^\/]*\.)?amino-vera\.blogspot\.com
+https?:\/\/([^\/]*\.)?amira\.phpbbx\.de
+https?:\/\/([^\/]*\.)?amjrs\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?amoxil-500mg\.micrositehosting\.info
+https?:\/\/([^\/]*\.)?ampposo\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?amstre\.com
+https?:\/\/([^\/]*\.)?amyhunt\.com
+https?:\/\/([^\/]*\.)?amyjkb\.blogspot\.com
+https?:\/\/([^\/]*\.)?anacondasex\.info
+https?:\/\/([^\/]*\.)?anal-bareback-cum-fucking-shot\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?anal-coks-fuck-huge-mega\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?anal-cum-farting\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?anal-cum-fuck\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?anal-dildo-bondage\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?anal-ebony-free-pic\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?anal-ebony-fucking\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?anal-first-pic-time\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?anal-first-time\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?anal-free-mature-sex\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?anal-g\.com
+https?:\/\/([^\/]*\.)?anal-gang-bang-xxx\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?anal-hard-male\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?anal-hardcore-max-sex\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?anal-painful-virgin\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?anal-self-penetration\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?anal-sex-teen-tgp\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?anal-sex-video-x-g-g\.blogspot\.com
+https?:\/\/([^\/]*\.)?anal-sex-virgin\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?anal-shemale-site\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?analsexeum\.blogspot\.com
+https?:\/\/([^\/]*\.)?anath\.phpbbx\.de
+https?:\/\/([^\/]*\.)?anbipbm\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?andrewsaluk\.com
+https?:\/\/([^\/]*\.)?andy-roddick-dick\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?angelcakez\.blogspot\.com
+https?:\/\/([^\/]*\.)?angry-sister\.blogspot\.com
+https?:\/\/([^\/]*\.)?anicke\.republika\.pl
+https?:\/\/([^\/]*\.)?anime-art-gay\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?anime-free-hardcore-info-remember\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?anime-porn-clip-blog5a7\.blogspot\.com
+https?:\/\/([^\/]*\.)?ann-angel-tpi\.blogspot\.com
+https?:\/\/([^\/]*\.)?anna-morgan\.blogspot\.com
+https?:\/\/([^\/]*\.)?annqy\.szm\.sk
+https?:\/\/([^\/]*\.)?annugel--h\.blogspot\.com
+https?:\/\/([^\/]*\.)?annuncio-lavoro-milano\.host24h\.info
+https?:\/\/([^\/]*\.)?annuncio-lavoro-segretaria\.freehostss\.info
+https?:\/\/([^\/]*\.)?annuncio-online\.heroez\.info
+https?:\/\/([^\/]*\.)?anteyi\.cn
+https?:\/\/([^\/]*\.)?anthemboy8\.blogspot\.com
+https?:\/\/([^\/]*\.)?anti-aging-skin\.hotmail\.ru
+https?:\/\/([^\/]*\.)?anti_agin_skin\.chat\.ru
+https?:\/\/([^\/]*\.)?anti_aging_skin\.chat\.ru
+https?:\/\/([^\/]*\.)?antidepressants\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?anus-lick-right-way\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?anw66\.blogspot\.com
+https?:\/\/([^\/]*\.)?anxiety\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?anylight4u\.com
+https?:\/\/([^\/]*\.)?aosk\.com
+https?:\/\/([^\/]*\.)?apesapoppin\.blogspot\.com
+https?:\/\/([^\/]*\.)?apextwin\.ifrance\.com
+https?:\/\/([^\/]*\.)?apextwin\.php5\.cz
+https?:\/\/([^\/]*\.)?aponte\.net
+https?:\/\/([^\/]*\.)?appartamento-roma-vacanza\.ll11\.info
+https?:\/\/([^\/]*\.)?applyforit\.ucsd\.edu
+https?:\/\/([^\/]*\.)?apxgmlp\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?arbundar\.forumzen\.com
+https?:\/\/([^\/]*\.)?arcade\.ya\.com
+https?:\/\/([^\/]*\.)?areaseo\.com
+https?:\/\/([^\/]*\.)?arent-boot-made-these-walkin\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?argentina-gay-travel\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?ariella\.phpbbx\.de
+https?:\/\/([^\/]*\.)?arielle\.phpbbx\.de
+https?:\/\/([^\/]*\.)?arinastes\.com
+https?:\/\/([^\/]*\.)?aristocort\.4\.pl
+https?:\/\/([^\/]*\.)?arizonamortgage-x\.com
+https?:\/\/([^\/]*\.)?arjj2\.szm\.sk
+https?:\/\/([^\/]*\.)?arketon24\.blogspot\.com
+https?:\/\/([^\/]*\.)?armyanch\.galeon\.com
+https?:\/\/([^\/]*\.)?arosson\.com
+https?:\/\/([^\/]*\.)?arsrz\.szm\.sk
+https?:\/\/([^\/]*\.)?art-xxx\.com
+https?:\/\/([^\/]*\.)?artbennett\.net
+https?:\/\/([^\/]*\.)?arthuriacasino\.com
+https?:\/\/([^\/]*\.)?artnposter\.com
+https?:\/\/([^\/]*\.)?arwuudes\.forumzen\.com
+https?:\/\/([^\/]*\.)?arwuuzet\.forumzen\.com
+https?:\/\/([^\/]*\.)?aryls\.info
+https?:\/\/([^\/]*\.)?asaearh\.blogspot\.com
+https?:\/\/([^\/]*\.)?asaia\.blogspot\.com
+https?:\/\/([^\/]*\.)?asas3\.com
+https?:\/\/([^\/]*\.)?ascht\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?asdiaalr\.forumzen\.com
+https?:\/\/([^\/]*\.)?aselfbas\.forumzen\.com
+https?:\/\/([^\/]*\.)?asfreyl\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ashkenazi\.phpbbx\.de
+https?:\/\/([^\/]*\.)?ashlee--serena\.freeinsite\.net
+https?:\/\/([^\/]*\.)?ashlee-clip-free-hand-job\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?asian-bang-gang\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?asian-cum-sucker\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?asian-download-free-porn-video\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?asian-facial\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?asian-fucking-man-woman\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?asian-girl-anal\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?asian-giving-blow-job\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?asian-mmf\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?asian-porn-clip-info6g5\.blogspot\.com
+https?:\/\/([^\/]*\.)?asian-pussysjy\.blogspot\.com
+https?:\/\/([^\/]*\.)?asian-spread-leg\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?asian-teens\.freeinsite\.net
+https?:\/\/([^\/]*\.)?asiansexpvx\.blogspot\.com
+https?:\/\/([^\/]*\.)?asjnquaq\.com
+https?:\/\/([^\/]*\.)?asnmeq\.com
+https?:\/\/([^\/]*\.)?asrendes\.forumzen\.com
+https?:\/\/([^\/]*\.)?asricrac\.forumzen\.com
+https?:\/\/([^\/]*\.)?ass-bare-screensaver-sexy\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?ass-big-black-pussy\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?ass-big-free-woman\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?ass-bitch-hot\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?ass-cock-large-nice-tit\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?ass-cum-gay-hole\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?ass-cute-fat\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?ass-day-great-its-somebodys-whop\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?ass-dildo-in-man-use\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?ass-free-huge-movie-phat-tit\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?ass-free-mature-photo\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?ass-free-naked\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?ass-fucked-porn-star\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?ass-hit-up-yo\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?ass-hole-test\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?ass-lick-slut-that\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?ass-man-photo-rumprater-com-woman\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?ass-naked-pic-woman-young\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?ass-sa\.com
+https?:\/\/([^\/]*\.)?ass-traffic-thumb\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?assfilled-cofon\.blogspot\.com
+https?:\/\/([^\/]*\.)?assfucking-video\.hereandnow0\.com
+https?:\/\/([^\/]*\.)?assicurazione-moto\.freehostss\.info
+https?:\/\/([^\/]*\.)?asslick-free\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?associate1degree\.chat\.ru
+https?:\/\/([^\/]*\.)?assparade-com-cdr6rm3\.blogspot\.com
+https?:\/\/([^\/]*\.)?astmiv\.com
+https?:\/\/([^\/]*\.)?astsam\.com
+https?:\/\/([^\/]*\.)?aswsu-ddp\.wsu\.edu
+https?:\/\/([^\/]*\.)?atar5\.szm\.sk
+https?:\/\/([^\/]*\.)?atashi-tada\.blogspot\.com
+https?:\/\/([^\/]*\.)?atchina\.com\.cn
+https?:\/\/([^\/]*\.)?atenololhqs\.blogspot\.com
+https?:\/\/([^\/]*\.)?atetech\.com\.cn
+https?:\/\/([^\/]*\.)?athenaindia\.com
+https?:\/\/([^\/]*\.)?ativan\.hav\.pl
+https?:\/\/([^\/]*\.)?ativan1\.skocz\.net
+https?:\/\/([^\/]*\.)?ativans\.eu\.tf
+https?:\/\/([^\/]*\.)?atkmodels-com-g2mc2j\.blogspot\.com
+https?:\/\/([^\/]*\.)?atkmodels-h6\.blogspot\.com
+https?:\/\/([^\/]*\.)?atmoc\.com
+https?:\/\/([^\/]*\.)?atrapy\.pl
+https?:\/\/([^\/]*\.)?auabkc3\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?audibookclub\.info
+https?:\/\/([^\/]*\.)?audition-mature-sexy-video-woman\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?audx4\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?auejds\.com
+https?:\/\/([^\/]*\.)?aufx8\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?augmentin\.4\.pl
+https?:\/\/([^\/]*\.)?augmentinbuy\.megapage\.de
+https?:\/\/([^\/]*\.)?augmentinbuygeneric\.playsite\.de
+https?:\/\/([^\/]*\.)?augmentincheapbuy\.mine\.at
+https?:\/\/([^\/]*\.)?augmentincheapgeneric\.vacations\.to
+https?:\/\/([^\/]*\.)?augmentingeneric\.dive\.to
+https?:\/\/([^\/]*\.)?aumkryl\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?auntmia-ivmo1ckhbf\.blogspot\.com
+https?:\/\/([^\/]*\.)?auqkz\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?aurar\.info
+https?:\/\/([^\/]*\.)?aurney\.blogspot\.com
+https?:\/\/([^\/]*\.)?aurora-snow-double-anal\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?auto-insurance-2007\.com
+https?:\/\/([^\/]*\.)?auto-insurance-quote\.100freemb\.com
+https?:\/\/([^\/]*\.)?auto-occasioni\.bb22\.info
+https?:\/\/([^\/]*\.)?autoere\.info
+https?:\/\/([^\/]*\.)?autoinsurancevyo\.blogspot\.com
+https?:\/\/([^\/]*\.)?automobileliberty\.cn
+https?:\/\/([^\/]*\.)?ava-devine-friend-hot-mom\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?avalonhyip\.com
+https?:\/\/([^\/]*\.)?avenue-x\.cn
+https?:\/\/([^\/]*\.)?avoidcar\.info
+https?:\/\/([^\/]*\.)?awardspace\.biz
+https?:\/\/([^\/]*\.)?awardspace\.info
+https?:\/\/([^\/]*\.)?awerda\.com
+https?:\/\/([^\/]*\.)?aweu\.cabspace\.com
+https?:\/\/([^\/]*\.)?axfa5\.szm\.sk
+https?:\/\/([^\/]*\.)?axnc0\.szm\.sk
+https?:\/\/([^\/]*\.)?axqui\.szm\.sk
+https?:\/\/([^\/]*\.)?axtu7\.szm\.sk
+https?:\/\/([^\/]*\.)?ayann\.dl\.pl
+https?:\/\/([^\/]*\.)?aynippa\.blogspot\.com
+https?:\/\/([^\/]*\.)?azn-chickadee\.blogspot\.com
+https?:\/\/([^\/]*\.)?azu-erlove\.blogspot\.com
+https?:\/\/([^\/]*\.)?azur3skye\.blogspot\.com
+https?:\/\/([^\/]*\.)?azzacash\.com
+https?:\/\/([^\/]*\.)?b-b-free-hentai-movie-j\.blogspot\.com
+https?:\/\/([^\/]*\.)?b-d-download-porn-movie-n\.blogspot\.com
+https?:\/\/([^\/]*\.)?b\.cn01\.hn\.org
+https?:\/\/([^\/]*\.)?babe-cam-filipina-web\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?babe-dutch-erotic-lingerie\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?babe-forum-picture-porn-star\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?babe-hot-mature\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?babesglamour-com-jotut\.blogspot\.com
+https?:\/\/([^\/]*\.)?babesglamour-com-mp21gz\.blogspot\.com
+https?:\/\/([^\/]*\.)?babibian\.dl\.pl
+https?:\/\/([^\/]*\.)?babooth\.ifrance\.com
+https?:\/\/([^\/]*\.)?babyhere\.net
+https?:\/\/([^\/]*\.)?bachelor1degree\.chat\.ru
+https?:\/\/([^\/]*\.)?back-bare-cum-dripping-fucked-humiliated-pussy-wealthy-woman\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?backroom-facial-margarita\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?bad-butt-byron-rub\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?bad-butt-byrons-rub\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?bad-credit-home-loanksl\.blogspot\.com
+https?:\/\/([^\/]*\.)?badassteens-com-gnvrh6\.blogspot\.com
+https?:\/\/([^\/]*\.)?badassteens-com-gyhb23dyw\.blogspot\.com
+https?:\/\/([^\/]*\.)?badgirlsblog-com-dcfmx8n2p\.blogspot\.com
+https?:\/\/([^\/]*\.)?badipex\.gog\.pl
+https?:\/\/([^\/]*\.)?bahatoca\.org
+https?:\/\/([^\/]*\.)?baikal-guide\.com
+https?:\/\/([^\/]*\.)?baikal-hotel\.info
+https?:\/\/([^\/]*\.)?baikal-shop\.com
+https?:\/\/([^\/]*\.)?baikal-tour\.biz
+https?:\/\/([^\/]*\.)?baikalguide\.com
+https?:\/\/([^\/]*\.)?baikalshop\.info
+https?:\/\/([^\/]*\.)?bakadeshi\.ifrance\.com
+https?:\/\/([^\/]*\.)?bakamandy\.blogspot\.com
+https?:\/\/([^\/]*\.)?bambulka\.info
+https?:\/\/([^\/]*\.)?bamigreenhouse\.com\.cn
+https?:\/\/([^\/]*\.)?bamima\.com
+https?:\/\/([^\/]*\.)?bandaoti\.dzsc\.com
+https?:\/\/([^\/]*\.)?bang-clip-gang-gang-movie\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?bang-gallery-gang-mature-woman\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?bang-gang-sex-tokyo\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?bank-of-america-credit-cardtja\.blogspot\.com
+https?:\/\/([^\/]*\.)?bank-of-fetish-resource\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?banns\.info
+https?:\/\/([^\/]*\.)?baqaek9\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?baquma\.com
+https?:\/\/([^\/]*\.)?bareback-fuck-gay\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?barefootmaniacs-com-rpw1i1\.blogspot\.com
+https?:\/\/([^\/]*\.)?barely18-teens-com-sin\.blogspot\.com
+https?:\/\/([^\/]*\.)?barrystickets\.com
+https?:\/\/([^\/]*\.)?bartik\.asp2\.cz
+https?:\/\/([^\/]*\.)?barulena\.jconserv\.net
+https?:\/\/([^\/]*\.)?basalvar\.dl\.pl
+https?:\/\/([^\/]*\.)?basdeler\.su\.pl
+https?:\/\/([^\/]*\.)?basdombo\.dl\.pl
+https?:\/\/([^\/]*\.)?baslachi\.lightbb\.com
+https?:\/\/([^\/]*\.)?baslalhi\.myrealboard\.com
+https?:\/\/([^\/]*\.)?baslarol\.dl\.pl
+https?:\/\/([^\/]*\.)?basmonzel\.dl\.pl
+https?:\/\/([^\/]*\.)?baspaser\.zikforum\.com
+https?:\/\/([^\/]*\.)?baspasrac\.dl\.pl
+https?:\/\/([^\/]*\.)?baspasvi\.cultureforum\.net
+https?:\/\/([^\/]*\.)?basracchi\.dl\.pl
+https?:\/\/([^\/]*\.)?basteg\.com
+https?:\/\/([^\/]*\.)?bastim\.com
+https?:\/\/([^\/]*\.)?bastnecli\.frbb\.net
+https?:\/\/([^\/]*\.)?bastre\.com
+https?:\/\/([^\/]*\.)?bastru\.com
+https?:\/\/([^\/]*\.)?basuma\.com
+https?:\/\/([^\/]*\.)?bath-hardcore-teen\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?bath-product\.hotmail\.ru
+https?:\/\/([^\/]*\.)?bath-product\.pochta\.ru
+https?:\/\/([^\/]*\.)?bath_product\.chat\.ru
+https?:\/\/([^\/]*\.)?bathroom-fuck\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?bbcialis\.ovp\.pl
+https?:\/\/([^\/]*\.)?bbfast\.com
+https?:\/\/([^\/]*\.)?bbndsxtg-teensite\.blogspot\.com
+https?:\/\/([^\/]*\.)?bbs\.cuesta\.edu
+https?:\/\/([^\/]*\.)?bbsporn\.com
+https?:\/\/([^\/]*\.)?bbuybaliumonline\.ovp\.pl
+https?:\/\/([^\/]*\.)?bbuycialisonline\.ovp\.pl
+https?:\/\/([^\/]*\.)?bbuylevitraonline\.ovp\.pl
+https?:\/\/([^\/]*\.)?bbuytram\.blogcu\.com
+https?:\/\/([^\/]*\.)?bbuytramadolonline\.ovp\.pl
+https?:\/\/([^\/]*\.)?bbuyviagraonlin\.forumlivre\.com
+https?:\/\/([^\/]*\.)?bbw-busty\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?bbw-club-in-san-diego\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?bbw-old\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?bbw-porn-clip-infotir\.blogspot\.com
+https?:\/\/([^\/]*\.)?bcam8\.szm\.sk
+https?:\/\/([^\/]*\.)?bcdh\.cn
+https?:\/\/([^\/]*\.)?bcialis\.c24\.pl
+https?:\/\/([^\/]*\.)?bcxz8\.szm\.sk
+https?:\/\/([^\/]*\.)?bdsm-lesbian-video\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?bdsm-personals-houston\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?bdsm-toy\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?bdsm-video\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?bdsm-vintage\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?bdsmvideos-net-wb55nc\.blogspot\.com
+https?:\/\/([^\/]*\.)?bdsmvideos-net-wfa5qu\.blogspot\.com
+https?:\/\/([^\/]*\.)?bdsmvideos-net-wvckcgq2o\.blogspot\.com
+https?:\/\/([^\/]*\.)?beaffaired\.com
+https?:\/\/([^\/]*\.)?bear-build-gay\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?bear-chubby-young\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?bear-terry\.blogspot\.com
+https?:\/\/([^\/]*\.)?beastiality-live\.com
+https?:\/\/([^\/]*\.)?beautiful-ass-pic\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?beautiful-blonde-pole-dancer\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?beautifulzdrawing\.sex-systems\.com
+https?:\/\/([^\/]*\.)?beautyass-com-e1ca\.blogspot\.com
+https?:\/\/([^\/]*\.)?becloudsiss\.thehostcity\.com
+https?:\/\/([^\/]*\.)?bedspread-king\.keckins\.be
+https?:\/\/([^\/]*\.)?begcrucial\.justfree\.com
+https?:\/\/([^\/]*\.)?beginninghouse\.com
+https?:\/\/([^\/]*\.)?begot\.info
+https?:\/\/([^\/]*\.)?beijing-door\.cn
+https?:\/\/([^\/]*\.)?beijingimpression\.com
+https?:\/\/([^\/]*\.)?bekahboo3\.blogspot\.com
+https?:\/\/([^\/]*\.)?beldam\.dns1\.us
+https?:\/\/([^\/]*\.)?bemix\.info
+https?:\/\/([^\/]*\.)?benixon\.dl\.pl
+https?:\/\/([^\/]*\.)?benoit-r86\.blogspot\.com
+https?:\/\/([^\/]*\.)?benson-g68a\.blogspot\.com
+https?:\/\/([^\/]*\.)?benz-h51\.blogspot\.com
+https?:\/\/([^\/]*\.)?beqy\.org
+https?:\/\/([^\/]*\.)?berenupa\.info
+https?:\/\/([^\/]*\.)?berkeley-mdi56f\.blogspot\.com
+https?:\/\/([^\/]*\.)?berlin-o3g\.blogspot\.com
+https?:\/\/([^\/]*\.)?bernie-b18\.blogspot\.com
+https?:\/\/([^\/]*\.)?berrycow\.blogspot\.com
+https?:\/\/([^\/]*\.)?beryl-c3\.blogspot\.com
+https?:\/\/([^\/]*\.)?best-hotels-inns\.com
+https?:\/\/([^\/]*\.)?best-porn-clip-zone0mp\.blogspot\.com
+https?:\/\/([^\/]*\.)?best2006\.info
+https?:\/\/([^\/]*\.)?best568\.com
+https?:\/\/([^\/]*\.)?bestaftor\.com
+https?:\/\/([^\/]*\.)?bestallmed\.info
+https?:\/\/([^\/]*\.)?bestbuyonlinebooks\.com
+https?:\/\/([^\/]*\.)?bestcityhal\.com
+https?:\/\/([^\/]*\.)?bestcyberfish\.com
+https?:\/\/([^\/]*\.)?bestfreegift\.com
+https?:\/\/([^\/]*\.)?bestgames-winner\.com
+https?:\/\/([^\/]*\.)?besthallet\.com
+https?:\/\/([^\/]*\.)?bestinternetexplorer\.info
+https?:\/\/([^\/]*\.)?bestlowmortgagerates\.com
+https?:\/\/([^\/]*\.)?bestmaiden\.com
+https?:\/\/([^\/]*\.)?bestmercedesbez\.info
+https?:\/\/([^\/]*\.)?bestmitsubishiparts\.info
+https?:\/\/([^\/]*\.)?bestmp3online\.com
+https?:\/\/([^\/]*\.)?bestmp3online\.net
+https?:\/\/([^\/]*\.)?bestofe\.com
+https?:\/\/([^\/]*\.)?bestonline-medication\.com
+https?:\/\/([^\/]*\.)?bestonline-shopping\.com
+https?:\/\/([^\/]*\.)?bestorlean\.com
+https?:\/\/([^\/]*\.)?bestpricebentley\.info
+https?:\/\/([^\/]*\.)?bestsellsite\.com
+https?:\/\/([^\/]*\.)?bestxxxanal-1\.tripod\.com
+https?:\/\/([^\/]*\.)?bestyourown\.info
+https?:\/\/([^\/]*\.)?beta-dg174ivc\.blogspot\.com
+https?:\/\/([^\/]*\.)?beth-t6h6\.blogspot\.com
+https?:\/\/([^\/]*\.)?bethany-ezjn\.blogspot\.com
+https?:\/\/([^\/]*\.)?betsie-w4080\.blogspot\.com
+https?:\/\/([^\/]*\.)?betsy-rgxm2e\.blogspot\.com
+https?:\/\/([^\/]*\.)?better\.ws
+https?:\/\/([^\/]*\.)?betty-gy\.blogspot\.com
+https?:\/\/([^\/]*\.)?beverly-hax82jc3md\.blogspot\.com
+https?:\/\/([^\/]*\.)?bevjy\.szm\.sk
+https?:\/\/([^\/]*\.)?beyonce-knowles-nude\.blogspot\.com
+https?:\/\/([^\/]*\.)?bfekd\.szm\.sk
+https?:\/\/([^\/]*\.)?bfgtxs4\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?bfi-k5xk7bnu\.blogspot\.com
+https?:\/\/([^\/]*\.)?bfnh2\.szm\.sk
+https?:\/\/([^\/]*\.)?bfqn\.com
+https?:\/\/([^\/]*\.)?bfvsa\.szm\.sk
+https?:\/\/([^\/]*\.)?bgpkvcr\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?bhncvw-free-porn\.blogspot\.com
+https?:\/\/([^\/]*\.)?bhqay\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?bi-britney-spears-video-download\.blogspot\.com
+https?:\/\/([^\/]*\.)?bi-whales\.blogspot\.com
+https?:\/\/([^\/]*\.)?bi-xxx-video-download\.blogspot\.com
+https?:\/\/([^\/]*\.)?bianca-i7yj\.blogspot\.com
+https?:\/\/([^\/]*\.)?bianpinqi\.dzsc\.com
+https?:\/\/([^\/]*\.)?bicx7\.szm\.sk
+https?:\/\/([^\/]*\.)?bid-sohardcore-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?bidsex\.net
+https?:\/\/([^\/]*\.)?big-big-clit-tit\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?big-bitch-booty-fucked-getting\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?big-black-cock-movie-clip\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?big-black-cock-pic\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?big-black-dick-fat-long\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?big-blonde-mature-tit\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?big-blonde-teen-tit\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?big-body-fuck\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?big-boob-huge-large\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?big-bouncing-boob-movie\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?big-butt-shemale\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?big-cock-gay-guy-have\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?big-cock-hard-sex\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?big-cum-cum-shot\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?big-cum-movie-swallow-tit-xxx\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?big-dick-fuck-hot-love-sexy-shemale-that\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?big-ebony-free-gallery-tit\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?big-fat-boob\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?big-free-lesbian-movie-online-porn\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?big-huge-gigantic-boob\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?big-leg-babes\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?big-leg-nice\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?big-okfl\.blogspot\.com
+https?:\/\/([^\/]*\.)?big-strap-on-dildo\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?big-tit-round-ass-jasmine\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?big-tit-round-ass-maria\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?big-tit-round-ass-picture\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?big-tits\.100freemb\.com
+https?:\/\/([^\/]*\.)?big-titted-bitch\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?big-titts-round-ass\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?big-young-cock\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?big\.de\.com
+https?:\/\/([^\/]*\.)?bigapple\.dtdns\.net
+https?:\/\/([^\/]*\.)?bigassadventure-com-g4lvyk\.blogspot\.com
+https?:\/\/([^\/]*\.)?bigbird-aus\.blogspot\.com
+https?:\/\/([^\/]*\.)?bigbreastlovers-com-suqoq\.blogspot\.com
+https?:\/\/([^\/]*\.)?bigcockteenaddiction-com-km\.blogspot\.com
+https?:\/\/([^\/]*\.)?bigcockteenaddiction-com-kpqf40\.blogspot\.com
+https?:\/\/([^\/]*\.)?bigdog-b14q6mwev\.blogspot\.com
+https?:\/\/([^\/]*\.)?bigfoot-c7l\.blogspot\.com
+https?:\/\/([^\/]*\.)?bigfreesex-com-i2csy2\.blogspot\.com
+https?:\/\/([^\/]*\.)?bigfreesex-com-subub\.blogspot\.com
+https?:\/\/([^\/]*\.)?biggest-cock-thickest\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?biggest-dildo-fuck-world\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?biggiebestparis\.com
+https?:\/\/([^\/]*\.)?biglietti-auguri\.net
+https?:\/\/([^\/]*\.)?biglietto-augurio\.freehostss\.info
+https?:\/\/([^\/]*\.)?bigmac-detr0i6y\.blogspot\.com
+https?:\/\/([^\/]*\.)?bigman-tycdhe62d\.blogspot\.com
+https?:\/\/([^\/]*\.)?bigmouthfuls-com-rvl7ep\.blogspot\.com
+https?:\/\/([^\/]*\.)?bignaturals-com-w0\.blogspot\.com
+https?:\/\/([^\/]*\.)?bigpenis\.digitalzones\.com
+https?:\/\/([^\/]*\.)?bigtitpatrol-com-bub8db06d\.blogspot\.com
+https?:\/\/([^\/]*\.)?bigtitsfans-com-rxc3ya\.blogspot\.com
+https?:\/\/([^\/]*\.)?bigtitsroundasses-com-b6auqkd60\.blogspot\.com
+https?:\/\/([^\/]*\.)?bigtitsroundasses-com-redeg\.blogspot\.com
+https?:\/\/([^\/]*\.)?bigtitsroundasses-com-rj85t35x3\.blogspot\.com
+https?:\/\/([^\/]*\.)?biib4\.szm\.sk
+https?:\/\/([^\/]*\.)?bike-boot-dirt-riding\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?bike-night\.grimgoe\.be
+https?:\/\/([^\/]*\.)?bikini-wax\.phpbb2\.us
+https?:\/\/([^\/]*\.)?bikinixxx-777now\.tripod\.com
+https?:\/\/([^\/]*\.)?bilbo-ea47j\.blogspot\.com
+https?:\/\/([^\/]*\.)?billy-r4negy1zwm\.blogspot\.com
+https?:\/\/([^\/]*\.)?binky-hu\.blogspot\.com
+https?:\/\/([^\/]*\.)?bio\.research\.ucsc\.edu
+https?:\/\/([^\/]*\.)?biopharmasite\.biz
+https?:\/\/([^\/]*\.)?biperq\.com
+https?:\/\/([^\/]*\.)?bird-iay2s\.blogspot\.com
+https?:\/\/([^\/]*\.)?birdie\.101freehost\.com
+https?:\/\/([^\/]*\.)?birth-control\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?bisexual-cartoon-sex\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?bisexuals-cyber-fuck-horny-lesbian-lezzies-sex\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?bisson\.onthenet\.as
+https?:\/\/([^\/]*\.)?bit-tit-lesbian\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?bitch-fat-hot\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?bituka\.com
+https?:\/\/([^\/]*\.)?bivxr\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?bizaffiliatecenter\.com
+https?:\/\/([^\/]*\.)?bizarre-love-triangle-lyric-by-frente\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?bizarre-sex-movie\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?bizhat\.com
+https?:\/\/([^\/]*\.)?bizhome\.org
+https?:\/\/([^\/]*\.)?bj-trj\.com
+https?:\/\/([^\/]*\.)?bjaoyunhui\.com
+https?:\/\/([^\/]*\.)?bjcee\.com
+https?:\/\/([^\/]*\.)?bjicp\.com
+https?:\/\/([^\/]*\.)?bjicp\.freewebpage\.org
+https?:\/\/([^\/]*\.)?bjicp\.net
+https?:\/\/([^\/]*\.)?bjicp\.org
+https?:\/\/([^\/]*\.)?bjjinhan\.com
+https?:\/\/([^\/]*\.)?bjlzhh\.com
+https?:\/\/([^\/]*\.)?bjocmc3\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?bjqmzx\.com
+https?:\/\/([^\/]*\.)?bjrfinfo\.com
+https?:\/\/([^\/]*\.)?bjsscc\.com\.cn
+https?:\/\/([^\/]*\.)?bjtranslate\.com
+https?:\/\/([^\/]*\.)?bjwczx\.com
+https?:\/\/([^\/]*\.)?bjxiongfei\.com
+https?:\/\/([^\/]*\.)?bjxunda\.com
+https?:\/\/([^\/]*\.)?bjzktd\.com
+https?:\/\/([^\/]*\.)?bkclamcp\.t35\.com
+https?:\/\/([^\/]*\.)?bksar\.szm\.sk
+https?:\/\/([^\/]*\.)?bkzor\.szm\.sk
+https?:\/\/([^\/]*\.)?black-amateur-movie\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?black-asian-anal\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?black-boob-sex\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?black-booty-movies-com-i0\.blogspot\.com
+https?:\/\/([^\/]*\.)?black-butt-fat-gay-man\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?black-chick-fuck\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?black-chubby-ebony\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?black-clip-free-full-porn-blog4t5\.blogspot\.com
+https?:\/\/([^\/]*\.)?black-cock-facial\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?black-cock-monster\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?black-cock-white-cunt\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?black-cum-shot-movie\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?black-domination-female-free-picture-teen\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?black-ebony-free-spreading-tgp\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?black-fat-boob\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?black-free-gay-man-movie\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?black-gay-club\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?black-gay-sex-site\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?black-gay-teen-sex\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?black-hoe-hardcore-sex-gallery\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?black-hoe-that-suck-dick\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?black-jack-4u\.net
+https?:\/\/([^\/]*\.)?black-jack-black\.blogspot\.com
+https?:\/\/([^\/]*\.)?black-jacks\.us
+https?:\/\/([^\/]*\.)?black-jeweler\.org
+https?:\/\/([^\/]*\.)?black-leg-open-wide\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?black-lesbian-story\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?black-man-fucking-white-sluts\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?black-monster-boob\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?black-monster-cock-mpeg\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?black-on-blonde-sex-picture\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?black-porn-clip-news8bn\.blogspot\.com
+https?:\/\/([^\/]*\.)?black-white-boob\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?black-wide-leg-pants\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?black-woman-sucking-dick\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?blackmisheru\.50webs\.org
+https?:\/\/([^\/]*\.)?blade\.host-page\.com
+https?:\/\/([^\/]*\.)?blade1\.host-page\.com
+https?:\/\/([^\/]*\.)?blade10\.host-page\.com
+https?:\/\/([^\/]*\.)?blade2\.host-page\.com
+https?:\/\/([^\/]*\.)?blade4\.host-page\.com
+https?:\/\/([^\/]*\.)?blade5\.host-page\.com
+https?:\/\/([^\/]*\.)?blade6\.host-page\.com
+https?:\/\/([^\/]*\.)?blade7\.host-page\.com
+https?:\/\/([^\/]*\.)?blade8\.host-page\.com
+https?:\/\/([^\/]*\.)?blade9\.host-page\.com
+https?:\/\/([^\/]*\.)?blagoslovenie\.rovno\.ua
+https?:\/\/([^\/]*\.)?blanca-tf50a\.blogspot\.com
+https?:\/\/([^\/]*\.)?blapt\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?blathe\.com
+https?:\/\/([^\/]*\.)?blazer-wwn\.blogspot\.com
+https?:\/\/([^\/]*\.)?blbdg\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?blboys-com-wsq27\.blogspot\.com
+https?:\/\/([^\/]*\.)?blbthxw\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?blendermedia\.com
+https?:\/\/([^\/]*\.)?blevitra\.ovp\.pl
+https?:\/\/([^\/]*\.)?blmurphy\.dl\.pl
+https?:\/\/([^\/]*\.)?blog-broken-leg\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?blog\.chinaz\.com
+https?:\/\/([^\/]*\.)?blog\.donews\.com
+https?:\/\/([^\/]*\.)?blog\.welover\.org
+https?:\/\/([^\/]*\.)?blog\.yam\.com
+https?:\/\/([^\/]*\.)?blog67\.fc2\.com
+https?:\/\/([^\/]*\.)?blond\.12gbfree\.com
+https?:\/\/([^\/]*\.)?blonde-bald-pussy\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?blonde-blow-job-mpeg\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?blonde-blow-job-tgp\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?blonde-boob-xxx\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?blonde-color-hair-platinum\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?blonde-cunt-hair\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?blonde-dumb-not\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?blonde-firework-joke\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?blonde-lesbian-picture\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?blonde-long-mature\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?blonde-model-twin\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?blonde-model-wallpaper\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?blonde-teen-bitch\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?blonde-who-fuck\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?blonde-with-pink-dildo\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?blonde-xxx-trailer\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?blondie-g7hbdel\.blogspot\.com
+https?:\/\/([^\/]*\.)?blondy-zipper\.iespana\.es
+https?:\/\/([^\/]*\.)?blood-clots-cramp-leg\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?blotrer\.com
+https?:\/\/([^\/]*\.)?blow-deep-free-job-throat\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?blow-free-gallery-in-job-place-public\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?blow-gagging-job-pissing-sex\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?blow-job-movie-monster\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?blow-job-video-h-g-b\.blogspot\.com
+https?:\/\/([^\/]*\.)?blowy\.info
+https?:\/\/([^\/]*\.)?blskill\.dl\.pl
+https?:\/\/([^\/]*\.)?blue-phentermine\.coz\.in
+https?:\/\/([^\/]*\.)?bluebird-ki1h06\.blogspot\.com
+https?:\/\/([^\/]*\.)?bluethumbs-com-wn7zce4\.blogspot\.com
+https?:\/\/([^\/]*\.)?blurs\.info
+https?:\/\/([^\/]*\.)?blurzed\.com
+https?:\/\/([^\/]*\.)?bmoer\.szm\.sk
+https?:\/\/([^\/]*\.)?bmoon-tech\.com
+https?:\/\/([^\/]*\.)?bmzz6\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?bnhaq\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?bnme5\.szm\.sk
+https?:\/\/([^\/]*\.)?bnsal\.szm\.sk
+https?:\/\/([^\/]*\.)?bo-wheeling\.blogspot\.com
+https?:\/\/([^\/]*\.)?boatf\.hostpresso\.com
+https?:\/\/([^\/]*\.)?bob-mh5rq0\.blogspot\.com
+https?:\/\/([^\/]*\.)?bobcat-asfz\.blogspot\.com
+https?:\/\/([^\/]*\.)?bobcat-qete\.blogspot\.com
+https?:\/\/([^\/]*\.)?boboczel\.fr-bb\.com
+https?:\/\/([^\/]*\.)?boboer\.heavenforum\.com
+https?:\/\/([^\/]*\.)?bocac\.blogcu\.com
+https?:\/\/([^\/]*\.)?bocalolo\.bbgraf\.com
+https?:\/\/([^\/]*\.)?bocbasdom\.su\.pl
+https?:\/\/([^\/]*\.)?bocbasget\.bbfr\.net
+https?:\/\/([^\/]*\.)?bocchiolo\.zj\.pl
+https?:\/\/([^\/]*\.)?bocerleto\.winnerforum\.net
+https?:\/\/([^\/]*\.)?bocletoget\.cultureforum\.net
+https?:\/\/([^\/]*\.)?bocmonel\.lolforum\.net
+https?:\/\/([^\/]*\.)?boctrocleto\.xa\.pl
+https?:\/\/([^\/]*\.)?bodelel\.goodbb\.net
+https?:\/\/([^\/]*\.)?bodsforthemods-com-txb\.blogspot\.com
+https?:\/\/([^\/]*\.)?body-jewellery-piercing-4u\.com
+https?:\/\/([^\/]*\.)?bodybuilding\.ncedly\.be
+https?:\/\/([^\/]*\.)?bof-xxxvogue-net\.blogspot\.com
+https?:\/\/([^\/]*\.)?bofuno-free-amateur-video\.blogspot\.com
+https?:\/\/([^\/]*\.)?bogetric\.td\.pl
+https?:\/\/([^\/]*\.)?bolds\.info
+https?:\/\/([^\/]*\.)?bolgatalt\.naturalforum\.net
+https?:\/\/([^\/]*\.)?bolle-xeno\.keckins\.be
+https?:\/\/([^\/]*\.)?bologjack\.8tt\.org
+https?:\/\/([^\/]*\.)?bomb9\.szm\.sk
+https?:\/\/([^\/]*\.)?bon-rassi\.com
+https?:\/\/([^\/]*\.)?bondage\.coz\.in
+https?:\/\/([^\/]*\.)?bondagepaper-com-hzt1gq2iw\.blogspot\.com
+https?:\/\/([^\/]*\.)?bondich\.blogspot\.com
+https?:\/\/([^\/]*\.)?boneme-wn\.blogspot\.com
+https?:\/\/([^\/]*\.)?boneprone-com-g0h\.blogspot\.com
+https?:\/\/([^\/]*\.)?boner-dujo\.blogspot\.com
+https?:\/\/([^\/]*\.)?bong-cx0\.blogspot\.com
+https?:\/\/([^\/]*\.)?bong-tedo\.blogspot\.com
+https?:\/\/([^\/]*\.)?bonjour-toxi\.blogspot\.com
+https?:\/\/([^\/]*\.)?bonnie-pequ\.blogspot\.com
+https?:\/\/([^\/]*\.)?bonnie-t00pf3j6\.blogspot\.com
+https?:\/\/([^\/]*\.)?bonota\.blogcu\.com
+https?:\/\/([^\/]*\.)?bonozel\.dl\.pl
+https?:\/\/([^\/]*\.)?bontril\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?bontril\.1k\.pl
+https?:\/\/([^\/]*\.)?boob-dome-com-movie\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?boob-free-large-natural-teen\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?boob-little-monster-vicki\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?boob-little-monster-vicki\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?boob-movie-piqe\.blogspot\.com
+https?:\/\/([^\/]*\.)?boob-myspace-com-naked-site\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?boob-nude-pic-small\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?boob-video-bb-bb-h\.blogspot\.com
+https?:\/\/([^\/]*\.)?booble-com-orahxf\.blogspot\.com
+https?:\/\/([^\/]*\.)?booble-com-ouq\.blogspot\.com
+https?:\/\/([^\/]*\.)?booger-sure\.blogspot\.com
+https?:\/\/([^\/]*\.)?boogie-sexu\.blogspot\.com
+https?:\/\/([^\/]*\.)?boogie-wy64qty4x\.blogspot\.com
+https?:\/\/([^\/]*\.)?bookcq\.com
+https?:\/\/([^\/]*\.)?bookit-liwe\.blogspot\.com
+https?:\/\/([^\/]*\.)?boole\.cs\.iastate\.edu
+https?:\/\/([^\/]*\.)?boomer-hodo\.blogspot\.com
+https?:\/\/([^\/]*\.)?booster-leto\.blogspot\.com
+https?:\/\/([^\/]*\.)?boot-by-jessica-lyric-made-simpson-walking\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?boot-camp-fitness-georgia-summer\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?boot-camp-for-out-of-control-teen\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?boot-cranston-shoes\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?boot-davidson-harley-riding\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?boot-disk-file\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?boot-dress-womens\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?boot-i-in-like-man-rubber\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?boot-in-lancashire-maker-shoes\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?boot-made-these-video-walkin-watch\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?boot-made-these-walking-who-wrote\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?boot-outlet-timberland\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?boot-riding-supplier\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?boot-these-walking\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?bootsie-bihe\.blogspot\.com
+https?:\/\/([^\/]*\.)?bootsie-ku10yu\.blogspot\.com
+https?:\/\/([^\/]*\.)?booty-ebony-gallery\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?booty-ebony-info-remember-video\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?bootycollection-com-cf36tiy45\.blogspot\.com
+https?:\/\/([^\/]*\.)?bootycollection-com-rekiz\.blogspot\.com
+https?:\/\/([^\/]*\.)?boracboc\.su\.pl
+https?:\/\/([^\/]*\.)?borelco\.dl\.pl
+https?:\/\/([^\/]*\.)?borgshare\.org
+https?:\/\/([^\/]*\.)?borjone\.com
+https?:\/\/([^\/]*\.)?borncompany\.com
+https?:\/\/([^\/]*\.)?boryc\.com
+https?:\/\/([^\/]*\.)?boss-bitch-2\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?boss-coerced-fucking-into-wife\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?boss-suno\.blogspot\.com
+https?:\/\/([^\/]*\.)?boston-hardcore-site-myspace-com\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?boston-heqe\.blogspot\.com
+https?:\/\/([^\/]*\.)?bostvndaoe\.blogspot\.com
+https?:\/\/([^\/]*\.)?botaer\.dl\.pl
+https?:\/\/([^\/]*\.)?botast\.com
+https?:\/\/([^\/]*\.)?botrdar\.goodforum\.net
+https?:\/\/([^\/]*\.)?botrdar\.grafbb\.com
+https?:\/\/([^\/]*\.)?boulder-facial-plastic-surgery\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?bov-smut-house-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?bovaral\.naturalforum\.net
+https?:\/\/([^\/]*\.)?bowse\.info
+https?:\/\/([^\/]*\.)?bowtrol\.ventilacia\.yi\.org
+https?:\/\/([^\/]*\.)?bowtrolcoloncleanse\.vlocka\.yi\.org
+https?:\/\/([^\/]*\.)?boy-boy-gay-young\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?boysfirsttime-com-ele2y\.blogspot\.com
+https?:\/\/([^\/]*\.)?bphentermine\.c24\.pl
+https?:\/\/([^\/]*\.)?bphentermine\.ovp\.pl
+https?:\/\/([^\/]*\.)?bqtcfu7\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?braburka\.ifrance\.com
+https?:\/\/([^\/]*\.)?bradley-fitu\.blogspot\.com
+https?:\/\/([^\/]*\.)?brahma-steel-toe-boot\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?brandi-a5tds\.blogspot\.com
+https?:\/\/([^\/]*\.)?brandi-vuwi\.blogspot\.com
+https?:\/\/([^\/]*\.)?brandibelle-com-mzqj8l\.blogspot\.com
+https?:\/\/([^\/]*\.)?brandibelle-com-ti\.blogspot\.com
+https?:\/\/([^\/]*\.)?brandon-duso\.blogspot\.com
+https?:\/\/([^\/]*\.)?brandy-cnz56l\.blogspot\.com
+https?:\/\/([^\/]*\.)?brandy-dobu\.blogspot\.com
+https?:\/\/([^\/]*\.)?brasil-pire\.blogspot\.com
+https?:\/\/([^\/]*\.)?brat-nikodim\.blogspot\.com
+https?:\/\/([^\/]*\.)?braves-t7\.blogspot\.com
+https?:\/\/([^\/]*\.)?braves-tuku\.blogspot\.com
+https?:\/\/([^\/]*\.)?bravogirls-com-a7nbqd\.blogspot\.com
+https?:\/\/([^\/]*\.)?bravogirls-com-w12\.blogspot\.com
+https?:\/\/([^\/]*\.)?bravoteens-com-d0v\.blogspot\.com
+https?:\/\/([^\/]*\.)?bravovids-com-rouqs0py\.blogspot\.com
+https?:\/\/([^\/]*\.)?bravovids-r55rxyszt0\.blogspot\.com
+https?:\/\/([^\/]*\.)?brazil-butt-thong\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?brazil-gevo\.blogspot\.com
+https?:\/\/([^\/]*\.)?brazilian-butt-lift-plastic-surgery\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?brb\.pl
+https?:\/\/([^\/]*\.)?breana-foki\.blogspot\.com
+https?:\/\/([^\/]*\.)?breana-wvqscvo5u\.blogspot\.com
+https?:\/\/([^\/]*\.)?breanna-kiwu\.blogspot\.com
+https?:\/\/([^\/]*\.)?breanne-leri\.blogspot\.com
+https?:\/\/([^\/]*\.)?breeanna-quhu\.blogspot\.com
+https?:\/\/([^\/]*\.)?breiana-quhe\.blogspot\.com
+https?:\/\/([^\/]*\.)?brenda-i5z8n4zkb7\.blogspot\.com
+https?:\/\/([^\/]*\.)?brenda-rezo\.blogspot\.com
+https?:\/\/([^\/]*\.)?brenna-xivo\.blogspot\.com
+https?:\/\/([^\/]*\.)?brewster-opqnec8v\.blogspot\.com
+https?:\/\/([^\/]*\.)?brfq6\.szm\.sk
+https?:\/\/([^\/]*\.)?brian-bchd\.blogspot\.com
+https?:\/\/([^\/]*\.)?brianna-dc04k04ivu\.blogspot\.com
+https?:\/\/([^\/]*\.)?brianne-ejv40\.blogspot\.com
+https?:\/\/([^\/]*\.)?brick55\.5gbfree\.com
+https?:\/\/([^\/]*\.)?brickes\.8888mb\.com
+https?:\/\/([^\/]*\.)?bricktilemachine\.com\.cn
+https?:\/\/([^\/]*\.)?bridgette-rjc3pbx6\.blogspot\.com
+https?:\/\/([^\/]*\.)?brightflashes\.blogspot\.com
+https?:\/\/([^\/]*\.)?brionna-hl530r3fe\.blogspot\.com
+https?:\/\/([^\/]*\.)?brisbeck\.com
+https?:\/\/([^\/]*\.)?britney-spear-sexy-ass\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?britney-spears-0\.blogspot\.com
+https?:\/\/([^\/]*\.)?britney-spears-sex-video-t-j-g\.blogspot\.com
+https?:\/\/([^\/]*\.)?brittany-i7ekj3hll\.blogspot\.com
+https?:\/\/([^\/]*\.)?broadcaster-crazy-popular\.freehostss\.info
+https?:\/\/([^\/]*\.)?broadway-ow\.blogspot\.com
+https?:\/\/([^\/]*\.)?brokenbond\.blogspot\.com
+https?:\/\/([^\/]*\.)?bronc\.info
+https?:\/\/([^\/]*\.)?brooke-bejuzava\.blogspot\.com
+https?:\/\/([^\/]*\.)?brooklynn-du\.blogspot\.com
+https?:\/\/([^\/]*\.)?brooks-rare\.lkerfocu\.be
+https?:\/\/([^\/]*\.)?brplank\.ifrance\.com
+https?:\/\/([^\/]*\.)?brrddd\.org
+https?:\/\/([^\/]*\.)?bruce-egqva1m\.blogspot\.com
+https?:\/\/([^\/]*\.)?brunettesexwrl\.blogspot\.com
+https?:\/\/([^\/]*\.)?brush\.hostaim\.com
+https?:\/\/([^\/]*\.)?brutlag\.stanford\.edu
+https?:\/\/([^\/]*\.)?bryan-rdu\.blogspot\.com
+https?:\/\/([^\/]*\.)?bs21sa\.com
+https?:\/\/([^\/]*\.)?bsd-hd\.blogspot\.com
+https?:\/\/([^\/]*\.)?bsoma\.c24\.pl
+https?:\/\/([^\/]*\.)?bsoma\.ovp\.pl
+https?:\/\/([^\/]*\.)?bsri3\.szm\.sk
+https?:\/\/([^\/]*\.)?btipu\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?btix\.combasketball-tickets
+https?:\/\/([^\/]*\.)?btqvb\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?btramadol\.c24\.pl
+https?:\/\/([^\/]*\.)?bubba1-i2pblfp2m\.blogspot\.com
+https?:\/\/([^\/]*\.)?bublifuk\.php5\.cz
+https?:\/\/([^\/]*\.)?bucina\.asp2\.cz
+https?:\/\/([^\/]*\.)?buck-o1i5bt\.blogspot\.com
+https?:\/\/([^\/]*\.)?buddy-bqam83yt\.blogspot\.com
+https?:\/\/([^\/]*\.)?budget7i\.info
+https?:\/\/([^\/]*\.)?buff-com-es-gay-porn-site-l-s-g\.blogspot\.com
+https?:\/\/([^\/]*\.)?bug\.phil\.cmu\.edu
+https?:\/\/([^\/]*\.)?buildercareer\.us
+https?:\/\/([^\/]*\.)?bukicon-free-bisexual-s-b\.blogspot\.com
+https?:\/\/([^\/]*\.)?bukkake-cum-facial-shot\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?bulldog-dc86aq4l\.blogspot\.com
+https?:\/\/([^\/]*\.)?bumast\.com
+https?:\/\/([^\/]*\.)?bumins\.com
+https?:\/\/([^\/]*\.)?bunnyteens-com-clpxyq6of\.blogspot\.com
+https?:\/\/([^\/]*\.)?bunnyteens-com-gz7\.blogspot\.com
+https?:\/\/([^\/]*\.)?bupropion-hcl\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?buruan\.dl\.pl
+https?:\/\/([^\/]*\.)?business-brokers\.lkerfocu\.be
+https?:\/\/([^\/]*\.)?business-school\.hotmail\.ru
+https?:\/\/([^\/]*\.)?business-travel\.globaltr\.info
+https?:\/\/([^\/]*\.)?business1degree\.chat\.ru
+https?:\/\/([^\/]*\.)?business2school\.chat\.ru
+https?:\/\/([^\/]*\.)?businessitaly\.info
+https?:\/\/([^\/]*\.)?businesx\.atspace\.com
+https?:\/\/([^\/]*\.)?buspar\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?buspirone\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?busstopwhores-com-c0f\.blogspot\.com
+https?:\/\/([^\/]*\.)?busstopwhores-com-ki7\.blogspot\.com
+https?:\/\/([^\/]*\.)?busty-blonde-bomb-shell\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?busty-blonde-fuck\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?busty-escort-porn\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?busty-horny-milf\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?busty-little-girl\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?busty-mature-red-head\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?busty-sex-star\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?busty-super-girl\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?busty-thick-ebony\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?bustyadventures-com-oh518h8l\.blogspot\.com
+https?:\/\/([^\/]*\.)?bustyisland-com-au\.blogspot\.com
+https?:\/\/([^\/]*\.)?bustyisland-mdjgr6khy\.blogspot\.com
+https?:\/\/([^\/]*\.)?bustypassion-com-bpe84\.blogspot\.com
+https?:\/\/([^\/]*\.)?butalbital-apap\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?butalbital\.ru\.tf
+https?:\/\/([^\/]*\.)?butler-h3bpdq\.blogspot\.com
+https?:\/\/([^\/]*\.)?butseriouslyimprov\.com
+https?:\/\/([^\/]*\.)?butt-community-type-white\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?butt-face-fat-her-kong-love-picture-queen-sit\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?butt-fucking-teen\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?butt-girl-rate\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?buttons-iveta\.blogspot\.com
+https?:\/\/([^\/]*\.)?buwelch\.dl\.pl
+https?:\/\/([^\/]*\.)?buwu-admin\.blogspot\.com
+https?:\/\/([^\/]*\.)?buy-ambien-online\.presteert\.nl
+https?:\/\/([^\/]*\.)?buy-ambien\.esguay\.com
+https?:\/\/([^\/]*\.)?buy-ativan\.fil\.ph
+https?:\/\/([^\/]*\.)?buy-bontril\.contact\.cc
+https?:\/\/([^\/]*\.)?buy-carisoprodol\.presteert\.nl
+https?:\/\/([^\/]*\.)?buy-celebrex\.bigsitecity\.com
+https?:\/\/([^\/]*\.)?buy-cialis-online\.bigsitecity\.com
+https?:\/\/([^\/]*\.)?buy-cialis\.1k\.pl
+https?:\/\/([^\/]*\.)?buy-cialis\.esguay\.com
+https?:\/\/([^\/]*\.)?buy-cialis\.presteert\.nl
+https?:\/\/([^\/]*\.)?buy-cialis20\.bravehost\.com
+https?:\/\/([^\/]*\.)?buy-cialishhb\.blogspot\.com
+https?:\/\/([^\/]*\.)?buy-cialisklx\.blogspot\.com
+https?:\/\/([^\/]*\.)?buy-hydrocodone-gs\.eu\.tc
+https?:\/\/([^\/]*\.)?buy-hydrocodone-gs\.net\.tc
+https?:\/\/([^\/]*\.)?buy-hydrocodone\.esguay\.com
+https?:\/\/([^\/]*\.)?buy-hydrocodone\.presteert\.nl
+https?:\/\/([^\/]*\.)?buy-levitra\.1k\.pl
+https?:\/\/([^\/]*\.)?buy-levitra\.bravehost\.com
+https?:\/\/([^\/]*\.)?buy-lipitor\.contact\.cc
+https?:\/\/([^\/]*\.)?buy-lortab-911\.jo\.pl
+https?:\/\/([^\/]*\.)?buy-online-tramadol\.1\.forogratis\.es
+https?:\/\/([^\/]*\.)?buy-pharmacy\.blogspot\.com
+https?:\/\/([^\/]*\.)?buy-phentermine-gs\.eu\.tc
+https?:\/\/([^\/]*\.)?buy-phentermine-gs\.net\.tc
+https?:\/\/([^\/]*\.)?buy-phentermine\.esguay\.com
+https?:\/\/([^\/]*\.)?buy-phentermine\.health-livening\.com
+https?:\/\/([^\/]*\.)?buy-phentermine\.hem\.nu
+https?:\/\/([^\/]*\.)?buy-phentermine\.presteert\.nl
+https?:\/\/([^\/]*\.)?buy-phentermine20\.bravehost\.com
+https?:\/\/([^\/]*\.)?buy-prozac-online\.com
+https?:\/\/([^\/]*\.)?buy-soma\.bravehost\.com
+https?:\/\/([^\/]*\.)?buy-tennis-shoes\.info
+https?:\/\/([^\/]*\.)?buy-tramadol-gs\.eu\.tc
+https?:\/\/([^\/]*\.)?buy-tramadol-gs\.net\.tc
+https?:\/\/([^\/]*\.)?buy-tramadol-online911\.jo\.pl
+https?:\/\/([^\/]*\.)?buy-tramadol\.1\.forogratis\.es
+https?:\/\/([^\/]*\.)?buy-tramadol\.esguay\.com
+https?:\/\/([^\/]*\.)?buy-tramadol\.presteert\.nl
+https?:\/\/([^\/]*\.)?buy-tramadol20\.bravehost\.com
+https?:\/\/([^\/]*\.)?buy-ultram\.bravehost\.com
+https?:\/\/([^\/]*\.)?buy-valium\.bravehost\.com
+https?:\/\/([^\/]*\.)?buy-viagra-online\.health-livening\.com
+https?:\/\/([^\/]*\.)?buy-viagra\.esguay\.com
+https?:\/\/([^\/]*\.)?buy-viagra\.health-livening\.com
+https?:\/\/([^\/]*\.)?buy-viagra\.presteert\.nl
+https?:\/\/([^\/]*\.)?buy-viagra20\.bravehost\.com
+https?:\/\/([^\/]*\.)?buy-xanax20\.bravehost\.com
+https?:\/\/([^\/]*\.)?buy4levitra\.blogspot\.com
+https?:\/\/([^\/]*\.)?buy4viagra\.blogspot\.com
+https?:\/\/([^\/]*\.)?buyambien\.blog\.hr
+https?:\/\/([^\/]*\.)?buyambien\.guu\.pl
+https?:\/\/([^\/]*\.)?buybuspar\.4\.pl
+https?:\/\/([^\/]*\.)?buycialisonline\.forumlivre\.com
+https?:\/\/([^\/]*\.)?buycialisonline\.sprayblog\.se
+https?:\/\/([^\/]*\.)?buycialisonline\.sulekha\.com
+https?:\/\/([^\/]*\.)?buycialispills00\.blogspot\.com
+https?:\/\/([^\/]*\.)?buycialiss\.blogsome\.com
+https?:\/\/([^\/]*\.)?buycialiss\.ovp\.pl
+https?:\/\/([^\/]*\.)?buycipro\.4\.pl
+https?:\/\/([^\/]*\.)?buyeffexor\.4\.pl
+https?:\/\/([^\/]*\.)?buyhydrocodone\.blog\.hr
+https?:\/\/([^\/]*\.)?buylevitra\.blog\.hr
+https?:\/\/([^\/]*\.)?buylevitra\.forospace\.com
+https?:\/\/([^\/]*\.)?buylevitraonlin\.forumlivre\.com
+https?:\/\/([^\/]*\.)?buylevitraonline\.sprayblog\.se
+https?:\/\/([^\/]*\.)?buymeridia\.blog\.hr
+https?:\/\/([^\/]*\.)?buyonlineorder\.com
+https?:\/\/([^\/]*\.)?buypaxil\.blog\.hr
+https?:\/\/([^\/]*\.)?buyphentermine2\.livelog\.com
+https?:\/\/([^\/]*\.)?buyphenterminee\.ovp\.pl
+https?:\/\/([^\/]*\.)?buyphenterminez\.blog\.hr
+https?:\/\/([^\/]*\.)?buypropecia\.blog\.hr
+https?:\/\/([^\/]*\.)?buyprozac\.blog\.hr
+https?:\/\/([^\/]*\.)?buyrosebowltickets\.com
+https?:\/\/([^\/]*\.)?buysoma\.1majorhost\.com
+https?:\/\/([^\/]*\.)?buysoma\.cay\.pl
+https?:\/\/([^\/]*\.)?buysomaaonline\.ovp\.pl
+https?:\/\/([^\/]*\.)?buysomaonline\.forospace\.com
+https?:\/\/([^\/]*\.)?buysomaonlinez\.blogsome\.com
+https?:\/\/([^\/]*\.)?buytramadolonli\.forumlivre\.com
+https?:\/\/([^\/]*\.)?buytramadolonline\.sprayblog\.se
+https?:\/\/([^\/]*\.)?buytramadolz\.jubiiblog\.de
+https?:\/\/([^\/]*\.)?buytrazodone\.eamped\.com
+https?:\/\/([^\/]*\.)?buyultram\.forospace\.com
+https?:\/\/([^\/]*\.)?buyultram\.ir\.pl
+https?:\/\/([^\/]*\.)?buyultramonline\.ir\.pl
+https?:\/\/([^\/]*\.)?buyultramxp\.cay\.pl
+https?:\/\/([^\/]*\.)?buyvalium\.one2you\.info
+https?:\/\/([^\/]*\.)?buyvaliumonline\.forumlivre\.com
+https?:\/\/([^\/]*\.)?buyvaliumonline\.jubiiblog\.de
+https?:\/\/([^\/]*\.)?buyvaliumonline\.sprayblog\.se
+https?:\/\/([^\/]*\.)?buyviagra\.seesaa\.net
+https?:\/\/([^\/]*\.)?buyviagraa\.ovp\.pl
+https?:\/\/([^\/]*\.)?buyviagraonline\.ovp\.pl
+https?:\/\/([^\/]*\.)?buyviagraonline\.sprayblog\.se
+https?:\/\/([^\/]*\.)?buyviagraxp\.cay\.pl
+https?:\/\/([^\/]*\.)?buyvicodinxp\.cay\.pl
+https?:\/\/([^\/]*\.)?buyvvalium\.ovp\.pl
+https?:\/\/([^\/]*\.)?buyxanax\.5u\.com
+https?:\/\/([^\/]*\.)?buyxanaxxonline\.ovp\.pl
+https?:\/\/([^\/]*\.)?buyxanaxxp\.cay\.pl
+https?:\/\/([^\/]*\.)?buyxenical\.blog\.hr
+https?:\/\/([^\/]*\.)?buyxenical\.ir\.pl
+https?:\/\/([^\/]*\.)?buyxenicalonline\.ir\.pl
+https?:\/\/([^\/]*\.)?buyxxenical\.ovp\.pl
+https?:\/\/([^\/]*\.)?buyxxenicalonline\.ovp\.pl
+https?:\/\/([^\/]*\.)?buyycelexa\.ovp\.pl
+https?:\/\/([^\/]*\.)?buyycialis\.ovp\.pl
+https?:\/\/([^\/]*\.)?buyymeridiaonline\.ovp\.pl
+https?:\/\/([^\/]*\.)?buyyphentermine\.ovp\.pl
+https?:\/\/([^\/]*\.)?buyysoma\.ovp\.pl
+https?:\/\/([^\/]*\.)?buyyviagra\.ovp\.pl
+https?:\/\/([^\/]*\.)?buyyzithromax\.ovp\.pl
+https?:\/\/([^\/]*\.)?buyzoloft\.blog\.hr
+https?:\/\/([^\/]*\.)?buyzyban\.coz\.in
+https?:\/\/([^\/]*\.)?buzerave\.com
+https?:\/\/([^\/]*\.)?bvalium\.c24\.pl
+https?:\/\/([^\/]*\.)?bvalium\.fuks\.pl
+https?:\/\/([^\/]*\.)?bvalium1\.forumup\.org
+https?:\/\/([^\/]*\.)?bviagra\.ovp\.pl
+https?:\/\/([^\/]*\.)?bviagra1\.forumup\.org
+https?:\/\/([^\/]*\.)?bvse1\.szm\.sk
+https?:\/\/([^\/]*\.)?bwmi0\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?bwzf6\.szm\.sk
+https?:\/\/([^\/]*\.)?bx6\.blrf\.net
+https?:\/\/([^\/]*\.)?bxanax\.c24\.pl
+https?:\/\/([^\/]*\.)?bxanax\.gog\.pl
+https?:\/\/([^\/]*\.)?bxanax1\.forumup\.org
+https?:\/\/([^\/]*\.)?byhydrocodone\.blog\.espresso\.repubblica\.it
+https?:\/\/([^\/]*\.)?bzxs\.org\.ua
+https?:\/\/([^\/]*\.)?c-canada\.com
+https?:\/\/([^\/]*\.)?c4taldron\.uy\.pl
+https?:\/\/([^\/]*\.)?c4tcaget\.blogcu\.com
+https?:\/\/([^\/]*\.)?c4tcoli\.td\.pl
+https?:\/\/([^\/]*\.)?c4tdarrel\.dl\.pl
+https?:\/\/([^\/]*\.)?c4tdronrel\.goodforum\.net
+https?:\/\/([^\/]*\.)?c4tdronrel\.grafbb\.com
+https?:\/\/([^\/]*\.)?c4tvarcna\.dl\.pl
+https?:\/\/([^\/]*\.)?ca57sgr0h\.org
+https?:\/\/([^\/]*\.)?cable-connection\.keckins\.be
+https?:\/\/([^\/]*\.)?caboboc\.blogcu\.com
+https?:\/\/([^\/]*\.)?cacalife\.com
+https?:\/\/([^\/]*\.)?cacas\.info
+https?:\/\/([^\/]*\.)?caculall\.forumzen\.com
+https?:\/\/([^\/]*\.)?cadiucna\.forumzen\.com
+https?:\/\/([^\/]*\.)?caeserch\.com
+https?:\/\/([^\/]*\.)?cafasc\.com
+https?:\/\/([^\/]*\.)?caguyea\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?cahn\.mnsu\.edu
+https?:\/\/([^\/]*\.)?caitlyn-d66tmgwi1\.blogspot\.com
+https?:\/\/([^\/]*\.)?caked\.info
+https?:\/\/([^\/]*\.)?calciatore-nudo\.hostzz\.info
+https?:\/\/([^\/]*\.)?calcio-arbitri\.com
+https?:\/\/([^\/]*\.)?calcio-watch\.com
+https?:\/\/([^\/]*\.)?calcolo-rata-finanziamento\.ll33\.info
+https?:\/\/([^\/]*\.)?calendario-vari\.freespase\.info
+https?:\/\/([^\/]*\.)?calgary-travel\.globaltr\.info
+https?:\/\/([^\/]*\.)?californiamortgage-x\.com
+https?:\/\/([^\/]*\.)?call-kelly-com-ky\.blogspot\.com
+https?:\/\/([^\/]*\.)?call-kelly-com-tnm5k7042\.blogspot\.com
+https?:\/\/([^\/]*\.)?callie-es\.blogspot\.com
+https?:\/\/([^\/]*\.)?callsecurity\.blogspot\.com
+https?:\/\/([^\/]*\.)?camaro-r21nu37q\.blogspot\.com
+https?:\/\/([^\/]*\.)?camcrush-com-eudifxp82\.blogspot\.com
+https?:\/\/([^\/]*\.)?camcrush-com-igzig\.blogspot\.com
+https?:\/\/([^\/]*\.)?camcrush-com-my1v8l4\.blogspot\.com
+https?:\/\/([^\/]*\.)?camel-cigarettes\.s5\.com
+https?:\/\/([^\/]*\.)?camelclips-com-budun\.blogspot\.com
+https?:\/\/([^\/]*\.)?camelclips-com-d3s6o2\.blogspot\.com
+https?:\/\/([^\/]*\.)?camelclips-com-h6a5l\.blogspot\.com
+https?:\/\/([^\/]*\.)?camelmenthe\.blogspot\.com
+https?:\/\/([^\/]*\.)?cameralover\.net
+https?:\/\/([^\/]*\.)?camerascams\.info
+https?:\/\/([^\/]*\.)?cameron-h184o7b\.blogspot\.com
+https?:\/\/([^\/]*\.)?camini\.freehostss\.info
+https?:\/\/([^\/]*\.)?canada-birthday\.acb\.pl
+https?:\/\/([^\/]*\.)?canadian-pharmacyfur\.blogspot\.com
+https?:\/\/([^\/]*\.)?canadian-pharmacyyof\.blogspot\.com
+https?:\/\/([^\/]*\.)?cancer-skin\.nm\.ru
+https?:\/\/([^\/]*\.)?cancer-skin\.pochta\.ru
+https?:\/\/([^\/]*\.)?cancer_skin\.chat\.ru
+https?:\/\/([^\/]*\.)?candi-door-hot-mom-next\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?candylist-com-dib\.blogspot\.com
+https?:\/\/([^\/]*\.)?candylist-com-ip72g5\.blogspot\.com
+https?:\/\/([^\/]*\.)?canjipiao\.com
+https?:\/\/([^\/]*\.)?cantante-arabe-sexy\.hostzz\.info
+https?:\/\/([^\/]*\.)?cantor-dz1h733\.blogspot\.com
+https?:\/\/([^\/]*\.)?caorzel\.discutforum\.com
+https?:\/\/([^\/]*\.)?capasdar\.td\.pl
+https?:\/\/([^\/]*\.)?car-free-insurance-quoteqch\.blogspot\.com
+https?:\/\/([^\/]*\.)?car-insurance-\.blogspot\.com
+https?:\/\/([^\/]*\.)?car-insurance-club\.com
+https?:\/\/([^\/]*\.)?car-insurance-p4zw\.blogspot\.com
+https?:\/\/([^\/]*\.)?car-insurance-ratsvex\.blogspot\.com
+https?:\/\/([^\/]*\.)?car-loan-newdma\.blogspot\.com
+https?:\/\/([^\/]*\.)?car-loan-neweei\.blogspot\.com
+https?:\/\/([^\/]*\.)?car1home\.info
+https?:\/\/([^\/]*\.)?carabidule\.net
+https?:\/\/([^\/]*\.)?carbest2006\.info
+https?:\/\/([^\/]*\.)?cardura-rapid-heartbeat\.tlg\.pl
+https?:\/\/([^\/]*\.)?care-skin\.pochta\.ru
+https?:\/\/([^\/]*\.)?career-business\.net
+https?:\/\/([^\/]*\.)?carepharmasite\.info
+https?:\/\/([^\/]*\.)?caribxpressparts\.com
+https?:\/\/([^\/]*\.)?caricc4t\.xa\.pl
+https?:\/\/([^\/]*\.)?carinsurance-x\.com
+https?:\/\/([^\/]*\.)?carinsuranceshh\.blogspot\.com
+https?:\/\/([^\/]*\.)?carisoprodol-online\.presteert\.nl
+https?:\/\/([^\/]*\.)?carisoprodol\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?carisoprodol\.269g\.net
+https?:\/\/([^\/]*\.)?carisoprodol\.47\.pl
+https?:\/\/([^\/]*\.)?carisoprodol\.goodpharm\.info
+https?:\/\/([^\/]*\.)?carisoprodol\.hav\.pl
+https?:\/\/([^\/]*\.)?carisoprodol\.presteert\.nl
+https?:\/\/([^\/]*\.)?carisoprodol\.seesaa\.net
+https?:\/\/([^\/]*\.)?carisoprodol\.skocz\.net
+https?:\/\/([^\/]*\.)?carisoprodolrx\.weboficial\.com
+https?:\/\/([^\/]*\.)?carisoprodols\.blogspot\.com
+https?:\/\/([^\/]*\.)?carley-m3ia3\.blogspot\.com
+https?:\/\/([^\/]*\.)?carlie-a2s405\.blogspot\.com
+https?:\/\/([^\/]*\.)?carol-txxhdpnt\.blogspot\.com
+https?:\/\/([^\/]*\.)?carolina-w2aoe4xx\.blogspot\.com
+https?:\/\/([^\/]*\.)?carolyn-gp2s5\.blogspot\.com
+https?:\/\/([^\/]*\.)?carovi\.su\.pl
+https?:\/\/([^\/]*\.)?carson-ko8z7\.blogspot\.com
+https?:\/\/([^\/]*\.)?carspoker\.u288\.com
+https?:\/\/([^\/]*\.)?cartolina-pasqua\.host24h\.info
+https?:\/\/([^\/]*\.)?cartoon-free-gallery-gay\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?cartoon-porn-clip-bloghvn\.blogspot\.com
+https?:\/\/([^\/]*\.)?carxm\.info
+https?:\/\/([^\/]*\.)?casaviva\.19mb\.info
+https?:\/\/([^\/]*\.)?cascq\.szm\.sk
+https?:\/\/([^\/]*\.)?casetvar\.forumzen\.com
+https?:\/\/([^\/]*\.)?cashadvance-x\.com
+https?:\/\/([^\/]*\.)?cashing-view\.com
+https?:\/\/([^\/]*\.)?casing\.dyndns\.dk
+https?:\/\/([^\/]*\.)?casino-555\.com
+https?:\/\/([^\/]*\.)?casino-attraction\.com
+https?:\/\/([^\/]*\.)?casino-games-wiki\.com
+https?:\/\/([^\/]*\.)?casino-magyck\.mutogen\.be
+https?:\/\/([^\/]*\.)?casino-ppp\.com
+https?:\/\/([^\/]*\.)?casino-startup\.com
+https?:\/\/([^\/]*\.)?casino-theory\.com
+https?:\/\/([^\/]*\.)?casino\.requirements\.be
+https?:\/\/([^\/]*\.)?casino1\.forumup\.org
+https?:\/\/([^\/]*\.)?casinos-new\.com
+https?:\/\/([^\/]*\.)?casinostates\.com
+https?:\/\/([^\/]*\.)?casper-a3r1z2a0\.blogspot\.com
+https?:\/\/([^\/]*\.)?cassay\.net
+https?:\/\/([^\/]*\.)?casual-boots\.massioni\.be
+https?:\/\/([^\/]*\.)?catch-c-teens\.blogspot\.com
+https?:\/\/([^\/]*\.)?catherine-tu75h6w\.blogspot\.com
+https?:\/\/([^\/]*\.)?catrelet\.forumzen\.com
+https?:\/\/([^\/]*\.)?cats-wjbreb7e\.blogspot\.com
+https?:\/\/([^\/]*\.)?cayuga-g2legvldk2\.blogspot\.com
+https?:\/\/([^\/]*\.)?cazelmon\.lolforum\.net
+https?:\/\/([^\/]*\.)?cazelolo\.dl\.pl
+https?:\/\/([^\/]*\.)?cbbi\.cn
+https?:\/\/([^\/]*\.)?cbhi8\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?cbkf0\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?cbwgkm8\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?cc5f\.dnyp\.com
+https?:\/\/([^\/]*\.)?ccak1\.szm\.sk
+https?:\/\/([^\/]*\.)?ccal\.cultureforum\.net
+https?:\/\/([^\/]*\.)?ccclfak\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ccheapcelexa\.ovp\.pl
+https?:\/\/([^\/]*\.)?ccheapvaliumonline\.ovp\.pl
+https?:\/\/([^\/]*\.)?ccline\.info
+https?:\/\/([^\/]*\.)?ccnarac\.uy\.pl
+https?:\/\/([^\/]*\.)?ccobhv7\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?cdq\.dzsbcn\.com
+https?:\/\/([^\/]*\.)?cdsmart\.info
+https?:\/\/([^\/]*\.)?cdzhs\.szm\.sk
+https?:\/\/([^\/]*\.)?ceasius\.blogspot\.com
+https?:\/\/([^\/]*\.)?cecily-koj\.blogspot\.com
+https?:\/\/([^\/]*\.)?ceclor\.tlg\.pl
+https?:\/\/([^\/]*\.)?celeb-filipina-movie-site\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?celebrex-911\.coz\.in
+https?:\/\/([^\/]*\.)?celebrex-celecoxib-200mg\.tlg\.pl
+https?:\/\/([^\/]*\.)?celebrex\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?celebrity-sex-video-n-q-x\.blogspot\.com
+https?:\/\/([^\/]*\.)?celebrity-sex-video\.0800-porn\.com
+https?:\/\/([^\/]*\.)?celebrityprofiler\.com
+https?:\/\/([^\/]*\.)?celelt\.dl\.pl
+https?:\/\/([^\/]*\.)?celeste-mi8q701\.blogspot\.com
+https?:\/\/([^\/]*\.)?celexa\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?celexa\.269g\.net
+https?:\/\/([^\/]*\.)?celexafcy\.blogspot\.com
+https?:\/\/([^\/]*\.)?celexaodt\.blogspot\.com
+https?:\/\/([^\/]*\.)?celexavby\.blogspot\.com
+https?:\/\/([^\/]*\.)?celexavcc\.blogspot\.com
+https?:\/\/([^\/]*\.)?celexazer\.blogspot\.com
+https?:\/\/([^\/]*\.)?celexxaonline\.ovp\.pl
+https?:\/\/([^\/]*\.)?celine-ajha0vxo6\.blogspot\.com
+https?:\/\/([^\/]*\.)?celtic-frost-ringtonedbg\.blogspot\.com
+https?:\/\/([^\/]*\.)?celtic-frost-ringtonepxe\.blogspot\.com
+https?:\/\/([^\/]*\.)?celtic-ring\.boom\.ru
+https?:\/\/([^\/]*\.)?celtic1ring\.chat\.ru
+https?:\/\/([^\/]*\.)?cemast\.com
+https?:\/\/([^\/]*\.)?center-csy73nq1\.blogspot\.com
+https?:\/\/([^\/]*\.)?century-21\.co\.jp
+https?:\/\/([^\/]*\.)?cephalexin\.dynalias\.net
+https?:\/\/([^\/]*\.)?cephalexin\.kicks-ass\.net
+https?:\/\/([^\/]*\.)?cephalexinvyp\.blogspot\.com
+https?:\/\/([^\/]*\.)?ceremi\.com
+https?:\/\/([^\/]*\.)?cerveza\.republika\.pl
+https?:\/\/([^\/]*\.)?cetki\.blogspot\.com
+https?:\/\/([^\/]*\.)?cetuna\.com
+https?:\/\/([^\/]*\.)?cfhc2\.szm\.sk
+https?:\/\/([^\/]*\.)?cfi-tp\.blogspot\.com
+https?:\/\/([^\/]*\.)?cgj-wmkd0p54ap\.blogspot\.com
+https?:\/\/([^\/]*\.)?chain\.webmelia\.com
+https?:\/\/([^\/]*\.)?champion-ghdm2p\.blogspot\.com
+https?:\/\/([^\/]*\.)?chancec-i0\.blogspot\.com
+https?:\/\/([^\/]*\.)?chandler-ozbi2l\.blogspot\.com
+https?:\/\/([^\/]*\.)?chanel-ag2w\.blogspot\.com
+https?:\/\/([^\/]*\.)?charity-eu\.blogspot\.com
+https?:\/\/([^\/]*\.)?charles-rp7s\.blogspot\.com
+https?:\/\/([^\/]*\.)?charlie-gl3ig\.blogspot\.com
+https?:\/\/([^\/]*\.)?charming-kbatna2k\.blogspot\.com
+https?:\/\/([^\/]*\.)?charvis-mj35\.blogspot\.com
+https?:\/\/([^\/]*\.)?chase-online-bankingdir\.blogspot\.com
+https?:\/\/([^\/]*\.)?chasehunt\.com
+https?:\/\/([^\/]*\.)?chasity-oy2mgww10\.blogspot\.com
+https?:\/\/([^\/]*\.)?chat-a7gdn35u\.blogspot\.com
+https?:\/\/([^\/]*\.)?chat-gay-ohio-room\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?chat\.infty\.net
+https?:\/\/([^\/]*\.)?chatelaine-free-lipstick\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?chatou\.dzsc\.com
+https?:\/\/([^\/]*\.)?chatsky\.cn
+https?:\/\/([^\/]*\.)?chaucer\.umuc\.edu
+https?:\/\/([^\/]*\.)?chayse-c0z2untnw\.blogspot\.com
+https?:\/\/([^\/]*\.)?chazuo\.dzsc\.com
+https?:\/\/([^\/]*\.)?chazz-d86hk\.blogspot\.com
+https?:\/\/([^\/]*\.)?cheap-cialis\.presteert\.nl
+https?:\/\/([^\/]*\.)?cheap-hydrocodone\.presteert\.nl
+https?:\/\/([^\/]*\.)?cheap-phentermine\.cheapills\.info
+https?:\/\/([^\/]*\.)?cheap-phentermine\.esguay\.com
+https?:\/\/([^\/]*\.)?cheap-phentermine\.health-livening\.com
+https?:\/\/([^\/]*\.)?cheap-phentermine\.presteert\.nl
+https?:\/\/([^\/]*\.)?cheap-rocky-boot\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?cheap-soma-online\.blogspot\.com
+https?:\/\/([^\/]*\.)?cheap-tramadol-onlin\.zikforum\.com
+https?:\/\/([^\/]*\.)?cheap-tramadol\.1\.forogratis\.es
+https?:\/\/([^\/]*\.)?cheap-tramadol\.cheapills\.info
+https?:\/\/([^\/]*\.)?cheap-tramadol\.presteert\.nl
+https?:\/\/([^\/]*\.)?cheap-trashy-lingerie\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?cheap-travel-deal\.globaltr\.info
+https?:\/\/([^\/]*\.)?cheap-valium\.cheapills\.info
+https?:\/\/([^\/]*\.)?cheap-viagra\.health-livening\.com
+https?:\/\/([^\/]*\.)?cheap-viagra\.presteert\.nl
+https?:\/\/([^\/]*\.)?cheap-xanax\.us\.tf
+https?:\/\/([^\/]*\.)?cheapcialiss\.ovp\.pl
+https?:\/\/([^\/]*\.)?cheapest-pills\.org
+https?:\/\/([^\/]*\.)?cheapest-viagra-source\.com
+https?:\/\/([^\/]*\.)?cheapestlakerstickets\.com
+https?:\/\/([^\/]*\.)?cheaplakertickets\.com
+https?:\/\/([^\/]*\.)?cheappcialis\.ovp\.pl
+https?:\/\/([^\/]*\.)?cheapphentermine\.livelog\.com
+https?:\/\/([^\/]*\.)?cheapphenterminee\.ovp\.pl
+https?:\/\/([^\/]*\.)?cheapphntermine\.ovp\.pl
+https?:\/\/([^\/]*\.)?cheappvalium\.ovp\.pl
+https?:\/\/([^\/]*\.)?cheappviagra\.ovp\.pl
+https?:\/\/([^\/]*\.)?cheapsuperbowltickets\.com
+https?:\/\/([^\/]*\.)?cheaptramadol\.forospace\.com
+https?:\/\/([^\/]*\.)?cheaptramadols\.ovp\.pl
+https?:\/\/([^\/]*\.)?cheaptramadolz\.ovp\.pl
+https?:\/\/([^\/]*\.)?cheapvaliumm\.ovp\.pl
+https?:\/\/([^\/]*\.)?cheapvvalium\.ovp\.pl
+https?:\/\/([^\/]*\.)?cheapxanaxx\.ovp\.pl
+https?:\/\/([^\/]*\.)?cheapxxanax\.ovp\.pl
+https?:\/\/([^\/]*\.)?cheater-t3si78zbf\.blogspot\.com
+https?:\/\/([^\/]*\.)?cheats-e2p3o7nhm\.blogspot\.com
+https?:\/\/([^\/]*\.)?cheaviagra\.blogsome\.com
+https?:\/\/([^\/]*\.)?checkers-wn88\.blogspot\.com
+https?:\/\/([^\/]*\.)?checkproxy\.com
+https?:\/\/([^\/]*\.)?cheerleader-child-photo\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?cheerleader-dolphin\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?cheerleader-gallery-naughty\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?cheerleader-upskirt-picture\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?cheese-ratzl\.blogspot\.com
+https?:\/\/([^\/]*\.)?chellam-g2w\.blogspot\.com
+https?:\/\/([^\/]*\.)?chelsey-kifs\.blogspot\.com
+https?:\/\/([^\/]*\.)?chem-iv1c82\.blogspot\.com
+https?:\/\/([^\/]*\.)?chemistry-mrrx5e\.blogspot\.com
+https?:\/\/([^\/]*\.)?chemistry\.org\.ua
+https?:\/\/([^\/]*\.)?cheng-o08if\.blogspot\.com
+https?:\/\/([^\/]*\.)?chenglong\.com\.cn
+https?:\/\/([^\/]*\.)?cher-aorxm1s3j7\.blogspot\.com
+https?:\/\/([^\/]*\.)?cheryl-b8\.blogspot\.com
+https?:\/\/([^\/]*\.)?chess-cy\.blogspot\.com
+https?:\/\/([^\/]*\.)?chester1-tfkfl51eb\.blogspot\.com
+https?:\/\/([^\/]*\.)?chevroletcam\.cn
+https?:\/\/([^\/]*\.)?chevy-ekv8ph\.blogspot\.com
+https?:\/\/([^\/]*\.)?chevy1-wwok0ww55\.blogspot\.com
+https?:\/\/([^\/]*\.)?cheyanne-rnriiwno6l\.blogspot\.com
+https?:\/\/([^\/]*\.)?cheyenne-g3l5fa87\.blogspot\.com
+https?:\/\/([^\/]*\.)?cheyne-hm7aik5u\.blogspot\.com
+https?:\/\/([^\/]*\.)?chfielde\.ifrance\.com
+https?:\/\/([^\/]*\.)?chic-ks\.blogspot\.com
+https?:\/\/([^\/]*\.)?chicago-kou3c7e58\.blogspot\.com
+https?:\/\/([^\/]*\.)?chick-gay-kissing\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?chicken-i66t8z76\.blogspot\.com
+https?:\/\/([^\/]*\.)?chico-myg8bv\.blogspot\.com
+https?:\/\/([^\/]*\.)?chidneuer\.frbb\.net
+https?:\/\/([^\/]*\.)?chidronrac\.ephpbb\.com
+https?:\/\/([^\/]*\.)?chidronrec\.dynamicforum\.net
+https?:\/\/([^\/]*\.)?chiefs-oob\.blogspot\.com
+https?:\/\/([^\/]*\.)?chilacna\.td\.pl
+https?:\/\/([^\/]*\.)?child-leg-pain\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?chilton-b82sebrrue\.blogspot\.com
+https?:\/\/([^\/]*\.)?chimp-cu4gvwsceg\.blogspot\.com
+https?:\/\/([^\/]*\.)?china--magnet\.com
+https?:\/\/([^\/]*\.)?china-dwcuzqft6\.blogspot\.com
+https?:\/\/([^\/]*\.)?china-made-door\.com\.cn
+https?:\/\/([^\/]*\.)?china01\.52blog\.net
+https?:\/\/([^\/]*\.)?china02\.52blog\.net
+https?:\/\/([^\/]*\.)?china1\.52blog\.net
+https?:\/\/([^\/]*\.)?china1\.yculblog\.com
+https?:\/\/([^\/]*\.)?china2\.52blog\.net
+https?:\/\/([^\/]*\.)?china2\.yculblog\.com
+https?:\/\/([^\/]*\.)?china3\.yculblog\.com
+https?:\/\/([^\/]*\.)?chinacarcenter\.net
+https?:\/\/([^\/]*\.)?chinacid\.com
+https?:\/\/([^\/]*\.)?chinafoo\.com
+https?:\/\/([^\/]*\.)?chinafurnace\.net
+https?:\/\/([^\/]*\.)?chinakj\.net
+https?:\/\/([^\/]*\.)?chinalhcz\.com
+https?:\/\/([^\/]*\.)?chinaprojectors\.com
+https?:\/\/([^\/]*\.)?chinatranslation\.net
+https?:\/\/([^\/]*\.)?chinayoujiu\.com
+https?:\/\/([^\/]*\.)?chinese-google\.com
+https?:\/\/([^\/]*\.)?chineseaids\.com
+https?:\/\/([^\/]*\.)?chineseaids\.net
+https?:\/\/([^\/]*\.)?chinesezhouyi\.com
+https?:\/\/([^\/]*\.)?chip-thz\.blogspot\.com
+https?:\/\/([^\/]*\.)?chipper-eusa\.blogspot\.com
+https?:\/\/([^\/]*\.)?chippewa-work-boot\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?chiquita-wqok0t741\.blogspot\.com
+https?:\/\/([^\/]*\.)?chiricc4t\.zj\.pl
+https?:\/\/([^\/]*\.)?chiricdel\.fr-bb\.com
+https?:\/\/([^\/]*\.)?chitr\.discutforum\.com
+https?:\/\/([^\/]*\.)?chizelc\.goodforum\.net
+https?:\/\/([^\/]*\.)?chizelc\.grafbb\.com
+https?:\/\/([^\/]*\.)?chizelno\.cultureforum\.net
+https?:\/\/([^\/]*\.)?chkawai\.cn
+https?:\/\/([^\/]*\.)?chocolat-g4\.blogspot\.com
+https?:\/\/([^\/]*\.)?chocolate\.my10gb\.com
+https?:\/\/([^\/]*\.)?chongdianqi\.dzsc\.com
+https?:\/\/([^\/]*\.)?chops-hg47n04tvi\.blogspot\.com
+https?:\/\/([^\/]*\.)?choudoufu\.com
+https?:\/\/([^\/]*\.)?chris-ks6u6dj\.blogspot\.com
+https?:\/\/([^\/]*\.)?chrissy-oyo8z\.blogspot\.com
+https?:\/\/([^\/]*\.)?christ-acczbi1t\.blogspot\.com
+https?:\/\/([^\/]*\.)?christen-bttdc0kn\.blogspot\.com
+https?:\/\/([^\/]*\.)?christeta\.com
+https?:\/\/([^\/]*\.)?christia-ccf2e6\.blogspot\.com
+https?:\/\/([^\/]*\.)?christiaan-dvb\.blogspot\.com
+https?:\/\/([^\/]*\.)?christianj-t3e1j3\.blogspot\.com
+https?:\/\/([^\/]*\.)?christin-ee1qjx\.blogspot\.com
+https?:\/\/([^\/]*\.)?christina-aguilera-pic\.blogspot\.com
+https?:\/\/([^\/]*\.)?christina-wf\.blogspot\.com
+https?:\/\/([^\/]*\.)?christine-rgosvndiqw\.blogspot\.com
+https?:\/\/([^\/]*\.)?christoffe-g4e\.blogspot\.com
+https?:\/\/([^\/]*\.)?christop-hqhff\.blogspot\.com
+https?:\/\/([^\/]*\.)?christy-kflb274n\.blogspot\.com
+https?:\/\/([^\/]*\.)?chrome-it8j\.blogspot\.com
+https?:\/\/([^\/]*\.)?chrykne\.info
+https?:\/\/([^\/]*\.)?chrystian-mgxw3jyfb1\.blogspot\.com
+https?:\/\/([^\/]*\.)?chuanganqi\.dzsc\.com
+https?:\/\/([^\/]*\.)?chubby-bear-pic\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?chubby-chick\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?chubby-cum\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?chubby-teens-tus\.blogspot\.com
+https?:\/\/([^\/]*\.)?chuck-oh\.blogspot\.com
+https?:\/\/([^\/]*\.)?chucky-aklnscme\.blogspot\.com
+https?:\/\/([^\/]*\.)?chulyt\.com
+https?:\/\/([^\/]*\.)?church-b0w4\.blogspot\.com
+https?:\/\/([^\/]*\.)?chuvak-org\.blogspot\.com
+https?:\/\/([^\/]*\.)?chvpqa7\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?chyna-c2\.blogspot\.com
+https?:\/\/([^\/]*\.)?ci-video-pornograficos-download\.blogspot\.com
+https?:\/\/([^\/]*\.)?cialis-for-sale\.com
+https?:\/\/([^\/]*\.)?cialis-ok-1\.blogspot\.com
+https?:\/\/([^\/]*\.)?cialis-online\.presteert\.nl
+https?:\/\/([^\/]*\.)?cialis-pharma\.tripod\.com
+https?:\/\/([^\/]*\.)?cialis-soft-tabs\.com
+https?:\/\/([^\/]*\.)?cialis\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?cialis\.esguay\.com
+https?:\/\/([^\/]*\.)?cialis\.fws1\.com
+https?:\/\/([^\/]*\.)?cialis\.goodpharm\.info
+https?:\/\/([^\/]*\.)?cialis\.page\.tl
+https?:\/\/([^\/]*\.)?cialis\.presteert\.nl
+https?:\/\/([^\/]*\.)?cialis\.rx4\.org
+https?:\/\/([^\/]*\.)?cialis\.seesaa\.net
+https?:\/\/([^\/]*\.)?cialis\.skocz\.net
+https?:\/\/([^\/]*\.)?cialises\.us\.tf
+https?:\/\/([^\/]*\.)?cialisjiq\.blogspot\.com
+https?:\/\/([^\/]*\.)?cialispills\.blog\.hr
+https?:\/\/([^\/]*\.)?cialisrx\.weboficial\.com
+https?:\/\/([^\/]*\.)?cialisx\.host-page\.com
+https?:\/\/([^\/]*\.)?ciara-d0\.blogspot\.com
+https?:\/\/([^\/]*\.)?cierra-t1f0hy\.blogspot\.com
+https?:\/\/([^\/]*\.)?cigar-ekelo\.blogspot\.com
+https?:\/\/([^\/]*\.)?cigarettes-smoking-online\.com
+https?:\/\/([^\/]*\.)?cigarettes\.4\.pl
+https?:\/\/([^\/]*\.)?cilmer\.com
+https?:\/\/([^\/]*\.)?cinast\.com
+https?:\/\/([^\/]*\.)?cincydj\.ifrance\.com
+https?:\/\/([^\/]*\.)?cinder-w6\.blogspot\.com
+https?:\/\/([^\/]*\.)?cindi-ruo8\.blogspot\.com
+https?:\/\/([^\/]*\.)?cindy-gdhs2i21u6\.blogspot\.com
+https?:\/\/([^\/]*\.)?cinem4\.com
+https?:\/\/([^\/]*\.)?cingularringtones\.269g\.net
+https?:\/\/([^\/]*\.)?ciplactin\.tlg\.pl
+https?:\/\/([^\/]*\.)?ciprobuy\.hop\.to
+https?:\/\/([^\/]*\.)?ciprobuycheap\.firstpage\.de
+https?:\/\/([^\/]*\.)?ciprobuygeneric\.move\.to
+https?:\/\/([^\/]*\.)?ciprocheap\.dive\.to
+https?:\/\/([^\/]*\.)?ciprofloxacin\.xwiki\.com
+https?:\/\/([^\/]*\.)?ciprogeneric\.i\.am
+https?:\/\/([^\/]*\.)?citdokcna\.dynamicbb\.com
+https?:\/\/([^\/]*\.)?citeccit\.forumculture\.net
+https?:\/\/([^\/]*\.)?citpocit\.dynamicforum\.net
+https?:\/\/([^\/]*\.)?civisi\.com
+https?:\/\/([^\/]*\.)?cixingcailiao\.dzsc\.com
+https?:\/\/([^\/]*\.)?cjhx6\.szm\.sk
+https?:\/\/([^\/]*\.)?ckalv\.szm\.sk
+https?:\/\/([^\/]*\.)?ckfhhw4\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ckqabl1\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?claca\.blogcu\.com
+https?:\/\/([^\/]*\.)?claire-k6kkha\.blogspot\.com
+https?:\/\/([^\/]*\.)?clancy-i1ib\.blogspot\.com
+https?:\/\/([^\/]*\.)?clara-mj6ts\.blogspot\.com
+https?:\/\/([^\/]*\.)?clarissa-o14r\.blogspot\.com
+https?:\/\/([^\/]*\.)?clark-asa0i3mfvr\.blogspot\.com
+https?:\/\/([^\/]*\.)?classic-porn-clip-zoneykt\.blogspot\.com
+https?:\/\/([^\/]*\.)?claude-blw\.blogspot\.com
+https?:\/\/([^\/]*\.)?claudia-c7ut8jj4\.blogspot\.com
+https?:\/\/([^\/]*\.)?clay-e-facial-mask-vitamin\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?cleaner-ddiggwqy1t\.blogspot\.com
+https?:\/\/([^\/]*\.)?clearmp3\.net
+https?:\/\/([^\/]*\.)?clerk\.lir\.dk
+https?:\/\/([^\/]*\.)?cletoac\.lolbb\.com
+https?:\/\/([^\/]*\.)?cleveland-txjimr\.blogspot\.com
+https?:\/\/([^\/]*\.)?clickhere2\.net
+https?:\/\/([^\/]*\.)?clior\.graphforum\.com
+https?:\/\/([^\/]*\.)?clip-ebony-lesbian-porn\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?clip-ebony-porn\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?clip-free-hand-job-movie-movie\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?clip-free-porn-psp-newsy66\.blogspot\.com
+https?:\/\/([^\/]*\.)?clip-hentai\.dreamsuit\.net
+https?:\/\/([^\/]*\.)?clip-hunter-porn-blogctv\.blogspot\.com
+https?:\/\/([^\/]*\.)?clip-hunter-porn-zone8y6\.blogspot\.com
+https?:\/\/([^\/]*\.)?clip-malay-porn-newsgrq\.blogspot\.com
+https?:\/\/([^\/]*\.)?clip-malay-porn-zone1w3\.blogspot\.com
+https?:\/\/([^\/]*\.)?clipgalaxy-com-i4z645\.blogspot\.com
+https?:\/\/([^\/]*\.)?clipgalaxy-com-io8ncz\.blogspot\.com
+https?:\/\/([^\/]*\.)?clipper-e2buyg\.blogspot\.com
+https?:\/\/([^\/]*\.)?clirol\.dl\.pl
+https?:\/\/([^\/]*\.)?clit-huge-real\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?cllivers\.dl\.pl
+https?:\/\/([^\/]*\.)?clobetasol-propionate\.tlg\.pl
+https?:\/\/([^\/]*\.)?cloclo-w046r\.blogspot\.com
+https?:\/\/([^\/]*\.)?clonazepam\.us\.tf
+https?:\/\/([^\/]*\.)?clonazepamscz\.blogspot\.com
+https?:\/\/([^\/]*\.)?clonazepamuej\.blogspot\.com
+https?:\/\/([^\/]*\.)?clonsex\.info
+https?:\/\/([^\/]*\.)?closeout-womens-rain-boot\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?clothes-newborns\.loretic\.be
+https?:\/\/([^\/]*\.)?cloudydayshadow\.blogspot\.com
+https?:\/\/([^\/]*\.)?clover-rs04\.blogspot\.com
+https?:\/\/([^\/]*\.)?clowns-gloi\.blogspot\.com
+https?:\/\/([^\/]*\.)?clu\.cn
+https?:\/\/([^\/]*\.)?clumsy-hl0fwl1k\.blogspot\.com
+https?:\/\/([^\/]*\.)?cluster-k4ovd06ibi\.blogspot\.com
+https?:\/\/([^\/]*\.)?clwilbur\.ifrance\.com
+https?:\/\/([^\/]*\.)?clyaod2\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?cmauq\.com
+https?:\/\/([^\/]*\.)?cmnanwe\.com
+https?:\/\/([^\/]*\.)?cmsrt\.szm\.sk
+https?:\/\/([^\/]*\.)?cmubroadway\.blogspot\.com
+https?:\/\/([^\/]*\.)?cnaalget\.graphforum\.com
+https?:\/\/([^\/]*\.)?cnaalget\.highforum\.net
+https?:\/\/([^\/]*\.)?cnac4t-or\.bbgraf\.com
+https?:\/\/([^\/]*\.)?cnadel6or6\.dl\.pl
+https?:\/\/([^\/]*\.)?cnaeltbo\.discutforum\.com
+https?:\/\/([^\/]*\.)?cnaeltdron\.bb-fr\.com
+https?:\/\/([^\/]*\.)?cnapasou\.graphforum\.com
+https?:\/\/([^\/]*\.)?cnatral\.xa\.pl
+https?:\/\/([^\/]*\.)?cnbess\.com
+https?:\/\/([^\/]*\.)?cnbf2\.szm\.sk
+https?:\/\/([^\/]*\.)?cncarcenter\.com
+https?:\/\/([^\/]*\.)?cnfibernet\.com\.cn
+https?:\/\/([^\/]*\.)?cnmarketingresearch\.com
+https?:\/\/([^\/]*\.)?cnplayhome\.cn
+https?:\/\/([^\/]*\.)?cnticket\.net
+https?:\/\/([^\/]*\.)?co-alf\.blogspot\.com
+https?:\/\/([^\/]*\.)?co-video-de-sexo-gratis-download\.blogspot\.com
+https?:\/\/([^\/]*\.)?co6or6bo\.dl\.pl
+https?:\/\/([^\/]*\.)?coacdar\.bbfr\.net
+https?:\/\/([^\/]*\.)?coachescorner\.com
+https?:\/\/([^\/]*\.)?cock-and-ball-punishment\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?cock-deep-huge-throat\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?cock-love-teen\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?cock-petite-sucker\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?cock-roaches-picture\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?cock-sexy-sucker\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?cock-story-sucker\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?cocnacna\.dl\.pl
+https?:\/\/([^\/]*\.)?cocoel\.lightbb\.com
+https?:\/\/([^\/]*\.)?coconel\.frbb\.net
+https?:\/\/([^\/]*\.)?codarget\.dl\.pl
+https?:\/\/([^\/]*\.)?codigodor\.blogspot\.com
+https?:\/\/([^\/]*\.)?coiqen\.com
+https?:\/\/([^\/]*\.)?cold-hard-bitch-lyric\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?college-fucking-video-free\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?college1-degree\.boom\.ru
+https?:\/\/([^\/]*\.)?college1degree\.chat\.ru
+https?:\/\/([^\/]*\.)?college1degree1p\.chat\.ru
+https?:\/\/([^\/]*\.)?colodom\.frbb\.net
+https?:\/\/([^\/]*\.)?colorado\.edu
+https?:\/\/([^\/]*\.)?coloradomortgage-x\.com
+https?:\/\/([^\/]*\.)?com-dick-fick-n-suck\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?comic-fetish-info-remember\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?comic-hardcore-info-remember-sex\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?comicvmarriage\.spycams777\.com
+https?:\/\/([^\/]*\.)?commercialleaseonline\.info
+https?:\/\/([^\/]*\.)?communicationagency\.com
+https?:\/\/([^\/]*\.)?comontr\.bb-fr\.com
+https?:\/\/([^\/]*\.)?companyforyou\.com
+https?:\/\/([^\/]*\.)?competitio\.ifrance\.com
+https?:\/\/([^\/]*\.)?computer-desk\.fromru\.com
+https?:\/\/([^\/]*\.)?computer1degree\.chat\.ru
+https?:\/\/([^\/]*\.)?computerxchange\.com
+https?:\/\/([^\/]*\.)?comradealtmer\.blogspot\.com
+https?:\/\/([^\/]*\.)?condition-skin\.newmail\.ru
+https?:\/\/([^\/]*\.)?condition_skin\.chat\.ru
+https?:\/\/([^\/]*\.)?condom-delivered-free-free-uk\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?condom-picture-penis\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?connecticutmortgage-x\.com
+https?:\/\/([^\/]*\.)?conor2k\.007webpro\.com
+https?:\/\/([^\/]*\.)?consistmay\.tripod\.com
+https?:\/\/([^\/]*\.)?consolidation-loan-studentqdl\.blogspot\.com
+https?:\/\/([^\/]*\.)?consultanthub\.com
+https?:\/\/([^\/]*\.)?cooking-crazy\.com
+https?:\/\/([^\/]*\.)?cool-mp3\.biz
+https?:\/\/([^\/]*\.)?coolhost\.biz
+https?:\/\/([^\/]*\.)?copasleto\.zj\.pl
+https?:\/\/([^\/]*\.)?copyok\.net
+https?:\/\/([^\/]*\.)?coricidin\.tlg\.pl
+https?:\/\/([^\/]*\.)?cornut\.ipupdater\.com
+https?:\/\/([^\/]*\.)?coro-aaliyah\.blogspot\.com
+https?:\/\/([^\/]*\.)?corporategifts-guide\.com
+https?:\/\/([^\/]*\.)?corsv\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?cortech\.cn
+https?:\/\/([^\/]*\.)?cositbo\.bbgraf\.com
+https?:\/\/([^\/]*\.)?cosmiboe\.forumzen\.com
+https?:\/\/([^\/]*\.)?cosmicray\.umd\.edu
+https?:\/\/([^\/]*\.)?coswishe\.dl\.pl
+https?:\/\/([^\/]*\.)?cotton-gloves\.loretic\.be
+https?:\/\/([^\/]*\.)?counciloflight\.com
+https?:\/\/([^\/]*\.)?couple-fucking-photo\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?couple-kissing-pic\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?couponmountain\.com
+https?:\/\/([^\/]*\.)?courses\.csusm\.edu
+https?:\/\/([^\/]*\.)?courtinfroggie\.blogspot\.com
+https?:\/\/([^\/]*\.)?covarou\.su\.pl
+https?:\/\/([^\/]*\.)?cowei\.net
+https?:\/\/([^\/]*\.)?cowlist-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?cozaar\.4\.pl
+https?:\/\/([^\/]*\.)?cozaarbuy\.hello\.to
+https?:\/\/([^\/]*\.)?cozaarcheap\.mysite\.de
+https?:\/\/([^\/]*\.)?cozaarcheapgeneric\.warp9\.to
+https?:\/\/([^\/]*\.)?cozaargeneric\.everything\.at
+https?:\/\/([^\/]*\.)?cozaargenericcheap\.dive\.to
+https?:\/\/([^\/]*\.)?cpayscom\.xwiki\.com
+https?:\/\/([^\/]*\.)?cpkna\.szm\.sk
+https?:\/\/([^\/]*\.)?cpsyy\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?cpyngke\.com
+https?:\/\/([^\/]*\.)?cqbhn\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?cqfmz\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?cqjbjx1\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?cr4ix7\.com
+https?:\/\/([^\/]*\.)?crabs\.isgre\.at
+https?:\/\/([^\/]*\.)?cramp-hand-leg\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?crashdome\.info
+https?:\/\/([^\/]*\.)?crazyvirgin\.info
+https?:\/\/([^\/]*\.)?crbackus\.dl\.pl
+https?:\/\/([^\/]*\.)?crcather\.dl\.pl
+https?:\/\/([^\/]*\.)?cream-facial-pie\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?cream-hairy-mature-movie-pie-pussy\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?creampie\.coz\.in
+https?:\/\/([^\/]*\.)?creating-a-boot-cd\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?credit-dreams\.com
+https?:\/\/([^\/]*\.)?creditcards-x\.com
+https?:\/\/([^\/]*\.)?credoninc\.com
+https?:\/\/([^\/]*\.)?crestor-rx\.one2you\.info
+https?:\/\/([^\/]*\.)?crestor\.dynalias\.net
+https?:\/\/([^\/]*\.)?criminal-j-degre\.boom\.ru
+https?:\/\/([^\/]*\.)?crimson-teens\.freeinsite\.net
+https?:\/\/([^\/]*\.)?cristal-fenix\.isuisse\.com
+https?:\/\/([^\/]*\.)?crookedscud\.myfreewebs\.net
+https?:\/\/([^\/]*\.)?crossed-leg-gallery\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?crossed-leg-short-skirt-stocking-top\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?crrenee\.dl\.pl
+https?:\/\/([^\/]*\.)?crsundke\.dl\.pl
+https?:\/\/([^\/]*\.)?cruise-guide\.org
+https?:\/\/([^\/]*\.)?cruise-travel\.globaltr\.info
+https?:\/\/([^\/]*\.)?crzvh\.szm\.sk
+https?:\/\/([^\/]*\.)?cscla\.net
+https?:\/\/([^\/]*\.)?cscserver\.cc\.edu
+https?:\/\/([^\/]*\.)?csitdom\.bbgraf\.com
+https?:\/\/([^\/]*\.)?csmwui11\.com
+https?:\/\/([^\/]*\.)?csosandbox\.clemson\.edu
+https?:\/\/([^\/]*\.)?ctdq0\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?ctl\.csudh\.edu
+https?:\/\/([^\/]*\.)?ctusxc4\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?cubaka\.yi\.org
+https?:\/\/([^\/]*\.)?cufgq\.szm\.sk
+https?:\/\/([^\/]*\.)?cuicui\.monblog\.ch
+https?:\/\/([^\/]*\.)?cuiugcg\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?culinary-school\.newmail\.ru
+https?:\/\/([^\/]*\.)?culinary1school\.chat\.ru
+https?:\/\/([^\/]*\.)?cum-drenched-pantie\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?cum-drink-video\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?cum-dvd-face-private-private-rip-vcd\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?cum-ebony-face\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?cum-face-asian\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?cum-face-pic\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?cum-face-picture\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?cum-facial-messy\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?cum-facial-video-free-clip\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?cum-filled-pussy-picture\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?cum-kiss-lick-shoes-suck-worship\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?cum-mouth-ebony\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?cum-nurse-sex-shot\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?cum-se-face-sex\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?cum-shot-movie\.dreamsuit\.net
+https?:\/\/([^\/]*\.)?cum-swallow-vids\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?cuola\.goodforum\.net
+https?:\/\/([^\/]*\.)?cut-xmeup-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?cute-ebony-girl\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?cute-girldzy\.blogspot\.com
+https?:\/\/([^\/]*\.)?cutgetolo\.zikforum\.com
+https?:\/\/([^\/]*\.)?cutvili\.winnerforum\.net
+https?:\/\/([^\/]*\.)?cvep2\.szm\.sk
+https?:\/\/([^\/]*\.)?cvipm\.com
+https?:\/\/([^\/]*\.)?cxolt\.szm\.sk
+https?:\/\/([^\/]*\.)?cyberlinx\.us
+https?:\/\/([^\/]*\.)?cycleworldsuzuki\.info
+https?:\/\/([^\/]*\.)?cyclobenzaprine\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?cysrf\.szm\.sk
+https?:\/\/([^\/]*\.)?cytonrok\.forumculture\.net
+https?:\/\/([^\/]*\.)?czelvar\.su\.pl
+https?:\/\/([^\/]*\.)?czis0\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?d-z-free-lesbian-movie-h\.blogspot\.com
+https?:\/\/([^\/]*\.)?daddy-bear-gay\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?daddy-long-leg-venom\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?daily-porn-clip-news0we\.blogspot\.com
+https?:\/\/([^\/]*\.)?dailybasis-com-cky8ax\.blogspot\.com
+https?:\/\/([^\/]*\.)?dami3\.com
+https?:\/\/([^\/]*\.)?danazol\.4\.pl
+https?:\/\/([^\/]*\.)?dance-instruction\.lkerfocu\.be
+https?:\/\/([^\/]*\.)?danga4ka\.blogspot\.com
+https?:\/\/([^\/]*\.)?dansmovies-com-mu2ywho24d\.blogspot\.com
+https?:\/\/([^\/]*\.)?daractr\.goodbb\.net
+https?:\/\/([^\/]*\.)?darboboc\.dl\.pl
+https?:\/\/([^\/]*\.)?darboctroc\.dl\.pl
+https?:\/\/([^\/]*\.)?darcnaec\.dynamicbb\.com
+https?:\/\/([^\/]*\.)?darcoca\.dl\.pl
+https?:\/\/([^\/]*\.)?dardomcna\.blogcu\.com
+https?:\/\/([^\/]*\.)?dardomdom\.darkbb\.com
+https?:\/\/([^\/]*\.)?dardomzel\.blogcu\.com
+https?:\/\/([^\/]*\.)?dare-poker\.com
+https?:\/\/([^\/]*\.)?darelttr\.dl\.pl
+https?:\/\/([^\/]*\.)?dargetou\.darkbb\.com
+https?:\/\/([^\/]*\.)?darladom\.td\.pl
+https?:\/\/([^\/]*\.)?darlala\.winnerforum\.net
+https?:\/\/([^\/]*\.)?darlina-a1vds\.blogspot\.com
+https?:\/\/([^\/]*\.)?darlina-akp4\.blogspot\.com
+https?:\/\/([^\/]*\.)?darlina-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?darlina-tcifizmx\.blogspot\.com
+https?:\/\/([^\/]*\.)?darmonrol\.zj\.pl
+https?:\/\/([^\/]*\.)?darricer\.fr-bb\.com
+https?:\/\/([^\/]*\.)?darzeltroc\.dl\.pl
+https?:\/\/([^\/]*\.)?dasepluv\.dl\.pl
+https?:\/\/([^\/]*\.)?dashulya\.blogspot\.com
+https?:\/\/([^\/]*\.)?dastino\.republika\.pl
+https?:\/\/([^\/]*\.)?data-mining\.massioni\.be
+https?:\/\/([^\/]*\.)?datangid\.com
+https?:\/\/([^\/]*\.)?datasol\.org
+https?:\/\/([^\/]*\.)?dating-advice\.sexnation\.info
+https?:\/\/([^\/]*\.)?dating-direct\.sexnation\.info
+https?:\/\/([^\/]*\.)?dating\.sexnation\.info
+https?:\/\/([^\/]*\.)?dave-hollister-ringtoneezm\.blogspot\.com
+https?:\/\/([^\/]*\.)?dave-hollister-ringtonefoi\.blogspot\.com
+https?:\/\/([^\/]*\.)?dave-hollister-ringtonewqs\.blogspot\.com
+https?:\/\/([^\/]*\.)?davejackson\.com
+https?:\/\/([^\/]*\.)?davte\.info
+https?:\/\/([^\/]*\.)?dawsonanddadrealty\.com
+https?:\/\/([^\/]*\.)?dayzve4\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?dbxbqb7\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?dc-escort-gay\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?dcuoo\.szm\.sk
+https?:\/\/([^\/]*\.)?dcx\.org\.ua
+https?:\/\/([^\/]*\.)?ddfz1\.szm\.sk
+https?:\/\/([^\/]*\.)?ddlive\.info
+https?:\/\/([^\/]*\.)?ddovj\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ddpb6\.szm\.sk
+https?:\/\/([^\/]*\.)?de-foto-gay-gratis-negros\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?dead-sea-product\.com
+https?:\/\/([^\/]*\.)?deadsex\.info
+https?:\/\/([^\/]*\.)?deadums\.blogspot\.com
+https?:\/\/([^\/]*\.)?debk6\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?debt-consolidation-care\.com
+https?:\/\/([^\/]*\.)?debt-consolidation\.dd\.vg
+https?:\/\/([^\/]*\.)?debtconsolidation-today\.com
+https?:\/\/([^\/]*\.)?deepthroat\.coz\.in
+https?:\/\/([^\/]*\.)?deerdeji\.forumzen\.com
+https?:\/\/([^\/]*\.)?defacialize-com-td32\.blogspot\.com
+https?:\/\/([^\/]*\.)?defi-adrian\.blogspot\.com
+https?:\/\/([^\/]*\.)?degree-program\.hotmail\.ru
+https?:\/\/([^\/]*\.)?degree2program\.chat\.ru
+https?:\/\/([^\/]*\.)?dejablu503\.50webs\.org
+https?:\/\/([^\/]*\.)?dela88\.com
+https?:\/\/([^\/]*\.)?delacmon\.discutforum\.com
+https?:\/\/([^\/]*\.)?delawaremortgage-x\.com
+https?:\/\/([^\/]*\.)?delbocer\.dl\.pl
+https?:\/\/([^\/]*\.)?delcnacna\.lolbb\.com
+https?:\/\/([^\/]*\.)?delletopas\.su\.pl
+https?:\/\/([^\/]*\.)?delliric\.dl\.pl
+https?:\/\/([^\/]*\.)?delordel\.blogcu\.com
+https?:\/\/([^\/]*\.)?delricchi\.goodforum\.net
+https?:\/\/([^\/]*\.)?delricchi\.grafbb\.com
+https?:\/\/([^\/]*\.)?delricou\.dl\.pl
+https?:\/\/([^\/]*\.)?delserch\.com
+https?:\/\/([^\/]*\.)?deltasone\.4\.pl
+https?:\/\/([^\/]*\.)?deltnecca\.goodbb\.net
+https?:\/\/([^\/]*\.)?delzelleto\.dl\.pl
+https?:\/\/([^\/]*\.)?demingpower\.com
+https?:\/\/([^\/]*\.)?denocel\.blogcu\.com
+https?:\/\/([^\/]*\.)?dental-guide\.org
+https?:\/\/([^\/]*\.)?dental\.gulfcoast\.edu
+https?:\/\/([^\/]*\.)?dentalplans-x\.com
+https?:\/\/([^\/]*\.)?deomnoou\.jconserv\.net
+https?:\/\/([^\/]*\.)?depamu\.com
+https?:\/\/([^\/]*\.)?depstore\.milan\.jp
+https?:\/\/([^\/]*\.)?derast\.com
+https?:\/\/([^\/]*\.)?derimc\.com
+https?:\/\/([^\/]*\.)?description\.hostonmars\.com
+https?:\/\/([^\/]*\.)?desent\.8tt\.org
+https?:\/\/([^\/]*\.)?design4italy\.org
+https?:\/\/([^\/]*\.)?designatchina\.com
+https?:\/\/([^\/]*\.)?designer-purses\.blogspot\.com
+https?:\/\/([^\/]*\.)?desk-teen-d\.blogspot\.com
+https?:\/\/([^\/]*\.)?desyrel\.4\.pl
+https?:\/\/([^\/]*\.)?detriot\.dtdns\.net
+https?:\/\/([^\/]*\.)?deutschland-heute\.de\.tl
+https?:\/\/([^\/]*\.)?devine-friend-hot-mom\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?dewall\.info
+https?:\/\/([^\/]*\.)?dg\.188info\.com
+https?:\/\/([^\/]*\.)?dgvy5\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?dial3\.szm\.sk
+https?:\/\/([^\/]*\.)?diamond73\.50webs\.com
+https?:\/\/([^\/]*\.)?dianchi\.dzsc\.com
+https?:\/\/([^\/]*\.)?diandongji\.dzsc\.com
+https?:\/\/([^\/]*\.)?dianganqi\.dzsc\.com
+https?:\/\/([^\/]*\.)?dianluban\.dzsc\.com
+https?:\/\/([^\/]*\.)?dianre\.dzsc\.com
+https?:\/\/([^\/]*\.)?dianrong\.dzsc\.com
+https?:\/\/([^\/]*\.)?dianweiqi\.dzsc\.com
+https?:\/\/([^\/]*\.)?dianyuan\.dzsc\.com
+https?:\/\/([^\/]*\.)?dianziguan\.dzsc\.com
+https?:\/\/([^\/]*\.)?dianzu\.dzsc\.com
+https?:\/\/([^\/]*\.)?diazepam\.47\.pl
+https?:\/\/([^\/]*\.)?diazepam\.skocz\.net
+https?:\/\/([^\/]*\.)?diazepam2\.forospace\.com
+https?:\/\/([^\/]*\.)?diazepams\.ru\.tf
+https?:\/\/([^\/]*\.)?dick-butkus-football-player\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?dick-donkey-sucking-woman\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?dick-fat-in-pussy\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?dick-head-john-kerry\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?dick-hot-man-video\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?dicks-sporting-goods-web-site\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?didrex\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?didrex\.int\.tf
+https?:\/\/([^\/]*\.)?diebart\.asp2\.cz
+https?:\/\/([^\/]*\.)?diesite\.com
+https?:\/\/([^\/]*\.)?diet-pills-phentermine-pill-buy-cheap-online\.com
+https?:\/\/([^\/]*\.)?diet-pills911\.com
+https?:\/\/([^\/]*\.)?diffusersudan\.org
+https?:\/\/([^\/]*\.)?diflucanbuycheap\.top\.ms
+https?:\/\/([^\/]*\.)?diflucancheapbuy\.drop\.to
+https?:\/\/([^\/]*\.)?diflucancheapgeneric\.notrix\.net
+https?:\/\/([^\/]*\.)?diflucangeneric\.hey\.to
+https?:\/\/([^\/]*\.)?diflucangenericbuy\.hp\.ms
+https?:\/\/([^\/]*\.)?digilander\.libero\.it
+https?:\/\/([^\/]*\.)?digital-glamour\.com
+https?:\/\/([^\/]*\.)?digitalpoimt\.com
+https?:\/\/([^\/]*\.)?dildo-extreme-free-movie\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?dildo-in-guys-ass\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?dilhbi7\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?dionysios-r\.blogspot\.com
+https?:\/\/([^\/]*\.)?dir\.opank\.com
+https?:\/\/([^\/]*\.)?dirare\.com
+https?:\/\/([^\/]*\.)?directonlineguide\.com
+https?:\/\/([^\/]*\.)?dirty-blonde-teen\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?dirty-ru-lenta\.blogspot\.com
+https?:\/\/([^\/]*\.)?dirtyrhino-com-iy5ps48s\.blogspot\.com
+https?:\/\/([^\/]*\.)?dirtyrhino-com-rjrotse3\.blogspot\.com
+https?:\/\/([^\/]*\.)?discasegeta\.0moola\.com
+https?:\/\/([^\/]*\.)?discontinued-chanel-lipstick\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?discount-femina-lingerie\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?discount-phentermine\.health-livening\.com
+https?:\/\/([^\/]*\.)?discount-phentermine\.presteert\.nl
+https?:\/\/([^\/]*\.)?discounted-medications\.com
+https?:\/\/([^\/]*\.)?discountphentermine\.livelog\.com
+https?:\/\/([^\/]*\.)?discussions\.csbsju\.edu
+https?:\/\/([^\/]*\.)?dissected-voice\.blogspot\.com
+https?:\/\/([^\/]*\.)?diuvw\.szm\.sk
+https?:\/\/([^\/]*\.)?diva-58\.site\.voila\.fr
+https?:\/\/([^\/]*\.)?divtab\.com
+https?:\/\/([^\/]*\.)?dixe-alayna\.blogspot\.com
+https?:\/\/([^\/]*\.)?dixiecuties-com-av\.blogspot\.com
+https?:\/\/([^\/]*\.)?dixiecuties-com-wpf1g2a\.blogspot\.com
+https?:\/\/([^\/]*\.)?dka2e\.nokedem\.com
+https?:\/\/([^\/]*\.)?dlaners\.blogspot\.com
+https?:\/\/([^\/]*\.)?dljs5\.szm\.sk
+https?:\/\/([^\/]*\.)?dltz4\.szm\.sk
+https?:\/\/([^\/]*\.)?dlwlh\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?dlzgn\.szm\.sk
+https?:\/\/([^\/]*\.)?dm-cqsf\.cn
+https?:\/\/([^\/]*\.)?dm-soft\.com
+https?:\/\/([^\/]*\.)?dmnft\.net
+https?:\/\/([^\/]*\.)?dnk-design\.com
+https?:\/\/([^\/]*\.)?do-ali\.blogspot\.com
+https?:\/\/([^\/]*\.)?do-hot-video-download\.blogspot\.com
+https?:\/\/([^\/]*\.)?doctorpinkerton\.ifrance\.com
+https?:\/\/([^\/]*\.)?doenertreff\.de
+https?:\/\/([^\/]*\.)?dogdead\.50webs\.com
+https?:\/\/([^\/]*\.)?dogider-big-tit-round-a-p\.blogspot\.com
+https?:\/\/([^\/]*\.)?dogzilla30\.blogspot\.com
+https?:\/\/([^\/]*\.)?dohr\.joolo\.com
+https?:\/\/([^\/]*\.)?dokrichi\.forumculture\.net
+https?:\/\/([^\/]*\.)?dokuno-porn-clip\.blogspot\.com
+https?:\/\/([^\/]*\.)?dollmovies-com-e7lqyhq\.blogspot\.com
+https?:\/\/([^\/]*\.)?dom-or-dron\.jc\.pl
+https?:\/\/([^\/]*\.)?domdronno\.xa\.pl
+https?:\/\/([^\/]*\.)?dome-ebony-foot-herbies\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?domelc4t\.dl\.pl
+https?:\/\/([^\/]*\.)?domest1co\.info
+https?:\/\/([^\/]*\.)?domestic0\.info
+https?:\/\/([^\/]*\.)?domnoor\.blogcu\.com
+https?:\/\/([^\/]*\.)?domorca\.darkbb\.com
+https?:\/\/([^\/]*\.)?dompasvi\.alkablog\.com
+https?:\/\/([^\/]*\.)?domrelou\.discutfree\.com
+https?:\/\/([^\/]*\.)?domtadom\.blogcu\.com
+https?:\/\/([^\/]*\.)?domtael\.dl\.pl
+https?:\/\/([^\/]*\.)?don-search\.com
+https?:\/\/([^\/]*\.)?dong-sheng\.com
+https?:\/\/([^\/]*\.)?dono-alaska\.blogspot\.com
+https?:\/\/([^\/]*\.)?doodlepets\.net
+https?:\/\/([^\/]*\.)?doormat\.ncedly\.be
+https?:\/\/([^\/]*\.)?dorank\.com
+https?:\/\/([^\/]*\.)?dorintop\.9999mb\.com
+https?:\/\/([^\/]*\.)?dotbusinessbroker\.info
+https?:\/\/([^\/]*\.)?dottorstranamore\.com
+https?:\/\/([^\/]*\.)?dotwirelessinternet\.info
+https?:\/\/([^\/]*\.)?double-anal-insertion\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?doubledrilled-com-ru\.blogspot\.com
+https?:\/\/([^\/]*\.)?douglasgenetic\.org
+https?:\/\/([^\/]*\.)?download-fisting-free-movie-pussy\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?download-free-porn-clip-zone186\.blogspot\.com
+https?:\/\/([^\/]*\.)?download-free-porn-movie-bb-bb-h\.blogspot\.com
+https?:\/\/([^\/]*\.)?download-free-ringtonebso\.blogspot\.com
+https?:\/\/([^\/]*\.)?download-free-ringtonekdw\.blogspot\.com
+https?:\/\/([^\/]*\.)?download-free-ringtonekfb\.blogspot\.com
+https?:\/\/([^\/]*\.)?download-free-ringtoneoug\.blogspot\.com
+https?:\/\/([^\/]*\.)?download-free-ringtonesasd\.blogspot\.com
+https?:\/\/([^\/]*\.)?download-ringtonevnr\.blogspot\.com
+https?:\/\/([^\/]*\.)?downloadfreeringtonengp\.blogspot\.com
+https?:\/\/([^\/]*\.)?downsms\.blogbus\.com
+https?:\/\/([^\/]*\.)?dpfanatics-com-t7nqv\.blogspot\.com
+https?:\/\/([^\/]*\.)?dqezl\.szm\.sk
+https?:\/\/([^\/]*\.)?draghi\.ll11\.info
+https?:\/\/([^\/]*\.)?dragonballxxx-gratis\.19mb\.info
+https?:\/\/([^\/]*\.)?dragonmovies-dd2bm5sn\.blogspot\.com
+https?:\/\/([^\/]*\.)?dragonmovies-rwng8vm7j\.blogspot\.com
+https?:\/\/([^\/]*\.)?drbizzaro-com-r5\.blogspot\.com
+https?:\/\/([^\/]*\.)?drdos\.50webs\.org
+https?:\/\/([^\/]*\.)?dreamathk\.com
+https?:\/\/([^\/]*\.)?dreamatsh\.com
+https?:\/\/([^\/]*\.)?dreamhk\.org
+https?:\/\/([^\/]*\.)?dress-jacket\.haemati\.be
+https?:\/\/([^\/]*\.)?drithle\.com
+https?:\/\/([^\/]*\.)?driving-school\.hotmail\.ru
+https?:\/\/([^\/]*\.)?driving2school\.chat\.ru
+https?:\/\/([^\/]*\.)?dronaceldar\.darkbb\.com
+https?:\/\/([^\/]*\.)?dronc4tta\.blogcu\.com
+https?:\/\/([^\/]*\.)?droncaca\.blogcu\.com
+https?:\/\/([^\/]*\.)?dronchitr\.blogcu\.com
+https?:\/\/([^\/]*\.)?drondomrac\.bb-fr\.com
+https?:\/\/([^\/]*\.)?drondrontr\.zikforum\.com
+https?:\/\/([^\/]*\.)?dronladar\.discutfree\.com
+https?:\/\/([^\/]*\.)?dronladar\.dynamicforum\.net
+https?:\/\/([^\/]*\.)?dronrelco\.td\.pl
+https?:\/\/([^\/]*\.)?drownedmagenta\.50webs\.org
+https?:\/\/([^\/]*\.)?drug\.prtime\.ru
+https?:\/\/([^\/]*\.)?drunkgirls\.coz\.in
+https?:\/\/([^\/]*\.)?dry-skin\.boom\.ru
+https?:\/\/([^\/]*\.)?dry1skin\.chat\.ru
+https?:\/\/([^\/]*\.)?dscw0\.szm\.sk
+https?:\/\/([^\/]*\.)?dtmpdpxmsu-video\.blogspot\.com
+https?:\/\/([^\/]*\.)?du-alice\.blogspot\.com
+https?:\/\/([^\/]*\.)?duaroti\.50webs\.com
+https?:\/\/([^\/]*\.)?dub-dom-adu\.blogspot\.com
+https?:\/\/([^\/]*\.)?duckyporn-ifl4u28p\.blogspot\.com
+https?:\/\/([^\/]*\.)?ducpjo3\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?dudoctor\.com
+https?:\/\/([^\/]*\.)?dudubilz\.dl\.pl
+https?:\/\/([^\/]*\.)?dumtrric\.forumzen\.com
+https?:\/\/([^\/]*\.)?duncemoney\.com
+https?:\/\/([^\/]*\.)?dunham-hiking-boot\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?dup-xxxfolder-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?dupiwu\.com
+https?:\/\/([^\/]*\.)?duptv\.szm\.sk
+https?:\/\/([^\/]*\.)?dushen\.net\.cn
+https?:\/\/([^\/]*\.)?dusts\.info
+https?:\/\/([^\/]*\.)?dutbm\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?duxbd\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?duxfhgz\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?dvd-vergini-economici-r\.host24h\.info
+https?:\/\/([^\/]*\.)?dvdunwritten\.toplog\.nl
+https?:\/\/([^\/]*\.)?dwaeaz-free-porn\.blogspot\.com
+https?:\/\/([^\/]*\.)?dwsyv\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?dxjyb\.szm\.sk
+https?:\/\/([^\/]*\.)?dykzozqlpt-video\.blogspot\.com
+https?:\/\/([^\/]*\.)?dzb\.com\.cn
+https?:\/\/([^\/]*\.)?dzgzm\.cn
+https?:\/\/([^\/]*\.)?dzjp\.left-page\.com
+https?:\/\/([^\/]*\.)?dzjp\.zxvo\.com
+https?:\/\/([^\/]*\.)?dzsb\.com
+https?:\/\/([^\/]*\.)?dzsc\.com
+https?:\/\/([^\/]*\.)?e-dishnetworks\.com
+https?:\/\/([^\/]*\.)?e-fanyi\.org
+https?:\/\/([^\/]*\.)?e-holdem\.net
+https?:\/\/([^\/]*\.)?e\.kth\.se
+https?:\/\/([^\/]*\.)?e16\.info
+https?:\/\/([^\/]*\.)?e93\.iitalia\.com
+https?:\/\/([^\/]*\.)?eaby2\.szm\.sk
+https?:\/\/([^\/]*\.)?eacome\.com
+https?:\/\/([^\/]*\.)?eafg6\.szm\.sk
+https?:\/\/([^\/]*\.)?eaglechief\.com
+https?:\/\/([^\/]*\.)?eamon-fuck-it-video\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?eappf\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?earticlesonline\.com
+https?:\/\/([^\/]*\.)?easy-furniture\.org
+https?:\/\/([^\/]*\.)?easygals-com-h4\.blogspot\.com
+https?:\/\/([^\/]*\.)?easypic-com-if7pnmrbxd\.blogspot\.com
+https?:\/\/([^\/]*\.)?easypornstars-com-b8k4y\.blogspot\.com
+https?:\/\/([^\/]*\.)?easypornstars-com-subut\.blogspot\.com
+https?:\/\/([^\/]*\.)?easysail\.net\.cn
+https?:\/\/([^\/]*\.)?eating-free-lesbian-pussy\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?eating-lesbian-pussy\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?ebackground-checks\.com
+https?:\/\/([^\/]*\.)?ebdoc\.com
+https?:\/\/([^\/]*\.)?ebnjj\.info
+https?:\/\/([^\/]*\.)?ebony-free-woman\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?ebony-fuck-tit\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?ebony-horny-hot-woman\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?ebony-hot-outdoor\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?ebony-info-photo-remember-sexy\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?ebony-info-remember-tit\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?ebony-party-sex\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?ebony-porn-trailer\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?ebony-sex-com\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?ebony-teen-pic\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?ebony-teen-tgp\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?ebonyblack-net-gf\.blogspot\.com
+https?:\/\/([^\/]*\.)?ebonyblack-net-m3dy7kn\.blogspot\.com
+https?:\/\/([^\/]*\.)?ebonybooty\.wehostporno\.com
+https?:\/\/([^\/]*\.)?ebusiness-cards\.org
+https?:\/\/([^\/]*\.)?ecar-rentals\.com
+https?:\/\/([^\/]*\.)?eccellente\.info
+https?:\/\/([^\/]*\.)?ececu\.com
+https?:\/\/([^\/]*\.)?echayka\.com
+https?:\/\/([^\/]*\.)?eclexion\.net
+https?:\/\/([^\/]*\.)?eclissi-di-luna\.host24h\.info
+https?:\/\/([^\/]*\.)?ecomm1\.csug\.rochester\.edu
+https?:\/\/([^\/]*\.)?econtact-lens\.com
+https?:\/\/([^\/]*\.)?ed2k\.net\.ua
+https?:\/\/([^\/]*\.)?edasim\.com
+https?:\/\/([^\/]*\.)?eddiereva\.com
+https?:\/\/([^\/]*\.)?edetrali\.jconserv\.net
+https?:\/\/([^\/]*\.)?edomerna\.jconserv\.net
+https?:\/\/([^\/]*\.)?edonline\.ua\.edu
+https?:\/\/([^\/]*\.)?edqlzct\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?education\.beta\.edgewood\.edu
+https?:\/\/([^\/]*\.)?education\.uncc\.edu
+https?:\/\/([^\/]*\.)?education1degree\.chat\.ru
+https?:\/\/([^\/]*\.)?ee3\.be
+https?:\/\/([^\/]*\.)?eelive\.info
+https?:\/\/([^\/]*\.)?eenxn\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ees\.ufl\.edu
+https?:\/\/([^\/]*\.)?eesg8\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?eeshq\.com
+https?:\/\/([^\/]*\.)?eetop\.info
+https?:\/\/([^\/]*\.)?eevjgn7\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?effexor-xr\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?effexor\.b0ne\.com
+https?:\/\/([^\/]*\.)?egciz\.szm\.sk
+https?:\/\/([^\/]*\.)?egnadn-free-porn\.blogspot\.com
+https?:\/\/([^\/]*\.)?egnpgno\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?egoldhyip\.athost\.net
+https?:\/\/([^\/]*\.)?egoldhyip\.sitesfree\.com
+https?:\/\/([^\/]*\.)?egunteronline\.com
+https?:\/\/([^\/]*\.)?eightalya\.blogspot\.com
+https?:\/\/([^\/]*\.)?eighty-8088\.blogspot\.com
+https?:\/\/([^\/]*\.)?eijy7\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ejeesf2\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ejreoonster\.blogspot\.com
+https?:\/\/([^\/]*\.)?ejvyd\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?ejyt9\.szm\.sk
+https?:\/\/([^\/]*\.)?ekajl\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?ekjms\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ekttsaj\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ekviceaia\.blogspot\.com
+https?:\/\/([^\/]*\.)?ekxkfyz\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?el-ladies-com-m4ayb\.blogspot\.com
+https?:\/\/([^\/]*\.)?elacelal\.informe\.com
+https?:\/\/([^\/]*\.)?elbasbo\.dl\.pl
+https?:\/\/([^\/]*\.)?elbocboc\.bbgraf\.com
+https?:\/\/([^\/]*\.)?elbows-at\.blogspot\.com
+https?:\/\/([^\/]*\.)?elbtoy\.realpornstar\.net
+https?:\/\/([^\/]*\.)?elcnaac\.cultureforum\.net
+https?:\/\/([^\/]*\.)?electric-scooter\.hotmail\.ru
+https?:\/\/([^\/]*\.)?electric1scooter\.chat\.ru
+https?:\/\/([^\/]*\.)?electricscooterland\.com
+https?:\/\/([^\/]*\.)?elephantlist-com-hozhkwuac6\.blogspot\.com
+https?:\/\/([^\/]*\.)?elephantlist-com-kedi0pfbp\.blogspot\.com
+https?:\/\/([^\/]*\.)?elerc4t\.blogcu\.com
+https?:\/\/([^\/]*\.)?eleven-heaven0a\.blogspot\.com
+https?:\/\/([^\/]*\.)?elevtenl\.blogspot\.com
+https?:\/\/([^\/]*\.)?elishacuthbertfansite\.com
+https?:\/\/([^\/]*\.)?eliteclips-com-c0hwm3fji\.blogspot\.com
+https?:\/\/([^\/]*\.)?eliteclips-com-k5hkm6yi\.blogspot\.com
+https?:\/\/([^\/]*\.)?eliteclips-com-kzjz30a5k4\.blogspot\.com
+https?:\/\/([^\/]*\.)?elixirium\.ifrance\.com
+https?:\/\/([^\/]*\.)?eloloac\.bbgraf\.com
+https?:\/\/([^\/]*\.)?eloudar\.discutforum\.com
+https?:\/\/([^\/]*\.)?elovejob\.info
+https?:\/\/([^\/]*\.)?elpos\.szm\.sk
+https?:\/\/([^\/]*\.)?eltalchi\.bbfr\.net
+https?:\/\/([^\/]*\.)?eltalli\.zj\.pl
+https?:\/\/([^\/]*\.)?eltbuzel\.forumzen\.com
+https?:\/\/([^\/]*\.)?eltcer\.winnerforum\.net
+https?:\/\/([^\/]*\.)?eltgetric\.uy\.pl
+https?:\/\/([^\/]*\.)?eltrelboc\.bbfr\.net
+https?:\/\/([^\/]*\.)?eltrical\.uy\.pl
+https?:\/\/([^\/]*\.)?eltroboc\.lolforum\.net
+https?:\/\/([^\/]*\.)?eltrolpas\.winnerforum\.net
+https?:\/\/([^\/]*\.)?elttaacel\.heavenforum\.com
+https?:\/\/([^\/]*\.)?elttaacel\.highforum\.net
+https?:\/\/([^\/]*\.)?elttrocpas\.discutforum\.com
+https?:\/\/([^\/]*\.)?elwg0\.szm\.sk
+https?:\/\/([^\/]*\.)?elysium-ringtonebub\.blogspot\.com
+https?:\/\/([^\/]*\.)?elysium-ringtonemzb\.blogspot\.com
+https?:\/\/([^\/]*\.)?elzelchi\.cultureforum\.net
+https?:\/\/([^\/]*\.)?emails\.ncedly\.be
+https?:\/\/([^\/]*\.)?emea-consulting\.net
+https?:\/\/([^\/]*\.)?emily\.slyip\.com
+https?:\/\/([^\/]*\.)?eminem-suck-my-dick\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?eminem-veyb\.blogspot\.com
+https?:\/\/([^\/]*\.)?eminen-lyric-ass-like-that\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?empire-holdem\.com
+https?:\/\/([^\/]*\.)?empire-holdem\.net
+https?:\/\/([^\/]*\.)?empire-holdem\.us
+https?:\/\/([^\/]*\.)?empire-poker\.black-poker\.com
+https?:\/\/([^\/]*\.)?empirepoker\.u288\.com
+https?:\/\/([^\/]*\.)?emxk0\.szm\.sk
+https?:\/\/([^\/]*\.)?ena-free-show\.net
+https?:\/\/([^\/]*\.)?enchanted1312\.blogspot\.com
+https?:\/\/([^\/]*\.)?end14april\.ifrance\.com
+https?:\/\/([^\/]*\.)?enema-fetish-free-picture\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?energo\.us
+https?:\/\/([^\/]*\.)?enetdrugsonline\.org
+https?:\/\/([^\/]*\.)?enfoldrevel\.epinoy\.com
+https?:\/\/([^\/]*\.)?engineerin2degre\.chat\.ru
+https?:\/\/([^\/]*\.)?engs\.info
+https?:\/\/([^\/]*\.)?enpresse\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?enteworld\.com
+https?:\/\/([^\/]*\.)?eoglu\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?eour8\.szm\.sk
+https?:\/\/([^\/]*\.)?ephedra\.269g\.net
+https?:\/\/([^\/]*\.)?ephedraproduct\.seesaa\.net
+https?:\/\/([^\/]*\.)?epiqq\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?epointer\.freewebpage\.org
+https?:\/\/([^\/]*\.)?eprom-dresses\.com
+https?:\/\/([^\/]*\.)?epxxw\.szm\.sk
+https?:\/\/([^\/]*\.)?erasser\.8tt\.org
+https?:\/\/([^\/]*\.)?erbocco\.discutforum\.com
+https?:\/\/([^\/]*\.)?erc4tget\.blogcu\.com
+https?:\/\/([^\/]*\.)?erdomrol\.heavenforum\.com
+https?:\/\/([^\/]*\.)?erdomrol\.highforum\.net
+https?:\/\/([^\/]*\.)?ereaa\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?erealtystore\.com
+https?:\/\/([^\/]*\.)?erelleta\.forumzen\.com
+https?:\/\/([^\/]*\.)?eremat\.com
+https?:\/\/([^\/]*\.)?erjiguan\.dzsc\.com
+https?:\/\/([^\/]*\.)?erlitroc\.xa\.pl
+https?:\/\/([^\/]*\.)?ernvmf7\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?erotic-gay-male-sex-story\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?erotic-story-fem-dom\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?ertral\.alkablog\.com
+https?:\/\/([^\/]*\.)?erw1r\.info
+https?:\/\/([^\/]*\.)?escher\.isis\.vanderbilt\.edu
+https?:\/\/([^\/]*\.)?eseo\.cn
+https?:\/\/([^\/]*\.)?esgic\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?eshilzet\.forumzen\.com
+https?:\/\/([^\/]*\.)?esino\.info
+https?:\/\/([^\/]*\.)?esiti-gara\.hostzz\.info
+https?:\/\/([^\/]*\.)?esjpd\.szm\.sk
+https?:\/\/([^\/]*\.)?esmpa\.com
+https?:\/\/([^\/]*\.)?esportswave\.com
+https?:\/\/([^\/]*\.)?esraj\.com
+https?:\/\/([^\/]*\.)?esseiwer\.dl\.pl
+https?:\/\/([^\/]*\.)?estarcollector\.blogspot\.com
+https?:\/\/([^\/]*\.)?etac4\.szm\.sk
+https?:\/\/([^\/]*\.)?etcoq\.szm\.sk
+https?:\/\/([^\/]*\.)?etgelcca\.forumzen\.com
+https?:\/\/([^\/]*\.)?ethnicpassion-com-db5\.blogspot\.com
+https?:\/\/([^\/]*\.)?ethnicpassion-com-ik80lyfnd\.blogspot\.com
+https?:\/\/([^\/]*\.)?ethnicpassion-com-iqa3gt\.blogspot\.com
+https?:\/\/([^\/]*\.)?ethnicpassion-com-itw\.blogspot\.com
+https?:\/\/([^\/]*\.)?etjhechi\.forumzen\.com
+https?:\/\/([^\/]*\.)?etjhetrd\.forumzen\.com
+https?:\/\/([^\/]*\.)?etkrudom\.forumzen\.com
+https?:\/\/([^\/]*\.)?etlitvir\.forumzen\.com
+https?:\/\/([^\/]*\.)?etlurlir\.forumzen\.com
+https?:\/\/([^\/]*\.)?etmuhlie\.forumzen\.com
+https?:\/\/([^\/]*\.)?etnuidet\.forumzen\.com
+https?:\/\/([^\/]*\.)?etofas\.com
+https?:\/\/([^\/]*\.)?etrart\.com
+https?:\/\/([^\/]*\.)?etrewlia\.forumzen\.com
+https?:\/\/([^\/]*\.)?etshalir\.forumzen\.com
+https?:\/\/([^\/]*\.)?ettamlet\.forumzen\.com
+https?:\/\/([^\/]*\.)?ettimera\.forumzen\.com
+https?:\/\/([^\/]*\.)?etwhiett\.forumzen\.com
+https?:\/\/([^\/]*\.)?etwhiolo\.forumzen\.com
+https?:\/\/([^\/]*\.)?etzvg\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?etzyrpk\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?eubaspro\.com
+https?:\/\/([^\/]*\.)?eudrayv\.blogspot\.com
+https?:\/\/([^\/]*\.)?eueyniqott-video\.blogspot\.com
+https?:\/\/([^\/]*\.)?euomtrna\.jconserv\.net
+https?:\/\/([^\/]*\.)?eurosexparties-com-jezun\.blogspot\.com
+https?:\/\/([^\/]*\.)?eurosexparties-com-mmnl2m\.blogspot\.com
+https?:\/\/([^\/]*\.)?eva-irina\.isuisse\.com
+https?:\/\/([^\/]*\.)?evamiee\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?eveqx\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?everywhere-com-tpovy8exl\.blogspot\.com
+https?:\/\/([^\/]*\.)?evfegl9\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?evhp2\.szm\.sk
+https?:\/\/([^\/]*\.)?evil-gummiworm\.blogspot\.com
+https?:\/\/([^\/]*\.)?ewdnqb4\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?exchange-lingerie-link-womens\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?exciting-casino\.com
+https?:\/\/([^\/]*\.)?exhuq\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?extrarx\.kvkb\.com
+https?:\/\/([^\/]*\.)?extremeapril-com-adsm\.blogspot\.com
+https?:\/\/([^\/]*\.)?extremeapril-com-woje\.blogspot\.com
+https?:\/\/([^\/]*\.)?extremeapril-dhx1z\.blogspot\.com
+https?:\/\/([^\/]*\.)?ez\.asn\.und\.edu
+https?:\/\/([^\/]*\.)?ezjor\.com
+https?:\/\/([^\/]*\.)?ezkes\.com
+https?:\/\/([^\/]*\.)?ezxwc\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?f-download-free-sex-video\.blogspot\.com
+https?:\/\/([^\/]*\.)?f-z-a\.com
+https?:\/\/([^\/]*\.)?faadney\.dl\.pl
+https?:\/\/([^\/]*\.)?fabrizio-corona\.freehostss\.info
+https?:\/\/([^\/]*\.)?face-lick-lickable-licker-tongue\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?facial-abuse--com\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?facial-hair-remover\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?facial-paralysis-treatment\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?facial-plastic-surgery-manhattan\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?facial-whore\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?faculty\.etsu\.edu
+https?:\/\/([^\/]*\.)?faculty\.hope\.edu
+https?:\/\/([^\/]*\.)?faculty\.oxy\.edu
+https?:\/\/([^\/]*\.)?faculty\.ugf\.edu
+https?:\/\/([^\/]*\.)?faculty\.whatcom\.ctc\.edu
+https?:\/\/([^\/]*\.)?facweb\.cs\.depaul\.edu
+https?:\/\/([^\/]*\.)?fadianji\.dzsc\.com
+https?:\/\/([^\/]*\.)?fagin\.info
+https?:\/\/([^\/]*\.)?fairy\.8888mb\.com
+https?:\/\/([^\/]*\.)?faleake\.dl\.pl
+https?:\/\/([^\/]*\.)?falling-anvil\.blogspot\.com
+https?:\/\/([^\/]*\.)?family-viagra\.com
+https?:\/\/([^\/]*\.)?famouspornstars-com-o020ss18d8\.blogspot\.com
+https?:\/\/([^\/]*\.)?famvir\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?fangdaqi\.dzsc\.com
+https?:\/\/([^\/]*\.)?fantasticnudes-com-bol80a\.blogspot\.com
+https?:\/\/([^\/]*\.)?fantasticnudes-com-by8gook\.blogspot\.com
+https?:\/\/([^\/]*\.)?fantasticnudes-com-qic\.blogspot\.com
+https?:\/\/([^\/]*\.)?fantasticnudes-com-r60\.blogspot\.com
+https?:\/\/([^\/]*\.)?fantasy-hand-job-teen\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?farmius\.org
+https?:\/\/([^\/]*\.)?fascination\.my10gb\.com
+https?:\/\/([^\/]*\.)?fasnty\.com
+https?:\/\/([^\/]*\.)?fasoft\.com\.cn
+https?:\/\/([^\/]*\.)?fast-loaneqh\.blogspot\.com
+https?:\/\/([^\/]*\.)?fastmovers\.org
+https?:\/\/([^\/]*\.)?fat-banging\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?fat-blonde-woman\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?fat-fuck-video\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?fat-porn-clip-infoecm\.blogspot\.com
+https?:\/\/([^\/]*\.)?fat-porn-clip-infomyi\.blogspot\.com
+https?:\/\/([^\/]*\.)?fat-tgp-com-ee\.blogspot\.com
+https?:\/\/([^\/]*\.)?fat-tgp-com-g654ywug\.blogspot\.com
+https?:\/\/([^\/]*\.)?fatfucks-com-kdy41nn4fr\.blogspot\.com
+https?:\/\/([^\/]*\.)?fatfucks-com-t232q4\.blogspot\.com
+https?:\/\/([^\/]*\.)?fatfucks-com-tf0v115rm\.blogspot\.com
+https?:\/\/([^\/]*\.)?fatfucks-com-thr8\.blogspot\.com
+https?:\/\/([^\/]*\.)?fathut-com-db66nw2a\.blogspot\.com
+https?:\/\/([^\/]*\.)?fathut-com-hqloar2t8\.blogspot\.com
+https?:\/\/([^\/]*\.)?fattythumbs-com-el24t2\.blogspot\.com
+https?:\/\/([^\/]*\.)?fattythumbs-com-iq1\.blogspot\.com
+https?:\/\/([^\/]*\.)?fau75\.blogspot\.com
+https?:\/\/([^\/]*\.)?favorite-casino\.com
+https?:\/\/([^\/]*\.)?fawninglace\.freehostingz\.com
+https?:\/\/([^\/]*\.)?fbcjr\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?fbugy\.szm\.sk
+https?:\/\/([^\/]*\.)?fcpx5\.szm\.sk
+https?:\/\/([^\/]*\.)?fcuml\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?fcup3\.szm\.sk
+https?:\/\/([^\/]*\.)?fdjcz\.sunp\.com
+https?:\/\/([^\/]*\.)?fdxyp\.szm\.sk
+https?:\/\/([^\/]*\.)?fe-algebra\.blogspot\.com
+https?:\/\/([^\/]*\.)?fe-whateve\.blogspot\.com
+https?:\/\/([^\/]*\.)?fedems\.com
+https?:\/\/([^\/]*\.)?feeltime\.cn
+https?:\/\/([^\/]*\.)?feeltime\.com
+https?:\/\/([^\/]*\.)?fehpks4\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?feidenfurniture\.com
+https?:\/\/([^\/]*\.)?fejwh\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?fem-dom-art-and-drawing\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?female-ass-worship\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?female-condom-sex\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?female-v\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?femdog\.blogspot\.com
+https?:\/\/([^\/]*\.)?feq-tugjobs-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?ferda111\.php5\.cz
+https?:\/\/([^\/]*\.)?ferer\.superprovider\.de
+https?:\/\/([^\/]*\.)?feretuc\.forumculture\.net
+https?:\/\/([^\/]*\.)?ferrarimaser\.info
+https?:\/\/([^\/]*\.)?fest-frat-fuck\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?fetish-club-in-ipswitch-uk\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?fetish-hardcore-lesbian-more\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?fetish-heel-high-in-nylon-pretty-toe\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?fetish-pantie-white\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?fetus-free-sex-video-l\.blogspot\.com
+https?:\/\/([^\/]*\.)?ffhi7\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?fflive\.info
+https?:\/\/([^\/]*\.)?fge-teens-links-0\.blogspot\.com
+https?:\/\/([^\/]*\.)?fghrf\.8888mb\.com
+https?:\/\/([^\/]*\.)?fgvan\.info
+https?:\/\/([^\/]*\.)?fgvzq\.szm\.sk
+https?:\/\/([^\/]*\.)?fhbi5\.szm\.sk
+https?:\/\/([^\/]*\.)?fhmms\.szm\.sk
+https?:\/\/([^\/]*\.)?fhwl-com-dy1tbi5l\.blogspot\.com
+https?:\/\/([^\/]*\.)?fhwl-com-mn8ni\.blogspot\.com
+https?:\/\/([^\/]*\.)?fhwl-com-wc\.blogspot\.com
+https?:\/\/([^\/]*\.)?fhwl-com-wgrog\.blogspot\.com
+https?:\/\/([^\/]*\.)?fibiger\.org
+https?:\/\/([^\/]*\.)?fifa2007it\.org
+https?:\/\/([^\/]*\.)?fihqquj\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?filipina-lesbian-teen\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?fillchill\.mybbland\.com
+https?:\/\/([^\/]*\.)?film-da-scaricare\.host24h\.info
+https?:\/\/([^\/]*\.)?finalteens-com-c6o0080y\.blogspot\.com
+https?:\/\/([^\/]*\.)?finalteens-com-kpngsoxf\.blogspot\.com
+https?:\/\/([^\/]*\.)?finanziamento-on-line\.19mb\.info
+https?:\/\/([^\/]*\.)?finanziamento-personale\.hostzz\.info
+https?:\/\/([^\/]*\.)?finanziamento-treviso\.host24h\.info
+https?:\/\/([^\/]*\.)?findbabychick\.info
+https?:\/\/([^\/]*\.)?findbabygirl\.info
+https?:\/\/([^\/]*\.)?findvic\.com
+https?:\/\/([^\/]*\.)?fine-lingerie-online-sexy\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?finger-fuck-clip\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?fioricet\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?fioricet\.47\.pl
+https?:\/\/([^\/]*\.)?fioricet\.edu\.tf
+https?:\/\/([^\/]*\.)?fioricet\.hav\.pl
+https?:\/\/([^\/]*\.)?fioricet\.skocz\.net
+https?:\/\/([^\/]*\.)?fioricetrx\.weboficial\.com
+https?:\/\/([^\/]*\.)?fioricets\.blogspot\.com
+https?:\/\/([^\/]*\.)?firejedi\.blogspot\.com
+https?:\/\/([^\/]*\.)?first-free-fuck-time-video\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?first-lesbian-experience-story\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?first-lesbian-video-xxx\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?first-porn\.futureblog\.org
+https?:\/\/([^\/]*\.)?firstchristianbank\.info
+https?:\/\/([^\/]*\.)?firstdrugstorezone\.info
+https?:\/\/([^\/]*\.)?firstfriends\.us
+https?:\/\/([^\/]*\.)?firsthorizonmtg\.com
+https?:\/\/([^\/]*\.)?firstnightcharleston\.com
+https?:\/\/([^\/]*\.)?firsttimeauditions-com-asq5m\.blogspot\.com
+https?:\/\/([^\/]*\.)?firsttimeauditions-com-gxs\.blogspot\.com
+https?:\/\/([^\/]*\.)?fishdor\.joolo\.com
+https?:\/\/([^\/]*\.)?fishing-rod\.247ihost\.com
+https?:\/\/([^\/]*\.)?fishing-rod\.hostpresso\.com
+https?:\/\/([^\/]*\.)?fishing-rod\.joolo\.com
+https?:\/\/([^\/]*\.)?fishins\.247ihost\.com
+https?:\/\/([^\/]*\.)?fishman\.freewebhosting360\.com
+https?:\/\/([^\/]*\.)?fishmpegs-com-oet5\.blogspot\.com
+https?:\/\/([^\/]*\.)?fishmpegs-com-r5\.blogspot\.com
+https?:\/\/([^\/]*\.)?fishmpegs-com-r87f7ui\.blogspot\.com
+https?:\/\/([^\/]*\.)?fisting-gay-movie\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?fisting-group-sex\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?fisting-lesbian-movie\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?fisting-lesson-maya\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?fistinglessons-com-dmskwfs\.blogspot\.com
+https?:\/\/([^\/]*\.)?fistinglessons-com-i03p\.blogspot\.com
+https?:\/\/([^\/]*\.)?fitofarm\.com
+https?:\/\/([^\/]*\.)?fitvzj-free-porn\.blogspot\.com
+https?:\/\/([^\/]*\.)?fjp\.left-page\.com
+https?:\/\/([^\/]*\.)?fjp\.zxvo\.com
+https?:\/\/([^\/]*\.)?fkcvlna\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?fkvvp\.szm\.sk
+https?:\/\/([^\/]*\.)?flandra\.php5\.cz
+https?:\/\/([^\/]*\.)?flawformed\.hostonmars\.com
+https?:\/\/([^\/]*\.)?flexeril\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?flextra\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?flkkmex\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?fllood\.blogspot\.com
+https?:\/\/([^\/]*\.)?fllwlv6\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?flnet\.org
+https?:\/\/([^\/]*\.)?flonase\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?flooring-guide\.org
+https?:\/\/([^\/]*\.)?floridamortgage-x\.com
+https?:\/\/([^\/]*\.)?floridian-love\.blogspot\.com
+https?:\/\/([^\/]*\.)?flower\.hostonmars\.com
+https?:\/\/([^\/]*\.)?flowerdive\.info
+https?:\/\/([^\/]*\.)?flqcjnw\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?fluffy\.hostcroc\.com
+https?:\/\/([^\/]*\.)?fluoxetine\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?fnhtgggv\.t35\.com
+https?:\/\/([^\/]*\.)?fnxsj\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?foecedh3artbrat\.blogspot\.com
+https?:\/\/([^\/]*\.)?foedu-de-solnil\.blogspot\.com
+https?:\/\/([^\/]*\.)?folast\.com
+https?:\/\/([^\/]*\.)?folcan\.my10gb\.com
+https?:\/\/([^\/]*\.)?fondi-italiano\.hostzz\.info
+https?:\/\/([^\/]*\.)?for-whorevideos-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?forbestcare\.info
+https?:\/\/([^\/]*\.)?forensic1nursing\.chat\.ru
+https?:\/\/([^\/]*\.)?forex\.fasthost\.pl
+https?:\/\/([^\/]*\.)?fork-hief\.ibelgique\.com
+https?:\/\/([^\/]*\.)?formulam\.net
+https?:\/\/([^\/]*\.)?fortune-slots\.com
+https?:\/\/([^\/]*\.)?forum\.kharkiv\.edu
+https?:\/\/([^\/]*\.)?fos-sologals-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?foto-sabrina-ferilli\.host24h\.info
+https?:\/\/([^\/]*\.)?foxeykie\.iespana\.es
+https?:\/\/([^\/]*\.)?fozq6\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?fpa7kctb\.t35\.com
+https?:\/\/([^\/]*\.)?fqred\.com
+https?:\/\/([^\/]*\.)?fragolla\.blogspot\.com
+https?:\/\/([^\/]*\.)?frbarber\.dl\.pl
+https?:\/\/([^\/]*\.)?frbracco\.dl\.pl
+https?:\/\/([^\/]*\.)?freakthumbs-com-bp\.blogspot\.com
+https?:\/\/([^\/]*\.)?freakthumbs-com-hcmkk\.blogspot\.com
+https?:\/\/([^\/]*\.)?frebnet\.com
+https?:\/\/([^\/]*\.)?fredd0\.info
+https?:\/\/([^\/]*\.)?free-access\.freeinsite\.net
+https?:\/\/([^\/]*\.)?free-adult-porn-clip-info43c\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-adult-porn-clip-infopqk\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-amateur-hardcore-pic\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?free-amateur-submitted-photo\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?free-amateur-video-e2843\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-anal-blonde-sex-pic\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?free-anal-porn-clip\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?free-anal-xxx-clip\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?free-anime-porn-clip-info6tn\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-anime-porn-clips-newsyby\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-asian-lesbian-sex-video\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?free-bbw-porn-clip-blog4wm\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-big-ass-porn\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?free-big-boob-porn-movie\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?free-big-boob\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?free-bizarre-insertion-pic\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?free-bizarre-porn-pic\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?free-black-amateur\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?free-black-porn-clip-blogo7t\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-black-porn-movie-kebi\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-black-porn-video-clip-newsjqj\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-busty-asian-movie\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?free-busty-blonde-pic\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?free-busty-porn-movie\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?free-busty-redhead-pic\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?free-butt-fucking-video\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?free-cartoon-porn-clip-zoneikt\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-cartoon-porn-clip-zonewpm\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-celebrity-porn-clip-infowuz\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-celebrity-porn-video-bo\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-chubby-girl-pic\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?free-cock-sucking-slut-video\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?free-college-fuck-fest-gallery\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?free-cum-blow-job-gallery\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?free-cum-in-mouth-video\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?free-cum-swap-video\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?free-ebony-porn-clip-zonek7c\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-ebony-porn-clip-zonelcy\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-ebony-pussy-pic\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?free-ebony-sex-video-di\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-fat-porn-clip-infotno\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-fem-dom-thumb\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?free-female-orgasm-video-bb-bb-h\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-filipina-sex-movie\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?free-first-time-lesbian-sex-story\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?free-fisting-mpeg\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?free-fisting-sex-video\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?free-fucking-bitch\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?free-fucking-gallery-hardcore\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?free-fucking-sex-porn-site\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?free-full-length-porn-mov-bb-bb-w\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-gang-bang-sample\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?free-gay-hunk-male-movie-muscled-stud-video\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?free-gay-muscle\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?free-gay-picture-sex\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?free-gay-porn-clips-info35a\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-gay-porn-movie-clip-bloglor\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-gay-porn-movie-p-d-h\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-gay-porn-video-clip-newsa83\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-gay-porn-video-p-v-p\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-gay-porn-web-site\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?free-gay-sex-movie-bb-bb-s\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-gay-sex-teen-trailer\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?free-gay-trailer\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?free-granny-lesbian\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?free-granny-movie-old\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?free-granny-pic-woman\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?free-group-lesbian-preview-sex-video\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?free-hand-job-movie-bb-bb-h\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-hand-job-video-clip-bb-bb-c\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-hardcore-movie-gallery-xxx\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?free-hardcore-porn-clip-blog07a\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-hardcore-porn-clip-blogi4k\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-hardcore-porn-star-picture\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?free-hardcore-sex-mpeg\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?free-heel-high-leg-pic-stilettos-stocking\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?free-homemade-porn-clip-news22n\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-homemade-porn-clip-news7ro\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-hot-blonde-nude-pic\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?free-hot-leg-nude-wallpaper-woman\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?free-hot-mom\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?free-hot-web-cam-video-h-s-f\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-huge-black-gay-cock\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?free-in-lesbian-movie-nylons\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?free-indian-porn-clip-infok6x\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-interracial-sex-video-ko\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-latin-ass\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?free-lesbian-cam-chat\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?free-lesbian-clip-zuxi\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-lesbian-hentai-movie\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?free-lesbian-licking-pic\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?free-lesbian-mature-sex-video-young\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?free-lesbian-movie-gjqvl\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-lesbian-porn-clips-blogagm\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-lesbian-porn-video-clip-newsidt\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-lesbian-video-a7e8t\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-lesbian-xxx-picture\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?free-long-porn-clip-info2fo\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-long-porn-clip-info7pr\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-long-porn-movie-clip-blogxn2\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-long-porn-movie-clip-zoneq4f\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-long-porn-video-wi\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-male-bisexual-porn\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?free-mature-porn-clip-zone5bz\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-mature-sex-clip\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?free-mature-sex-story\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?free-mature-thumbnail-post\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?free-milf-porn-clip-zonenqn\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-milf-video-bb-bb-n\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-mmf-bisex\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?free-naked-mature-lady\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?free-nude-bitch\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?free-nude-blonde-gallery\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?free-older-woman-fucking\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?free-online-poker-000\.biz
+https?:\/\/([^\/]*\.)?free-orgasm-clip-supi\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-paris-hilton-porn-clip-infolah\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-personal-gay-links-tommys-favorite\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?free-pic-of-big-dicks\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?free-pic-of-busty-babes\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?free-pic-of-woman-kissing\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?free-picture-hardcore-anal\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?free-picture-hardcore-anal\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?free-picture-huge-clit\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?free-porn-chwd\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-porn-clip-nep\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-porn-clip-trailer-infog42\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-porn-clip-zoneil5\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-porn-clip-zonek61\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-porn-clip-zoneu7t\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-porn-clips-newsid3\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-porn-movie-to0r5\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-porn-movie-zet\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-porn-psp-video-po\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-porn-sample-clip-infodwd\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-porn-sample-clip-newsb26\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-porn-sex-clip-zoneavj\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-porn-star-movie-bb-bb-h\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-porn-star-video-clip-blog81e\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-porn-video-clip-info7km\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-porn-video-clip-infoixk\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-porn-video-clip-sample-newsw3t\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-porn-video-clips-infooem\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-porn-video-porn-clip-blogiep\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-porn-video-porn-clip-zonepqd\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-porn-video-puz\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-porn\.xa\.pl
+https?:\/\/([^\/]*\.)?free-pussy-fucking-movie\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?free-ringtones\.269g\.net
+https?:\/\/([^\/]*\.)?free-ringtones\.aqhhhh\.info
+https?:\/\/([^\/]*\.)?free-ringtoneten\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-sex-clip-ceb\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-sex-movie-pow\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-sex-video-clip-kog\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-sex-video-hup\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-sex-video\.hostithere\.org
+https?:\/\/([^\/]*\.)?free-sexy-leg-video\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?free-shemale-fucking-woman-movie\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?free-shemale-movie-w-r-s\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-skin\.newmail\.ru
+https?:\/\/([^\/]*\.)?free-skin\.pochta\.ru
+https?:\/\/([^\/]*\.)?free-spase\.info
+https?:\/\/([^\/]*\.)?free-sprint-ringtoneija\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-sprint-ringtonesrha\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-t-mobile-ringtonehvh\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-teen-movie-cock-sucking\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?free-teen-porn-clip-blogpmw\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-teen-porn-clip-infohxv\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-teen-porn-video-clip-newsi5v\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-teens-porn-com-wod\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-video-sex-chat-ki\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-xxx-amateur-web-cam\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?free-xxx-porn-clip-infoibv\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-xxx-porn-video-clip-infopij\.blogspot\.com
+https?:\/\/([^\/]*\.)?free-young-lesbian-movie\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?free2peek-com-c1iicr\.blogspot\.com
+https?:\/\/([^\/]*\.)?free2peek-com-co8g24x0ee\.blogspot\.com
+https?:\/\/([^\/]*\.)?free2peek-com-gi6v\.blogspot\.com
+https?:\/\/([^\/]*\.)?free_skin\.chat\.ru
+https?:\/\/([^\/]*\.)?freebigmovies-com-b25i040z\.blogspot\.com
+https?:\/\/([^\/]*\.)?freebigmovies-com-bxf440\.blogspot\.com
+https?:\/\/([^\/]*\.)?freebigmovies-com-rst\.blogspot\.com
+https?:\/\/([^\/]*\.)?freebloger\.com
+https?:\/\/([^\/]*\.)?freecooktop\.info
+https?:\/\/([^\/]*\.)?freedirectoryv\.com
+https?:\/\/([^\/]*\.)?freeforumbuilder\.com
+https?:\/\/([^\/]*\.)?freegonzo-com-du\.blogspot\.com
+https?:\/\/([^\/]*\.)?freegonzo-com-e8n\.blogspot\.com
+https?:\/\/([^\/]*\.)?freegonzo-com-og5mpk\.blogspot\.com
+https?:\/\/([^\/]*\.)?freegonzo-od5evmn\.blogspot\.com
+https?:\/\/([^\/]*\.)?freeheaven-com-ap22\.blogspot\.com
+https?:\/\/([^\/]*\.)?freeheaven-com-w1kx4ymi\.blogspot\.com
+https?:\/\/([^\/]*\.)?freehost\.ag
+https?:\/\/([^\/]*\.)?freehugemovies-com-dr\.blogspot\.com
+https?:\/\/([^\/]*\.)?freehugemovies-com-hi3\.blogspot\.com
+https?:\/\/([^\/]*\.)?freeinsite\.net
+https?:\/\/([^\/]*\.)?freeinternetplus\.info
+https?:\/\/([^\/]*\.)?freenextelringtonesupf\.blogspot\.com
+https?:\/\/([^\/]*\.)?freeones-com-amrmdwb\.blogspot\.com
+https?:\/\/([^\/]*\.)?freeones-com-m1fc\.blogspot\.com
+https?:\/\/([^\/]*\.)?freeones-com-mb70w6\.blogspot\.com
+https?:\/\/([^\/]*\.)?freeones-com-mqdm\.blogspot\.com
+https?:\/\/([^\/]*\.)?freeones-com-tfirm5smvh\.blogspot\.com
+https?:\/\/([^\/]*\.)?freepaintballgun\.com
+https?:\/\/([^\/]*\.)?freepicseries-com-kb1\.blogspot\.com
+https?:\/\/([^\/]*\.)?freepicseries-com-tfct6n\.blogspot\.com
+https?:\/\/([^\/]*\.)?freeportalnow\.com
+https?:\/\/([^\/]*\.)?freeringtonedgf\.blogspot\.com
+https?:\/\/([^\/]*\.)?freeringtones\.seesaa\.net
+https?:\/\/([^\/]*\.)?freeringtonesptl\.blogspot\.com
+https?:\/\/([^\/]*\.)?freeringtoness\.fr\.funpic\.de
+https?:\/\/([^\/]*\.)?frees--123clips-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?frees--8teenfiles-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?frees--africanvagina-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?frees--ah-me-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?frees--akissbetweenthelegs-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?frees--allsitesaccess-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?frees--amateurcurves-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?frees--asssupply-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?frees--atkmodels-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?frees--auntmia-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?frees--bigtitspalace-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?frees--boneme-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?frees--bootycollection-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?frees--bustyadventures-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?frees--camcrush-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?frees--camelclips-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?frees--caughtnude-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?frees--celebrityscandal-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?frees--cliphunter-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?frees--cowlist-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?frees--dirtydaughter-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?frees--easygals-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?frees--ebonyblack-net\.blogspot\.com
+https?:\/\/([^\/]*\.)?frees--el-ladies-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?frees--eurosexparties-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?frees--fuckingfreemovies-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?frees--gallfree-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?frees--girlfur-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?frees--grannyplanet-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?frees--greentits-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?frees--hanksgalleries-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?frees--hereistheporn-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?frees--hornybanana-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?frees--idealbabes-net\.blogspot\.com
+https?:\/\/([^\/]*\.)?frees--indianpassion-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?frees--ispycameltoe-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?freespaces\.com
+https?:\/\/([^\/]*\.)?freesprintringtoneenx\.blogspot\.com
+https?:\/\/([^\/]*\.)?freesprintringtoneswkd\.blogspot\.com
+https?:\/\/([^\/]*\.)?freestyman\.com
+https?:\/\/([^\/]*\.)?freetmobileringtonekaw\.blogspot\.com
+https?:\/\/([^\/]*\.)?freeverizonringtonevol\.blogspot\.com
+https?:\/\/([^\/]*\.)?freewebsitehosting\.net
+https?:\/\/([^\/]*\.)?freexmovies-com-i1xi8s\.blogspot\.com
+https?:\/\/([^\/]*\.)?freexmovies-com-ojxc1k\.blogspot\.com
+https?:\/\/([^\/]*\.)?freeyaho\.com
+https?:\/\/([^\/]*\.)?french-kissing-my-sister\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?french-kissing\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?french-lick-child-family-entertainment\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?french-tickler-condom\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?french168\.com
+https?:\/\/([^\/]*\.)?frenchcum-btb\.blogspot\.com
+https?:\/\/([^\/]*\.)?frenchcum-com-mzqj8hpm\.blogspot\.com
+https?:\/\/([^\/]*\.)?frenchcum-com-w07ua1w7z\.blogspot\.com
+https?:\/\/([^\/]*\.)?fresh-sex\.futureblog\.org
+https?:\/\/([^\/]*\.)?friendsgrabber\.com
+https?:\/\/([^\/]*\.)?fripcn9\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?frog-sexgtw\.blogspot\.com
+https?:\/\/([^\/]*\.)?frog-sexlfe\.blogspot\.com
+https?:\/\/([^\/]*\.)?frogm\.info
+https?:\/\/([^\/]*\.)?frogsex-com-ev4zmddt\.blogspot\.com
+https?:\/\/([^\/]*\.)?frogsex-com-ivtcaqfsx\.blogspot\.com
+https?:\/\/([^\/]*\.)?frogsex-gnle04e\.blogspot\.com
+https?:\/\/([^\/]*\.)?frt3\.org\.ua
+https?:\/\/([^\/]*\.)?fsxhl\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?fsyflower\.com
+https?:\/\/([^\/]*\.)?ft568\.com
+https?:\/\/([^\/]*\.)?ftffo\.szm\.sk
+https?:\/\/([^\/]*\.)?fthaop1\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ftntg\.szm\.sk
+https?:\/\/([^\/]*\.)?fu-alexus\.blogspot\.com
+https?:\/\/([^\/]*\.)?fuck-gallery-picture\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?fuck-her-in-mouth\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?fuck-lesbian-pussy\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?fuck-pussy-suck-swallow\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?fuck-sexy-slut\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?fuck-the-maidens\.info
+https?:\/\/([^\/]*\.)?fuckfromass\.info
+https?:\/\/([^\/]*\.)?fucking-hardcore-japanese-slut\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?fucking-lesbian-licking-pussy\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?fucking-machine-thumbnail\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?fucking-preity-sucking-zinta\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?fucking-teen-party\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?fuckingfreemovies-com-gvps\.blogspot\.com
+https?:\/\/([^\/]*\.)?fuckingfreemovies-com-gy2\.blogspot\.com
+https?:\/\/([^\/]*\.)?fuckingfreemovies-com-qogog\.blogspot\.com
+https?:\/\/([^\/]*\.)?fuckingmachines-com-b4glo\.blogspot\.com
+https?:\/\/([^\/]*\.)?fuckingmachines-com-h3\.blogspot\.com
+https?:\/\/([^\/]*\.)?fuckingmachines-com-hqrbqh4\.blogspot\.com
+https?:\/\/([^\/]*\.)?fuckk-com-ot8wc\.blogspot\.com
+https?:\/\/([^\/]*\.)?fuckk-com-r6\.blogspot\.com
+https?:\/\/([^\/]*\.)?fuckk-com-rw5485\.blogspot\.com
+https?:\/\/([^\/]*\.)?fucksakes-com-ks7uskz64\.blogspot\.com
+https?:\/\/([^\/]*\.)?fufpyl5\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?funberry-com-meft\.blogspot\.com
+https?:\/\/([^\/]*\.)?funberry-com-mkjvxl\.blogspot\.com
+https?:\/\/([^\/]*\.)?funberry-com-tz8\.blogspot\.com
+https?:\/\/([^\/]*\.)?funkall\.us
+https?:\/\/([^\/]*\.)?funny-stuff\.3ae6ucb\.info
+https?:\/\/([^\/]*\.)?funpelv\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?fuohcbq\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?furama\.dtdns\.net
+https?:\/\/([^\/]*\.)?furrysoap\.ifrance\.com
+https?:\/\/([^\/]*\.)?fuvo-aimee\.blogspot\.com
+https?:\/\/([^\/]*\.)?fuyinj\.ebloggy\.com
+https?:\/\/([^\/]*\.)?fvinc\.4t\.com
+https?:\/\/([^\/]*\.)?fvjz5\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?fwbhexo\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?fweroze\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?fwvsp\.szm\.sk
+https?:\/\/([^\/]*\.)?fx120\.com
+https?:\/\/([^\/]*\.)?fx120\.net
+https?:\/\/([^\/]*\.)?fxxb5\.szm\.sk
+https?:\/\/([^\/]*\.)?fyisy\.szm\.sk
+https?:\/\/([^\/]*\.)?fylfw\.szm\.sk
+https?:\/\/([^\/]*\.)?fyyvb\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?fzdlcfzh-teensite\.blogspot\.com
+https?:\/\/([^\/]*\.)?g-maste\.com
+https?:\/\/([^\/]*\.)?g2c2rtc\.info
+https?:\/\/([^\/]*\.)?g3eros\.info
+https?:\/\/([^\/]*\.)?g76\.be
+https?:\/\/([^\/]*\.)?gadkj\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?gaeq2\.szm\.sk
+https?:\/\/([^\/]*\.)?gafdyq9\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?gagase\.com
+https?:\/\/([^\/]*\.)?gailc\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?galleries4free-com-e1ew\.blogspot\.com
+https?:\/\/([^\/]*\.)?galleries4free-com-o8\.blogspot\.com
+https?:\/\/([^\/]*\.)?gallery-jenna-jameson-blonde\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?gallery-kissing-woman-woman\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?gallery-lesson-milf\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?gallery-lingerie-see-teen-thru\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?gallery-mature-stocking\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?gallfree-com-b0uf2q0\.blogspot\.com
+https?:\/\/([^\/]*\.)?gallfree-com-ra5f1d7\.blogspot\.com
+https?:\/\/([^\/]*\.)?gallfree-com-teruq\.blogspot\.com
+https?:\/\/([^\/]*\.)?gallview-com-a2wqsk\.blogspot\.com
+https?:\/\/([^\/]*\.)?gallview-com-ahasx\.blogspot\.com
+https?:\/\/([^\/]*\.)?gallview-com-wa6froqpl\.blogspot\.com
+https?:\/\/([^\/]*\.)?gambling-online-theory\.com
+https?:\/\/([^\/]*\.)?gamenase\.com
+https?:\/\/([^\/]*\.)?gamez4less\.com
+https?:\/\/([^\/]*\.)?gang-bang-free-pic-gallery\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?gangsheng\.home4u\.china\.com
+https?:\/\/([^\/]*\.)?gaping-ass-sex\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?gaqx6\.szm\.sk
+https?:\/\/([^\/]*\.)?garid\.biz
+https?:\/\/([^\/]*\.)?garlic\.hostonmars\.com
+https?:\/\/([^\/]*\.)?garter\.nocostwebhosting\.com
+https?:\/\/([^\/]*\.)?gastrointestinal\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?gastrw\.com
+https?:\/\/([^\/]*\.)?gatalgat\.myrealboard\.com
+https?:\/\/([^\/]*\.)?gatloral\.myrealboard\.com
+https?:\/\/([^\/]*\.)?gatx10258\.blogspot\.com
+https?:\/\/([^\/]*\.)?gay-bareback-story\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?gay-big-cock-video-clip\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?gay-black-blow-job\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?gay-blonde-twinks\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?gay-cum-eater\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?gay-gang-bang-video\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?gay-girl-kissing\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?gay-girl-video\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?gay-hispanic-man-naked\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?gay-hot-latinos\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?gay-huge-thick-cock\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?gay-hunk-sex\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?gay-man-hardcore\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?gay-man-man-sex\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?gay-man-mature-old-picture\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?gay-man-naked-wrestling\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?gay-marriage-benefit\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?gay-photo-personals\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?gay-porn-clip-zonehyx\.blogspot\.com
+https?:\/\/([^\/]*\.)?gay-porn-video-clip-news8dd\.blogspot\.com
+https?:\/\/([^\/]*\.)?gay-pride-week-in-florida\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?gay-sex-video-on-demand\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?gay-teen-man\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?gay-teen-sex-clip\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?gay-themed-movie\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?gay-twinks-fucking\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?gay-web-cam-site\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?gay-woman-chat-rooms\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?gazwc\.szm\.sk
+https?:\/\/([^\/]*\.)?gbapc\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?gbd-amy\.hostithere\.org
+https?:\/\/([^\/]*\.)?gbtw1\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?gbvgxus\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?gceaq\.szm\.sk
+https?:\/\/([^\/]*\.)?gcqqkhx\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?gd-r\.com
+https?:\/\/([^\/]*\.)?gdfea\.szm\.sk
+https?:\/\/([^\/]*\.)?geb0cs\.nokedem\.com
+https?:\/\/([^\/]*\.)?gebu-aeh\.blogspot\.com
+https?:\/\/([^\/]*\.)?geddesvicente\.info
+https?:\/\/([^\/]*\.)?geflamio\.dl\.pl
+https?:\/\/([^\/]*\.)?genaholincorporated\.com
+https?:\/\/([^\/]*\.)?generic-paxil\.contact\.cc
+https?:\/\/([^\/]*\.)?genericbuy\.o-f\.com
+https?:\/\/([^\/]*\.)?genoux\.dnsrd\.com
+https?:\/\/([^\/]*\.)?georgiamortgage-x\.com
+https?:\/\/([^\/]*\.)?germani4\.blogspot\.com
+https?:\/\/([^\/]*\.)?gesrju\.com
+https?:\/\/([^\/]*\.)?get6or6li\.dl\.pl
+https?:\/\/([^\/]*\.)?getaer\.forumculture\.net
+https?:\/\/([^\/]*\.)?getbankruptcylaw\.info
+https?:\/\/([^\/]*\.)?getdeerelt\.forumzen\.com
+https?:\/\/([^\/]*\.)?getdelsit\.zj\.pl
+https?:\/\/([^\/]*\.)?getdomsit\.blogcu\.com
+https?:\/\/([^\/]*\.)?getfemon\.dynamicforum\.net
+https?:\/\/([^\/]*\.)?getgetvi\.lolbb\.com
+https?:\/\/([^\/]*\.)?gethelp24x7\.net
+https?:\/\/([^\/]*\.)?getkuric\.blogcu\.com
+https?:\/\/([^\/]*\.)?getletovi\.winnerforum\.net
+https?:\/\/([^\/]*\.)?getlimon\.discutfree\.com
+https?:\/\/([^\/]*\.)?getowson\.dl\.pl
+https?:\/\/([^\/]*\.)?gettaer\.ephpbb\.com
+https?:\/\/([^\/]*\.)?getzelvi\.goodbb\.net
+https?:\/\/([^\/]*\.)?gezu-alaina\.blogspot\.com
+https?:\/\/([^\/]*\.)?gfd69\.tripod\.com
+https?:\/\/([^\/]*\.)?gg0\.be
+https?:\/\/([^\/]*\.)?ggfix\.com
+https?:\/\/([^\/]*\.)?gglive\.info
+https?:\/\/([^\/]*\.)?gguu\.com
+https?:\/\/([^\/]*\.)?ggww\.dtdns\.net
+https?:\/\/([^\/]*\.)?ggzhm\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?ghhdh\.szm\.sk
+https?:\/\/([^\/]*\.)?ghiacci0\.info
+https?:\/\/([^\/]*\.)?ghnakjwcjp-video\.blogspot\.com
+https?:\/\/([^\/]*\.)?ghrvu\.szm\.sk
+https?:\/\/([^\/]*\.)?ghvd7\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?giant-cock-shemale\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?giant-dick-sucking\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?giant-dildo-in-pussy\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?gifo-administrator\.blogspot\.com
+https?:\/\/([^\/]*\.)?gigablast\.republika\.pl
+https?:\/\/([^\/]*\.)?gigagalleries-com-c1ylawg\.blogspot\.com
+https?:\/\/([^\/]*\.)?gigagalleries-com-cn4\.blogspot\.com
+https?:\/\/([^\/]*\.)?gigagalleries-com-gd0a\.blogspot\.com
+https?:\/\/([^\/]*\.)?gigavids-com-wcmck\.blogspot\.com
+https?:\/\/([^\/]*\.)?gimps\.info
+https?:\/\/([^\/]*\.)?giochi-java\.heroez\.info
+https?:\/\/([^\/]*\.)?gioco-avventura-vari\.bb22\.info
+https?:\/\/([^\/]*\.)?gioco-online-picchiaduro\.nnme\.info
+https?:\/\/([^\/]*\.)?gipolit\.asp2\.cz
+https?:\/\/([^\/]*\.)?girl-butt-in-tight-jeans\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?girl-guy-kissing\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?girl-kissing-video-bb-bb-j\.blogspot\.com
+https?:\/\/([^\/]*\.)?girl-playing-with-dildo\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?girl-showing-there-boob\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?girl-with-big-dildo\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?girlfur-com-ga\.blogspot\.com
+https?:\/\/([^\/]*\.)?girlfur-com-pisij\.blogspot\.com
+https?:\/\/([^\/]*\.)?gisogenu\.org
+https?:\/\/([^\/]*\.)?give-me-baby\.info
+https?:\/\/([^\/]*\.)?gjjf\.com
+https?:\/\/([^\/]*\.)?gjjp\.left-page\.com
+https?:\/\/([^\/]*\.)?gjjp\.zxvo\.com
+https?:\/\/([^\/]*\.)?gjqgec8\.info
+https?:\/\/([^\/]*\.)?gkjxcs1\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?gkwzrz8\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?glamouronline\.info
+https?:\/\/([^\/]*\.)?glebo\.host-page\.com
+https?:\/\/([^\/]*\.)?glebo1\.host-page\.com
+https?:\/\/([^\/]*\.)?glebo2\.host-page\.com
+https?:\/\/([^\/]*\.)?glebo3\.host-page\.com
+https?:\/\/([^\/]*\.)?glebo4\.host-page\.com
+https?:\/\/([^\/]*\.)?glebo5\.host-page\.com
+https?:\/\/([^\/]*\.)?glebo6\.host-page\.com
+https?:\/\/([^\/]*\.)?glebo7\.host-page\.com
+https?:\/\/([^\/]*\.)?glebo8\.host-page\.com
+https?:\/\/([^\/]*\.)?glebo9\.host-page\.com
+https?:\/\/([^\/]*\.)?glmv6\.szm\.sk
+https?:\/\/([^\/]*\.)?globalbartertrade\.info
+https?:\/\/([^\/]*\.)?globalflights\.org
+https?:\/\/([^\/]*\.)?globalrecreationguide\.com
+https?:\/\/([^\/]*\.)?globegarment\.com\.cn
+https?:\/\/([^\/]*\.)?glodgreen\.info
+https?:\/\/([^\/]*\.)?gloryhole\.coz\.in
+https?:\/\/([^\/]*\.)?glovebox\.freewebpage\.org
+https?:\/\/([^\/]*\.)?gloveboxes\.cn
+https?:\/\/([^\/]*\.)?gloveboxes\.com\.cn
+https?:\/\/([^\/]*\.)?glover\.host-page\.com
+https?:\/\/([^\/]*\.)?glover1\.host-page\.com
+https?:\/\/([^\/]*\.)?glover2\.host-page\.com
+https?:\/\/([^\/]*\.)?glover3\.host-page\.com
+https?:\/\/([^\/]*\.)?glover4\.host-page\.com
+https?:\/\/([^\/]*\.)?glover5\.host-page\.com
+https?:\/\/([^\/]*\.)?glover6\.host-page\.com
+https?:\/\/([^\/]*\.)?glover7\.host-page\.com
+https?:\/\/([^\/]*\.)?glover8\.host-page\.com
+https?:\/\/([^\/]*\.)?glover9\.host-page\.com
+https?:\/\/([^\/]*\.)?gmldsb\.com
+https?:\/\/([^\/]*\.)?gnsgs\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?go-ansna\.ibelgique\.com
+https?:\/\/([^\/]*\.)?goaie\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?goatlist-com-dk15cne\.blogspot\.com
+https?:\/\/([^\/]*\.)?goatlist-com-hi\.blogspot\.com
+https?:\/\/([^\/]*\.)?goatlist-com-o5\.blogspot\.com
+https?:\/\/([^\/]*\.)?gobabo\.nocostwebhosting\.com
+https?:\/\/([^\/]*\.)?gobald\.dtdns\.net
+https?:\/\/([^\/]*\.)?gobu4\.szm\.sk
+https?:\/\/([^\/]*\.)?gogllefr\.125mb\.com
+https?:\/\/([^\/]*\.)?gokletvi\.dynamicbb\.com
+https?:\/\/([^\/]*\.)?goko-adeline\.blogspot\.com
+https?:\/\/([^\/]*\.)?gold-watch\.grimgoe\.be
+https?:\/\/([^\/]*\.)?gold\.fathippohosting\.com
+https?:\/\/([^\/]*\.)?goldsexcity\.info
+https?:\/\/([^\/]*\.)?goldshop\.freehostia\.com
+https?:\/\/([^\/]*\.)?golenord\.dl\.pl
+https?:\/\/([^\/]*\.)?goler-sor1z\.blogspot\.com
+https?:\/\/([^\/]*\.)?golfhq\.org
+https?:\/\/([^\/]*\.)?golfshoot\.com
+https?:\/\/([^\/]*\.)?gololed\.blogspot\.com
+https?:\/\/([^\/]*\.)?gomy0\.szm\.sk
+https?:\/\/([^\/]*\.)?gonzo-movies-com-ez\.blogspot\.com
+https?:\/\/([^\/]*\.)?gonzo-movies-rkk5jq\.blogspot\.com
+https?:\/\/([^\/]*\.)?good568\.com
+https?:\/\/([^\/]*\.)?goodhealthjobs\.info
+https?:\/\/([^\/]*\.)?goodticket\.org
+https?:\/\/([^\/]*\.)?google-pharmacy\.com
+https?:\/\/([^\/]*\.)?google110\.yculblog\.com
+https?:\/\/([^\/]*\.)?googlepaiming\.ebloggy\.com
+https?:\/\/([^\/]*\.)?googletosh\.org
+https?:\/\/([^\/]*\.)?goporn\.info
+https?:\/\/([^\/]*\.)?gopremen\.dl\.pl
+https?:\/\/([^\/]*\.)?gor-richards-realm-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?gorillalinks-com-t77\.blogspot\.com
+https?:\/\/([^\/]*\.)?gorillashaman\.blogspot\.com
+https?:\/\/([^\/]*\.)?gorlum\.t35\.com
+https?:\/\/([^\/]*\.)?gorunger\.com
+https?:\/\/([^\/]*\.)?goxzk\.szm\.sk
+https?:\/\/([^\/]*\.)?gpdmr\.szm\.sk
+https?:\/\/([^\/]*\.)?gphv0\.szm\.sk
+https?:\/\/([^\/]*\.)?gplea\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?gqnzzlu\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?graduate-schools\.hotmail\.ru
+https?:\/\/([^\/]*\.)?graduate1degree\.chat\.ru
+https?:\/\/([^\/]*\.)?graduate2schools\.chat\.ru
+https?:\/\/([^\/]*\.)?graf\.pp\.ru
+https?:\/\/([^\/]*\.)?grammatica-inglese\.bb11\.info
+https?:\/\/([^\/]*\.)?gran-roques\.forumhst\.info
+https?:\/\/([^\/]*\.)?granny-boy-free-pic\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?granny-movie-thumb\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?grannyorgies-com-o1w34\.blogspot\.com
+https?:\/\/([^\/]*\.)?grannyorgies-com-ormpubp\.blogspot\.com
+https?:\/\/([^\/]*\.)?grannyorgies-com-r2on\.blogspot\.com
+https?:\/\/([^\/]*\.)?grannypictures-com-a2w1\.blogspot\.com
+https?:\/\/([^\/]*\.)?grannypictures-com-ak6273j85t\.blogspot\.com
+https?:\/\/([^\/]*\.)?grannyplanet-com-k1bea\.blogspot\.com
+https?:\/\/([^\/]*\.)?great-ass-gallery\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?greataftorless\.com
+https?:\/\/([^\/]*\.)?greatbmwclub\.cn
+https?:\/\/([^\/]*\.)?greatbmwparts\.cn
+https?:\/\/([^\/]*\.)?greatbudgetinn\.info
+https?:\/\/([^\/]*\.)?greathal\.com
+https?:\/\/([^\/]*\.)?greatinsurancedirect\.info
+https?:\/\/([^\/]*\.)?greatmercedescar\.info
+https?:\/\/([^\/]*\.)?greatpsychology\.info
+https?:\/\/([^\/]*\.)?greatusainternet\.info
+https?:\/\/([^\/]*\.)?green-gradens\.org
+https?:\/\/([^\/]*\.)?green-tea-300\.co\.nr
+https?:\/\/([^\/]*\.)?green-tea\.co\.nr
+https?:\/\/([^\/]*\.)?greentits-com-kr3h3787pt\.blogspot\.com
+https?:\/\/([^\/]*\.)?greentits-com-t8xf3x5\.blogspot\.com
+https?:\/\/([^\/]*\.)?greentits-com-tr242sh16\.blogspot\.com
+https?:\/\/([^\/]*\.)?greet\.ipupdater\.net
+https?:\/\/([^\/]*\.)?grgul\.szm\.sk
+https?:\/\/([^\/]*\.)?griev\.ipupdater\.com
+https?:\/\/([^\/]*\.)?griffeylaw\.com
+https?:\/\/([^\/]*\.)?grimasa\.republika\.pl
+https?:\/\/([^\/]*\.)?groovybus-com-cv8ry83\.blogspot\.com
+https?:\/\/([^\/]*\.)?groovybus-com-gy1258kur3\.blogspot\.com
+https?:\/\/([^\/]*\.)?groupforums\.com
+https?:\/\/([^\/]*\.)?groups-msn-com-masturbating-site-woman\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?groups\.ku\.edu
+https?:\/\/([^\/]*\.)?grrualca\.jconserv\.net
+https?:\/\/([^\/]*\.)?gsaxz\.szm\.sk
+https?:\/\/([^\/]*\.)?gsebook\.org
+https?:\/\/([^\/]*\.)?gsloi\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?gsuyfy2\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?gsxez\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?gu-amateur-sex-video-download\.blogspot\.com
+https?:\/\/([^\/]*\.)?guangdianyuanjian\.dzsc\.com
+https?:\/\/([^\/]*\.)?gudtk\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?guess76\.blogspot\.com
+https?:\/\/([^\/]*\.)?guilty-until-proven-innocent\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?gumaxxx-com-e3748i87g\.blogspot\.com
+https?:\/\/([^\/]*\.)?gumaxxx-com-ow774pk74m\.blogspot\.com
+https?:\/\/([^\/]*\.)?gumybear\.php5\.cz
+https?:\/\/([^\/]*\.)?gunterdirect\.com
+https?:\/\/([^\/]*\.)?gunterlawoffice\.com
+https?:\/\/([^\/]*\.)?guruful\.stabilt\.se
+https?:\/\/([^\/]*\.)?guys-butt-fucking\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?gvfefn3\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?gwebsearch\.org
+https?:\/\/([^\/]*\.)?gwjsx\.szm\.sk
+https?:\/\/([^\/]*\.)?gxrd3\.szm\.sk
+https?:\/\/([^\/]*\.)?gyig3\.szm\.sk
+https?:\/\/([^\/]*\.)?gym-equipments\.org
+https?:\/\/([^\/]*\.)?gyzvpxl\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?gzmayz6\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?gzvwa\.szm\.sk
+https?:\/\/([^\/]*\.)?h0vutok\.nokedem\.com
+https?:\/\/([^\/]*\.)?h15\.ru
+https?:\/\/([^\/]*\.)?h3as88dw\.info
+https?:\/\/([^\/]*\.)?habromjw\.dl\.pl
+https?:\/\/([^\/]*\.)?haemcurio\.0moola\.com
+https?:\/\/([^\/]*\.)?hahas\.info
+https?:\/\/([^\/]*\.)?hairy-ass-hole\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?hairy-chubby-gallery\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?hairy-leg-pic-woman\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?hairy-milf-movie\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?hairydivas-com-hg2gvyc\.blogspot\.com
+https?:\/\/([^\/]*\.)?hairydivas-t150c5gmf8\.blogspot\.com
+https?:\/\/([^\/]*\.)?hairyerotica-com-i4fl2x\.blogspot\.com
+https?:\/\/([^\/]*\.)?hairypinktacos-com-djl\.blogspot\.com
+https?:\/\/([^\/]*\.)?hairypinktacos-com-hlnfq3rea2\.blogspot\.com
+https?:\/\/([^\/]*\.)?hairypussypost-com-mk0ymrj\.blogspot\.com
+https?:\/\/([^\/]*\.)?hairypussypost-com-w6\.blogspot\.com
+https?:\/\/([^\/]*\.)?hal\.engr\.smu\.edu
+https?:\/\/([^\/]*\.)?halloween2006\.info
+https?:\/\/([^\/]*\.)?halx8\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?hamalo\.com
+https?:\/\/([^\/]*\.)?hamas2007\.republika\.pl
+https?:\/\/([^\/]*\.)?hand-job-movie-x-x-d\.blogspot\.com
+https?:\/\/([^\/]*\.)?hand-stained-xx\.blogspot\.com
+https?:\/\/([^\/]*\.)?hangzhau\.cn
+https?:\/\/([^\/]*\.)?hanksgalleries-com-b73flku8\.blogspot\.com
+https?:\/\/([^\/]*\.)?hanksgalleries-com-rtuvbgdl\.blogspot\.com
+https?:\/\/([^\/]*\.)?hanry\.stabilt\.se
+https?:\/\/([^\/]*\.)?haole\.cn
+https?:\/\/([^\/]*\.)?happy-pharma\.com
+https?:\/\/([^\/]*\.)?hard-disk-esterno\.hostzz\.info
+https?:\/\/([^\/]*\.)?hard-disk-esterno\.ll11\.info
+https?:\/\/([^\/]*\.)?hardbabes-com-e061obbg\.blogspot\.com
+https?:\/\/([^\/]*\.)?hardbabes-com-e588iqk\.blogspot\.com
+https?:\/\/([^\/]*\.)?hardcore-amateur-thumbnail\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?hardcore-asian-anal\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?hardcore-dancing-site-myspace-com\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?hardcore-free-porn-xx\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?hardcore-lesbian-pussy-eating\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?hardcore-mature-sex-young\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?hardcore-porn-clip-info73q\.blogspot\.com
+https?:\/\/([^\/]*\.)?hardcore-sex-black-on-white\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?hardcore-xxx-video\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?hardcoregangstas\.hrable\.dtdns\.net
+https?:\/\/([^\/]*\.)?hardhut-com-ao8renz\.blogspot\.com
+https?:\/\/([^\/]*\.)?hardhut-com-tgi\.blogspot\.com
+https?:\/\/([^\/]*\.)?hardhut-com-wb8m8\.blogspot\.com
+https?:\/\/([^\/]*\.)?hargd\.szm\.sk
+https?:\/\/([^\/]*\.)?harley-davidson-womens-boot\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?harted\.hostpresso\.com
+https?:\/\/([^\/]*\.)?haungsanok\.com
+https?:\/\/([^\/]*\.)?hawaiimortgage-x\.com
+https?:\/\/([^\/]*\.)?hawbij4\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?hawkesnest\.com
+https?:\/\/([^\/]*\.)?hazell-keeley-sex-video-bb-bb-f\.blogspot\.com
+https?:\/\/([^\/]*\.)?hbyfq\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?hcgs\.unh\.edu
+https?:\/\/([^\/]*\.)?hclt-events\.blogspot\.com
+https?:\/\/([^\/]*\.)?hdbj\.com\.cn
+https?:\/\/([^\/]*\.)?hdchina\.com
+https?:\/\/([^\/]*\.)?hdlwc\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?hdndeuw\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?he-wells\.blogspot\.com
+https?:\/\/([^\/]*\.)?he-wendy\.blogspot\.com
+https?:\/\/([^\/]*\.)?head-masturbating-shower-woman\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?health-insurance-0\.blogspot\.com
+https?:\/\/([^\/]*\.)?health-insurance-save\.com
+https?:\/\/([^\/]*\.)?health-jack\.com
+https?:\/\/([^\/]*\.)?healthinsurance-x\.com
+https?:\/\/([^\/]*\.)?healthprocare\.info
+https?:\/\/([^\/]*\.)?hebei-railings\.cn
+https?:\/\/([^\/]*\.)?hecarabi\.info
+https?:\/\/([^\/]*\.)?hefo-adrianna\.blogspot\.com
+https?:\/\/([^\/]*\.)?hek-tshirthell-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?hellim\.3x\.ro
+https?:\/\/([^\/]*\.)?heloween\.php5\.cz
+https?:\/\/([^\/]*\.)?hemilton\.stabilt\.se
+https?:\/\/([^\/]*\.)?hengxinbanjia\.com
+https?:\/\/([^\/]*\.)?her-first-anal-fucking\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?her-first-cum\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?her-first-lesbian-sex-kylie\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?her-first-small-dick\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?her-sweet-ass\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?here\.com\.cn
+https?:\/\/([^\/]*\.)?hereandnow0\.com
+https?:\/\/([^\/]*\.)?hereistheporn-com-abg\.blogspot\.com
+https?:\/\/([^\/]*\.)?hereistheporn-com-wxu4\.blogspot\.com
+https?:\/\/([^\/]*\.)?herpes\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?herufip\.info
+https?:\/\/([^\/]*\.)?heschlos\.dl\.pl
+https?:\/\/([^\/]*\.)?hevo-alannah\.blogspot\.com
+https?:\/\/([^\/]*\.)?hexingqc\.com
+https?:\/\/([^\/]*\.)?hfpm\.com
+https?:\/\/([^\/]*\.)?hfsflm0\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?hfslink\.com
+https?:\/\/([^\/]*\.)?hgdpy\.szm\.sk
+https?:\/\/([^\/]*\.)?hgh\.rx4\.org
+https?:\/\/([^\/]*\.)?hgqz5\.szm\.sk
+https?:\/\/([^\/]*\.)?hguldxxe-teensite\.blogspot\.com
+https?:\/\/([^\/]*\.)?hgyxs\.szm\.sk
+https?:\/\/([^\/]*\.)?hhcoh\.szm\.sk
+https?:\/\/([^\/]*\.)?hhlive\.info
+https?:\/\/([^\/]*\.)?hhpump\.com
+https?:\/\/([^\/]*\.)?hiadadar\.forumzen\.com
+https?:\/\/([^\/]*\.)?higarret\.forumzen\.com
+https?:\/\/([^\/]*\.)?high-heel-western-boot\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?high-school-diploma\.hotmail\.ru
+https?:\/\/([^\/]*\.)?high1school4dipl\.chat\.ru
+https?:\/\/([^\/]*\.)?highclassblogs\.com
+https?:\/\/([^\/]*\.)?hilarykallin\.50webs\.org
+https?:\/\/([^\/]*\.)?hilipa\.com
+https?:\/\/([^\/]*\.)?hilipy\.com
+https?:\/\/([^\/]*\.)?hillery\.republika\.pl
+https?:\/\/([^\/]*\.)?himd4\.szm\.sk
+https?:\/\/([^\/]*\.)?himp3\.biz
+https?:\/\/([^\/]*\.)?hinn8\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?hioctane-com-dlmv6g7y\.blogspot\.com
+https?:\/\/([^\/]*\.)?hioctane-com-dq4opea\.blogspot\.com
+https?:\/\/([^\/]*\.)?hioctane-com-h0un\.blogspot\.com
+https?:\/\/([^\/]*\.)?hioctane-com-toxuz\.blogspot\.com
+https?:\/\/([^\/]*\.)?hipoldlady\.com
+https?:\/\/([^\/]*\.)?hirudaco\.forumzen\.com
+https?:\/\/([^\/]*\.)?his13lessings\.blogspot\.com
+https?:\/\/([^\/]*\.)?history-of-condom\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?hisyma\.dl\.pl
+https?:\/\/([^\/]*\.)?hitm4n\.blogspot\.com
+https?:\/\/([^\/]*\.)?hiv123\.com
+https?:\/\/([^\/]*\.)?hiv987\.zj\.com
+https?:\/\/([^\/]*\.)?hjia\.ebloggy\.com
+https?:\/\/([^\/]*\.)?hjolmed\.com
+https?:\/\/([^\/]*\.)?hkcompanyforyou\.cn
+https?:\/\/([^\/]*\.)?hkfeng\.com
+https?:\/\/([^\/]*\.)?hkwei\.com\.cn
+https?:\/\/([^\/]*\.)?hlemizd\.republika\.pl
+https?:\/\/([^\/]*\.)?hlusky\.republika\.pl
+https?:\/\/([^\/]*\.)?hlxn6\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?hmppbw-free-porn\.blogspot\.com
+https?:\/\/([^\/]*\.)?hnxsv\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ho-big-clip-tit-video-hox\.blogspot\.com
+https?:\/\/([^\/]*\.)?hobaysin\.ifrance\.com
+https?:\/\/([^\/]*\.)?hocohn\.dl\.pl
+https?:\/\/([^\/]*\.)?hohoo\.cn
+https?:\/\/([^\/]*\.)?hold-em-play\.com
+https?:\/\/([^\/]*\.)?hold-em-play\.net
+https?:\/\/([^\/]*\.)?hold-em-winner\.us
+https?:\/\/([^\/]*\.)?home-made-porn-clip-blogt7y\.blogspot\.com
+https?:\/\/([^\/]*\.)?home-mortgages\.bigsitecity\.com
+https?:\/\/([^\/]*\.)?home-sauna\.boom\.ru
+https?:\/\/([^\/]*\.)?home-secure\.org
+https?:\/\/([^\/]*\.)?home-sex-video-t1ux7\.blogspot\.com
+https?:\/\/([^\/]*\.)?home-spire\.com
+https?:\/\/([^\/]*\.)?home\.graffiti\.net
+https?:\/\/([^\/]*\.)?home\.sailormoon\.com
+https?:\/\/([^\/]*\.)?home2-school\.boom\.ru
+https?:\/\/([^\/]*\.)?homeequitylineofcredit-x\.com
+https?:\/\/([^\/]*\.)?homeequitylineofcreditlenders\.com
+https?:\/\/([^\/]*\.)?homeequityloan-now\.com
+https?:\/\/([^\/]*\.)?homeequityloans-now\.com
+https?:\/\/([^\/]*\.)?homeequityloans-x\.com
+https?:\/\/([^\/]*\.)?homefinance-x\.com
+https?:\/\/([^\/]*\.)?homeloan-now\.com
+https?:\/\/([^\/]*\.)?homeloanlogic\.com
+https?:\/\/([^\/]*\.)?homeloans-now\.com
+https?:\/\/([^\/]*\.)?homemade-mature-video\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?homemade-porn-clip-infoywx\.blogspot\.com
+https?:\/\/([^\/]*\.)?homesafterbankruptcy\.info
+https?:\/\/([^\/]*\.)?homesbysellers\.com
+https?:\/\/([^\/]*\.)?homesbysellers\.net
+https?:\/\/([^\/]*\.)?homesexvideo\.org
+https?:\/\/([^\/]*\.)?hometowngirls\.be
+https?:\/\/([^\/]*\.)?hometwat-com-hrmml\.blogspot\.com
+https?:\/\/([^\/]*\.)?homos\.php5\.cz
+https?:\/\/([^\/]*\.)?homunkulus\.info
+https?:\/\/([^\/]*\.)?homunkulus\.republika\.pl
+https?:\/\/([^\/]*\.)?homy\.etowns\.net
+https?:\/\/([^\/]*\.)?honba\.republika\.pl
+https?:\/\/([^\/]*\.)?honey99\.cn
+https?:\/\/([^\/]*\.)?honey99\.com
+https?:\/\/([^\/]*\.)?hongjianlaw\.com
+https?:\/\/([^\/]*\.)?hongqi120\.com
+https?:\/\/([^\/]*\.)?hoodia\.269g\.net
+https?:\/\/([^\/]*\.)?hoodiadiet\.269g\.net
+https?:\/\/([^\/]*\.)?hopemarry\.com
+https?:\/\/([^\/]*\.)?horizondrugs\.zaclona\.yi\.org
+https?:\/\/([^\/]*\.)?hornina\.net
+https?:\/\/([^\/]*\.)?horny-butt-sex\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?horny-grannysex-bipiw\.blogspot\.com
+https?:\/\/([^\/]*\.)?horny-hailey\.hostithere\.org
+https?:\/\/([^\/]*\.)?hornybanana-com-mg\.blogspot\.com
+https?:\/\/([^\/]*\.)?hornybanana-com-to0b\.blogspot\.com
+https?:\/\/([^\/]*\.)?hornycrocodile-com-c37c85\.blogspot\.com
+https?:\/\/([^\/]*\.)?hornycrocodile-com-gmb5ev\.blogspot\.com
+https?:\/\/([^\/]*\.)?hornycrocodile-com-gv3x3oxt\.blogspot\.com
+https?:\/\/([^\/]*\.)?hornyduck-com-esta\.blogspot\.com
+https?:\/\/([^\/]*\.)?hornyduck-com-oix7y2v\.blogspot\.com
+https?:\/\/([^\/]*\.)?hornykaren-com-b16sk5\.blogspot\.com
+https?:\/\/([^\/]*\.)?hornykaren-com-bl4rw4\.blogspot\.com
+https?:\/\/([^\/]*\.)?hornykaren-com-rg034\.blogspot\.com
+https?:\/\/([^\/]*\.)?hornyspanishflies-com-c0cx6a3bo\.blogspot\.com
+https?:\/\/([^\/]*\.)?hornyspanishflies-com-ks5856vf77\.blogspot\.com
+https?:\/\/([^\/]*\.)?hornytiger-com-d3\.blogspot\.com
+https?:\/\/([^\/]*\.)?hornytiger-com-il0x678fwr\.blogspot\.com
+https?:\/\/([^\/]*\.)?hornywolf-com-m27\.blogspot\.com
+https?:\/\/([^\/]*\.)?hornywolf-com-tqwaj\.blogspot\.com
+https?:\/\/([^\/]*\.)?hospitalonline\.cn
+https?:\/\/([^\/]*\.)?host-page\.com
+https?:\/\/([^\/]*\.)?hosting1999\.com
+https?:\/\/([^\/]*\.)?hosting41\.com
+https?:\/\/([^\/]*\.)?hot-anal-sex-com\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?hot-asian-cock\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?hot-ass-anal\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?hot-blonde-babe-gallery\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?hot-brazilian-ass\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?hot-bubble-butt-girl\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?hot-cheerleader-getting-fucked\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?hot-dating-chat\.ifastnet\.com
+https?:\/\/([^\/]*\.)?hot-latino-ass\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?hot-mature-sex-uk-woman\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?hot-mature-xxx\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?hot-mom-site-myspace-com\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?hot-nude-blonde-chick\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?hot-pussy-tit-ass\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?hot-sex-video-bb-bb-v\.blogspot\.com
+https?:\/\/([^\/]*\.)?hotbigmovies-com-cd\.blogspot\.com
+https?:\/\/([^\/]*\.)?hotbigmovies-com-k62que75im\.blogspot\.com
+https?:\/\/([^\/]*\.)?hotchina\.org
+https?:\/\/([^\/]*\.)?hotel-centro-benessere\.host24h\.info
+https?:\/\/([^\/]*\.)?hotel-offerta\.nnme\.info
+https?:\/\/([^\/]*\.)?hotel-shop\.info
+https?:\/\/([^\/]*\.)?hotelsaccommodations\.info
+https?:\/\/([^\/]*\.)?hotfunhouse-com-pevuv\.blogspot\.com
+https?:\/\/([^\/]*\.)?hotfunhouse-com-r5530\.blogspot\.com
+https?:\/\/([^\/]*\.)?hotgirlsplayroom-com-dl0q21\.blogspot\.com
+https?:\/\/([^\/]*\.)?hotgirlsplayroom-com-isnkeded\.blogspot\.com
+https?:\/\/([^\/]*\.)?hotmoko\.info
+https?:\/\/([^\/]*\.)?hotorange-net-ms\.blogspot\.com
+https?:\/\/([^\/]*\.)?hotpapai-com-hoc3g\.blogspot\.com
+https?:\/\/([^\/]*\.)?hotty-x\.com
+https?:\/\/([^\/]*\.)?hottystop-com-a252gugtk\.blogspot\.com
+https?:\/\/([^\/]*\.)?hottystop-com-grn\.blogspot\.com
+https?:\/\/([^\/]*\.)?house-15\.jeepsyc\.be
+https?:\/\/([^\/]*\.)?houses-bahamas\.ligamic\.be
+https?:\/\/([^\/]*\.)?housewifeaction-com-evv\.blogspot\.com
+https?:\/\/([^\/]*\.)?housewifeaction-com-o6z0yrnat\.blogspot\.com
+https?:\/\/([^\/]*\.)?housewifeaction-com-ob85\.blogspot\.com
+https?:\/\/([^\/]*\.)?hovadko\.isuisse\.com
+https?:\/\/([^\/]*\.)?hovass\.com
+https?:\/\/([^\/]*\.)?hovi-albatross\.blogspot\.com
+https?:\/\/([^\/]*\.)?how-to-catch-a-cheating-spouse\.com
+https?:\/\/([^\/]*\.)?how-to-make-a-boot-disk-on-win-xp\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?how-to-make-a-boot-disk\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?how-to-make-a-cock-ring\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?hppr7\.szm\.sk
+https?:\/\/([^\/]*\.)?hpua6\.szm\.sk
+https?:\/\/([^\/]*\.)?hpwe6\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?hq-casino\.org
+https?:\/\/([^\/]*\.)?hq-teens-com-aq\.blogspot\.com
+https?:\/\/([^\/]*\.)?hq-teens-com-w2opp2\.blogspot\.com
+https?:\/\/([^\/]*\.)?hq\.left-page\.com
+https?:\/\/([^\/]*\.)?hqgal-com-eu7gbk1o\.blogspot\.com
+https?:\/\/([^\/]*\.)?hqgal-com-ilxpxi0\.blogspot\.com
+https?:\/\/([^\/]*\.)?hqmovs-com-k31h4x37df\.blogspot\.com
+https?:\/\/([^\/]*\.)?hqmovs-com-tiou81\.blogspot\.com
+https?:\/\/([^\/]*\.)?hqmovs-com-ts5\.blogspot\.com
+https?:\/\/([^\/]*\.)?hrable\.ostabil\.nu
+https?:\/\/([^\/]*\.)?hrhbchj\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?hromotlk\.asp2\.cz
+https?:\/\/([^\/]*\.)?hrusky\.dtdns\.net
+https?:\/\/([^\/]*\.)?hscrza1\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ht120\.com
+https?:\/\/([^\/]*\.)?htejj\.szm\.sk
+https?:\/\/([^\/]*\.)?huaeq\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?huapatossu\.blogspot\.com
+https?:\/\/([^\/]*\.)?huataix\.net
+https?:\/\/([^\/]*\.)?hubo-aileen\.blogspot\.com
+https?:\/\/([^\/]*\.)?huem-polbu\.netfirms\.com
+https?:\/\/([^\/]*\.)?huge-cock-shemale\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?huge-dick-anal\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?huge-dildo-fucking\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?huge-mexican-ass\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?huge-sexy-boob\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?huge-white-dick\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?hulio\.asp2\.cz
+https?:\/\/([^\/]*\.)?hulks\.info
+https?:\/\/([^\/]*\.)?hummerworldusa\.info
+https?:\/\/([^\/]*\.)?hupa4\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?hurvinek\.isuisse\.com
+https?:\/\/([^\/]*\.)?huspinina\.com
+https?:\/\/([^\/]*\.)?hutwistina\.com
+https?:\/\/([^\/]*\.)?huynani\.mpage\.jp
+https?:\/\/([^\/]*\.)?hvebjr2\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?hver5\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?hvlnb\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?hwantiig-yg\.blogspot\.com
+https?:\/\/([^\/]*\.)?hwcw4\.szm\.sk
+https?:\/\/([^\/]*\.)?hwhhw\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?hwkxt\.szm\.sk
+https?:\/\/([^\/]*\.)?hxbqpz3\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?hxlll\.net
+https?:\/\/([^\/]*\.)?hydrochloride-tramadol\.1\.forogratis\.es
+https?:\/\/([^\/]*\.)?hydrocodone-911\.coz\.in
+https?:\/\/([^\/]*\.)?hydrocodone-cc\.blogspot\.com
+https?:\/\/([^\/]*\.)?hydrocodone-gs\.eu\.tc
+https?:\/\/([^\/]*\.)?hydrocodone-gs\.net\.tc
+https?:\/\/([^\/]*\.)?hydrocodone-online\.presteert\.nl
+https?:\/\/([^\/]*\.)?hydrocodone\.cheapills\.info
+https?:\/\/([^\/]*\.)?hydrocodone\.conto\.pl
+https?:\/\/([^\/]*\.)?hydrocodone\.esguay\.com
+https?:\/\/([^\/]*\.)?hydrocodone\.fws1\.com
+https?:\/\/([^\/]*\.)?hydrocodone\.guu\.pl
+https?:\/\/([^\/]*\.)?hydrocodone\.presteert\.nl
+https?:\/\/([^\/]*\.)?hydrocodone\.slyip\.net
+https?:\/\/([^\/]*\.)?hydrocodonebxs\.blogspot\.com
+https?:\/\/([^\/]*\.)?hydrocodoneq\.phpbbx\.de
+https?:\/\/([^\/]*\.)?hydrocodonerx\.weboficial\.com
+https?:\/\/([^\/]*\.)?hyip\.fanforum\.cc
+https?:\/\/([^\/]*\.)?hz7\.org
+https?:\/\/([^\/]*\.)?hzkap\.szm\.sk
+https?:\/\/([^\/]*\.)?hzmeitai\.com
+https?:\/\/([^\/]*\.)?hzui8\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?i-truepoker\.com
+https?:\/\/([^\/]*\.)?iactive\.com\.cn
+https?:\/\/([^\/]*\.)?iapon\.galeon\.com
+https?:\/\/([^\/]*\.)?ibizababes-com-tr\.blogspot\.com
+https?:\/\/([^\/]*\.)?ic37\.com
+https?:\/\/([^\/]*\.)?icdufetc\.forumzen\.com
+https?:\/\/([^\/]*\.)?ickaboo\.com
+https?:\/\/([^\/]*\.)?icnfr\.szm\.sk
+https?:\/\/([^\/]*\.)?ict\.188info\.com
+https?:\/\/([^\/]*\.)?idahomortgage-x\.com
+https?:\/\/([^\/]*\.)?idc2008\.cn
+https?:\/\/([^\/]*\.)?idealbabes-net-r5x1puaah0\.blogspot\.com
+https?:\/\/([^\/]*\.)?iditarodhumor\.info
+https?:\/\/([^\/]*\.)?iehf\.blogspot\.com
+https?:\/\/([^\/]*\.)?iehffy8\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?iehzup8\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ifdbx\.szm\.sk
+https?:\/\/([^\/]*\.)?ifmnqm6\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ifyj2\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?ihavenourl\.com
+https?:\/\/([^\/]*\.)?ihomebroker\.com
+https?:\/\/([^\/]*\.)?ihrd\.blogspot\.com
+https?:\/\/([^\/]*\.)?iiak2\.szm\.sk
+https?:\/\/([^\/]*\.)?iio91\.net
+https?:\/\/([^\/]*\.)?iisqvkk\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?iitop\.info
+https?:\/\/([^\/]*\.)?ikoxf\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?il4unu\.com
+https?:\/\/([^\/]*\.)?ilflz\.szm\.sk
+https?:\/\/([^\/]*\.)?ilfwvf2\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?illcom\.com
+https?:\/\/([^\/]*\.)?illinoismortgage-x\.com
+https?:\/\/([^\/]*\.)?ilove-movies-com-w5nx\.blogspot\.com
+https?:\/\/([^\/]*\.)?ilove-movies-com-wrde\.blogspot\.com
+https?:\/\/([^\/]*\.)?iloveubaby\.info
+https?:\/\/([^\/]*\.)?iltbl\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?im-really-cool\.blogspot\.com
+https?:\/\/([^\/]*\.)?imitrex\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?imitrexinjections\.sblog\.cz
+https?:\/\/([^\/]*\.)?immagine-sfondo-hello-kitty\.i111i\.info
+https?:\/\/([^\/]*\.)?imposingimo\.com
+https?:\/\/([^\/]*\.)?impossiblemale61\.blogspot\.com
+https?:\/\/([^\/]*\.)?imteen\.attacke\.ch
+https?:\/\/([^\/]*\.)?incontri-amore\.19mb\.info
+https?:\/\/([^\/]*\.)?incontri-amore\.you-bizz\.info
+https?:\/\/([^\/]*\.)?indian-porn-clip-zone5j4\.blogspot\.com
+https?:\/\/([^\/]*\.)?indian-porn-video-zo\.blogspot\.com
+https?:\/\/([^\/]*\.)?indian-sex-fuck\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?indianamortgage-x\.com
+https?:\/\/([^\/]*\.)?indienudes-com-h4owj60\.blogspot\.com
+https?:\/\/([^\/]*\.)?infinitemonies\.com
+https?:\/\/([^\/]*\.)?infinitieyewear\.info
+https?:\/\/([^\/]*\.)?infinitims\.info
+https?:\/\/([^\/]*\.)?infinitipianeta\.info
+https?:\/\/([^\/]*\.)?infoarena\.info
+https?:\/\/([^\/]*\.)?infospm\.21publish\.de
+https?:\/\/([^\/]*\.)?infty\.net
+https?:\/\/([^\/]*\.)?injecfu\.com
+https?:\/\/([^\/]*\.)?injxx\.szm\.sk
+https?:\/\/([^\/]*\.)?innocent-ass\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?innocent-busty-teen\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?innocent-eve-pic\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?innocentdream-com-da\.blogspot\.com
+https?:\/\/([^\/]*\.)?inqygjz\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?inrhqi3\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?insidethecloset\.com
+https?:\/\/([^\/]*\.)?inspectorgalleries-com-k5\.blogspot\.com
+https?:\/\/([^\/]*\.)?insurance-leader\.com
+https?:\/\/([^\/]*\.)?insurance-top\.com
+https?:\/\/([^\/]*\.)?insurance\.topforyou\.net
+https?:\/\/([^\/]*\.)?internal-ass-cum-shot\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?international-dating\.sexnation\.info
+https?:\/\/([^\/]*\.)?interracial-gang-bang-crew\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?interracial-gay-anal-sex\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?interracial-lesbian-domination\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?interracial-teen-fucking\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?interracialpicsuux\.blogspot\.com
+https?:\/\/([^\/]*\.)?intersea-fdn\.com
+https?:\/\/([^\/]*\.)?inthevip-com-bc1b0kb0\.blogspot\.com
+https?:\/\/([^\/]*\.)?inthevip-com-bvw\.blogspot\.com
+https?:\/\/([^\/]*\.)?inthevip-com-rso\.blogspot\.com
+https?:\/\/([^\/]*\.)?intll\.com
+https?:\/\/([^\/]*\.)?intra\.som\.umass\.edu
+https?:\/\/([^\/]*\.)?intranet\.education\.umn\.edu
+https?:\/\/([^\/]*\.)?invest1\.org\.ua
+https?:\/\/([^\/]*\.)?ioauaq0\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ionamin\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?ionizatorit\.info
+https?:\/\/([^\/]*\.)?iowamortgage-x\.com
+https?:\/\/([^\/]*\.)?ipeddle\.com
+https?:\/\/([^\/]*\.)?ipha4\.szm\.sk
+https?:\/\/([^\/]*\.)?ipod-application\.info
+https?:\/\/([^\/]*\.)?ipokea\.com
+https?:\/\/([^\/]*\.)?iqzyk\.szm\.sk
+https?:\/\/([^\/]*\.)?iradorame\.site\.voila\.fr
+https?:\/\/([^\/]*\.)?ircv6\.szm\.sk
+https?:\/\/([^\/]*\.)?irenefah-gijul\.blogspot\.com
+https?:\/\/([^\/]*\.)?irishlover\.info
+https?:\/\/([^\/]*\.)?iron-island\.blogspot\.com
+https?:\/\/([^\/]*\.)?ironing-boards\.haemati\.be
+https?:\/\/([^\/]*\.)?irqs8\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?isceby0\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?islands-tour\.globaltr\.info
+https?:\/\/([^\/]*\.)?isoway-yoga\.com
+https?:\/\/([^\/]*\.)?ispycameltoe-com-cugh6n\.blogspot\.com
+https?:\/\/([^\/]*\.)?ispycameltoe-com-g4s2f7vevs\.blogspot\.com
+https?:\/\/([^\/]*\.)?italiagame\.org
+https?:\/\/([^\/]*\.)?italianosito\.info
+https?:\/\/([^\/]*\.)?italika\.info
+https?:\/\/([^\/]*\.)?italizzhot\.info
+https?:\/\/([^\/]*\.)?italoman\.info
+https?:\/\/([^\/]*\.)?italytraffic\.info
+https?:\/\/([^\/]*\.)?itchy-skin\.nm\.ru
+https?:\/\/([^\/]*\.)?itchy_skin\.chat\.ru
+https?:\/\/([^\/]*\.)?itcweb\.ecsu\.edu
+https?:\/\/([^\/]*\.)?itdk0\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?item4u\.com
+https?:\/\/([^\/]*\.)?itgeecna\.forumzen\.com
+https?:\/\/([^\/]*\.)?itp\.nyu\.edu
+https?:\/\/([^\/]*\.)?itpvewa\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?itsum\.com\.cn
+https?:\/\/([^\/]*\.)?ityh1\.szm\.sk
+https?:\/\/([^\/]*\.)?iugfsvv\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?iuzjbpu\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ivana-fuck-a-lot\.hoffer\.ipupdater\.com
+https?:\/\/([^\/]*\.)?ivoyt\.blogspot\.com
+https?:\/\/([^\/]*\.)?ivs\.com\.cn
+https?:\/\/([^\/]*\.)?iwahigux\.info
+https?:\/\/([^\/]*\.)?iwantmature-com-mme\.blogspot\.com
+https?:\/\/([^\/]*\.)?iwuy8\.szm\.sk
+https?:\/\/([^\/]*\.)?ixiixi-com-guy\.blogspot\.com
+https?:\/\/([^\/]*\.)?ixllvdi\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ixnl4\.szm\.sk
+https?:\/\/([^\/]*\.)?ixth4\.szm\.sk
+https?:\/\/([^\/]*\.)?izizyu-free-porn\.blogspot\.com
+https?:\/\/([^\/]*\.)?izui7\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ja-rule-ringtonezad\.blogspot\.com
+https?:\/\/([^\/]*\.)?jablicko\.yi\.org
+https?:\/\/([^\/]*\.)?jablonec\.republika\.pl
+https?:\/\/([^\/]*\.)?jadelrel\.goodbb\.net
+https?:\/\/([^\/]*\.)?jagast\.com
+https?:\/\/([^\/]*\.)?jahmpf3\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?jaja-jak-globusy\.com
+https?:\/\/([^\/]*\.)?jajpip5\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?jamies-galleries-com-dr7itf8c\.blogspot\.com
+https?:\/\/([^\/]*\.)?jamm\.host-page\.com
+https?:\/\/([^\/]*\.)?janelolo\.fr-bb\.com
+https?:\/\/([^\/]*\.)?janet-jackson-boob-picture\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?janisy\.republika\.pl
+https?:\/\/([^\/]*\.)?jantiq\.com
+https?:\/\/([^\/]*\.)?jaoqmw1\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?japan-girl-sex\.com
+https?:\/\/([^\/]*\.)?japan-whores-com-e28dwa2vvb\.blogspot\.com
+https?:\/\/([^\/]*\.)?japan-whores-com-elay3rzsu\.blogspot\.com
+https?:\/\/([^\/]*\.)?japanese-geisha-girl\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?japanese-teacher-fuck\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?japanese158\.com
+https?:\/\/([^\/]*\.)?japanshanye\.com
+https?:\/\/([^\/]*\.)?jarella\.goodbb\.net
+https?:\/\/([^\/]*\.)?jasara-com-t6fn6k8\.blogspot\.com
+https?:\/\/([^\/]*\.)?jasara-com-two5\.blogspot\.com
+https?:\/\/([^\/]*\.)?jasara-com-wibeh\.blogspot\.com
+https?:\/\/([^\/]*\.)?jastim\.com
+https?:\/\/([^\/]*\.)?jbixc\.szm\.sk
+https?:\/\/([^\/]*\.)?jblt7\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?jcbbxb0\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?jdmz\.com\.cn
+https?:\/\/([^\/]*\.)?jeanniexong\.blogspot\.com
+https?:\/\/([^\/]*\.)?jebmd\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?jed-two-lips-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?jeffrorocks21\.blogspot\.com
+https?:\/\/([^\/]*\.)?jelatko\.republika\.pl
+https?:\/\/([^\/]*\.)?jelito\.stabilt\.se
+https?:\/\/([^\/]*\.)?jennifer-lopez-music-photo\.blogspot\.com
+https?:\/\/([^\/]*\.)?jennifer-lopez-ringtoneqnf\.blogspot\.com
+https?:\/\/([^\/]*\.)?jennysbookmarks-com-kcr\.blogspot\.com
+https?:\/\/([^\/]*\.)?jessica-alba-jly9\.blogspot\.com
+https?:\/\/([^\/]*\.)?jessiethebestie\.blogspot\.com
+https?:\/\/([^\/]*\.)?jesuisleflet\.blogspot\.com
+https?:\/\/([^\/]*\.)?jet-cold-hard-bitch-album-version-lyric\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?jetoo\.cn
+https?:\/\/([^\/]*\.)?jeun\.fr
+https?:\/\/([^\/]*\.)?jewels667\.blogspot\.com
+https?:\/\/([^\/]*\.)?jezgy\.szm\.sk
+https?:\/\/([^\/]*\.)?jfmr\.com
+https?:\/\/([^\/]*\.)?jgeqhqa\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?jhrxa\.szm\.sk
+https?:\/\/([^\/]*\.)?jhsy0\.szm\.sk
+https?:\/\/([^\/]*\.)?jiahuajipiao\.com
+https?:\/\/([^\/]*\.)?jiaju88\.com
+https?:\/\/([^\/]*\.)?jianfei\.cc
+https?:\/\/([^\/]*\.)?jianzhi168\.com
+https?:\/\/([^\/]*\.)?jiaza\.szm\.sk
+https?:\/\/([^\/]*\.)?jiboki-free-lesbian-video\.blogspot\.com
+https?:\/\/([^\/]*\.)?jichengdianlu\.dzsc\.com
+https?:\/\/([^\/]*\.)?jidianqi\.dzsc\.com
+https?:\/\/([^\/]*\.)?jieyan\.com\.cn
+https?:\/\/([^\/]*\.)?jiguang\.org
+https?:\/\/([^\/]*\.)?jijijo\.com
+https?:\/\/([^\/]*\.)?jimbo\.php5\.cz
+https?:\/\/([^\/]*\.)?jimmccarthy\.org\.uk
+https?:\/\/([^\/]*\.)?jimmini\.blogspot\.com
+https?:\/\/([^\/]*\.)?jinchengjipiao\.com
+https?:\/\/([^\/]*\.)?jinghuigift\.com
+https?:\/\/([^\/]*\.)?jingzhi-life\.com
+https?:\/\/([^\/]*\.)?jipiao\.51mp4mp3\.com
+https?:\/\/([^\/]*\.)?jipiaoweb\.co
+https?:\/\/([^\/]*\.)?jipiaoweb\.com
+https?:\/\/([^\/]*\.)?jiuwu\.com
+https?:\/\/([^\/]*\.)?jizzhut-com-oq4zf\.blogspot\.com
+https?:\/\/([^\/]*\.)?jizzonline-com-a3h52c1\.blogspot\.com
+https?:\/\/([^\/]*\.)?jizzonline-cz0h5y1nm\.blogspot\.com
+https?:\/\/([^\/]*\.)?jjfchljumf-video\.blogspot\.com
+https?:\/\/([^\/]*\.)?jjuwt\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?jkpotv-free-video\.blogspot\.com
+https?:\/\/([^\/]*\.)?jlhtj\.szm\.sk
+https?:\/\/([^\/]*\.)?jlibhe9\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?jmjx7\.szm\.sk
+https?:\/\/([^\/]*\.)?jnbxqy5\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?jnk713\.ifrance\.com
+https?:\/\/([^\/]*\.)?jnxx3\.szm\.sk
+https?:\/\/([^\/]*\.)?jobecraf\.dl\.pl
+https?:\/\/([^\/]*\.)?jobruler\.com
+https?:\/\/([^\/]*\.)?jocdy\.szm\.sk
+https?:\/\/([^\/]*\.)?jodofiv-free-fat-ass-mo-t\.blogspot\.com
+https?:\/\/([^\/]*\.)?johatch\.ifrance\.com
+https?:\/\/([^\/]*\.)?joia\.com
+https?:\/\/([^\/]*\.)?jointroompia\.com
+https?:\/\/([^\/]*\.)?jokerupot\.blogspot\.com
+https?:\/\/([^\/]*\.)?jon-a-ross\.blogspot\.com
+https?:\/\/([^\/]*\.)?jonn22\.com
+https?:\/\/([^\/]*\.)?jonsmovies-com-b23k3tx4h\.blogspot\.com
+https?:\/\/([^\/]*\.)?joperan\.org
+https?:\/\/([^\/]*\.)?journal\.eepis-its\.edu
+https?:\/\/([^\/]*\.)?joycclx\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?jozin\.php5\.cz
+https?:\/\/([^\/]*\.)?jp\.zxvo\.com
+https?:\/\/([^\/]*\.)?jpeghunter-com-h6rb6\.blogspot\.com
+https?:\/\/([^\/]*\.)?jpeghunter-com-hsa0g47vew\.blogspot\.com
+https?:\/\/([^\/]*\.)?jpha9\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?jplm\.cn
+https?:\/\/([^\/]*\.)?jptrip\.org
+https?:\/\/([^\/]*\.)?jqri2\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?jrcreations\.com
+https?:\/\/([^\/]*\.)?jrqzje5\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?jsjgdpg\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?jsyuanyang\.com
+https?:\/\/([^\/]*\.)?jtdh\.fuwuqituoguan\.com
+https?:\/\/([^\/]*\.)?jtgq3\.szm\.sk
+https?:\/\/([^\/]*\.)?jtoph\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?jtut6\.szm\.sk
+https?:\/\/([^\/]*\.)?ju-alexis\.blogspot\.com
+https?:\/\/([^\/]*\.)?ju-free-porn-video-download\.blogspot\.com
+https?:\/\/([^\/]*\.)?juanyuancailiao\.dzsc\.com
+https?:\/\/([^\/]*\.)?juggcrew-com-r4xwgs\.blogspot\.com
+https?:\/\/([^\/]*\.)?jugni\.50webs\.org
+https?:\/\/([^\/]*\.)?juicygals-com-c8o\.blogspot\.com
+https?:\/\/([^\/]*\.)?juliatalbot\.50webs\.org
+https?:\/\/([^\/]*\.)?julietsold\.info
+https?:\/\/([^\/]*\.)?jumasd\.com
+https?:\/\/([^\/]*\.)?jumtan\.com
+https?:\/\/([^\/]*\.)?junenanney\.site\.io
+https?:\/\/([^\/]*\.)?junky\.phpbb2\.us
+https?:\/\/([^\/]*\.)?junyuan\.com\.cn
+https?:\/\/([^\/]*\.)?jupka97\.blogspot\.com
+https?:\/\/([^\/]*\.)?juruortr\.jconserv\.net
+https?:\/\/([^\/]*\.)?juse-active\.blogspot\.com
+https?:\/\/([^\/]*\.)?justanotherebel\.blogspot\.com
+https?:\/\/([^\/]*\.)?justkor\.com
+https?:\/\/([^\/]*\.)?juyi6\.szm\.sk
+https?:\/\/([^\/]*\.)?jwfj8\.szm\.sk
+https?:\/\/([^\/]*\.)?jwk\.cn
+https?:\/\/([^\/]*\.)?jxxfl\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?jytpn\.szm\.sk
+https?:\/\/([^\/]*\.)?jzllteva-teensite\.blogspot\.com
+https?:\/\/([^\/]*\.)?jzoqn\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?k-teens-more\.blogspot\.com
+https?:\/\/([^\/]*\.)?k98you\.info
+https?:\/\/([^\/]*\.)?kabierac\.blogspot\.com
+https?:\/\/([^\/]*\.)?kaejsi\.com
+https?:\/\/([^\/]*\.)?kaiguan\.dzsc\.com
+https?:\/\/([^\/]*\.)?kaimitech\.com
+https?:\/\/([^\/]*\.)?kaizokukitsune\.blogspot\.com
+https?:\/\/([^\/]*\.)?kakaloo\.dtdns\.net
+https?:\/\/([^\/]*\.)?kalbongzig64\.blogspot\.com
+https?:\/\/([^\/]*\.)?kamachair\.info
+https?:\/\/([^\/]*\.)?kansasmortgage-x\.com
+https?:\/\/([^\/]*\.)?kaopowh\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?kardashian\.6\.forumer\.com
+https?:\/\/([^\/]*\.)?karwee\.com\.tw
+https?:\/\/([^\/]*\.)?katal0g\.ru
+https?:\/\/([^\/]*\.)?kates-playground-cjs61770aq\.blogspot\.com
+https?:\/\/([^\/]*\.)?kates-playground-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?katie-fey-m8inou71nk\.blogspot\.com
+https?:\/\/([^\/]*\.)?katie-fey\.hostithere\.org
+https?:\/\/([^\/]*\.)?katsmovies-com-a4k0cr\.blogspot\.com
+https?:\/\/([^\/]*\.)?kc-generator\.com
+https?:\/\/([^\/]*\.)?kc\.vanderbilt\.edu
+https?:\/\/([^\/]*\.)?kchaiguang\.com
+https?:\/\/([^\/]*\.)?kdmj1\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?kedew-free-porn-movie-c\.blogspot\.com
+https?:\/\/([^\/]*\.)?kedrp\.szm\.sk
+https?:\/\/([^\/]*\.)?keflexbuy\.easy\.to
+https?:\/\/([^\/]*\.)?keflexbuycheap\.everything\.at
+https?:\/\/([^\/]*\.)?keflexcheap\.notrix\.at
+https?:\/\/([^\/]*\.)?keflexcheapgeneric\.drop\.to
+https?:\/\/([^\/]*\.)?keflexgeneric\.firstpage\.de
+https?:\/\/([^\/]*\.)?kelley\.iu\.edu
+https?:\/\/([^\/]*\.)?kellyfind-com-i1\.blogspot\.com
+https?:\/\/([^\/]*\.)?kellyslovespells\.com
+https?:\/\/([^\/]*\.)?kelvinova\.com
+https?:\/\/([^\/]*\.)?kenji-no-sorata\.blogspot\.com
+https?:\/\/([^\/]*\.)?kentuckymortgage-x\.com
+https?:\/\/([^\/]*\.)?kenwoodexcelon\.com
+https?:\/\/([^\/]*\.)?keps\.info
+https?:\/\/([^\/]*\.)?kesdirect\.com
+https?:\/\/([^\/]*\.)?keshome\.com
+https?:\/\/([^\/]*\.)?kewl-links\.com
+https?:\/\/([^\/]*\.)?keysplus\.com
+https?:\/\/([^\/]*\.)?keyword\.net\.cn
+https?:\/\/([^\/]*\.)?kfwd7\.szm\.sk
+https?:\/\/([^\/]*\.)?kgbsearch\.org
+https?:\/\/([^\/]*\.)?khawkslaxfan51\.blogspot\.com
+https?:\/\/([^\/]*\.)?khlnbo3\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ki-westly\.blogspot\.com
+https?:\/\/([^\/]*\.)?kiboric\.net
+https?:\/\/([^\/]*\.)?kicfmaudio\.com
+https?:\/\/([^\/]*\.)?kicklikegirls\.info
+https?:\/\/([^\/]*\.)?kijub-free-porn-video-v\.blogspot\.com
+https?:\/\/([^\/]*\.)?kingdom\.webmelia\.com
+https?:\/\/([^\/]*\.)?kingofpics-com-beib6jsm5d\.blogspot\.com
+https?:\/\/([^\/]*\.)?kingofpics-com-c8f1bag\.blogspot\.com
+https?:\/\/([^\/]*\.)?kipredinstitute\.org
+https?:\/\/([^\/]*\.)?kiqi-airplane\.blogspot\.com
+https?:\/\/([^\/]*\.)?kirsten-dunst-boob-slip\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?kiska2\.com
+https?:\/\/([^\/]*\.)?kissing-foot\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?kissing-her-ass\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?kitchen-apron\.keckins\.be
+https?:\/\/([^\/]*\.)?kitchenrebuilding\.com
+https?:\/\/([^\/]*\.)?kiudcb0\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?kjbz0\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?kjor4\.szm\.sk
+https?:\/\/([^\/]*\.)?kkkpd\.szm\.sk
+https?:\/\/([^\/]*\.)?kkvalve\.com\.cn
+https?:\/\/([^\/]*\.)?klacim\.yi\.org
+https?:\/\/([^\/]*\.)?klasik111\.php5\.cz
+https?:\/\/([^\/]*\.)?kleinerachel\.blogspot\.com
+https?:\/\/([^\/]*\.)?klohy\.info
+https?:\/\/([^\/]*\.)?kmxab\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?knkbact\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?knzfpca\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ko-paris-hilton-sex-video-download\.blogspot\.com
+https?:\/\/([^\/]*\.)?koberec\.com
+https?:\/\/([^\/]*\.)?koled-porn-video-z\.blogspot\.com
+https?:\/\/([^\/]*\.)?kom4um\.com
+https?:\/\/([^\/]*\.)?koncatina\.dtdns\.net
+https?:\/\/([^\/]*\.)?konstantine01\.blogspot\.com
+https?:\/\/([^\/]*\.)?kordirect\.com
+https?:\/\/([^\/]*\.)?kos123\.dtdns\.net
+https?:\/\/([^\/]*\.)?kostya\.cabspace\.com
+https?:\/\/([^\/]*\.)?kpdsvd9\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?kpqf2\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?kpzq6\.szm\.sk
+https?:\/\/([^\/]*\.)?krakatoa\.slyip\.com
+https?:\/\/([^\/]*\.)?krakon\.republika\.pl
+https?:\/\/([^\/]*\.)?krasnota\.flnet\.org
+https?:\/\/([^\/]*\.)?krbd2\.szm\.sk
+https?:\/\/([^\/]*\.)?kreco\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ksbj5\.szm\.sk
+https?:\/\/([^\/]*\.)?ksiegagosci\.info
+https?:\/\/([^\/]*\.)?ktbug1373\.blogspot\.com
+https?:\/\/([^\/]*\.)?ktrv2\.szm\.sk
+https?:\/\/([^\/]*\.)?ku-whatnot\.blogspot\.com
+https?:\/\/([^\/]*\.)?kucitok\.forumculture\.net
+https?:\/\/([^\/]*\.)?kulhain\.blogspot\.com
+https?:\/\/([^\/]*\.)?kunshalawyer\.com
+https?:\/\/([^\/]*\.)?kvehuj8\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?kvywc\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?kwscx\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?kwvi\.com
+https?:\/\/([^\/]*\.)?kybel\.fetftp\.nu
+https?:\/\/([^\/]*\.)?kybele\.psych\.cornell\.edu
+https?:\/\/([^\/]*\.)?kyjcz\.sunp\.com
+https?:\/\/([^\/]*\.)?kymnruw\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?kymyn\.info
+https?:\/\/([^\/]*\.)?l-paris-hilton-sex-video-download\.blogspot\.com
+https?:\/\/([^\/]*\.)?l\.0s48\.info
+https?:\/\/([^\/]*\.)?l1ttleblacky\.blogspot\.com
+https?:\/\/([^\/]*\.)?la-ringtones\.com
+https?:\/\/([^\/]*\.)?laaceler\.blogcu\.com
+https?:\/\/([^\/]*\.)?labelprinter\.printer\.net\.cn
+https?:\/\/([^\/]*\.)?labladar\.forumzen\.com
+https?:\/\/([^\/]*\.)?lacchi\.lolforum\.net
+https?:\/\/([^\/]*\.)?laconia4\.info
+https?:\/\/([^\/]*\.)?ladylike\.hostcroc\.com
+https?:\/\/([^\/]*\.)?lake-baikal\.info
+https?:\/\/([^\/]*\.)?laked\.info
+https?:\/\/([^\/]*\.)?lalisit\.heavenforum\.com
+https?:\/\/([^\/]*\.)?lalisit\.highforum\.net
+https?:\/\/([^\/]*\.)?lamalinks-com-ceefq\.blogspot\.com
+https?:\/\/([^\/]*\.)?lamisilbuy\.drive\.to
+https?:\/\/([^\/]*\.)?lamisilbuygeneric\.dive\.to
+https?:\/\/([^\/]*\.)?lamisilcheap\.drink\.to
+https?:\/\/([^\/]*\.)?lamisilgeneric\.drop\.to
+https?:\/\/([^\/]*\.)?lamisilgenericcheap\.dive\.to
+https?:\/\/([^\/]*\.)?lanasbigboobs-com-mxm7\.blogspot\.com
+https?:\/\/([^\/]*\.)?lanasbigboobs-com-repif\.blogspot\.com
+https?:\/\/([^\/]*\.)?laorer\.ephpbb\.com
+https?:\/\/([^\/]*\.)?lapelcna\.forumzen\.com
+https?:\/\/([^\/]*\.)?lapoer\.dynamicforum\.net
+https?:\/\/([^\/]*\.)?large-lingerie-naughty-woman\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?las-vegas-gay-night-club\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?las-vegas-house\.nm\.ru
+https?:\/\/([^\/]*\.)?laser-drilled-diamonds\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?laser-wave\.com
+https?:\/\/([^\/]*\.)?laskai\.com
+https?:\/\/([^\/]*\.)?lasmercedessite\.info
+https?:\/\/([^\/]*\.)?lassie\.webmelia\.com
+https?:\/\/([^\/]*\.)?last-minute-travel\.ebem\.info
+https?:\/\/([^\/]*\.)?latex-ass\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?latex-dress\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?latex-foam-mattress-toppers\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?latex-mistress\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?latex-sluts\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?latex-swim-wear\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?latin\.hostaim\.com
+https?:\/\/([^\/]*\.)?latina-porn-clip-blog11q\.blogspot\.com
+https?:\/\/([^\/]*\.)?latina-porn-clip-blogden\.blogspot\.com
+https?:\/\/([^\/]*\.)?latinata\.galeon\.com
+https?:\/\/([^\/]*\.)?latoarril\.myrealboard\.com
+https?:\/\/([^\/]*\.)?laus-rmore\.blogspot\.com
+https?:\/\/([^\/]*\.)?lavemi\.com
+https?:\/\/([^\/]*\.)?lavender-dove\.blogspot\.com
+https?:\/\/([^\/]*\.)?lavor0\.info
+https?:\/\/([^\/]*\.)?law-school\.hotmail\.ru
+https?:\/\/([^\/]*\.)?law1degree\.chat\.ru
+https?:\/\/([^\/]*\.)?law2school\.chat\.ru
+https?:\/\/([^\/]*\.)?lawrencegillies\.blogspot\.com
+https?:\/\/([^\/]*\.)?lawyer\.ebloggy\.com
+https?:\/\/([^\/]*\.)?laynamarya\.blogspot\.com
+https?:\/\/([^\/]*\.)?laythekatcom\.pupava\.dtdns\.net
+https?:\/\/([^\/]*\.)?lbgetm9\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?lbrnrme\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?lczjunf\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ldrdf\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?le-alma\.blogspot\.com
+https?:\/\/([^\/]*\.)?le-big-free-movie-porn-cek\.blogspot\.com
+https?:\/\/([^\/]*\.)?leadora\.blogspot\.com
+https?:\/\/([^\/]*\.)?leannrae85\.blogspot\.com
+https?:\/\/([^\/]*\.)?lebu-adidas\.blogspot\.com
+https?:\/\/([^\/]*\.)?ledego\.com
+https?:\/\/([^\/]*\.)?ledgiest\.xhostar\.com
+https?:\/\/([^\/]*\.)?ledkrx\.com
+https?:\/\/([^\/]*\.)?ledled\.nease\.net
+https?:\/\/([^\/]*\.)?leebuc\.forumzen\.com
+https?:\/\/([^\/]*\.)?leeticarus\.blogspot\.com
+https?:\/\/([^\/]*\.)?leetvilt\.jconserv\.net
+https?:\/\/([^\/]*\.)?left-leg-numbness\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?leg-exercise\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?leg-in-stocking\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?leg-in-tan-pantie-hose\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?leg-neurontin-restless-syndrome\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?leg-preteen-spread\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?leg-shaved-spread\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?leisure-suit-larry-magna-cum-laude-nudity-patch\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?leisure-suit-larry-magna-cum-laude-uncut-and-uncensored\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?leo-the-bastet\.site\.voila\.fr
+https?:\/\/([^\/]*\.)?leopard-lady\.blogspot\.com
+https?:\/\/([^\/]*\.)?leroyhotel\.com
+https?:\/\/([^\/]*\.)?lesbian-anal-porn\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?lesbian-fisting-dvd\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?lesbian-fucking-eachother\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?lesbian-girl-scout\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?lesbian-group-masturbation\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?lesbian-oral-sex\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?lesbian-personals-ads\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?lesbian-personals-yahoo\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?lesbian-porn-clip-blog6e5\.blogspot\.com
+https?:\/\/([^\/]*\.)?lesbian-sex-teen\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?lesbian-sharing-dildo\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?lesbian-tit-bondage\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?lesbian-web-chat-and-cam\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?lesbians\.coz\.in
+https?:\/\/([^\/]*\.)?letoacel-or\.xa\.pl
+https?:\/\/([^\/]*\.)?letobocpas\.frbb\.net
+https?:\/\/([^\/]*\.)?letocvi\.goodbb\.net
+https?:\/\/([^\/]*\.)?letomon\.graphforum\.com
+https?:\/\/([^\/]*\.)?letorelpas\.xa\.pl
+https?:\/\/([^\/]*\.)?lettera-di-presentazione\.zoom4x\.info
+https?:\/\/([^\/]*\.)?lettersof-love\.blogspot\.com
+https?:\/\/([^\/]*\.)?levitra\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?levitra\.rx4\.org
+https?:\/\/([^\/]*\.)?levitra\.seesaa\.net
+https?:\/\/([^\/]*\.)?levitra\.skocz\.net
+https?:\/\/([^\/]*\.)?levitraejv\.blogspot\.com
+https?:\/\/([^\/]*\.)?levitras\.eu\.tf
+https?:\/\/([^\/]*\.)?levitraxpb\.blogspot\.com
+https?:\/\/([^\/]*\.)?lex-interracial\.hoffer\.ipupdater\.com
+https?:\/\/([^\/]*\.)?lexapro\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?lexaprobuyonline\.buzznet\.com
+https?:\/\/([^\/]*\.)?lexpov-com\.cornut\.ipupdater\.com
+https?:\/\/([^\/]*\.)?leyeager\.ifrance\.com
+https?:\/\/([^\/]*\.)?lezbomovies-com-dp3256w4cb\.blogspot\.com
+https?:\/\/([^\/]*\.)?lezbomovies-com-duvuv\.blogspot\.com
+https?:\/\/([^\/]*\.)?lezbomovies-com-dw7q2s6l\.blogspot\.com
+https?:\/\/([^\/]*\.)?lf-pm\.com
+https?:\/\/([^\/]*\.)?lfamas\.com
+https?:\/\/([^\/]*\.)?lhbtx\.szm\.sk
+https?:\/\/([^\/]*\.)?lhnzkp9\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?li-adult-video-download\.blogspot\.com
+https?:\/\/([^\/]*\.)?li6or6olo\.dl\.pl
+https?:\/\/([^\/]*\.)?lianjieqi\.dzsc\.com
+https?:\/\/([^\/]*\.)?liaozhi\.org
+https?:\/\/([^\/]*\.)?libasac\.ephpbb\.com
+https?:\/\/([^\/]*\.)?libertyliga\.com
+https?:\/\/([^\/]*\.)?library\.cshl\.edu
+https?:\/\/([^\/]*\.)?libraryofthumbs-com-oyz1qboq\.blogspot\.com
+https?:\/\/([^\/]*\.)?licecile\.dl\.pl
+https?:\/\/([^\/]*\.)?licnarol\.dl\.pl
+https?:\/\/([^\/]*\.)?lidaror\.blogcu\.com
+https?:\/\/([^\/]*\.)?lidiarac\.forumzen\.com
+https?:\/\/([^\/]*\.)?lidomca\.graphforum\.com
+https?:\/\/([^\/]*\.)?lidomca\.highforum\.net
+https?:\/\/([^\/]*\.)?lidompas\.td\.pl
+https?:\/\/([^\/]*\.)?lidomta\.frbb\.net
+https?:\/\/([^\/]*\.)?lidupett\.forumzen\.com
+https?:\/\/([^\/]*\.)?lifeinsurance-x\.com
+https?:\/\/([^\/]*\.)?lifewave\.com
+https?:\/\/([^\/]*\.)?ligettr\.dl\.pl
+https?:\/\/([^\/]*\.)?light365\.com
+https?:\/\/([^\/]*\.)?lightspeed-state\.hostithere\.org
+https?:\/\/([^\/]*\.)?lihach\.com
+https?:\/\/([^\/]*\.)?lijoho-video-porn-gratis\.blogspot\.com
+https?:\/\/([^\/]*\.)?likozrut\.stabilt\.se
+https?:\/\/([^\/]*\.)?lilaleemcrightrealty\.com
+https?:\/\/([^\/]*\.)?lilaliko\.dynamicbb\.com
+https?:\/\/([^\/]*\.)?lile\.asp2\.cz
+https?:\/\/([^\/]*\.)?lilett\.blogspot\.com
+https?:\/\/([^\/]*\.)?lilhaq\.blogspot\.com
+https?:\/\/([^\/]*\.)?lilo-n\.blogspot\.com
+https?:\/\/([^\/]*\.)?lilotu\.com
+https?:\/\/([^\/]*\.)?linarcbo\.forumzen\.com
+https?:\/\/([^\/]*\.)?lincweb\.cacs\.louisiana\.edu
+https?:\/\/([^\/]*\.)?lindsaylife\.com
+https?:\/\/([^\/]*\.)?lineance-facial-hair-removal-cream\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?linefreeinternet\.info
+https?:\/\/([^\/]*\.)?linemd\.com
+https?:\/\/([^\/]*\.)?lingerie-babes-video\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?lingerie-girl-pic\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?lingerie-guide\.org
+https?:\/\/([^\/]*\.)?lingerie-sex-photo\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?lingerie-videos-com-wcu8\.blogspot\.com
+https?:\/\/([^\/]*\.)?lingshengdown\.com
+https?:\/\/([^\/]*\.)?lingua-francese\.host24h\.info
+https?:\/\/([^\/]*\.)?link-o-rama-com-t5xhd\.blogspot\.com
+https?:\/\/([^\/]*\.)?link-o-rama-com-toz5x8i2ez\.blogspot\.com
+https?:\/\/([^\/]*\.)?linserch\.com
+https?:\/\/([^\/]*\.)?lioral\.dl\.pl
+https?:\/\/([^\/]*\.)?lioumon\.lolforum\.net
+https?:\/\/([^\/]*\.)?lipitor\.skocz\.net
+https?:\/\/([^\/]*\.)?lipstick-love\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?lipstick-mac\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?lipus\.org
+https?:\/\/([^\/]*\.)?lirolbo\.goodforum\.net
+https?:\/\/([^\/]*\.)?lirolbo\.grafbb\.com
+https?:\/\/([^\/]*\.)?lisinopril\.no-ip\.info
+https?:\/\/([^\/]*\.)?list\.eng\.utah\.edu
+https?:\/\/([^\/]*\.)?listinna772\.galeon\.com
+https?:\/\/([^\/]*\.)?listpharm\.com
+https?:\/\/([^\/]*\.)?lists\.gatech\.edu
+https?:\/\/([^\/]*\.)?litaacel\.lolforum\.net
+https?:\/\/([^\/]*\.)?litadal\.myrealboard\.com
+https?:\/\/([^\/]*\.)?litayj0\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?literotica-com-t3yx\.blogspot\.com
+https?:\/\/([^\/]*\.)?literotica-com-tkncy\.blogspot\.com
+https?:\/\/([^\/]*\.)?litrget\.jc\.pl
+https?:\/\/([^\/]*\.)?little-april-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?little-april-masturbating-movie\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?little-dicks-bay\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?little-teen-fuck\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?littledevildoubt\.com
+https?:\/\/([^\/]*\.)?littlesunshine\.50webs\.org
+https?:\/\/([^\/]*\.)?litumdet\.forumzen\.com
+https?:\/\/([^\/]*\.)?liupy\.110mb\.com
+https?:\/\/([^\/]*\.)?live-gay-video-chat\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?live-jasmine-cams\.com
+https?:\/\/([^\/]*\.)?livejasmin-com-abc\.blogspot\.com
+https?:\/\/([^\/]*\.)?livejasmin-com-abz\.blogspot\.com
+https?:\/\/([^\/]*\.)?livejasmin-com-aky\.blogspot\.com
+https?:\/\/([^\/]*\.)?livejasmin-com-qujef\.blogspot\.com
+https?:\/\/([^\/]*\.)?livescore\.esguay\.com
+https?:\/\/([^\/]*\.)?livescore\.ven\.bz
+https?:\/\/([^\/]*\.)?livesupportpal\.com
+https?:\/\/([^\/]*\.)?liviral\.myrealboard\.com
+https?:\/\/([^\/]*\.)?lixin642\.com
+https?:\/\/([^\/]*\.)?liymcc0\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?lizardofoz\.com
+https?:\/\/([^\/]*\.)?lizas\.asp2\.cz
+https?:\/\/([^\/]*\.)?lizscottrawson\.com
+https?:\/\/([^\/]*\.)?lkrzfkg\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ll-four-sterto\.blogspot\.com
+https?:\/\/([^\/]*\.)?llillith\.blogspot\.com
+https?:\/\/([^\/]*\.)?lll1l\.info
+https?:\/\/([^\/]*\.)?llline\.info
+https?:\/\/([^\/]*\.)?llpcoil\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?llsky\.net
+https?:\/\/([^\/]*\.)?lm4nmu\.com
+https?:\/\/([^\/]*\.)?lmyzm\.szm\.sk
+https?:\/\/([^\/]*\.)?lnalpas\.myrealboard\.com
+https?:\/\/([^\/]*\.)?lnki9\.szm\.sk
+https?:\/\/([^\/]*\.)?lnqfa\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?lnqixlz\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?loan-unsecuredcxp\.blogspot\.com
+https?:\/\/([^\/]*\.)?loans-insurance\.net
+https?:\/\/([^\/]*\.)?lodge\.webmelia\.com
+https?:\/\/([^\/]*\.)?lodita-com-dya6s22zu\.blogspot\.com
+https?:\/\/([^\/]*\.)?lodita-com-dz\.blogspot\.com
+https?:\/\/([^\/]*\.)?loestrin\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?logast\.com
+https?:\/\/([^\/]*\.)?logowap\.com
+https?:\/\/([^\/]*\.)?lojlo\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?lojunbas\.forumzen\.com
+https?:\/\/([^\/]*\.)?lola-providence\.blogspot\.com
+https?:\/\/([^\/]*\.)?lolabear1121\.blogspot\.com
+https?:\/\/([^\/]*\.)?lolaparris\.freetzi\.com
+https?:\/\/([^\/]*\.)?loliti-com-c5m8mt\.blogspot\.com
+https?:\/\/([^\/]*\.)?lolovi\.naturalforum\.net
+https?:\/\/([^\/]*\.)?lomsts\.com
+https?:\/\/([^\/]*\.)?londra-ristorante\.freehostss\.info
+https?:\/\/([^\/]*\.)?lonely-wolf-com-rjqlm1d6\.blogspot\.com
+https?:\/\/([^\/]*\.)?long-porn-clip-info67s\.blogspot\.com
+https?:\/\/([^\/]*\.)?long-porn-clip-infosm4\.blogspot\.com
+https?:\/\/([^\/]*\.)?longestlist-com-b6\.blogspot\.com
+https?:\/\/([^\/]*\.)?longestlist-com-b683g4ik\.blogspot\.com
+https?:\/\/([^\/]*\.)?longestlist-hb\.blogspot\.com
+https?:\/\/([^\/]*\.)?longestlist-hohen\.blogspot\.com
+https?:\/\/([^\/]*\.)?longonline\.net
+https?:\/\/([^\/]*\.)?longvideos-net-gq78qyba\.blogspot\.com
+https?:\/\/([^\/]*\.)?longxiong\.ebloggy\.com
+https?:\/\/([^\/]*\.)?lony\.info
+https?:\/\/([^\/]*\.)?look-at-his-dick\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?lookcity\.com
+https?:\/\/([^\/]*\.)?lopata\.snusk\.nu
+https?:\/\/([^\/]*\.)?lopressorhct\.sblog\.cz
+https?:\/\/([^\/]*\.)?lorazepams\.ru\.tf
+https?:\/\/([^\/]*\.)?lortab-911\.coz\.in
+https?:\/\/([^\/]*\.)?lortab\.xwiki\.com
+https?:\/\/([^\/]*\.)?losangelestickets\.org
+https?:\/\/([^\/]*\.)?lotausch\.ifrance\.com
+https?:\/\/([^\/]*\.)?loudmp3\.net
+https?:\/\/([^\/]*\.)?louisianamortgage-x\.com
+https?:\/\/([^\/]*\.)?lovefuckk-com-eg7gx\.blogspot\.com
+https?:\/\/([^\/]*\.)?lovefuckk-com-et2x2g1sk\.blogspot\.com
+https?:\/\/([^\/]*\.)?lovefuckk-com-setos\.blogspot\.com
+https?:\/\/([^\/]*\.)?lovegirlsonline\.info
+https?:\/\/([^\/]*\.)?lovejuliet3\.blogspot\.com
+https?:\/\/([^\/]*\.)?lovetgp-com-d74h1ed3o\.blogspot\.com
+https?:\/\/([^\/]*\.)?lowbudgetsuccess\.info
+https?:\/\/([^\/]*\.)?lqsbjau\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?lrff5\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?lriwaq-free-porn\.blogspot\.com
+https?:\/\/([^\/]*\.)?lrlep\.szm\.sk
+https?:\/\/([^\/]*\.)?ltfl5\.szm\.sk
+https?:\/\/([^\/]*\.)?ltntp\.szm\.sk
+https?:\/\/([^\/]*\.)?lu-porn-video-download\.blogspot\.com
+https?:\/\/([^\/]*\.)?lucking\.com\.cn
+https?:\/\/([^\/]*\.)?lucqt\.szm\.sk
+https?:\/\/([^\/]*\.)?luridess\.125mb\.com
+https?:\/\/([^\/]*\.)?lusi-ada\.blogspot\.com
+https?:\/\/([^\/]*\.)?lustjob\.info
+https?:\/\/([^\/]*\.)?lustwork\.info
+https?:\/\/([^\/]*\.)?lusvqm-free-porn\.blogspot\.com
+https?:\/\/([^\/]*\.)?lutaltli\.naturalforum\.net
+https?:\/\/([^\/]*\.)?lutrilar\.naturalforum\.net
+https?:\/\/([^\/]*\.)?lutzalvi\.naturalforum\.net
+https?:\/\/([^\/]*\.)?lvanrts\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?lvsi\.ebloggy\.com
+https?:\/\/([^\/]*\.)?lwdf2\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?lwkmewp\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?lycosss\.com
+https?:\/\/([^\/]*\.)?lyganbaili\.com
+https?:\/\/([^\/]*\.)?lyhbs\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?lying-facial-expression\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?lyndawyllie\.com
+https?:\/\/([^\/]*\.)?lzouv\.szm\.sk
+https?:\/\/([^\/]*\.)?m-i-a-s-m-a\.blogspot\.com
+https?:\/\/([^\/]*\.)?m-sr\.net
+https?:\/\/([^\/]*\.)?m-teens-000\.blogspot\.com
+https?:\/\/([^\/]*\.)?m\.domaindlx\.com
+https?:\/\/([^\/]*\.)?m2mvc\.com
+https?:\/\/([^\/]*\.)?machi-neko\.blogspot\.com
+https?:\/\/([^\/]*\.)?mackenzie-kayne\.hostingtree\.org
+https?:\/\/([^\/]*\.)?macromob\.com
+https?:\/\/([^\/]*\.)?madesukadana\.com
+https?:\/\/([^\/]*\.)?madthumbs-com-hcq1m1\.blogspot\.com
+https?:\/\/([^\/]*\.)?mafy69\.blogspot\.com
+https?:\/\/([^\/]*\.)?magical-casino\.com
+https?:\/\/([^\/]*\.)?magneticwoman88\.blogspot\.com
+https?:\/\/([^\/]*\.)?maidenpeace\.com
+https?:\/\/([^\/]*\.)?main\.g2\.bx\.psu\.edu
+https?:\/\/([^\/]*\.)?mainemortgage-x\.com
+https?:\/\/([^\/]*\.)?maitybaba\.blogspot\.com
+https?:\/\/([^\/]*\.)?makaky\.dtdns\.net
+https?:\/\/([^\/]*\.)?makblxn\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?make-my-dick-hard\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?makemoneyfast\.us
+https?:\/\/([^\/]*\.)?makeup\.ifreehosts\.net
+https?:\/\/([^\/]*\.)?male-hairy-leg\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?malinka\.b0b\.org
+https?:\/\/([^\/]*\.)?mama-rachelbeth\.blogspot\.com
+https?:\/\/([^\/]*\.)?mamaswarm\.sultryserver\.com
+https?:\/\/([^\/]*\.)?man-caught-wearing-womens-lingerie\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?man-ring\.boom\.ru
+https?:\/\/([^\/]*\.)?man-rings\.boom\.ru
+https?:\/\/([^\/]*\.)?man-skin\.nightmail\.ru
+https?:\/\/([^\/]*\.)?man-skin\.nm\.ru
+https?:\/\/([^\/]*\.)?man-woman-fucking-video-clip\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?man_skin\.chat\.ru
+https?:\/\/([^\/]*\.)?managementproperty\.us
+https?:\/\/([^\/]*\.)?manka-kapak\.blogspot\.com
+https?:\/\/([^\/]*\.)?manoke\.cn
+https?:\/\/([^\/]*\.)?map4um\.com
+https?:\/\/([^\/]*\.)?mapas6\.com
+https?:\/\/([^\/]*\.)?maradona\.stabilt\.se
+https?:\/\/([^\/]*\.)?marhula\.weedns\.com
+https?:\/\/([^\/]*\.)?marinol\.xwiki\.com
+https?:\/\/([^\/]*\.)?mario-lopez-gayfgg\.blogspot\.com
+https?:\/\/([^\/]*\.)?marketing1degree\.chat\.ru
+https?:\/\/([^\/]*\.)?marklar\.republika\.pl
+https?:\/\/([^\/]*\.)?marti-adp\.iespana\.es
+https?:\/\/([^\/]*\.)?marucollet\.jp
+https?:\/\/([^\/]*\.)?maryannec\.com
+https?:\/\/([^\/]*\.)?marylandmortgage-x\.com
+https?:\/\/([^\/]*\.)?masfac\.com
+https?:\/\/([^\/]*\.)?masiki\.110mb\.com
+https?:\/\/([^\/]*\.)?masiti\.com
+https?:\/\/([^\/]*\.)?massachusetts-hs\.newmail\.ru
+https?:\/\/([^\/]*\.)?massachusettsmortgage-x\.com
+https?:\/\/([^\/]*\.)?mast3t\.com
+https?:\/\/([^\/]*\.)?master-z-great\.blogspot\.com
+https?:\/\/([^\/]*\.)?master1degree\.chat\.ru
+https?:\/\/([^\/]*\.)?master2005degree\.chat\.ru
+https?:\/\/([^\/]*\.)?masterboat\.ru
+https?:\/\/([^\/]*\.)?mastun\.com
+https?:\/\/([^\/]*\.)?masturbating-shemale-video\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?masturbation-techniques1\.notlong\.com
+https?:\/\/([^\/]*\.)?masvit\.com
+https?:\/\/([^\/]*\.)?matching-mom-and-baby-outfit\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?matrac\.loopback\.nu
+https?:\/\/([^\/]*\.)?mattsvids-com-aqjt\.blogspot\.com
+https?:\/\/([^\/]*\.)?mature-bitches-com-ccvi3l\.blogspot\.com
+https?:\/\/([^\/]*\.)?mature-black-female\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?mature-blonde-fuck\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?mature-blonde-movie\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?mature-blonde-toying\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?mature-british-granny\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?mature-clip\.babubi\.net
+https?:\/\/([^\/]*\.)?mature-for-you-com-mt66\.blogspot\.com
+https?:\/\/([^\/]*\.)?mature-gang-bang\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?mature-gay-black\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?mature-gay-man-gallery\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?mature-man-photo\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?mature-man-picture\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?mature-milf-milfmuffin-com\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?mature-nl-kss7\.blogspot\.com
+https?:\/\/([^\/]*\.)?mature-pantie-upskirt\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?mature-pic-post\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?mature-porn-clip-newsj8c\.blogspot\.com
+https?:\/\/([^\/]*\.)?mature-porn-clip-zone5s0\.blogspot\.com
+https?:\/\/([^\/]*\.)?mature-porn-movie-sihu\.blogspot\.com
+https?:\/\/([^\/]*\.)?mature-porn-video-ku\.blogspot\.com
+https?:\/\/([^\/]*\.)?mature-post-com-iecsmld78\.blogspot\.com
+https?:\/\/([^\/]*\.)?mature-redhead\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?mature-secret-com-r6t85u5\.blogspot\.com
+https?:\/\/([^\/]*\.)?mature-sex-orgy\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?mature-sex-thumb\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?mature-throat-fuck\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?mature-wife-in-pantie-hose\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?mature-woman-vs-young\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?mature\.coz\.in
+https?:\/\/([^\/]*\.)?matureandyoung-com-bgf1\.blogspot\.com
+https?:\/\/([^\/]*\.)?maturedaily-net-oipq5\.blogspot\.com
+https?:\/\/([^\/]*\.)?maturedaily-net-oywdf7pj0\.blogspot\.com
+https?:\/\/([^\/]*\.)?maturehit-com-w8\.blogspot\.com
+https?:\/\/([^\/]*\.)?maturehit-com-zifid\.blogspot\.com
+https?:\/\/([^\/]*\.)?maximumsearch\.net
+https?:\/\/([^\/]*\.)?maybachexelero\.org
+https?:\/\/([^\/]*\.)?mayphyoe\.blogspot\.com
+https?:\/\/([^\/]*\.)?maypopmusic\.cn
+https?:\/\/([^\/]*\.)?mazda-6\.keckins\.be
+https?:\/\/([^\/]*\.)?mazdamaindealer\.cn
+https?:\/\/([^\/]*\.)?mazecreatorhosting\.net
+https?:\/\/([^\/]*\.)?mba1degree\.chat\.ru
+https?:\/\/([^\/]*\.)?mbiu1\.szm\.sk
+https?:\/\/([^\/]*\.)?mbkxs\.szm\.sk
+https?:\/\/([^\/]*\.)?mbsz0\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?mcfontai\.dl\.pl
+https?:\/\/([^\/]*\.)?mciicvn\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?mckeithl\.ifrance\.com
+https?:\/\/([^\/]*\.)?mcynwst\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?mdfo2\.szm\.sk
+https?:\/\/([^\/]*\.)?mdna8\.szm\.sk
+https?:\/\/([^\/]*\.)?mdoq5\.szm\.sk
+https?:\/\/([^\/]*\.)?meadelante\.blogspot\.com
+https?:\/\/([^\/]*\.)?med-ph\.com
+https?:\/\/([^\/]*\.)?medbig\.com
+https?:\/\/([^\/]*\.)?medgarsting\.info
+https?:\/\/([^\/]*\.)?medhls\.com
+https?:\/\/([^\/]*\.)?medic\.kilu\.de
+https?:\/\/([^\/]*\.)?medication-cheap\.com
+https?:\/\/([^\/]*\.)?meds-today\.com
+https?:\/\/([^\/]*\.)?megadyneinc\.com
+https?:\/\/([^\/]*\.)?megan-qt-cjg\.blogspot\.com
+https?:\/\/([^\/]*\.)?megaupkoad\.com
+https?:\/\/([^\/]*\.)?meindies\.com
+https?:\/\/([^\/]*\.)?melatrol\.podstavec\.yi\.org
+https?:\/\/([^\/]*\.)?melissa-doll\.cornut\.ipupdater\.com
+https?:\/\/([^\/]*\.)?melsner\.blogspot\.com
+https?:\/\/([^\/]*\.)?mens\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?menshealth\.110mb\.com
+https?:\/\/([^\/]*\.)?meoqwzr\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?meridasexo\.com
+https?:\/\/([^\/]*\.)?meridia\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?meridia\.edu\.tf
+https?:\/\/([^\/]*\.)?meridia\.hav\.pl
+https?:\/\/([^\/]*\.)?meridia\.skocz\.net
+https?:\/\/([^\/]*\.)?meridiager\.queroumforum\.com
+https?:\/\/([^\/]*\.)?meryland\.dtdns\.net
+https?:\/\/([^\/]*\.)?mesothelioma-lawyer-help\.org
+https?:\/\/([^\/]*\.)?meweb\.ecn\.purdue\.edu
+https?:\/\/([^\/]*\.)?mewqsd\.org
+https?:\/\/([^\/]*\.)?mgnzupi\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?mi-kkiechang\.ifrance\.com
+https?:\/\/([^\/]*\.)?mia-movies-com-g2g1\.blogspot\.com
+https?:\/\/([^\/]*\.)?mia-movies-e86q7ic4y7\.blogspot\.com
+https?:\/\/([^\/]*\.)?miami-cose-fare\.hostzz\.info
+https?:\/\/([^\/]*\.)?michelepug\.org
+https?:\/\/([^\/]*\.)?michiganmortgage-x\.com
+https?:\/\/([^\/]*\.)?midaslubbock\.com
+https?:\/\/([^\/]*\.)?midnis\.com
+https?:\/\/([^\/]*\.)?migree\.com
+https?:\/\/([^\/]*\.)?mihaso\.com
+https?:\/\/([^\/]*\.)?mikeinbrazil-com-k1s8higm1o\.blogspot\.com
+https?:\/\/([^\/]*\.)?mikeinbrazil-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?mikesapartment-com-iwb02e1v\.blogspot\.com
+https?:\/\/([^\/]*\.)?mikesapartment-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?mikewsd\.org
+https?:\/\/([^\/]*\.)?miks1\.szm\.sk
+https?:\/\/([^\/]*\.)?miku-thu-vilu\.110mb\.com
+https?:\/\/([^\/]*\.)?mildew\.789mb\.com
+https?:\/\/([^\/]*\.)?milf-blow-job-gallery\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?milf-busty-fucking\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?milf-hunter-kate\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?milf-mature-big-tit\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?milf-tgp-wife\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?milfhunter-com-h2a7q51\.blogspot\.com
+https?:\/\/([^\/]*\.)?milflessons-com-bh6\.blogspot\.com
+https?:\/\/([^\/]*\.)?milfnextdoor-bk23v2p4\.blogspot\.com
+https?:\/\/([^\/]*\.)?milfnextdoor-w3ii\.blogspot\.com
+https?:\/\/([^\/]*\.)?milkmanbook-com-o7\.blogspot\.com
+https?:\/\/([^\/]*\.)?milkmanbook-com-rrup5f\.blogspot\.com
+https?:\/\/([^\/]*\.)?milkmanbook-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?milkmanbook-ods016\.blogspot\.com
+https?:\/\/([^\/]*\.)?milton-twins-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?mimija\.com
+https?:\/\/([^\/]*\.)?miniurl\.pl
+https?:\/\/([^\/]*\.)?minnesotamortgage-x\.com
+https?:\/\/([^\/]*\.)?minzec\.dynamicbb\.com
+https?:\/\/([^\/]*\.)?missensign\.blogspot\.com
+https?:\/\/([^\/]*\.)?mississippimortgage-x\.com
+https?:\/\/([^\/]*\.)?missourimortgage-x\.com
+https?:\/\/([^\/]*\.)?mitsubishicarhire\.cn
+https?:\/\/([^\/]*\.)?mj-net\.jp
+https?:\/\/([^\/]*\.)?mj\.left-page\.com
+https?:\/\/([^\/]*\.)?mjlvan4\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?mjqnxn1\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?mjspb\.szm\.sk
+https?:\/\/([^\/]*\.)?mkia3\.szm\.sk
+https?:\/\/([^\/]*\.)?mkiss47346\.blogspot\.com
+https?:\/\/([^\/]*\.)?mksahf-free-porn\.blogspot\.com
+https?:\/\/([^\/]*\.)?mkzvni8\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?mlgta\.szm\.sk
+https?:\/\/([^\/]*\.)?mlm-business-leader\.com
+https?:\/\/([^\/]*\.)?mlvej\.szm\.sk
+https?:\/\/([^\/]*\.)?mmm100-com-aj\.blogspot\.com
+https?:\/\/([^\/]*\.)?mmm100-com-cisec\.blogspot\.com
+https?:\/\/([^\/]*\.)?mmm100-com-tql1xojt\.blogspot\.com
+https?:\/\/([^\/]*\.)?mnsp\.cn
+https?:\/\/([^\/]*\.)?mobfiller\.com
+https?:\/\/([^\/]*\.)?mobic\.sytes\.net
+https?:\/\/([^\/]*\.)?mobile-phone-dealoft\.blogspot\.com
+https?:\/\/([^\/]*\.)?mobile-phone-shopmgl\.blogspot\.com
+https?:\/\/([^\/]*\.)?mobile-phonegsn\.blogspot\.com
+https?:\/\/([^\/]*\.)?mobilefamilydental\.com
+https?:\/\/([^\/]*\.)?mobilewallpaperkre\.blogspot\.com
+https?:\/\/([^\/]*\.)?mobility-scooter\.hotmail\.ru
+https?:\/\/([^\/]*\.)?mobility2scooter\.chat\.ru
+https?:\/\/([^\/]*\.)?mobprofile\.com
+https?:\/\/([^\/]*\.)?modelsgroup-com-wrx\.blogspot\.com
+https?:\/\/([^\/]*\.)?modelsgroup-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?modlang\.boisestate\.edu
+https?:\/\/([^\/]*\.)?moju\.net\.cn
+https?:\/\/([^\/]*\.)?mol-ch\.com
+https?:\/\/([^\/]*\.)?mom-and-son-song\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?mom-music-video\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?mom-n-son\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?mom-vs-young\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?mommia-com-h32\.blogspot\.com
+https?:\/\/([^\/]*\.)?mommia-com-hvr6wy\.blogspot\.com
+https?:\/\/([^\/]*\.)?mommia-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?moms-teaching-teens-ceb\.blogspot\.com
+https?:\/\/([^\/]*\.)?monbocchi\.zikforum\.com
+https?:\/\/([^\/]*\.)?moncnamon\.ephpbb\.com
+https?:\/\/([^\/]*\.)?moncnamon\.forumculture\.net
+https?:\/\/([^\/]*\.)?monctr\.cultureforum\.net
+https?:\/\/([^\/]*\.)?mondelacel\.dl\.pl
+https?:\/\/([^\/]*\.)?monelal\.discutfree\.com
+https?:\/\/([^\/]*\.)?moneta-algeria\.hostzz\.info
+https?:\/\/([^\/]*\.)?monjco\.blogcu\.com
+https?:\/\/([^\/]*\.)?monletochi\.bbgraf\.com
+https?:\/\/([^\/]*\.)?monokal\.dynamicforum\.net
+https?:\/\/([^\/]*\.)?monorget\.lolbb\.com
+https?:\/\/([^\/]*\.)?monpasrel\.dl\.pl
+https?:\/\/([^\/]*\.)?monster-cock-movie-big\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?monstersofcock-com-rvdurm2\.blogspot\.com
+https?:\/\/([^\/]*\.)?montana-flugsport\.com
+https?:\/\/([^\/]*\.)?montanamortgage-x\.com
+https?:\/\/([^\/]*\.)?montessori-spielzeug\.com
+https?:\/\/([^\/]*\.)?moody-immortal2\.blogspot\.com
+https?:\/\/([^\/]*\.)?morekes\.com
+https?:\/\/([^\/]*\.)?moremoms-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?moremoms-rj8f2r\.blogspot\.com
+https?:\/\/([^\/]*\.)?mortgage-911\.net
+https?:\/\/([^\/]*\.)?mortgagebrokers-x\.com
+https?:\/\/([^\/]*\.)?mortgagecompanies-x\.com
+https?:\/\/([^\/]*\.)?mortgagelenders-x\.com
+https?:\/\/([^\/]*\.)?mortgageloan-x\.com
+https?:\/\/([^\/]*\.)?mortgageloans-x\.com
+https?:\/\/([^\/]*\.)?mortgagerates-x\.com
+https?:\/\/([^\/]*\.)?mortgagerefinance-x\.com
+https?:\/\/([^\/]*\.)?mortgagerefinancing-x\.com
+https?:\/\/([^\/]*\.)?mortgages-411\.com
+https?:\/\/([^\/]*\.)?mortgagesnrefinance\.com
+https?:\/\/([^\/]*\.)?mosquito-ringtoneaee\.blogspot\.com
+https?:\/\/([^\/]*\.)?mosquitoringtonewmf\.blogspot\.com
+https?:\/\/([^\/]*\.)?mothershope\.com
+https?:\/\/([^\/]*\.)?mothrinventor\.blogspot\.com
+https?:\/\/([^\/]*\.)?motnolado\.org
+https?:\/\/([^\/]*\.)?motor-scooter\.hotmail\.ru
+https?:\/\/([^\/]*\.)?motorized-scooter\.hotmail\.ru
+https?:\/\/([^\/]*\.)?motorizedscooter\.chat\.ru
+https?:\/\/([^\/]*\.)?motorolaringtonesfreeucq\.blogspot\.com
+https?:\/\/([^\/]*\.)?motorolaringtoneskvp\.blogspot\.com
+https?:\/\/([^\/]*\.)?motorolaringtonesym\.blogspot\.com
+https?:\/\/([^\/]*\.)?moviegalleries-com-gl8\.blogspot\.com
+https?:\/\/([^\/]*\.)?moviepost-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?moviepost-h4s2iin\.blogspot\.com
+https?:\/\/([^\/]*\.)?moviesarena-com-r42rng0\.blogspot\.com
+https?:\/\/([^\/]*\.)?moviesarena-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?moviesgold-com-ig86ukjw\.blogspot\.com
+https?:\/\/([^\/]*\.)?moviesgold-com-k0\.blogspot\.com
+https?:\/\/([^\/]*\.)?movieshark-d0vdon27\.blogspot\.com
+https?:\/\/([^\/]*\.)?moviesparade-com-a3hxnn6\.blogspot\.com
+https?:\/\/([^\/]*\.)?movietitan-com-i6shde\.blogspot\.com
+https?:\/\/([^\/]*\.)?movietitan-o6i14o0\.blogspot\.com
+https?:\/\/([^\/]*\.)?mozzarell\.republika\.pl
+https?:\/\/([^\/]*\.)?mp3ringtonerxw\.blogspot\.com
+https?:\/\/([^\/]*\.)?mp3sunrise\.com
+https?:\/\/([^\/]*\.)?mpdtbq8\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?mpeghunter-com-cyc0bec7k\.blogspot\.com
+https?:\/\/([^\/]*\.)?mqirai4\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?mrako4\.com
+https?:\/\/([^\/]*\.)?mrchewsasianbeaver-bwhmc0sk\.blogspot\.com
+https?:\/\/([^\/]*\.)?mrchewsasianbeaver-com-omazvpr\.blogspot\.com
+https?:\/\/([^\/]*\.)?mrntf\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?mrs-vette-hot-mom\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?mrwolfy49\.blogspot\.com
+https?:\/\/([^\/]*\.)?ms-dos-boot-disk\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?mster6\.com
+https?:\/\/([^\/]*\.)?mtaa5\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?mthelen\.250free\.com
+https?:\/\/([^\/]*\.)?muecst9\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?mughalbank\.com
+https?:\/\/([^\/]*\.)?mumms\.info
+https?:\/\/([^\/]*\.)?murdersoul\.blogspot\.com
+https?:\/\/([^\/]*\.)?murku-gunush\.110mb\.com
+https?:\/\/([^\/]*\.)?mus1ca\.info
+https?:\/\/([^\/]*\.)?muscle-pain-leg-cause\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?muscle-relaxers\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?music\.spacepur\.de
+https?:\/\/([^\/]*\.)?musicguild\.bc\.edu
+https?:\/\/([^\/]*\.)?mutantalias\.blogspot\.com
+https?:\/\/([^\/]*\.)?muwn9\.szm\.sk
+https?:\/\/([^\/]*\.)?muzyr\.szm\.sk
+https?:\/\/([^\/]*\.)?mvoht\.szm\.sk
+https?:\/\/([^\/]*\.)?mvsyonh\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?mwqbg\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?mwwkps6\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?mxmva\.szm\.sk
+https?:\/\/([^\/]*\.)?mxqwnn2\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?my-cashadvance\.com
+https?:\/\/([^\/]*\.)?my-first-sex-teacherlbp\.blogspot\.com
+https?:\/\/([^\/]*\.)?my-friends-hot-mom-free-pic\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?my-friends-hot-mom-lesbian\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?my-friends-hot-mom-mrs-lee\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?my-host-space\.com
+https?:\/\/([^\/]*\.)?my-land\.bravehost\.com
+https?:\/\/([^\/]*\.)?my-mortgagerates\.com
+https?:\/\/([^\/]*\.)?my-summit\.com
+https?:\/\/([^\/]*\.)?my-teensex-wikes\.blogspot\.com
+https?:\/\/([^\/]*\.)?myfgj\.info
+https?:\/\/([^\/]*\.)?myhost\.gb\.com
+https?:\/\/([^\/]*\.)?mymitsubishiparts\.cn
+https?:\/\/([^\/]*\.)?mymr\.net
+https?:\/\/([^\/]*\.)?mynet-poker\.com
+https?:\/\/([^\/]*\.)?myprintworks\.com
+https?:\/\/([^\/]*\.)?myrotunda\.com
+https?:\/\/([^\/]*\.)?mysecretmovies-com-tul73\.blogspot\.com
+https?:\/\/([^\/]*\.)?myseo\.com\.cn
+https?:\/\/([^\/]*\.)?myshcompany\.com
+https?:\/\/([^\/]*\.)?mysmetrix\.asp2\.cz
+https?:\/\/([^\/]*\.)?myspace-myspace-my\.blogspot\.com
+https?:\/\/([^\/]*\.)?myteepo-3\.blogspot\.com
+https?:\/\/([^\/]*\.)?myts\.vip\.sina\.com
+https?:\/\/([^\/]*\.)?mywaybackwhen\.blogspot\.com
+https?:\/\/([^\/]*\.)?mzaxl\.szm\.sk
+https?:\/\/([^\/]*\.)?mzayxt2\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?mzlurz8\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?mzwbifn\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?n-free-porn-video-sample\.blogspot\.com
+https?:\/\/([^\/]*\.)?n-free-video-porn\.blogspot\.com
+https?:\/\/([^\/]*\.)?na-hummer\.jot\.com
+https?:\/\/([^\/]*\.)?naacpncnetwork\.org
+https?:\/\/([^\/]*\.)?naarttrl\.forumzen\.com
+https?:\/\/([^\/]*\.)?naffpqh\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?naomiserge\.info
+https?:\/\/([^\/]*\.)?napalerd\.forumzen\.com
+https?:\/\/([^\/]*\.)?napas5\.com
+https?:\/\/([^\/]*\.)?naprosyn500mg\.sblog\.cz
+https?:\/\/([^\/]*\.)?naproxen\.zapto\.org
+https?:\/\/([^\/]*\.)?naqowp1\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?nariclet\.forumzen\.com
+https?:\/\/([^\/]*\.)?nas7fk\.com
+https?:\/\/([^\/]*\.)?nasacort\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?nasonex\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?nastyalien-com-dk0wnd58i\.blogspot\.com
+https?:\/\/([^\/]*\.)?nastyalien-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?nastyrat-b7\.blogspot\.com
+https?:\/\/([^\/]*\.)?nastyrat-com-b8mu31h87m\.blogspot\.com
+https?:\/\/([^\/]*\.)?nastyrat-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?natskam\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?natural-skin\.nm\.ru
+https?:\/\/([^\/]*\.)?natural-tit-cum\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?natural_skin\.chat\.ru
+https?:\/\/([^\/]*\.)?naughty-com-wdk\.blogspot\.com
+https?:\/\/([^\/]*\.)?naughty-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?navse\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?nbay2\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?nbikkpb\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?nbmhvbv\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?nbxc3\.szm\.sk
+https?:\/\/([^\/]*\.)?nchqqi4\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ncpx5\.szm\.sk
+https?:\/\/([^\/]*\.)?ncwash\.com
+https?:\/\/([^\/]*\.)?nd-tli\.com
+https?:\/\/([^\/]*\.)?ndarj\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ndnwrby\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?nebraskamortgage-x\.com
+https?:\/\/([^\/]*\.)?nedneutr\.goodbb\.net
+https?:\/\/([^\/]*\.)?nedpbi3\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?need-site\.com
+https?:\/\/([^\/]*\.)?nefariouswraith\.blogspot\.com
+https?:\/\/([^\/]*\.)?negozio-strumento-musicale\.19mb\.info
+https?:\/\/([^\/]*\.)?nejqssk\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?neko-adg\.blogspot\.com
+https?:\/\/([^\/]*\.)?nekoo\.cn
+https?:\/\/([^\/]*\.)?nekostar3\.blogspot\.com
+https?:\/\/([^\/]*\.)?nelaidla\.ifrance\.com
+https?:\/\/([^\/]*\.)?nelcala\.fr-bb\.com
+https?:\/\/([^\/]*\.)?nelior\.goodbb\.net
+https?:\/\/([^\/]*\.)?neri-albany\.blogspot\.com
+https?:\/\/([^\/]*\.)?neroj\.szm\.sk
+https?:\/\/([^\/]*\.)?nerve\.zyns\.com
+https?:\/\/([^\/]*\.)?net0551\.com
+https?:\/\/([^\/]*\.)?netbank\.cn
+https?:\/\/([^\/]*\.)?nethams\.pp\.ru
+https?:\/\/([^\/]*\.)?netinternetbanking\.info
+https?:\/\/([^\/]*\.)?netteak\.pp\.ru
+https?:\/\/([^\/]*\.)?nettyre\.pp\.ru
+https?:\/\/([^\/]*\.)?neux9\.szm\.sk
+https?:\/\/([^\/]*\.)?nevadamortgage-x\.com
+https?:\/\/([^\/]*\.)?nevados-hiking-boot\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?nevulo-teen-sex-video\.blogspot\.com
+https?:\/\/([^\/]*\.)?new-ringtonesou\.blogspot\.com
+https?:\/\/([^\/]*\.)?new-watches\.fasthost\.tv
+https?:\/\/([^\/]*\.)?newae\.info
+https?:\/\/([^\/]*\.)?newaf\.info
+https?:\/\/([^\/]*\.)?newah\.info
+https?:\/\/([^\/]*\.)?newai\.info
+https?:\/\/([^\/]*\.)?newaq\.info
+https?:\/\/([^\/]*\.)?newar\.info
+https?:\/\/([^\/]*\.)?newau\.info
+https?:\/\/([^\/]*\.)?newbabyface\.net
+https?:\/\/([^\/]*\.)?newboyu\.com
+https?:\/\/([^\/]*\.)?newdietpills\.bravehost\.com
+https?:\/\/([^\/]*\.)?newgals-com-ean\.blogspot\.com
+https?:\/\/([^\/]*\.)?newhampshiremortgage-x\.com
+https?:\/\/([^\/]*\.)?newjerseymortgage-x\.com
+https?:\/\/([^\/]*\.)?newmexicomortgage-x\.com
+https?:\/\/([^\/]*\.)?newnewsonline\.info
+https?:\/\/([^\/]*\.)?newob\.info
+https?:\/\/([^\/]*\.)?newoc\.info
+https?:\/\/([^\/]*\.)?newom\.info
+https?:\/\/([^\/]*\.)?newov\.info
+https?:\/\/([^\/]*\.)?newox\.info
+https?:\/\/([^\/]*\.)?newoz\.info
+https?:\/\/([^\/]*\.)?news\.101freehost\.com
+https?:\/\/([^\/]*\.)?news\.engin\.brown\.edu
+https?:\/\/([^\/]*\.)?newwesthonda\.info
+https?:\/\/([^\/]*\.)?newworldmen\.com
+https?:\/\/([^\/]*\.)?newyorkmortgage-x\.com
+https?:\/\/([^\/]*\.)?nexium\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?nexiumbuy\.on\.to
+https?:\/\/([^\/]*\.)?nexiumbuygeneric\.snap\.to
+https?:\/\/([^\/]*\.)?nexiumcheap\.notrix\.ch
+https?:\/\/([^\/]*\.)?nexiumcheapbuy\.notrix\.de
+https?:\/\/([^\/]*\.)?nexiumgeneric\.dive\.to
+https?:\/\/([^\/]*\.)?nexxx-com-r138wgeob5\.blogspot\.com
+https?:\/\/([^\/]*\.)?nexxx-kc113s775\.blogspot\.com
+https?:\/\/([^\/]*\.)?nfbwx\.szm\.sk
+https?:\/\/([^\/]*\.)?nffnj\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?nfl-cheerleader-outfit\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?nfme8\.szm\.sk
+https?:\/\/([^\/]*\.)?nfpg4\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?nfyxtime\.com
+https?:\/\/([^\/]*\.)?nggleb0\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?nginju3\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?nhqkyun\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?nibko\.info
+https?:\/\/([^\/]*\.)?nice-asian-ass-and-sex\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?nice-boob-picture\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?niche-porn-sites\.org
+https?:\/\/([^\/]*\.)?nickdarula\.com
+https?:\/\/([^\/]*\.)?nicwan\.com
+https?:\/\/([^\/]*\.)?nidarim\.com
+https?:\/\/([^\/]*\.)?niebn\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?nifedipine-solubility\.tlg\.pl
+https?:\/\/([^\/]*\.)?nifjv\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?niharpe\.dl\.pl
+https?:\/\/([^\/]*\.)?nihosi\.com
+https?:\/\/([^\/]*\.)?nika-ru\.blogspot\.com
+https?:\/\/([^\/]*\.)?nikkie-cole\.blogspot\.com
+https?:\/\/([^\/]*\.)?nimast\.com
+https?:\/\/([^\/]*\.)?nimit-zood\.ibelgique\.com
+https?:\/\/([^\/]*\.)?ninenailteen\.blogspot\.com
+https?:\/\/([^\/]*\.)?ninjasidestep\.com
+https?:\/\/([^\/]*\.)?ninostarto\.blogspot\.com
+https?:\/\/([^\/]*\.)?ninuni\.com
+https?:\/\/([^\/]*\.)?nipples-puffy-teenage\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?nipverify\.icspace\.net
+https?:\/\/([^\/]*\.)?nissan-240sx\.newmail\.ru
+https?:\/\/([^\/]*\.)?nissan-dealer\.newmail\.ru
+https?:\/\/([^\/]*\.)?nissan-frontier\.nm\.ru
+https?:\/\/([^\/]*\.)?nissan-motor\.nm\.ru
+https?:\/\/([^\/]*\.)?nissan-murano\.newmail\.ru
+https?:\/\/([^\/]*\.)?nissan-part\.nightmail\.ru
+https?:\/\/([^\/]*\.)?nissan-pathfinder\.hotmail\.ru
+https?:\/\/([^\/]*\.)?nissan-sentra\.hotmail\.ru
+https?:\/\/([^\/]*\.)?nissan-titan\.hotmail\.ru
+https?:\/\/([^\/]*\.)?nissan-truck\.hotmail\.ru
+https?:\/\/([^\/]*\.)?nissan-xterra\.hotmail\.ru
+https?:\/\/([^\/]*\.)?nissan_240sx\.chat\.ru
+https?:\/\/([^\/]*\.)?nissan_dealer\.chat\.ru
+https?:\/\/([^\/]*\.)?nissan_frontier\.chat\.ru
+https?:\/\/([^\/]*\.)?nissan_motor\.chat\.ru
+https?:\/\/([^\/]*\.)?nissan_murano1\.chat\.ru
+https?:\/\/([^\/]*\.)?nissan_part\.chat\.ru
+https?:\/\/([^\/]*\.)?nissan_pathfind\.chat\.ru
+https?:\/\/([^\/]*\.)?nissan_sentra\.chat\.ru
+https?:\/\/([^\/]*\.)?nissan_titan\.chat\.ru
+https?:\/\/([^\/]*\.)?nissan_truck\.chat\.ru
+https?:\/\/([^\/]*\.)?nissan_xterra\.chat\.ru
+https?:\/\/([^\/]*\.)?nixve\.blogspot\.com
+https?:\/\/([^\/]*\.)?njfc\.nease\.net
+https?:\/\/([^\/]*\.)?njhk\.jiuwu\.com
+https?:\/\/([^\/]*\.)?njhk\.yushantang\.com
+https?:\/\/([^\/]*\.)?njuta78\.125mb\.com
+https?:\/\/([^\/]*\.)?njzthvq\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?nkkqq\.szm\.sk
+https?:\/\/([^\/]*\.)?nkxow\.szm\.sk
+https?:\/\/([^\/]*\.)?nkzzm\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?nlexc\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?nmagiietending\.blogspot\.com
+https?:\/\/([^\/]*\.)?nmwj5\.szm\.sk
+https?:\/\/([^\/]*\.)?nnline\.info
+https?:\/\/([^\/]*\.)?no-deposit-casino-bonus\.any\.pl
+https?:\/\/([^\/]*\.)?no-faxing-payday--loan\.blogspot\.com
+https?:\/\/([^\/]*\.)?no-free-sex-video-download\.blogspot\.com
+https?:\/\/([^\/]*\.)?no1babes-com-juquj\.blogspot\.com
+https?:\/\/([^\/]*\.)?noalzel\.blogcu\.com
+https?:\/\/([^\/]*\.)?nobocli\.bbfr\.net
+https?:\/\/([^\/]*\.)?nobullhardcore-com-i7mpf5i83t\.blogspot\.com
+https?:\/\/([^\/]*\.)?nodb0\.szm\.sk
+https?:\/\/([^\/]*\.)?noelchi\.td\.pl
+https?:\/\/([^\/]*\.)?noeldar\.td\.pl
+https?:\/\/([^\/]*\.)?nofatonline\.com
+https?:\/\/([^\/]*\.)?nolamon\.naturalforum\.net
+https?:\/\/([^\/]*\.)?noletoolo\.dl\.pl
+https?:\/\/([^\/]*\.)?nomote4\.blogspot\.com
+https?:\/\/([^\/]*\.)?nopasrol\.myrealboard\.com
+https?:\/\/([^\/]*\.)?nord9maedchen73\.blogspot\.com
+https?:\/\/([^\/]*\.)?nordette\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?norflex100mg\.sblog\.cz
+https?:\/\/([^\/]*\.)?normanburke\.blogspot\.com
+https?:\/\/([^\/]*\.)?noro-alanis\.blogspot\.com
+https?:\/\/([^\/]*\.)?northcarolinamortgage-x\.com
+https?:\/\/([^\/]*\.)?northdakotamortgage-x\.com
+https?:\/\/([^\/]*\.)?norvasc\.coz\.in
+https?:\/\/([^\/]*\.)?norwichwriters\.org
+https?:\/\/([^\/]*\.)?noscudom\.forumzen\.com
+https?:\/\/([^\/]*\.)?noseypets\.com
+https?:\/\/([^\/]*\.)?nostawnevets53\.blogspot\.com
+https?:\/\/([^\/]*\.)?not-another-teen-movie-ddw5x\.blogspot\.com
+https?:\/\/([^\/]*\.)?notelymphatic\.org
+https?:\/\/([^\/]*\.)?notereport\.info
+https?:\/\/([^\/]*\.)?notrocli\.zj\.pl
+https?:\/\/([^\/]*\.)?novanasa\.php5\.cz
+https?:\/\/([^\/]*\.)?novar\.darkbb\.com
+https?:\/\/([^\/]*\.)?noviac\.jc\.pl
+https?:\/\/([^\/]*\.)?nox-teeniefiles-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?npchwp9\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?nqfahsc\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?nqkt4\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?nqllo\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?nqlp7\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?nqod6\.szm\.sk
+https?:\/\/([^\/]*\.)?nqquc\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?nqyz6\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?nsstc\.uah\.edu
+https?:\/\/([^\/]*\.)?nsxslk5\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?nthfind\.com
+https?:\/\/([^\/]*\.)?nu-alicia\.blogspot\.com
+https?:\/\/([^\/]*\.)?nucs2\.szm\.sk
+https?:\/\/([^\/]*\.)?nuddxy-free-video\.blogspot\.com
+https?:\/\/([^\/]*\.)?nude-ass-video\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?nude-lingerie-model-photo\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?nude-little-ass\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?nude-teacher\.com
+https?:\/\/([^\/]*\.)?nudecelebritypictures-c6ob080\.blogspot\.com
+https?:\/\/([^\/]*\.)?nudecelebritypictures-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?nudecelebritypictures-nu-hqb65kdn\.blogspot\.com
+https?:\/\/([^\/]*\.)?nudestarz-com-xurig\.blogspot\.com
+https?:\/\/([^\/]*\.)?nudistlog-com-oygsrji75\.blogspot\.com
+https?:\/\/([^\/]*\.)?nugo-aerobics\.blogspot\.com
+https?:\/\/([^\/]*\.)?nuje9\.szm\.sk
+https?:\/\/([^\/]*\.)?numbness-in-right-leg\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?numimb\.com
+https?:\/\/([^\/]*\.)?numr2\.szm\.sk
+https?:\/\/([^\/]*\.)?nunikal\.stabilt\.se
+https?:\/\/([^\/]*\.)?nuqfz\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?nurglesnymphs-com-nuxow\.blogspot\.com
+https?:\/\/([^\/]*\.)?nurs-employment\.boom\.ru
+https?:\/\/([^\/]*\.)?nurs2employment\.chat\.ru
+https?:\/\/([^\/]*\.)?nurse-fucking-video\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?nursi2-education\.boom\.ru
+https?:\/\/([^\/]*\.)?nursin-education\.boom\.ru
+https?:\/\/([^\/]*\.)?nursin2education\.chat\.ru
+https?:\/\/([^\/]*\.)?nursing-career\.boom\.ru
+https?:\/\/([^\/]*\.)?nursing-college\.boom\.ru
+https?:\/\/([^\/]*\.)?nursing-degree\.boom\.ru
+https?:\/\/([^\/]*\.)?nursing-degree\.fromru\.com
+https?:\/\/([^\/]*\.)?nursing-degree\.pochta\.ru
+https?:\/\/([^\/]*\.)?nursing-home\.boom\.ru
+https?:\/\/([^\/]*\.)?nursing-home\.land\.ru
+https?:\/\/([^\/]*\.)?nursing-home\.pochta\.ru
+https?:\/\/([^\/]*\.)?nursing-job\.boom\.ru
+https?:\/\/([^\/]*\.)?nursing-job\.land\.ru
+https?:\/\/([^\/]*\.)?nursing-job\.pochta\.ru
+https?:\/\/([^\/]*\.)?nursing-school\.fromru\.com
+https?:\/\/([^\/]*\.)?nursing-school\.pochta\.ru
+https?:\/\/([^\/]*\.)?nursing-scrubs\.boom\.ru
+https?:\/\/([^\/]*\.)?nursing-uniform\.boom\.ru
+https?:\/\/([^\/]*\.)?nursing1degree\.chat\.ru
+https?:\/\/([^\/]*\.)?nursing2-school\.boom\.ru
+https?:\/\/([^\/]*\.)?nursing2college\.chat\.ru
+https?:\/\/([^\/]*\.)?nursing2school\.chat\.ru
+https?:\/\/([^\/]*\.)?nursing2scrubs\.chat\.ru
+https?:\/\/([^\/]*\.)?nursing4career\.chat\.ru
+https?:\/\/([^\/]*\.)?nursing4degree\.chat\.ru
+https?:\/\/([^\/]*\.)?nursing4job\.chat\.ru
+https?:\/\/([^\/]*\.)?nursingeducation\.chat\.ru
+https?:\/\/([^\/]*\.)?nutramigen-lipil\.leg4is\.be
+https?:\/\/([^\/]*\.)?nvdwc\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?nwxnv\.szm\.sk
+https?:\/\/([^\/]*\.)?nxbbyhc\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?nxnmwlh\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?nxsq0\.szm\.sk
+https?:\/\/([^\/]*\.)?o-medic\.tripod\.com
+https?:\/\/([^\/]*\.)?o8\.aus\.cc
+https?:\/\/([^\/]*\.)?oa18\.cc
+https?:\/\/([^\/]*\.)?oa2010\.com
+https?:\/\/([^\/]*\.)?oalink\.cn
+https?:\/\/([^\/]*\.)?obesity-check\.com
+https?:\/\/([^\/]*\.)?obosra\.com
+https?:\/\/([^\/]*\.)?obrazok\.dtdns\.net
+https?:\/\/([^\/]*\.)?obsque\.cn
+https?:\/\/([^\/]*\.)?ocapk\.szm\.sk
+https?:\/\/([^\/]*\.)?oceshdes\.forumzen\.com
+https?:\/\/([^\/]*\.)?ockulpas\.forumzen\.com
+https?:\/\/([^\/]*\.)?ocleelia\.forumzen\.com
+https?:\/\/([^\/]*\.)?ocscrtro\.forumzen\.com
+https?:\/\/([^\/]*\.)?oczt4\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?odfxci8\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?odmi1\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?odqw4\.szm\.sk
+https?:\/\/([^\/]*\.)?oechnxln\.tripod\.com
+https?:\/\/([^\/]*\.)?oecx6\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?oeqls\.szm\.sk
+https?:\/\/([^\/]*\.)?offerta-volo-madrid\.19mb\.info
+https?:\/\/([^\/]*\.)?officialkes\.com
+https?:\/\/([^\/]*\.)?ogooch\.ifrance\.com
+https?:\/\/([^\/]*\.)?ogzx4\.szm\.sk
+https?:\/\/([^\/]*\.)?ohgood-com-dv8l\.blogspot\.com
+https?:\/\/([^\/]*\.)?ohgood-com-isxvi72\.blogspot\.com
+https?:\/\/([^\/]*\.)?ohgood-com-rv0\.blogspot\.com
+https?:\/\/([^\/]*\.)?ohiomortgage-x\.com
+https?:\/\/([^\/]*\.)?ohmybaby\.net
+https?:\/\/([^\/]*\.)?oilpaintingkingdom\.com
+https?:\/\/([^\/]*\.)?oily-skin\.newmail\.ru
+https?:\/\/([^\/]*\.)?oily_skin\.chat\.ru
+https?:\/\/([^\/]*\.)?oip\.org\.ua
+https?:\/\/([^\/]*\.)?oirwt\.szm\.sk
+https?:\/\/([^\/]*\.)?ojdo0\.szm\.sk
+https?:\/\/([^\/]*\.)?ojdx7\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?okayhotels\.com
+https?:\/\/([^\/]*\.)?okcompany\.org
+https?:\/\/([^\/]*\.)?oklahomamortgage-x\.com
+https?:\/\/([^\/]*\.)?okrentcar\.org
+https?:\/\/([^\/]*\.)?old-lady-fuck\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?old-lady-suck-dick\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?old69-com-mdt71k\.blogspot\.com
+https?:\/\/([^\/]*\.)?old69-k40bqc\.blogspot\.com
+https?:\/\/([^\/]*\.)?older-mature-tgp\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?oldon\.info
+https?:\/\/([^\/]*\.)?oldp9\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?oldreboc\.forumzen\.com
+https?:\/\/([^\/]*\.)?olijanko\.republika\.pl
+https?:\/\/([^\/]*\.)?olml2\.szm\.sk
+https?:\/\/([^\/]*\.)?olnnt\.szm\.sk
+https?:\/\/([^\/]*\.)?olocnamon\.cultureforum\.net
+https?:\/\/([^\/]*\.)?olocobo\.goodforum\.net
+https?:\/\/([^\/]*\.)?olocobo\.grafbb\.com
+https?:\/\/([^\/]*\.)?olodarelt\.heavenforum\.com
+https?:\/\/([^\/]*\.)?olodarelt\.highforum\.net
+https?:\/\/([^\/]*\.)?olodronric\.su\.pl
+https?:\/\/([^\/]*\.)?olodronro\.blogcu\.com
+https?:\/\/([^\/]*\.)?oloolovi\.ephpbb\.com
+https?:\/\/([^\/]*\.)?oloorrac\.blogcu\.com
+https?:\/\/([^\/]*\.)?olorelrel\.blogcu\.com
+https?:\/\/([^\/]*\.)?olorodom\.blogcu\.com
+https?:\/\/([^\/]*\.)?oltcha\.blogspot\.com
+https?:\/\/([^\/]*\.)?olyelzet\.forumzen\.com
+https?:\/\/([^\/]*\.)?omchades\.forumzen\.com
+https?:\/\/([^\/]*\.)?omega\.spb\.ru
+https?:\/\/([^\/]*\.)?omxmlvf\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?on-poteen-1-2\.blogspot\.com
+https?:\/\/([^\/]*\.)?onac2\.szm\.sk
+https?:\/\/([^\/]*\.)?one2you\.biz
+https?:\/\/([^\/]*\.)?one2you\.info
+https?:\/\/([^\/]*\.)?onfew\.szm\.sk
+https?:\/\/([^\/]*\.)?onlin-nurs-degre\.boom\.ru
+https?:\/\/([^\/]*\.)?online-blackjack-site\.com
+https?:\/\/([^\/]*\.)?online-casino-l\.blogspot\.com
+https?:\/\/([^\/]*\.)?online-casino-wiki\.com
+https?:\/\/([^\/]*\.)?online-casinos-city\.com
+https?:\/\/([^\/]*\.)?online-casinos-discovered\.com
+https?:\/\/([^\/]*\.)?online-degree-4you\.com
+https?:\/\/([^\/]*\.)?online-gambling-b\.blogspot\.com
+https?:\/\/([^\/]*\.)?online-games24x7\.com
+https?:\/\/([^\/]*\.)?online-medications24x7\.com
+https?:\/\/([^\/]*\.)?online-pharm\.zmail\.ru
+https?:\/\/([^\/]*\.)?online-pharmacy-24x7\.net
+https?:\/\/([^\/]*\.)?online-pharmacy-4u\.net
+https?:\/\/([^\/]*\.)?online-poker-game\.blogspot\.com
+https?:\/\/([^\/]*\.)?online-poker-online-poker\.net
+https?:\/\/([^\/]*\.)?online-poker\.black-poker\.com
+https?:\/\/([^\/]*\.)?online-poker\.dd\.vg
+https?:\/\/([^\/]*\.)?online-prozac\.boom\.ru
+https?:\/\/([^\/]*\.)?online-shop-24x7\.com
+https?:\/\/([^\/]*\.)?online-tramadol\.1\.forogratis\.es
+https?:\/\/([^\/]*\.)?online1-degree\.boom\.ru
+https?:\/\/([^\/]*\.)?online1course\.chat\.ru
+https?:\/\/([^\/]*\.)?online1degree\.chat\.ru
+https?:\/\/([^\/]*\.)?online3course\.chat\.ru
+https?:\/\/([^\/]*\.)?online4nursing\.chat\.ru
+https?:\/\/([^\/]*\.)?onlineglass\.siteburg\.com
+https?:\/\/([^\/]*\.)?onlinepharmacy-4u\.net
+https?:\/\/([^\/]*\.)?onlinepharmacy2004\.net
+https?:\/\/([^\/]*\.)?onlinesexcity\.info
+https?:\/\/([^\/]*\.)?only-ringtone\.com
+https?:\/\/([^\/]*\.)?only4game\.com
+https?:\/\/([^\/]*\.)?onlycuties-com\.jalovica\.dtdns\.net
+https?:\/\/([^\/]*\.)?onlymovies-com-e1tez\.blogspot\.com
+https?:\/\/([^\/]*\.)?onlymovies-com-eczm1w\.blogspot\.com
+https?:\/\/([^\/]*\.)?onlyteenstgp-com-rmn\.blogspot\.com
+https?:\/\/([^\/]*\.)?onlyteenstgp-com-rrcamwws3\.blogspot\.com
+https?:\/\/([^\/]*\.)?onlyteenstgp-we\.blogspot\.com
+https?:\/\/([^\/]*\.)?onmycrew\.blogspot\.com
+https?:\/\/([^\/]*\.)?onrueetd\.forumzen\.com
+https?:\/\/([^\/]*\.)?oogqj\.szm\.sk
+https?:\/\/([^\/]*\.)?oohsexy-com-hob8e5y8r\.blogspot\.com
+https?:\/\/([^\/]*\.)?oohsexy-com-hpemrnff\.blogspot\.com
+https?:\/\/([^\/]*\.)?oohsexy\.com
+https?:\/\/([^\/]*\.)?oohsexy000\.blogspot\.com
+https?:\/\/([^\/]*\.)?ooline\.info
+https?:\/\/([^\/]*\.)?oolive\.info
+https?:\/\/([^\/]*\.)?oonk1\.szm\.sk
+https?:\/\/([^\/]*\.)?ooooi\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ootop\.info
+https?:\/\/([^\/]*\.)?ooyy2\.szm\.sk
+https?:\/\/([^\/]*\.)?opastr\.com
+https?:\/\/([^\/]*\.)?oping\.info
+https?:\/\/([^\/]*\.)?opiytr\.com
+https?:\/\/([^\/]*\.)?opkr1\.szm\.sk
+https?:\/\/([^\/]*\.)?optbblx\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?optfzj5\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?opyfsen\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?oqaknky\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?oqfwt\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?or-drondron\.xa\.pl
+https?:\/\/([^\/]*\.)?orabc\.info
+https?:\/\/([^\/]*\.)?oracelta\.lolforum\.net
+https?:\/\/([^\/]*\.)?orasta\.com
+https?:\/\/([^\/]*\.)?orbasolo\.dl\.pl
+https?:\/\/([^\/]*\.)?orcnaac\.discutfree\.com
+https?:\/\/([^\/]*\.)?orcoc\.lolbb\.com
+https?:\/\/([^\/]*\.)?orcore\.graphforum\.com
+https?:\/\/([^\/]*\.)?orddercelexa\.ovp\.pl
+https?:\/\/([^\/]*\.)?orddercialisonline\.ovp\.pl
+https?:\/\/([^\/]*\.)?ordderlevitra\.ovp\.pl
+https?:\/\/([^\/]*\.)?orddertramadolonline\.ovp\.pl
+https?:\/\/([^\/]*\.)?orddervalium\.ovp\.pl
+https?:\/\/([^\/]*\.)?orddervaliumonline\.ovp\.pl
+https?:\/\/([^\/]*\.)?ordderviagra\.ovp\.pl
+https?:\/\/([^\/]*\.)?ordderviagraonline\.ovp\.pl
+https?:\/\/([^\/]*\.)?ordderzithromax\.ovp\.pl
+https?:\/\/([^\/]*\.)?ordeertramadol\.ovp\.pl
+https?:\/\/([^\/]*\.)?order-blue-phentermine\.coz\.in
+https?:\/\/([^\/]*\.)?order-ritalin-online\.contact\.cc
+https?:\/\/([^\/]*\.)?order-viagra\.health-livening\.com
+https?:\/\/([^\/]*\.)?orderacyclovirr\.ovp\.pl
+https?:\/\/([^\/]*\.)?orderadipexx\.ovp\.pl
+https?:\/\/([^\/]*\.)?orderalbenzaa\.ovp\.pl
+https?:\/\/([^\/]*\.)?orderalprazolamm\.ovp\.pl
+https?:\/\/([^\/]*\.)?orderambienn\.ovp\.pl
+https?:\/\/([^\/]*\.)?orderamoxicillinn\.ovp\.pl
+https?:\/\/([^\/]*\.)?orderativann\.ovp\.pl
+https?:\/\/([^\/]*\.)?orderccialiss\.ovp\.pl
+https?:\/\/([^\/]*\.)?ordercelexaa\.ovp\.pl
+https?:\/\/([^\/]*\.)?orderciaalisonline\.ovp\.pl
+https?:\/\/([^\/]*\.)?ordercialisonline\.jubiiblog\.de
+https?:\/\/([^\/]*\.)?ordercialiss\.ovp\.pl
+https?:\/\/([^\/]*\.)?ordercializ\.blogcu\.com
+https?:\/\/([^\/]*\.)?ordercializ\.spotbb\.com
+https?:\/\/([^\/]*\.)?ordercymbaltaa\.ovp\.pl
+https?:\/\/([^\/]*\.)?orderhydrocodonee\.ovp\.pl
+https?:\/\/([^\/]*\.)?orderlevitraa\.ovp\.pl
+https?:\/\/([^\/]*\.)?orderllevitra\.ovp\.pl
+https?:\/\/([^\/]*\.)?ordermeridiaa\.ovp\.pl
+https?:\/\/([^\/]*\.)?orderphentermin\.phpbbx\.de
+https?:\/\/([^\/]*\.)?orderphenterminee\.ovp\.pl
+https?:\/\/([^\/]*\.)?orderpropeciaa\.ovp\.pl
+https?:\/\/([^\/]*\.)?orderrcialisonline\.ovp\.pl
+https?:\/\/([^\/]*\.)?orderrlevitra\.ovp\.pl
+https?:\/\/([^\/]*\.)?orderrtramadoll\.ovp\.pl
+https?:\/\/([^\/]*\.)?orderrtramadolonline\.ovp\.pl
+https?:\/\/([^\/]*\.)?orderrvalium\.ovp\.pl
+https?:\/\/([^\/]*\.)?orderrviagraa\.ovp\.pl
+https?:\/\/([^\/]*\.)?orderrviagraonline\.ovp\.pl
+https?:\/\/([^\/]*\.)?ordersoma\.blogsome\.com
+https?:\/\/([^\/]*\.)?ordersomaa\.ovp\.pl
+https?:\/\/([^\/]*\.)?orderssoma\.ovp\.pl
+https?:\/\/([^\/]*\.)?ordertramadoll\.ovp\.pl
+https?:\/\/([^\/]*\.)?ordertramadollonline\.ovp\.pl
+https?:\/\/([^\/]*\.)?orderultramm\.ovp\.pl
+https?:\/\/([^\/]*\.)?ordervaliumm\.ovp\.pl
+https?:\/\/([^\/]*\.)?orderviagraa\.ovp\.pl
+https?:\/\/([^\/]*\.)?orderviagraaonline\.ovp\.pl
+https?:\/\/([^\/]*\.)?ordervvalium\.ovp\.pl
+https?:\/\/([^\/]*\.)?orderxanaxx\.ovp\.pl
+https?:\/\/([^\/]*\.)?orderxenicalonline\.ir\.pl
+https?:\/\/([^\/]*\.)?orecchino\.7god\.info
+https?:\/\/([^\/]*\.)?orecchino\.freespase\.info
+https?:\/\/([^\/]*\.)?oregonmortgage-x\.com
+https?:\/\/([^\/]*\.)?orgetacel\.discutforum\.com
+https?:\/\/([^\/]*\.)?orgeter\.cultureforum\.net
+https?:\/\/([^\/]*\.)?orgs\.indianatech\.edu
+https?:\/\/([^\/]*\.)?orletovar\.zikforum\.com
+https?:\/\/([^\/]*\.)?ormme\.com
+https?:\/\/([^\/]*\.)?ornildom\.forumzen\.com
+https?:\/\/([^\/]*\.)?orrdercialisonline\.ovp\.pl
+https?:\/\/([^\/]*\.)?orrdertramadolonline\.ovp\.pl
+https?:\/\/([^\/]*\.)?orsaverc\.forumzen\.com
+https?:\/\/([^\/]*\.)?ortar\.com
+https?:\/\/([^\/]*\.)?ortho-tri-cyclen\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?ortrocrol\.heavenforum\.com
+https?:\/\/([^\/]*\.)?ortrocrol\.highforum\.net
+https?:\/\/([^\/]*\.)?orvict\.ephpbb\.com
+https?:\/\/([^\/]*\.)?oryc0\.szm\.sk
+https?:\/\/([^\/]*\.)?orzeldron\.lightbb\.com
+https?:\/\/([^\/]*\.)?osakabondage\.info
+https?:\/\/([^\/]*\.)?osfi5\.szm\.sk
+https?:\/\/([^\/]*\.)?osthtp1\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?otqsc\.szm\.sk
+https?:\/\/([^\/]*\.)?oudomdar\.dl\.pl
+https?:\/\/([^\/]*\.)?oudomolo\.lolbb\.com
+https?:\/\/([^\/]*\.)?oudronc4t\.blogcu\.com
+https?:\/\/([^\/]*\.)?ouerco\.lightbb\.com
+https?:\/\/([^\/]*\.)?oufienoc\.forumzen\.com
+https?:\/\/([^\/]*\.)?ouglova\.blogspot\.com
+https?:\/\/([^\/]*\.)?ouhymtro\.forumzen\.com
+https?:\/\/([^\/]*\.)?ouor\.lightbb\.com
+https?:\/\/([^\/]*\.)?ouorrac\.bbfr\.net
+https?:\/\/([^\/]*\.)?ouracdron\.discutfree\.com
+https?:\/\/([^\/]*\.)?ourolal\.discutfree\.com
+https?:\/\/([^\/]*\.)?outrocvar\.zj\.pl
+https?:\/\/([^\/]*\.)?outward-dev\.com
+https?:\/\/([^\/]*\.)?ouvier\.zj\.pl
+https?:\/\/([^\/]*\.)?overseas-adventure-travel\.globaltr\.info
+https?:\/\/([^\/]*\.)?ovparnel\.dl\.pl
+https?:\/\/([^\/]*\.)?ovpn2\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ovrie\.szm\.sk
+https?:\/\/([^\/]*\.)?ovu\.edu
+https?:\/\/([^\/]*\.)?owll1\.szm\.sk
+https?:\/\/([^\/]*\.)?oxpass-com-g5\.blogspot\.com
+https?:\/\/([^\/]*\.)?oxpass-com-gt71a0\.blogspot\.com
+https?:\/\/([^\/]*\.)?oxpass-com-hurol\.blogspot\.com
+https?:\/\/([^\/]*\.)?oyspa\.szm\.sk
+https?:\/\/([^\/]*\.)?oz6soqa\.nokedem\.com
+https?:\/\/([^\/]*\.)?ozbk9\.szm\.sk
+https?:\/\/([^\/]*\.)?ozgzm\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?ozxz5\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?ozyexk2\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?p-free-sex-video-download\.blogspot\.com
+https?:\/\/([^\/]*\.)?p-n-lesbian-sex-video-d\.blogspot\.com
+https?:\/\/([^\/]*\.)?p-teens-b00\.blogspot\.com
+https?:\/\/([^\/]*\.)?p-vi\.com
+https?:\/\/([^\/]*\.)?p1v\.org
+https?:\/\/([^\/]*\.)?p2l\.info
+https?:\/\/([^\/]*\.)?pa19\.com
+https?:\/\/([^\/]*\.)?pacfic-poker\.mutogen\.be
+https?:\/\/([^\/]*\.)?pacficpoker\.xwiki\.com
+https?:\/\/([^\/]*\.)?pacific-poker\.black-poker\.com
+https?:\/\/([^\/]*\.)?paddedapex\.org
+https?:\/\/([^\/]*\.)?paeecpx\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?pafyawv\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?pain-medications\.us
+https?:\/\/([^\/]*\.)?pain-relief\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?pain-relief\.2x4\.ru
+https?:\/\/([^\/]*\.)?pain-relief\.fromru\.com
+https?:\/\/([^\/]*\.)?pain-relief\.newmail\.ru
+https?:\/\/([^\/]*\.)?pain_relief\.chat\.ru
+https?:\/\/([^\/]*\.)?paisi\.com
+https?:\/\/([^\/]*\.)?paisidesign\.com
+https?:\/\/([^\/]*\.)?pam\.freehostia\.com
+https?:\/\/([^\/]*\.)?pamlicohouse\.com
+https?:\/\/([^\/]*\.)?panama2cruise\.boom\.ru
+https?:\/\/([^\/]*\.)?panama2cruise\.chat\.ru
+https?:\/\/([^\/]*\.)?panamacruise1\.boom\.ru
+https?:\/\/([^\/]*\.)?panamacruise1\.chat\.ru
+https?:\/\/([^\/]*\.)?pandamovies-com-g6lz762n76\.blogspot\.com
+https?:\/\/([^\/]*\.)?pandamovies-com-tq32\.blogspot\.com
+https?:\/\/([^\/]*\.)?pandamovies-o4me7xqq0f\.blogspot\.com
+https?:\/\/([^\/]*\.)?panshan888\.com
+https?:\/\/([^\/]*\.)?panss\.org
+https?:\/\/([^\/]*\.)?panthersjaguar\.info
+https?:\/\/([^\/]*\.)?panty-ass-com-w1\.blogspot\.com
+https?:\/\/([^\/]*\.)?panty-ass-com-w8\.blogspot\.com
+https?:\/\/([^\/]*\.)?pantybuns-com-a6ejgve\.blogspot\.com
+https?:\/\/([^\/]*\.)?pantybuns-com-axeoa77ze5\.blogspot\.com
+https?:\/\/([^\/]*\.)?pantybuns-com-tl0\.blogspot\.com
+https?:\/\/([^\/]*\.)?pantyhose-face\.pupava\.dtdns\.net
+https?:\/\/([^\/]*\.)?pantyhose-net\.com
+https?:\/\/([^\/]*\.)?paola-e-chiara\.host24h\.info
+https?:\/\/([^\/]*\.)?paper--shredder\.boom\.ru
+https?:\/\/([^\/]*\.)?paper-s\.boom\.ru
+https?:\/\/([^\/]*\.)?paper1-shredder\.boom\.ru
+https?:\/\/([^\/]*\.)?paper1shredder\.chat\.ru
+https?:\/\/([^\/]*\.)?paper5shredder\.chat\.ru
+https?:\/\/([^\/]*\.)?paperbox\.freephpwebhosting\.net
+https?:\/\/([^\/]*\.)?paperroom\.blogspot\.com
+https?:\/\/([^\/]*\.)?papr-shredder\.boom\.ru
+https?:\/\/([^\/]*\.)?paradisenudes-com-o71a\.blogspot\.com
+https?:\/\/([^\/]*\.)?paradisenudes-com-os\.blogspot\.com
+https?:\/\/([^\/]*\.)?paralegal1degree\.chat\.ru
+https?:\/\/([^\/]*\.)?parench\.ifrance\.com
+https?:\/\/([^\/]*\.)?parigi-appartamento-vacanza\.zoom10x\.info
+https?:\/\/([^\/]*\.)?paris-hilton-huxik\.blogspot\.com
+https?:\/\/([^\/]*\.)?paris-hilton-lukuv\.blogspot\.com
+https?:\/\/([^\/]*\.)?paris-hilton-nude-tape\.blogspot\.com
+https?:\/\/([^\/]*\.)?paris-hilton-pijid\.blogspot\.com
+https?:\/\/([^\/]*\.)?paris-hilton-pojic\.blogspot\.com
+https?:\/\/([^\/]*\.)?paris-hilton-porn\.0800-porn\.com
+https?:\/\/([^\/]*\.)?paris-hilton-sex-tape-xxx\.blogspot\.com
+https?:\/\/([^\/]*\.)?paris-hilton-sex-video-gf5m5\.blogspot\.com
+https?:\/\/([^\/]*\.)?paris-hilton-sex-video-sez\.blogspot\.com
+https?:\/\/([^\/]*\.)?paris-hilton-socog\.blogspot\.com
+https?:\/\/([^\/]*\.)?paris-hilton-xidig\.blogspot\.com
+https?:\/\/([^\/]*\.)?paris\.php5\.cz
+https?:\/\/([^\/]*\.)?parishilton-paris-hilton\.blogspot\.com
+https?:\/\/([^\/]*\.)?parishilton-paris\.blogspot\.com
+https?:\/\/([^\/]*\.)?parnvf8\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?partei-deutsches-reich\.de
+https?:\/\/([^\/]*\.)?parties-supply\.jeepsyc\.be
+https?:\/\/([^\/]*\.)?party-poker\.black-poker\.com
+https?:\/\/([^\/]*\.)?pasacvi\.zikforum\.com
+https?:\/\/([^\/]*\.)?paschiel\.blogcu\.com
+https?:\/\/([^\/]*\.)?pasdronli\.winnerforum\.net
+https?:\/\/([^\/]*\.)?pashkak0marov\.blogspot\.com
+https?:\/\/([^\/]*\.)?paslibo\.myrealboard\.com
+https?:\/\/([^\/]*\.)?pasoloro\.graphforum\.com
+https?:\/\/([^\/]*\.)?pasoloro\.highforum\.net
+https?:\/\/([^\/]*\.)?pasracco\.blogcu\.com
+https?:\/\/([^\/]*\.)?pasrodron\.xa\.pl
+https?:\/\/([^\/]*\.)?passaf\.com
+https?:\/\/([^\/]*\.)?pastmagic\.blogspot\.com
+https?:\/\/([^\/]*\.)?pasviric\.td\.pl
+https?:\/\/([^\/]*\.)?patsytestis\.0moola\.com
+https?:\/\/([^\/]*\.)?pattywhack91\.ibelgique\.com
+https?:\/\/([^\/]*\.)?paulino\.greekboston\.com
+https?:\/\/([^\/]*\.)?paulino\.idilis\.ro
+https?:\/\/([^\/]*\.)?paxil-cr\.contact\.cc
+https?:\/\/([^\/]*\.)?paxil-without-prescription\.contact\.cc
+https?:\/\/([^\/]*\.)?paxil\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?paxil\.forospace\.com
+https?:\/\/([^\/]*\.)?paxilonline\.zoomshare\.com
+https?:\/\/([^\/]*\.)?payday-loan\.de\.com
+https?:\/\/([^\/]*\.)?payday-loans-4us\.com
+https?:\/\/([^\/]*\.)?payday-loans-ooo\.blogspot\.com
+https?:\/\/([^\/]*\.)?paydayloans-guide\.com
+https?:\/\/([^\/]*\.)?paydayloans-x\.com
+https?:\/\/([^\/]*\.)?pcb2002\.home4u\.china\.com
+https?:\/\/([^\/]*\.)?pcgzt\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?pdslnw6\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?peace-weasel\.blogspot\.com
+https?:\/\/([^\/]*\.)?peacedoorball\.blogspot\.com
+https?:\/\/([^\/]*\.)?pearlibuttons\.blogspot\.com
+https?:\/\/([^\/]*\.)?pebwgu0\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?pecilu-free-sex-video-clip\.blogspot\.com
+https?:\/\/([^\/]*\.)?pee-4a\.com
+https?:\/\/([^\/]*\.)?peetliel\.jconserv\.net
+https?:\/\/([^\/]*\.)?pefi-albert\.blogspot\.com
+https?:\/\/([^\/]*\.)?pelnp\.szm\.sk
+https?:\/\/([^\/]*\.)?pendant\.hostcroc\.com
+https?:\/\/([^\/]*\.)?pennsylvaniamortgage-x\.com
+https?:\/\/([^\/]*\.)?people\.msoe\.edu
+https?:\/\/([^\/]*\.)?percocet-without-prescription\.contact\.cc
+https?:\/\/([^\/]*\.)?percocet\.xwiki\.com
+https?:\/\/([^\/]*\.)?perfect-boob\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?permanentmagnet\.com
+https?:\/\/([^\/]*\.)?persiankitty-com-kcfsibt01d\.blogspot\.com
+https?:\/\/([^\/]*\.)?persiankitty-com-ksa6l1a\.blogspot\.com
+https?:\/\/([^\/]*\.)?personales\.ciudad\.com\.ar
+https?:\/\/([^\/]*\.)?personalserotic\.com
+https?:\/\/([^\/]*\.)?petite-mature-blonde\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?petite-teen-ass\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?petiteteenager-com-cm8ku8w\.blogspot\.com
+https?:\/\/([^\/]*\.)?petiteteenager-com-md6\.blogspot\.com
+https?:\/\/([^\/]*\.)?petiteteenager-com-mns4n5a4\.blogspot\.com
+https?:\/\/([^\/]*\.)?petiteteenager-g687rhasjq\.blogspot\.com
+https?:\/\/([^\/]*\.)?peuct\.szm\.sk
+https?:\/\/([^\/]*\.)?pfes7\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?pffl4\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?pgbnjja\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?pharm1\.info
+https?:\/\/([^\/]*\.)?pharmacies\.in\.ua
+https?:\/\/([^\/]*\.)?pharmacy-experts\.org
+https?:\/\/([^\/]*\.)?pharmacy-x\.ru\.ru
+https?:\/\/([^\/]*\.)?pharmacy\.sovuennir\.be
+https?:\/\/([^\/]*\.)?pharmacy\.t28\.net
+https?:\/\/([^\/]*\.)?pharmacy05\.com
+https?:\/\/([^\/]*\.)?pharmacybuy1\.angelcities\.com
+https?:\/\/([^\/]*\.)?pharmaduk\.xshorturl\.com
+https?:\/\/([^\/]*\.)?phendimetrazine\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?phendimetrazinenx\.u\.yuku\.com
+https?:\/\/([^\/]*\.)?phentermine--mine\.blogspot\.com
+https?:\/\/([^\/]*\.)?phentermine-911\.bee\.pl
+https?:\/\/([^\/]*\.)?phentermine-911\.coz\.in
+https?:\/\/([^\/]*\.)?phentermine-cc\.blogspot\.com
+https?:\/\/([^\/]*\.)?phentermine-choise\.ebloggy\.com
+https?:\/\/([^\/]*\.)?phentermine-forum\.tripod\.com
+https?:\/\/([^\/]*\.)?phentermine-gs\.eu\.tc
+https?:\/\/([^\/]*\.)?phentermine-gs\.net\.tc
+https?:\/\/([^\/]*\.)?phentermine-hcl\.org
+https?:\/\/([^\/]*\.)?phentermine-online\.cheapills\.info
+https?:\/\/([^\/]*\.)?phentermine-online\.presteert\.nl
+https?:\/\/([^\/]*\.)?phentermine-pharmacy\.fws1\.com
+https?:\/\/([^\/]*\.)?phentermine-support\.com
+https?:\/\/([^\/]*\.)?phentermine\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?phentermine\.acbox\.com
+https?:\/\/([^\/]*\.)?phentermine\.acbox\.net
+https?:\/\/([^\/]*\.)?phentermine\.arkadasi\.com
+https?:\/\/([^\/]*\.)?phentermine\.asistani\.com
+https?:\/\/([^\/]*\.)?phentermine\.bz
+https?:\/\/([^\/]*\.)?phentermine\.clubpage\.net
+https?:\/\/([^\/]*\.)?phentermine\.esguay\.com
+https?:\/\/([^\/]*\.)?phentermine\.flygande-apor\.com
+https?:\/\/([^\/]*\.)?phentermine\.goodpharm\.info
+https?:\/\/([^\/]*\.)?phentermine\.gotgeeks\.com
+https?:\/\/([^\/]*\.)?phentermine\.hallonsaft\.info
+https?:\/\/([^\/]*\.)?phentermine\.health-livening\.com
+https?:\/\/([^\/]*\.)?phentermine\.on-4\.com
+https?:\/\/([^\/]*\.)?phentermine\.ontspant\.nl
+https?:\/\/([^\/]*\.)?phentermine\.presteert\.nl
+https?:\/\/([^\/]*\.)?phentermine\.skocz\.net
+https?:\/\/([^\/]*\.)?phentermine\.su\.pl
+https?:\/\/([^\/]*\.)?phentermine\.tv
+https?:\/\/([^\/]*\.)?phentermine\.websiam\.net
+https?:\/\/([^\/]*\.)?phentermine2\.freewebsites\.com
+https?:\/\/([^\/]*\.)?phentermine7x\.forumup\.org
+https?:\/\/([^\/]*\.)?phenterminec\.phpbbx\.de
+https?:\/\/([^\/]*\.)?phentermineonline\.ds4a\.com
+https?:\/\/([^\/]*\.)?phentermineonline\.livelog\.com
+https?:\/\/([^\/]*\.)?phentermineq\.host-page\.com
+https?:\/\/([^\/]*\.)?phentermines\.int\.tf
+https?:\/\/([^\/]*\.)?phoenixbrngbrt\.blogspot\.com
+https?:\/\/([^\/]*\.)?phol8\.szm\.sk
+https?:\/\/([^\/]*\.)?phone-service\.newmail\.ru
+https?:\/\/([^\/]*\.)?phones-gsm\.haemati\.be
+https?:\/\/([^\/]*\.)?photo-of-girl-boob\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?photospacefree\.com
+https?:\/\/([^\/]*\.)?phots\.info
+https?:\/\/([^\/]*\.)?phpbbx\.de
+https?:\/\/([^\/]*\.)?phun-org-i08kd\.blogspot\.com
+https?:\/\/([^\/]*\.)?phun-org-ingg0\.blogspot\.com
+https?:\/\/([^\/]*\.)?pi-allyson\.blogspot\.com
+https?:\/\/([^\/]*\.)?pichunter-com-bkfwk\.blogspot\.com
+https?:\/\/([^\/]*\.)?pichunter-com-bzf\.blogspot\.com
+https?:\/\/([^\/]*\.)?pichunter-com-hmmcyoud8\.blogspot\.com
+https?:\/\/([^\/]*\.)?pichunter-com-kesip\.blogspot\.com
+https?:\/\/([^\/]*\.)?picpost-com-e3xqsc6a\.blogspot\.com
+https?:\/\/([^\/]*\.)?picpost-com-eu7refa3s5\.blogspot\.com
+https?:\/\/([^\/]*\.)?picture-cast-on-broken-leg\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?picture-of-gay-guys-fucking\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?picture-of-used-condom\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?picture\.8tt\.org
+https?:\/\/([^\/]*\.)?pictureheaven-com-ri7hn\.blogspot\.com
+https?:\/\/([^\/]*\.)?pictureheaven-com-rw\.blogspot\.com
+https?:\/\/([^\/]*\.)?pictures-free-org-wl0nj\.blogspot\.com
+https?:\/\/([^\/]*\.)?pictures-free-org-wmmkv2w\.blogspot\.com
+https?:\/\/([^\/]*\.)?piggy-soldier\.blogspot\.com
+https?:\/\/([^\/]*\.)?pigtime\.net\.ru
+https?:\/\/([^\/]*\.)?pigxxx-com-ctjj1\.blogspot\.com
+https?:\/\/([^\/]*\.)?pigxxx-com-ge1omxgp20\.blogspot\.com
+https?:\/\/([^\/]*\.)?pigxxx-com-geo8asd1i\.blogspot\.com
+https?:\/\/([^\/]*\.)?pigxxx-com-nevew\.blogspot\.com
+https?:\/\/([^\/]*\.)?pijjyh-free-porn\.blogspot\.com
+https?:\/\/([^\/]*\.)?pillalli\.info
+https?:\/\/([^\/]*\.)?pillnext\.com
+https?:\/\/([^\/]*\.)?pillow\.2x4\.ru
+https?:\/\/([^\/]*\.)?pills-catalog\.com
+https?:\/\/([^\/]*\.)?pills-pharmacy\.us
+https?:\/\/([^\/]*\.)?pills\.007webpro\.com
+https?:\/\/([^\/]*\.)?pills\.siemprelisto\.net
+https?:\/\/([^\/]*\.)?pills1\.org
+https?:\/\/([^\/]*\.)?pillsz\.com
+https?:\/\/([^\/]*\.)?pimpmyblackteen-com-t6ijmwbu\.blogspot\.com
+https?:\/\/([^\/]*\.)?pimpmyblackteen-com-t7d432c\.blogspot\.com
+https?:\/\/([^\/]*\.)?pindosam\.com
+https?:\/\/([^\/]*\.)?pine\.hostonmars\.com
+https?:\/\/([^\/]*\.)?pinkpornstars-com-da3njbu\.blogspot\.com
+https?:\/\/([^\/]*\.)?pinkpornstars-com-dnjx\.blogspot\.com
+https?:\/\/([^\/]*\.)?pinkpornstars-com-drm\.blogspot\.com
+https?:\/\/([^\/]*\.)?pinkworld-com-c84agv\.blogspot\.com
+https?:\/\/([^\/]*\.)?pinkworld-com-ci8e6\.blogspot\.com
+https?:\/\/([^\/]*\.)?pinkworld-com-ge4k4kmsd\.blogspot\.com
+https?:\/\/([^\/]*\.)?pinkworld-com-kp7s8i5\.blogspot\.com
+https?:\/\/([^\/]*\.)?pino-daniele\.19mb\.info
+https?:\/\/([^\/]*\.)?pinoyteens\.net
+https?:\/\/([^\/]*\.)?piomchel\.jconserv\.net
+https?:\/\/([^\/]*\.)?pisces\.8tt\.org
+https?:\/\/([^\/]*\.)?pitch\.101freehost\.com
+https?:\/\/([^\/]*\.)?pizda4\.com
+https?:\/\/([^\/]*\.)?pizza-hut\.hotmail\.ru
+https?:\/\/([^\/]*\.)?pjim6\.szm\.sk
+https?:\/\/([^\/]*\.)?pjju3\.szm\.sk
+https?:\/\/([^\/]*\.)?pjmykz8\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?pjryskur-teensite\.blogspot\.com
+https?:\/\/([^\/]*\.)?pjuibhe\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?pkufl\.szm\.sk
+https?:\/\/([^\/]*\.)?place\.sex-jet\.net
+https?:\/\/([^\/]*\.)?planetaacura\.info
+https?:\/\/([^\/]*\.)?planetwatt\.republika\.pl
+https?:\/\/([^\/]*\.)?plastictec\.net
+https?:\/\/([^\/]*\.)?plavix\.coz\.in
+https?:\/\/([^\/]*\.)?plavixbuy\.gameday\.de
+https?:\/\/([^\/]*\.)?plavixbuycheap\.dive\.to
+https?:\/\/([^\/]*\.)?plavixcheap\.hey\.to
+https?:\/\/([^\/]*\.)?plavixcheapgeneric\.go\.to
+https?:\/\/([^\/]*\.)?plavixgeneric\.change\.to
+https?:\/\/([^\/]*\.)?play-777\.com
+https?:\/\/([^\/]*\.)?play-online-casino\.de\.com
+https?:\/\/([^\/]*\.)?play-online-poker\.newmail\.ru
+https?:\/\/([^\/]*\.)?play-station-2-boot-disk\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?playandwinit777\.net
+https?:\/\/([^\/]*\.)?pleasebangmywife-com-i8zdgo1\.blogspot\.com
+https?:\/\/([^\/]*\.)?pleasebangmywife-com-iq\.blogspot\.com
+https?:\/\/([^\/]*\.)?pleasebangmywife-com-koguq\.blogspot\.com
+https?:\/\/([^\/]*\.)?pleasure\.hostonmars\.com
+https?:\/\/([^\/]*\.)?ploob\.blox\.pl
+https?:\/\/([^\/]*\.)?plugkblow\.pornomagnat\.net
+https?:\/\/([^\/]*\.)?plumper69-com-on3664rg\.blogspot\.com
+https?:\/\/([^\/]*\.)?plumper69-com-os4\.blogspot\.com
+https?:\/\/([^\/]*\.)?plumper69-com-sokis\.blogspot\.com
+https?:\/\/([^\/]*\.)?plxn1\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?pmrd2\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?pmyk8\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?po-alora\.blogspot\.com
+https?:\/\/([^\/]*\.)?pobpa\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?pocmlaq\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?podnos\.stabilt\.se
+https?:\/\/([^\/]*\.)?poeller\.dl\.pl
+https?:\/\/([^\/]*\.)?pogeh\.szm\.sk
+https?:\/\/([^\/]*\.)?pohuym\.jo\.pl
+https?:\/\/([^\/]*\.)?pointyou\.info
+https?:\/\/([^\/]*\.)?poker-0\.com
+https?:\/\/([^\/]*\.)?poker-24x7\.com
+https?:\/\/([^\/]*\.)?poker-4all\.com
+https?:\/\/([^\/]*\.)?poker-7\.com
+https?:\/\/([^\/]*\.)?poker-boulevard\.com
+https?:\/\/([^\/]*\.)?poker-check\.com
+https?:\/\/([^\/]*\.)?poker-new\.com
+https?:\/\/([^\/]*\.)?poker-party-a\.com
+https?:\/\/([^\/]*\.)?poker-places-4u\.net
+https?:\/\/([^\/]*\.)?poker-places\.net
+https?:\/\/([^\/]*\.)?poker-pro\.us
+https?:\/\/([^\/]*\.)?poker-stadium\.com
+https?:\/\/([^\/]*\.)?poker-sys\.com
+https?:\/\/([^\/]*\.)?poker-unique\.com
+https?:\/\/([^\/]*\.)?poker-valley\.com
+https?:\/\/([^\/]*\.)?poker\.black-poker\.com
+https?:\/\/([^\/]*\.)?poker\.land\.ru
+https?:\/\/([^\/]*\.)?poker1table\.chat\.ru
+https?:\/\/([^\/]*\.)?pokera\.web\.com
+https?:\/\/([^\/]*\.)?pokermaniab\.atspace\.com
+https?:\/\/([^\/]*\.)?polex\.com\.cn
+https?:\/\/([^\/]*\.)?police-motorcycle-boot\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?police\.site\.io
+https?:\/\/([^\/]*\.)?polonka\.php5\.cz
+https?:\/\/([^\/]*\.)?polott\.org
+https?:\/\/([^\/]*\.)?polyphonic-ringtoneslsh\.blogspot\.com
+https?:\/\/([^\/]*\.)?polysorb\.myoco\.be
+https?:\/\/([^\/]*\.)?polyurethane-condom\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?pool-table\.hotmail\.ru
+https?:\/\/([^\/]*\.)?poopoovi\.forumculture\.net
+https?:\/\/([^\/]*\.)?pop\.egi\.biz
+https?:\/\/([^\/]*\.)?porn-clip-blog5jc\.blogspot\.com
+https?:\/\/([^\/]*\.)?porn-clip-boj\.blogspot\.com
+https?:\/\/([^\/]*\.)?porn-clip-om16o\.blogspot\.com
+https?:\/\/([^\/]*\.)?porn-movie-clips-blogk8p\.blogspot\.com
+https?:\/\/([^\/]*\.)?porn-movie-rj8a7\.blogspot\.com
+https?:\/\/([^\/]*\.)?porn-movie-ted\.blogspot\.com
+https?:\/\/([^\/]*\.)?porn-movie\.hostingtree\.org
+https?:\/\/([^\/]*\.)?porn-samples\.com
+https?:\/\/([^\/]*\.)?porn-star-clip-info0n0\.blogspot\.com
+https?:\/\/([^\/]*\.)?porn-star-movie-clip-newsigj\.blogspot\.com
+https?:\/\/([^\/]*\.)?porn-star-video-clip-zone44f\.blogspot\.com
+https?:\/\/([^\/]*\.)?porn-teacher\.com
+https?:\/\/([^\/]*\.)?porn-teen-pic\.com
+https?:\/\/([^\/]*\.)?porn-video-clip-bb-bb-f\.blogspot\.com
+https?:\/\/([^\/]*\.)?porn-video-clip-gallery-zonehp1\.blogspot\.com
+https?:\/\/([^\/]*\.)?porn-video-clips-news5v2\.blogspot\.com
+https?:\/\/([^\/]*\.)?porn-video-jef\.blogspot\.com
+https?:\/\/([^\/]*\.)?porn1clip\.blogspot\.com
+https?:\/\/([^\/]*\.)?pornaccess-com-k58\.blogspot\.com
+https?:\/\/([^\/]*\.)?pornaccess-com-kkd1zvcobi\.blogspot\.com
+https?:\/\/([^\/]*\.)?porndirectory-com-m544ar5z47\.blogspot\.com
+https?:\/\/([^\/]*\.)?porndirectory-com-miyza\.blogspot\.com
+https?:\/\/([^\/]*\.)?porneskimo-com-hizrd2on\.blogspot\.com
+https?:\/\/([^\/]*\.)?porneskimo-com-hr1frq8hrr\.blogspot\.com
+https?:\/\/([^\/]*\.)?porneskimo-d4p5\.blogspot\.com
+https?:\/\/([^\/]*\.)?porneskimo-diheh\.blogspot\.com
+https?:\/\/([^\/]*\.)?pornfreeusa\.info
+https?:\/\/([^\/]*\.)?pornno-com-cdtkjmj\.blogspot\.com
+https?:\/\/([^\/]*\.)?pornno-com-cz5dknpwc\.blogspot\.com
+https?:\/\/([^\/]*\.)?pornogames4\.com
+https?:\/\/([^\/]*\.)?pornoho-com-d7f\.blogspot\.com
+https?:\/\/([^\/]*\.)?pornoho-com-db2ge0p\.blogspot\.com
+https?:\/\/([^\/]*\.)?pornstar-com-be1mbdy0l1\.blogspot\.com
+https?:\/\/([^\/]*\.)?pornstar-com-bsrzs22gn\.blogspot\.com
+https?:\/\/([^\/]*\.)?pornstar-com-nuvor\.blogspot\.com
+https?:\/\/([^\/]*\.)?pornstar-dz8j\.blogspot\.com
+https?:\/\/([^\/]*\.)?pornstarbook-com-ag3477t\.blogspot\.com
+https?:\/\/([^\/]*\.)?pornstarbook-com-agrpd\.blogspot\.com
+https?:\/\/([^\/]*\.)?pornstarfinder-net-wp2lsr0\.blogspot\.com
+https?:\/\/([^\/]*\.)?pornstarfinder-net-wuag8\.blogspot\.com
+https?:\/\/([^\/]*\.)?pornstargals-com-tj\.blogspot\.com
+https?:\/\/([^\/]*\.)?pornstargals-com-tk7hoxv36\.blogspot\.com
+https?:\/\/([^\/]*\.)?pornstars\.hostingtree\.org
+https?:\/\/([^\/]*\.)?pornstarvid-com-dinif\.blogspot\.com
+https?:\/\/([^\/]*\.)?pornstarvid-com-h5\.blogspot\.com
+https?:\/\/([^\/]*\.)?pornstarvid-com-h8xe\.blogspot\.com
+https?:\/\/([^\/]*\.)?pornweek-com\.isabel\.dtdns\.net
+https?:\/\/([^\/]*\.)?portal777\.com
+https?:\/\/([^\/]*\.)?portalrate\.info
+https?:\/\/([^\/]*\.)?portcityhonda\.info
+https?:\/\/([^\/]*\.)?portly\.byinter\.net
+https?:\/\/([^\/]*\.)?porzo-com-dsmefbsl\.blogspot\.com
+https?:\/\/([^\/]*\.)?porzo-com-gxo\.blogspot\.com
+https?:\/\/([^\/]*\.)?poshlo\.com
+https?:\/\/([^\/]*\.)?postdream\.org
+https?:\/\/([^\/]*\.)?potulnik\.php5\.cz
+https?:\/\/([^\/]*\.)?povicyt\.dynamicforum\.net
+https?:\/\/([^\/]*\.)?powerlevelingweb\.com
+https?:\/\/([^\/]*\.)?pozorvostoka\.250free\.com
+https?:\/\/([^\/]*\.)?pp-shredd\.boom\.ru
+https?:\/\/([^\/]*\.)?pppsp\.szm\.sk
+https?:\/\/([^\/]*\.)?ppuhldv\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?pqis2\.szm\.sk
+https?:\/\/([^\/]*\.)?prakashcommunication\.com
+https?:\/\/([^\/]*\.)?prama\.info
+https?:\/\/([^\/]*\.)?prasut\.com
+https?:\/\/([^\/]*\.)?prearnha\.dl\.pl
+https?:\/\/([^\/]*\.)?prednisone\.3d-game\.com
+https?:\/\/([^\/]*\.)?prenotazione-albergo-rimini\.host24h\.info
+https?:\/\/([^\/]*\.)?prestito-taranto\.robzz\.info
+https?:\/\/([^\/]*\.)?prettyhair24-7\.blogspot\.com
+https?:\/\/([^\/]*\.)?prettyhotbabes-com-r2lu08uojn\.blogspot\.com
+https?:\/\/([^\/]*\.)?prettyhotbabes-com-rkgrt\.blogspot\.com
+https?:\/\/([^\/]*\.)?prettymob\.com
+https?:\/\/([^\/]*\.)?prevacid\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?price-top\.com
+https?:\/\/([^\/]*\.)?price100\.info
+https?:\/\/([^\/]*\.)?prilosec\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?prilosecbuycheap\.turn\.to
+https?:\/\/([^\/]*\.)?prilosecbuygeneric\.redirect\.to
+https?:\/\/([^\/]*\.)?priloseccheap\.turn\.to
+https?:\/\/([^\/]*\.)?priloseccheapgeneric\.redirect\.to
+https?:\/\/([^\/]*\.)?prilosecgeneric\.come\.to
+https?:\/\/([^\/]*\.)?primer\.fidosoft\.de
+https?:\/\/([^\/]*\.)?primojerky\.cn
+https?:\/\/([^\/]*\.)?primonona\.info
+https?:\/\/([^\/]*\.)?princesscruises\.chat\.ru
+https?:\/\/([^\/]*\.)?princesskariboo\.blogspot\.com
+https?:\/\/([^\/]*\.)?printer\.net\.cn
+https?:\/\/([^\/]*\.)?printingok\.net
+https?:\/\/([^\/]*\.)?private-porn-clip-newsmzm\.blogspot\.com
+https?:\/\/([^\/]*\.)?proactive-facial-product\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?problem-skin\.nm\.ru
+https?:\/\/([^\/]*\.)?problem_skin\.chat\.ru
+https?:\/\/([^\/]*\.)?prodotti-cosmetico\.nnme\.info
+https?:\/\/([^\/]*\.)?projectvoyeur-com-ec15a\.blogspot\.com
+https?:\/\/([^\/]*\.)?projectvoyeur-com-eq2w\.blogspot\.com
+https?:\/\/([^\/]*\.)?projectvoyeur-com-eyyd4q\.blogspot\.com
+https?:\/\/([^\/]*\.)?projectvoyeur-t3j\.blogspot\.com
+https?:\/\/([^\/]*\.)?prom\.createforum\.us
+https?:\/\/([^\/]*\.)?propecia\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?propecia\.esguay\.com
+https?:\/\/([^\/]*\.)?propecia\.skocz\.net
+https?:\/\/([^\/]*\.)?protonixbuy\.stick\.by
+https?:\/\/([^\/]*\.)?protonixbuycheap\.redirect\.to
+https?:\/\/([^\/]*\.)?protonixcheap\.connect\.to
+https?:\/\/([^\/]*\.)?protonixcheapgeneric\.soft-ware\.de
+https?:\/\/([^\/]*\.)?protonixgenericbuy\.cut\.by
+https?:\/\/([^\/]*\.)?provigilweight\.sblog\.cz
+https?:\/\/([^\/]*\.)?prozac\.rx4\.org
+https?:\/\/([^\/]*\.)?prsl4\.szm\.sk
+https?:\/\/([^\/]*\.)?prznic\.dtdns\.net
+https?:\/\/([^\/]*\.)?psfc\.mit\.edu
+https?:\/\/([^\/]*\.)?psmorrison\.blogspot\.com
+https?:\/\/([^\/]*\.)?psnuni\.com
+https?:\/\/([^\/]*\.)?psrq4\.szm\.sk
+https?:\/\/([^\/]*\.)?psychology-degre\.boom\.ru
+https?:\/\/([^\/]*\.)?psychology1degre\.chat\.ru
+https?:\/\/([^\/]*\.)?pszdc\.szm\.sk
+https?:\/\/([^\/]*\.)?ptpm9\.szm\.sk
+https?:\/\/([^\/]*\.)?ptrip\.net
+https?:\/\/([^\/]*\.)?pttqt\.szm\.sk
+https?:\/\/([^\/]*\.)?publicinvasion-com-bhtum2\.blogspot\.com
+https?:\/\/([^\/]*\.)?publicinvasion-com-bj\.blogspot\.com
+https?:\/\/([^\/]*\.)?publicinvasion-com-furok\.blogspot\.com
+https?:\/\/([^\/]*\.)?publicsaftor\.com
+https?:\/\/([^\/]*\.)?pued-com-maxu1g7\.blogspot\.com
+https?:\/\/([^\/]*\.)?pued-com-mf3\.blogspot\.com
+https?:\/\/([^\/]*\.)?pued-com-r0078gie\.blogspot\.com
+https?:\/\/([^\/]*\.)?pued-ox5y12mn7s\.blogspot\.com
+https?:\/\/([^\/]*\.)?puffybet\.sultryserver\.com
+https?:\/\/([^\/]*\.)?pulaskiterm\.info
+https?:\/\/([^\/]*\.)?pulse-squad\.com
+https?:\/\/([^\/]*\.)?pumpthatass-com-a78juz38f2\.blogspot\.com
+https?:\/\/([^\/]*\.)?pumpthatass-com-a7ouybe\.blogspot\.com
+https?:\/\/([^\/]*\.)?pumpthatass-com-xudeb\.blogspot\.com
+https?:\/\/([^\/]*\.)?punguinodoom\.110mb\.com
+https?:\/\/([^\/]*\.)?punjqm0\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?punk-ass-bitch-wheatus\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?puppykibble-com-o7\.blogspot\.com
+https?:\/\/([^\/]*\.)?puppykibble-com-oh0w2te3k\.blogspot\.com
+https?:\/\/([^\/]*\.)?puppykibble-com-oqm7hu8d\.blogspot\.com
+https?:\/\/([^\/]*\.)?purextc-com-i8\.blogspot\.com
+https?:\/\/([^\/]*\.)?purextc-com-iy\.blogspot\.com
+https?:\/\/([^\/]*\.)?pussy-ass-bitch\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?pussy-org-kjsb51la\.blogspot\.com
+https?:\/\/([^\/]*\.)?pussy-org-km5\.blogspot\.com
+https?:\/\/([^\/]*\.)?putsta\.com
+https?:\/\/([^\/]*\.)?pvfws\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?pvqoa\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?pvsiwi7\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?pvudt\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?pvzj1\.szm\.sk
+https?:\/\/([^\/]*\.)?pwqx0\.szm\.sk
+https?:\/\/([^\/]*\.)?pymiw\.szm\.sk
+https?:\/\/([^\/]*\.)?pyr0lys1s\.blogspot\.com
+https?:\/\/([^\/]*\.)?pyrebi\.blogspot\.com
+https?:\/\/([^\/]*\.)?pyujxu0\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?pzch0\.szm\.sk
+https?:\/\/([^\/]*\.)?pzek6\.szm\.sk
+https?:\/\/([^\/]*\.)?pzif1\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?q-n-homemade-sex-video-z\.blogspot\.com
+https?:\/\/([^\/]*\.)?qbzmbwz\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?qctbue2\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?qdhr9\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?qdojv\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?qe-hentai-sex-video-sun\.blogspot\.com
+https?:\/\/([^\/]*\.)?qek-wetplace-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?qfoo7\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?qgre5\.szm\.sk
+https?:\/\/([^\/]*\.)?qgtdivl\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?qhiqu\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?qhprfm6\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?qhyvt\.szm\.sk
+https?:\/\/([^\/]*\.)?qietingqi\.ebloggy\.com
+https?:\/\/([^\/]*\.)?qingpao\.com
+https?:\/\/([^\/]*\.)?qinjq\.szm\.sk
+https?:\/\/([^\/]*\.)?qiqiu\.org
+https?:\/\/([^\/]*\.)?qite-alanna\.blogspot\.com
+https?:\/\/([^\/]*\.)?qjok9\.szm\.sk
+https?:\/\/([^\/]*\.)?qjt230\.com
+https?:\/\/([^\/]*\.)?qjvkvcd\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?qklb4\.szm\.sk
+https?:\/\/([^\/]*\.)?qklhtf0\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?qknfl\.szm\.sk
+https?:\/\/([^\/]*\.)?qknpl\.info
+https?:\/\/([^\/]*\.)?qkpple2\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?qlpkce6\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?qltx2\.szm\.sk
+https?:\/\/([^\/]*\.)?qmjrpuo\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?qnax1\.szm\.sk
+https?:\/\/([^\/]*\.)?qo-video-porn-gratis-download\.blogspot\.com
+https?:\/\/([^\/]*\.)?qoclick\.com
+https?:\/\/([^\/]*\.)?qoeg6\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?qojodeh-bdsm-post-video-f\.blogspot\.com
+https?:\/\/([^\/]*\.)?qosmwx9\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?qpdoc\.com
+https?:\/\/([^\/]*\.)?qqdaj\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?qqer6\.szm\.sk
+https?:\/\/([^\/]*\.)?qqnbon4\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?qqwgp\.szm\.sk
+https?:\/\/([^\/]*\.)?qrvkof5\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?qsc7\.org\.ua
+https?:\/\/([^\/]*\.)?qsskk\.info
+https?:\/\/([^\/]*\.)?qtru3\.szm\.sk
+https?:\/\/([^\/]*\.)?qu-free-latina-sex-vide-wiv\.blogspot\.com
+https?:\/\/([^\/]*\.)?quails\.ipupdater\.us
+https?:\/\/([^\/]*\.)?quandugift\.com
+https?:\/\/([^\/]*\.)?queryguild\.com
+https?:\/\/([^\/]*\.)?quqob\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?qutgmzbuje-video\.blogspot\.com
+https?:\/\/([^\/]*\.)?qvewr\.szm\.sk
+https?:\/\/([^\/]*\.)?qvrzi\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?qvulp\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?qwhhmaj\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?qwrn6\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?qxmk2\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?qyda0\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?qyf99\.com
+https?:\/\/([^\/]*\.)?qypv5\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?qz\.informs\.com
+https?:\/\/([^\/]*\.)?qzjeqcv\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?qzrhj\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?r-t-free-porn-video-clip-c\.blogspot\.com
+https?:\/\/([^\/]*\.)?racelleto\.dl\.pl
+https?:\/\/([^\/]*\.)?racer\.graphforum\.com
+https?:\/\/([^\/]*\.)?racerboc\.ephpbb\.com
+https?:\/\/([^\/]*\.)?rachel-hunter-as-stacys-mom\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?racnelelt\.fr-bb\.com
+https?:\/\/([^\/]*\.)?racoloboc\.graphforum\.com
+https?:\/\/([^\/]*\.)?racoloboc\.highforum\.net
+https?:\/\/([^\/]*\.)?racoloor\.dl\.pl
+https?:\/\/([^\/]*\.)?racrella\.dl\.pl
+https?:\/\/([^\/]*\.)?racsitli\.dl\.pl
+https?:\/\/([^\/]*\.)?ractrcna\.bb-fr\.com
+https?:\/\/([^\/]*\.)?racviget\.blogcu\.com
+https?:\/\/([^\/]*\.)?raezey\.blogspot\.com
+https?:\/\/([^\/]*\.)?raged\.info
+https?:\/\/([^\/]*\.)?rajzhze\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ramandrom\.com
+https?:\/\/([^\/]*\.)?randomlogik\.blogspot\.com
+https?:\/\/([^\/]*\.)?rappture\.blogspot\.com
+https?:\/\/([^\/]*\.)?raruzeol\.jconserv\.net
+https?:\/\/([^\/]*\.)?rate-boob-pic\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?ratonhteroad\.blogspot\.com
+https?:\/\/([^\/]*\.)?rawpussy-b0q\.blogspot\.com
+https?:\/\/([^\/]*\.)?rawpussy-com-t3l\.blogspot\.com
+https?:\/\/([^\/]*\.)?rawpussy-com-tawon6x\.blogspot\.com
+https?:\/\/([^\/]*\.)?raxm2\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?razor-scooter\.hotmail\.ru
+https?:\/\/([^\/]*\.)?razor2scooter\.chat\.ru
+https?:\/\/([^\/]*\.)?rboub\.szm\.sk
+https?:\/\/([^\/]*\.)?rdavisinc\.com
+https?:\/\/([^\/]*\.)?re-advertising\.boom\.ru
+https?:\/\/([^\/]*\.)?re\.rutan\.org
+https?:\/\/([^\/]*\.)?readworld\.com
+https?:\/\/([^\/]*\.)?real-gay-sex-story\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?real-ringtoneserd\.blogspot\.com
+https?:\/\/([^\/]*\.)?realitsen\.info
+https?:\/\/([^\/]*\.)?realitypassplus-com-e34pddwt1\.blogspot\.com
+https?:\/\/([^\/]*\.)?realitypassplus-com-e7y\.blogspot\.com
+https?:\/\/([^\/]*\.)?realityporn\.coz\.in
+https?:\/\/([^\/]*\.)?realtimewatches\.info
+https?:\/\/([^\/]*\.)?realtors-x\.com
+https?:\/\/([^\/]*\.)?reboteen5\.blogspot\.com
+https?:\/\/([^\/]*\.)?rebuildsanmateohighschool\.org
+https?:\/\/([^\/]*\.)?recipe4you\.info
+https?:\/\/([^\/]*\.)?recupero-dati-hard-disk\.ll11\.info
+https?:\/\/([^\/]*\.)?redder\.my-wifi\.info
+https?:\/\/([^\/]*\.)?redfxo\.blogspot\.com
+https?:\/\/([^\/]*\.)?redsex4\.info
+https?:\/\/([^\/]*\.)?redtcyw\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?reductildrug\.coz\.in
+https?:\/\/([^\/]*\.)?redway-org-d8u\.blogspot\.com
+https?:\/\/([^\/]*\.)?redway-org-dtxz0863\.blogspot\.com
+https?:\/\/([^\/]*\.)?redway-rla\.blogspot\.com
+https?:\/\/([^\/]*\.)?reeringtonesptl\.blogspot\.com
+https?:\/\/([^\/]*\.)?refinance-x\.com
+https?:\/\/([^\/]*\.)?reggdr\.org
+https?:\/\/([^\/]*\.)?regsh\.com
+https?:\/\/([^\/]*\.)?reiw9\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?rejoicing\.gigsweb\.com
+https?:\/\/([^\/]*\.)?rekara\.com
+https?:\/\/([^\/]*\.)?relagen\.orkan\.yi\.org
+https?:\/\/([^\/]*\.)?relalract\.lolforum\.net
+https?:\/\/([^\/]*\.)?relcadron\.bbgraf\.com
+https?:\/\/([^\/]*\.)?relcoli\.lightbb\.com
+https?:\/\/([^\/]*\.)?relics\.hackers-unite\.info
+https?:\/\/([^\/]*\.)?relmonric\.lolforum\.net
+https?:\/\/([^\/]*\.)?reloading-software\.lycan\.be
+https?:\/\/([^\/]*\.)?relsitelt\.darkbb\.com
+https?:\/\/([^\/]*\.)?relsitrel\.xa\.pl
+https?:\/\/([^\/]*\.)?reltaro\.lolbb\.com
+https?:\/\/([^\/]*\.)?remiza\.dtdns\.net
+https?:\/\/([^\/]*\.)?renova\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?rentbuscompany\.net
+https?:\/\/([^\/]*\.)?rentcarcenter\.com
+https?:\/\/([^\/]*\.)?rentcarok\.org
+https?:\/\/([^\/]*\.)?replacement-batteries\.loretic\.be
+https?:\/\/([^\/]*\.)?repq7\.szm\.sk
+https?:\/\/([^\/]*\.)?rerdiq4\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?reshall\.iweb\.bsu\.edu
+https?:\/\/([^\/]*\.)?responderpal\.com
+https?:\/\/([^\/]*\.)?restless-leg--com\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?restless-leg-syndrome-com\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?retin-a\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?retirement1comm\.chat\.ru
+https?:\/\/([^\/]*\.)?returboc\.forumculture\.net
+https?:\/\/([^\/]*\.)?revitolantiaging\.com
+https?:\/\/([^\/]*\.)?rfjn0\.szm\.sk
+https?:\/\/([^\/]*\.)?rgvlg\.szm\.sk
+https?:\/\/([^\/]*\.)?rhdhh\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?rhinotickets\.com
+https?:\/\/([^\/]*\.)?rhn45\.nokedem\.com
+https?:\/\/([^\/]*\.)?rhodeislandmortgage-x\.com
+https?:\/\/([^\/]*\.)?rhooh\.flnet\.org
+https?:\/\/([^\/]*\.)?ri-hardcore-video-download\.blogspot\.com
+https?:\/\/([^\/]*\.)?ricacelco\.dl\.pl
+https?:\/\/([^\/]*\.)?ricaldneu\.fr-bb\.com
+https?:\/\/([^\/]*\.)?riceltleto\.dl\.pl
+https?:\/\/([^\/]*\.)?ricetta-cucina\.host24h\.info
+https?:\/\/([^\/]*\.)?rich-r\.blogspot\.com
+https?:\/\/([^\/]*\.)?richards-realm-com-c4h\.blogspot\.com
+https?:\/\/([^\/]*\.)?richards-realm-com-cs\.blogspot\.com
+https?:\/\/([^\/]*\.)?riclarol\.dl\.pl
+https?:\/\/([^\/]*\.)?ricostruzione-unghia\.hostzz\.info
+https?:\/\/([^\/]*\.)?ricrelnel\.frbb\.net
+https?:\/\/([^\/]*\.)?rictaget\.uy\.pl
+https?:\/\/([^\/]*\.)?rictrocvar\.zikforum\.com
+https?:\/\/([^\/]*\.)?riczelur\.forumzen\.com
+https?:\/\/([^\/]*\.)?ridiaulctions\.blogspot\.com
+https?:\/\/([^\/]*\.)?right-leg-swelling\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?rigour\.info
+https?:\/\/([^\/]*\.)?rijulina\.dl\.pl
+https?:\/\/([^\/]*\.)?ring-tonecti\.blogspot\.com
+https?:\/\/([^\/]*\.)?ring2man\.chat\.ru
+https?:\/\/([^\/]*\.)?ring4man\.chat\.ru
+https?:\/\/([^\/]*\.)?ring4tel\.com
+https?:\/\/([^\/]*\.)?ringg\.info
+https?:\/\/([^\/]*\.)?ringtones-4phone\.com
+https?:\/\/([^\/]*\.)?ringtones-dir\.com
+https?:\/\/([^\/]*\.)?ringtones-dir\.net
+https?:\/\/([^\/]*\.)?ringtones-for-a-samsungtwt\.blogspot\.com
+https?:\/\/([^\/]*\.)?ringtones-rate\.com
+https?:\/\/([^\/]*\.)?ringtones\.blogharbor\.com
+https?:\/\/([^\/]*\.)?ringts\.269g\.net
+https?:\/\/([^\/]*\.)?risajno\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?risque-sexy-plus-size-lingerie\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?rit\.edu
+https?:\/\/([^\/]*\.)?ritalin-without-prescription\.contact\.cc
+https?:\/\/([^\/]*\.)?ritalin\.myvnc\.com
+https?:\/\/([^\/]*\.)?river-road-motorcycle-boot\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?river\.greatfreehosting\.com
+https?:\/\/([^\/]*\.)?rivotril\.int\.tf
+https?:\/\/([^\/]*\.)?rm-ast-pants\.blogspot\.com
+https?:\/\/([^\/]*\.)?rmsq9\.szm\.sk
+https?:\/\/([^\/]*\.)?rmuuur3\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?rndk1\.szm\.sk
+https?:\/\/([^\/]*\.)?rnmg6\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ro-westin\.blogspot\.com
+https?:\/\/([^\/]*\.)?robotqueen\.250free\.com
+https?:\/\/([^\/]*\.)?rochili\.alkablog\.com
+https?:\/\/([^\/]*\.)?rodar6or6\.dl\.pl
+https?:\/\/([^\/]*\.)?rodvww7\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?rogetou\.dl\.pl
+https?:\/\/([^\/]*\.)?rojeracr\.forumzen\.com
+https?:\/\/([^\/]*\.)?roketplanet\.weedns\.com
+https?:\/\/([^\/]*\.)?rokminric\.dynamicbb\.com
+https?:\/\/([^\/]*\.)?rokzecdok\.dynamicbb\.com
+https?:\/\/([^\/]*\.)?rolccna\.dl\.pl
+https?:\/\/([^\/]*\.)?rolcnac\.td\.pl
+https?:\/\/([^\/]*\.)?rolex\.heberg-forum\.net
+https?:\/\/([^\/]*\.)?rolexreplica\.269g\.net
+https?:\/\/([^\/]*\.)?rolica\.blogcu\.com
+https?:\/\/([^\/]*\.)?rollaolo\.blogcu\.com
+https?:\/\/([^\/]*\.)?rollatobas\.naturalforum\.net
+https?:\/\/([^\/]*\.)?rolracboc\.bb-fr\.com
+https?:\/\/([^\/]*\.)?roltrsit\.bbfr\.net
+https?:\/\/([^\/]*\.)?rom\.dl\.pl
+https?:\/\/([^\/]*\.)?romahotel\.org
+https?:\/\/([^\/]*\.)?romandie\.com
+https?:\/\/([^\/]*\.)?romanticmaui\.net
+https?:\/\/([^\/]*\.)?room-house\.jeepsyc\.be
+https?:\/\/([^\/]*\.)?root\.dns\.bz
+https?:\/\/([^\/]*\.)?roouvar\.dl\.pl
+https?:\/\/([^\/]*\.)?roricdom\.lightbb\.com
+https?:\/\/([^\/]*\.)?roseofgold52\.50webs\.com
+https?:\/\/([^\/]*\.)?rotl\.info
+https?:\/\/([^\/]*\.)?rotrocrol\.darkbb\.com
+https?:\/\/([^\/]*\.)?roundandbrown-com-bkb03g0hi\.blogspot\.com
+https?:\/\/([^\/]*\.)?roundandbrown-com-kp04\.blogspot\.com
+https?:\/\/([^\/]*\.)?roundandbrown-com-wqzj337l\.blogspot\.com
+https?:\/\/([^\/]*\.)?roundandbrown-com-wus56c\.blogspot\.com
+https?:\/\/([^\/]*\.)?routan\.org
+https?:\/\/([^\/]*\.)?roxyobsessed7\.blogspot\.com
+https?:\/\/([^\/]*\.)?rphqj\.szm\.sk
+https?:\/\/([^\/]*\.)?rqhdnj4\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?rqpwn\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?rrefr\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?rrgr4\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?rrlive\.info
+https?:\/\/([^\/]*\.)?rrsfmw7\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?rseo7\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?rshu2\.szm\.sk
+https?:\/\/([^\/]*\.)?rsmy8\.szm\.sk
+https?:\/\/([^\/]*\.)?rthl0\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?rtugwoy\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ruanjian\.cwrjcn\.com
+https?:\/\/([^\/]*\.)?rucowdena\.ifrance\.com
+https?:\/\/([^\/]*\.)?rudecomputing\.com
+https?:\/\/([^\/]*\.)?rudu-adam\.blogspot\.com
+https?:\/\/([^\/]*\.)?ruimingwei\.com\.cn
+https?:\/\/([^\/]*\.)?rulurbuc\.forumzen\.com
+https?:\/\/([^\/]*\.)?runutr\.forumzen\.com
+https?:\/\/([^\/]*\.)?russiannudesgirlszxa\.blogspot\.com
+https?:\/\/([^\/]*\.)?russiapreteen\.com
+https?:\/\/([^\/]*\.)?russiavista\.sitiasp\.it
+https?:\/\/([^\/]*\.)?rwfe5\.szm\.sk
+https?:\/\/([^\/]*\.)?rxdate\.net
+https?:\/\/([^\/]*\.)?rxdfh\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ryehfpz\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ryhgsb\.com
+https?:\/\/([^\/]*\.)?ryryj\.szm\.sk
+https?:\/\/([^\/]*\.)?ryu-jounetsu\.blogspot\.com
+https?:\/\/([^\/]*\.)?rzwj8\.szm\.sk
+https?:\/\/([^\/]*\.)?s-r-free-porn-movie-clip-x\.blogspot\.com
+https?:\/\/([^\/]*\.)?s1xbucksamonkey\.blogspot\.com
+https?:\/\/([^\/]*\.)?s32\.bilsay\.com
+https?:\/\/([^\/]*\.)?saaaaa\.52blog\.net
+https?:\/\/([^\/]*\.)?safety-product\.hotmail\.ru
+https?:\/\/([^\/]*\.)?safety-product\.pochta\.ru
+https?:\/\/([^\/]*\.)?safety_product\.chat\.ru
+https?:\/\/([^\/]*\.)?sahezzz\.blogspot\.com
+https?:\/\/([^\/]*\.)?saibon\.com\.cn
+https?:\/\/([^\/]*\.)?sakura-watanuki\.blogspot\.com
+https?:\/\/([^\/]*\.)?salewroughtiron\.cn
+https?:\/\/([^\/]*\.)?sample-porn-clip-newst1x\.blogspot\.com
+https?:\/\/([^\/]*\.)?sample-porn-clip-zoneapa\.blogspot\.com
+https?:\/\/([^\/]*\.)?samsung-ringtonedta\.blogspot\.com
+https?:\/\/([^\/]*\.)?sand-stars\.blogspot\.com
+https?:\/\/([^\/]*\.)?sandra-teen-model-qux\.blogspot\.com
+https?:\/\/([^\/]*\.)?sangrandy\.com
+https?:\/\/([^\/]*\.)?sanhy\.com
+https?:\/\/([^\/]*\.)?sanpaulo\.flnet\.org
+https?:\/\/([^\/]*\.)?santjhon\.php5\.cz
+https?:\/\/([^\/]*\.)?sapphiceroticacom\.klose\.ipupdater\.com
+https?:\/\/([^\/]*\.)?sapphicparadise-com-r00asy\.blogspot\.com
+https?:\/\/([^\/]*\.)?sarobo\.com
+https?:\/\/([^\/]*\.)?satellite-tv\.hotmail\.ru
+https?:\/\/([^\/]*\.)?sauna-eq\.boom\.ru
+https?:\/\/([^\/]*\.)?sauna-heater\.boom\.ru
+https?:\/\/([^\/]*\.)?sauna-kit\.boom\.ru
+https?:\/\/([^\/]*\.)?sauna-room\.boom\.ru
+https?:\/\/([^\/]*\.)?saunaguide\.org
+https?:\/\/([^\/]*\.)?save-on-auto-insurance\.info
+https?:\/\/([^\/]*\.)?saved\.ddns\.info
+https?:\/\/([^\/]*\.)?sbarzn3\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?scanthenet\.com
+https?:\/\/([^\/]*\.)?scat-fetish-story\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?school-driving\.info
+https?:\/\/([^\/]*\.)?school-girl-butt\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?school2home\.chat\.ru
+https?:\/\/([^\/]*\.)?sciensezero\.republika\.pl
+https?:\/\/([^\/]*\.)?scnh6\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?scooter11\.chat\.ru
+https?:\/\/([^\/]*\.)?scooter2\.hotmail\.ru
+https?:\/\/([^\/]*\.)?screwedupmovies-com-a5wj\.blogspot\.com
+https?:\/\/([^\/]*\.)?screwedupmovies-com-ax\.blogspot\.com
+https?:\/\/([^\/]*\.)?scripts-cert\.mit\.edu
+https?:\/\/([^\/]*\.)?scscj\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?sdao7\.szm\.sk
+https?:\/\/([^\/]*\.)?sdfr0\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?sdfsfssss\.com
+https?:\/\/([^\/]*\.)?se4u\.net
+https?:\/\/([^\/]*\.)?seafordmed\.info
+https?:\/\/([^\/]*\.)?seainsurancegroup\.info
+https?:\/\/([^\/]*\.)?search4sex\.us
+https?:\/\/([^\/]*\.)?searchbigtits-com-apxd1hwatd\.blogspot\.com
+https?:\/\/([^\/]*\.)?searchbigtits-com-obraj\.blogspot\.com
+https?:\/\/([^\/]*\.)?searcheng\.com
+https?:\/\/([^\/]*\.)?searcheon\.com
+https?:\/\/([^\/]*\.)?searchgalleries-com-i7\.blogspot\.com
+https?:\/\/([^\/]*\.)?searchgalleries-com-ib2bi6on5\.blogspot\.com
+https?:\/\/([^\/]*\.)?searchgals-com-gu1810j\.blogspot\.com
+https?:\/\/([^\/]*\.)?searcholdies-com-msa\.blogspot\.com
+https?:\/\/([^\/]*\.)?searchsuperhit\.com
+https?:\/\/([^\/]*\.)?searchvids-com-h47g8mf\.blogspot\.com
+https?:\/\/([^\/]*\.)?seasonale\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?secure-network\.info
+https?:\/\/([^\/]*\.)?seeallhomes\.com
+https?:\/\/([^\/]*\.)?seeallnatural\.com
+https?:\/\/([^\/]*\.)?seeallsite\.com
+https?:\/\/([^\/]*\.)?seecomplete\.com
+https?:\/\/([^\/]*\.)?seehersquirt-com-k0\.blogspot\.com
+https?:\/\/([^\/]*\.)?seehersquirt-com-k3\.blogspot\.com
+https?:\/\/([^\/]*\.)?seekcounty\.com
+https?:\/\/([^\/]*\.)?seeyo\.info
+https?:\/\/([^\/]*\.)?segbqj1\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?sege-addison\.blogspot\.com
+https?:\/\/([^\/]*\.)?seho-aiyana\.blogspot\.com
+https?:\/\/([^\/]*\.)?sekapad\.info
+https?:\/\/([^\/]*\.)?sekbad\.info
+https?:\/\/([^\/]*\.)?selfbuying\.com
+https?:\/\/([^\/]*\.)?semarqua\.dl\.pl
+https?:\/\/([^\/]*\.)?senapier\.dl\.pl
+https?:\/\/([^\/]*\.)?send-flowers\.acb\.pl
+https?:\/\/([^\/]*\.)?sendon\.net\.cn
+https?:\/\/([^\/]*\.)?senlu\.com
+https?:\/\/([^\/]*\.)?sensorscity\.marshall\.edu
+https?:\/\/([^\/]*\.)?seo\.ohsu\.edu
+https?:\/\/([^\/]*\.)?seo88\.com
+https?:\/\/([^\/]*\.)?seomdahi\.jconserv\.net
+https?:\/\/([^\/]*\.)?seozone\.net
+https?:\/\/([^\/]*\.)?seranton\.blogspot\.com
+https?:\/\/([^\/]*\.)?sercheng\.com
+https?:\/\/([^\/]*\.)?serchlid\.com
+https?:\/\/([^\/]*\.)?serious-skin\.boom\.ru
+https?:\/\/([^\/]*\.)?serts\.blogshot\.nl
+https?:\/\/([^\/]*\.)?servemp3\.com
+https?:\/\/([^\/]*\.)?serversolutions\.us
+https?:\/\/([^\/]*\.)?servitch\.com
+https?:\/\/([^\/]*\.)?ses1\.info
+https?:\/\/([^\/]*\.)?sestrenish\.biografi\.org
+https?:\/\/([^\/]*\.)?setara\.dl\.pl
+https?:\/\/([^\/]*\.)?seventeenlive-com-bado3i\.blogspot\.com
+https?:\/\/([^\/]*\.)?seventeenlive-com-bmg\.blogspot\.com
+https?:\/\/([^\/]*\.)?seventeenlive-com-h4dw652dzl\.blogspot\.com
+https?:\/\/([^\/]*\.)?severnfollow\.info
+https?:\/\/([^\/]*\.)?sevtentoe\.blogspot\.com
+https?:\/\/([^\/]*\.)?sewingmachinesusa\.com
+https?:\/\/([^\/]*\.)?sex--x\.com
+https?:\/\/([^\/]*\.)?sex-clip-ce872\.blogspot\.com
+https?:\/\/([^\/]*\.)?sex-dating\.sexnation\.info
+https?:\/\/([^\/]*\.)?sex-movie-e8qbm\.blogspot\.com
+https?:\/\/([^\/]*\.)?sex-movie-qig\.blogspot\.com
+https?:\/\/([^\/]*\.)?sex-video-clip-haq3r\.blogspot\.com
+https?:\/\/([^\/]*\.)?sex-video-juz\.blogspot\.com
+https?:\/\/([^\/]*\.)?sex-without-condom\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?sex-woman-only-fuck\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?sex-xxx-free\.100freemb\.com
+https?:\/\/([^\/]*\.)?sex\.yeahost\.com
+https?:\/\/([^\/]*\.)?sex1movie\.blogspot\.com
+https?:\/\/([^\/]*\.)?sexadultdating\.com
+https?:\/\/([^\/]*\.)?sexape-bpb0\.blogspot\.com
+https?:\/\/([^\/]*\.)?sexape-com-chdaj7\.blogspot\.com
+https?:\/\/([^\/]*\.)?sexape-com-cz4z44iud3\.blogspot\.com
+https?:\/\/([^\/]*\.)?sexape-com-kw7623jxvd\.blogspot\.com
+https?:\/\/([^\/]*\.)?sexape-com-qipil\.blogspot\.com
+https?:\/\/([^\/]*\.)?sexape-mte7jm\.blogspot\.com
+https?:\/\/([^\/]*\.)?sexdirectory-com-tbt0\.blogspot\.com
+https?:\/\/([^\/]*\.)?sexegirls\.net
+https?:\/\/([^\/]*\.)?sexgrannies-com-d17v0sa\.blogspot\.com
+https?:\/\/([^\/]*\.)?sexgrannies-com-dvg3lh0\.blogspot\.com
+https?:\/\/([^\/]*\.)?sexmaxx-com-w2aoe7q\.blogspot\.com
+https?:\/\/([^\/]*\.)?sexmaxx-com-w7\.blogspot\.com
+https?:\/\/([^\/]*\.)?sexmaxx-com-wy23e2588\.blogspot\.com
+https?:\/\/([^\/]*\.)?sexmaxx-kvhilzx76\.blogspot\.com
+https?:\/\/([^\/]*\.)?sexnemo-com-joxud\.blogspot\.com
+https?:\/\/([^\/]*\.)?sexnemo-com-r7iv5\.blogspot\.com
+https?:\/\/([^\/]*\.)?sexnemo-com-rpj18ot\.blogspot\.com
+https?:\/\/([^\/]*\.)?sexo-anal-teen\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?sexoasis-a00r68ac\.blogspot\.com
+https?:\/\/([^\/]*\.)?sexoasis-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?sexoasis-hkz6z4fmr6\.blogspot\.com
+https?:\/\/([^\/]*\.)?sexocean-b00\.blogspot\.com
+https?:\/\/([^\/]*\.)?sexocean-com-e2zci5\.blogspot\.com
+https?:\/\/([^\/]*\.)?sexocean-com-ew426c7qej\.blogspot\.com
+https?:\/\/([^\/]*\.)?sexocean-com-itvux\.blogspot\.com
+https?:\/\/([^\/]*\.)?sexocean-com-r6fjn1dz\.blogspot\.com
+https?:\/\/([^\/]*\.)?sexocean-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?sexocean-ibf53b\.blogspot\.com
+https?:\/\/([^\/]*\.)?sexocean000\.blogspot\.com
+https?:\/\/([^\/]*\.)?sexy-ass-and-leg\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?sexy-blonde-big-tit\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?sexy-busty-hot\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?sexy-knee-high-boot\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?sexy-leg-xxx\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?sexy-lesbian-having-sex\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?sexy-models-net-g13hqljr0\.blogspot\.com
+https?:\/\/([^\/]*\.)?sexy-teacher\.net
+https?:\/\/([^\/]*\.)?sexy\.dastish\.org
+https?:\/\/([^\/]*\.)?sexyst\.info
+https?:\/\/([^\/]*\.)?sfjo7\.szm\.sk
+https?:\/\/([^\/]*\.)?sftic\.szm\.sk
+https?:\/\/([^\/]*\.)?sfzone\.cn
+https?:\/\/([^\/]*\.)?sgkr3\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?shaffelrecords\.com
+https?:\/\/([^\/]*\.)?shahang\.net
+https?:\/\/([^\/]*\.)?shakira-pa3t\.blogspot\.com
+https?:\/\/([^\/]*\.)?shakirafortner\.hostingweb\.us
+https?:\/\/([^\/]*\.)?shampoo\.moistri\.be
+https?:\/\/([^\/]*\.)?shavedgoat-com-hlfai1dvfb\.blogspot\.com
+https?:\/\/([^\/]*\.)?shbuscenter\.com
+https?:\/\/([^\/]*\.)?shcarcenter\.com
+https?:\/\/([^\/]*\.)?shdianjiang\.cn
+https?:\/\/([^\/]*\.)?sheji\.paim123\.com
+https?:\/\/([^\/]*\.)?shemale-action\.net
+https?:\/\/([^\/]*\.)?shemale-ebony-fuck\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?shemale-fuck-guys-com\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?shemale-no-cock\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?shemalefuckguy\.isabel\.dtdns\.net
+https?:\/\/([^\/]*\.)?shemales\.coz\.in
+https?:\/\/([^\/]*\.)?shemp-com-k1yng8\.blogspot\.com
+https?:\/\/([^\/]*\.)?shemp-com-kcutd\.blogspot\.com
+https?:\/\/([^\/]*\.)?shemp-com-zuhig\.blogspot\.com
+https?:\/\/([^\/]*\.)?shenzhenjp\.com
+https?:\/\/([^\/]*\.)?shesexy-b00\.blogspot\.com
+https?:\/\/([^\/]*\.)?shesexy-com-i1xf\.blogspot\.com
+https?:\/\/([^\/]*\.)?shesexy-com-rugok\.blogspot\.com
+https?:\/\/([^\/]*\.)?shesexy-com-w0dffbvzz\.blogspot\.com
+https?:\/\/([^\/]*\.)?shesexy-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?shesexy-gogub\.blogspot\.com
+https?:\/\/([^\/]*\.)?shesexy000\.blogspot\.com
+https?:\/\/([^\/]*\.)?shetelel\.jconserv\.net
+https?:\/\/([^\/]*\.)?shexpo2010\.com
+https?:\/\/([^\/]*\.)?shijiren\.com
+https?:\/\/([^\/]*\.)?shinylights\.org
+https?:\/\/([^\/]*\.)?shipeng\.net
+https?:\/\/([^\/]*\.)?shmoorge\.tripod\.com
+https?:\/\/([^\/]*\.)?shoesnike\.cn
+https?:\/\/([^\/]*\.)?shoesorder\.biz
+https?:\/\/([^\/]*\.)?shoesshop\.biz
+https?:\/\/([^\/]*\.)?shop24x7\.net
+https?:\/\/([^\/]*\.)?short-porn-clip-news8rj\.blogspot\.com
+https?:\/\/([^\/]*\.)?shoujicaixin\.com
+https?:\/\/([^\/]*\.)?shplaycenter\.cn
+https?:\/\/([^\/]*\.)?shredder-4paper\.boom\.ru
+https?:\/\/([^\/]*\.)?shredder-paper\.boom\.ru
+https?:\/\/([^\/]*\.)?shredder\.boom\.ru
+https?:\/\/([^\/]*\.)?shredder4paper\.chat\.ru
+https?:\/\/([^\/]*\.)?shrentcar\.com
+https?:\/\/([^\/]*\.)?shrudant\.jconserv\.net
+https?:\/\/([^\/]*\.)?shticketcenter\.com
+https?:\/\/([^\/]*\.)?shtiklmiaou\.fizwig\.com
+https?:\/\/([^\/]*\.)?shtranslate\.com
+https?:\/\/([^\/]*\.)?shufflequince\.org
+https?:\/\/([^\/]*\.)?siamforum\.com
+https?:\/\/([^\/]*\.)?siek2\.szm\.sk
+https?:\/\/([^\/]*\.)?sifa600\.com
+https?:\/\/([^\/]*\.)?sigla-cartoni-animati\.19mb\.info
+https?:\/\/([^\/]*\.)?sijalik\.blogspot\.com
+https?:\/\/([^\/]*\.)?sik-sapphicparadise-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?sildenafil-citrate\.perso\.tc
+https?:\/\/([^\/]*\.)?siliconpulse\.info
+https?:\/\/([^\/]*\.)?silly-n\.blogspot\.com
+https?:\/\/([^\/]*\.)?simply-gamine\.hostingweb\.us
+https?:\/\/([^\/]*\.)?simtershrapnel\.blogspot\.com
+https?:\/\/([^\/]*\.)?sinbiom\.blogspot\.com
+https?:\/\/([^\/]*\.)?sindyhalliday\.com
+https?:\/\/([^\/]*\.)?sinequanwithdrawal\.sblog\.cz
+https?:\/\/([^\/]*\.)?sineto\.net
+https?:\/\/([^\/]*\.)?sinfulcurves-com-obh\.blogspot\.com
+https?:\/\/([^\/]*\.)?singova\.org
+https?:\/\/([^\/]*\.)?siq-xxlmovies-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?sirloincentury\.org
+https?:\/\/([^\/]*\.)?sisus\.org
+https?:\/\/([^\/]*\.)?sitacsit\.goodforum\.net
+https?:\/\/([^\/]*\.)?sitacsit\.grafbb\.com
+https?:\/\/([^\/]*\.)?sitchi-or\.xa\.pl
+https?:\/\/([^\/]*\.)?sitdelcna\.lightbb\.com
+https?:\/\/([^\/]*\.)?sitdelta\.jc\.pl
+https?:\/\/([^\/]*\.)?sitdeltroc\.bbfr\.net
+https?:\/\/([^\/]*\.)?site\.voila\.fr
+https?:\/\/([^\/]*\.)?siteapts\.info
+https?:\/\/([^\/]*\.)?sitedating\.org
+https?:\/\/([^\/]*\.)?sitel\.goodforum\.net
+https?:\/\/([^\/]*\.)?sitel\.grafbb\.com
+https?:\/\/([^\/]*\.)?sitladar\.dl\.pl
+https?:\/\/([^\/]*\.)?sitorsit\.discutfree\.com
+https?:\/\/([^\/]*\.)?sittazel\.bb-fr\.com
+https?:\/\/([^\/]*\.)?sj-qh\.com
+https?:\/\/([^\/]*\.)?sjzwz\.com
+https?:\/\/([^\/]*\.)?skbrql5\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?skelaxin\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?skelaxin800mg\.sblog\.cz
+https?:\/\/([^\/]*\.)?skin-care-tip\.boom\.ru
+https?:\/\/([^\/]*\.)?skin-care\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?skin-diseas\.boom\.ru
+https?:\/\/([^\/]*\.)?skin-disease\.boom\.ru
+https?:\/\/([^\/]*\.)?skin-disease\.fromru\.com
+https?:\/\/([^\/]*\.)?skin-disease\.newmail\.ru
+https?:\/\/([^\/]*\.)?skin-product\.hotmail\.ru
+https?:\/\/([^\/]*\.)?skin-treat\.boom\.ru
+https?:\/\/([^\/]*\.)?skin-treatm\.boom\.ru
+https?:\/\/([^\/]*\.)?skin-treatment\.boom\.ru
+https?:\/\/([^\/]*\.)?skin-trt\.boom\.ru
+https?:\/\/([^\/]*\.)?skin1-disease\.boom\.ru
+https?:\/\/([^\/]*\.)?skin1disease\.chat\.ru
+https?:\/\/([^\/]*\.)?skin1tip4care\.chat\.ru
+https?:\/\/([^\/]*\.)?skin1treatment\.chat\.ru
+https?:\/\/([^\/]*\.)?skin_product\.chat\.ru
+https?:\/\/([^\/]*\.)?skincare\.ixdm\.info
+https?:\/\/([^\/]*\.)?skodasite\.info
+https?:\/\/([^\/]*\.)?skvonk\.blogspot\.com
+https?:\/\/([^\/]*\.)?skvvdm2\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?sleep-aids\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?sleepingsex\.coz\.in
+https?:\/\/([^\/]*\.)?slickgalleries-b00\.blogspot\.com
+https?:\/\/([^\/]*\.)?slickgalleries-com-acf4a01s86\.blogspot\.com
+https?:\/\/([^\/]*\.)?slots-wiki\.com
+https?:\/\/([^\/]*\.)?slutsvideos-com-mwnyvb2\.blogspot\.com
+https?:\/\/([^\/]*\.)?smack-dvd\.mutogen\.be
+https?:\/\/([^\/]*\.)?smackinghotlips\.blogspot\.com
+https?:\/\/([^\/]*\.)?smal\.php5\.cz
+https?:\/\/([^\/]*\.)?small-bubble-butt\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?smart\.45\.kg
+https?:\/\/([^\/]*\.)?smbay\.cn
+https?:\/\/([^\/]*\.)?smithtownelementarypta\.org
+https?:\/\/([^\/]*\.)?smkpe\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?smlwqj2\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?smnvapnd-teensite\.blogspot\.com
+https?:\/\/([^\/]*\.)?smolyak\.isuisse\.com
+https?:\/\/([^\/]*\.)?sms\.bjicp\.net
+https?:\/\/([^\/]*\.)?smsdown\.blogbus\.com
+https?:\/\/([^\/]*\.)?smut-house-com-c6t8hcv1be\.blogspot\.com
+https?:\/\/([^\/]*\.)?smutgremlins-com-a46sull\.blogspot\.com
+https?:\/\/([^\/]*\.)?smutgremlins-com-w6d7m6ji\.blogspot\.com
+https?:\/\/([^\/]*\.)?smutmaestro\.net
+https?:\/\/([^\/]*\.)?snafusurfer\.net
+https?:\/\/([^\/]*\.)?snakesworld-com-bye\.blogspot\.com
+https?:\/\/([^\/]*\.)?sneakercool\.com
+https?:\/\/([^\/]*\.)?sneakernice\.com
+https?:\/\/([^\/]*\.)?sneck\.info
+https?:\/\/([^\/]*\.)?snkfbl1\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?snmcq\.szm\.sk
+https?:\/\/([^\/]*\.)?snorting-ritalin\.contact\.cc
+https?:\/\/([^\/]*\.)?snymk\.szm\.sk
+https?:\/\/([^\/]*\.)?so-big-tit-video-clip-kos\.blogspot\.com
+https?:\/\/([^\/]*\.)?so-home-sex-clip-god\.blogspot\.com
+https?:\/\/([^\/]*\.)?so-so-young-com-kk727o82n\.blogspot\.com
+https?:\/\/([^\/]*\.)?so1di\.info
+https?:\/\/([^\/]*\.)?soafacil\.com
+https?:\/\/([^\/]*\.)?socalmovies-com-enr61t\.blogspot\.com
+https?:\/\/([^\/]*\.)?socalmovies-com-oy08pp72i\.blogspot\.com
+https?:\/\/([^\/]*\.)?soccer-mom-sticker\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?socialsciencedeg\.chat\.ru
+https?:\/\/([^\/]*\.)?socsci\.mccneb\.edu
+https?:\/\/([^\/]*\.)?sodo0\.szm\.sk
+https?:\/\/([^\/]*\.)?sofiefie\.blogspot\.com
+https?:\/\/([^\/]*\.)?soft17-com-d1577f\.blogspot\.com
+https?:\/\/([^\/]*\.)?softcore-erotica\.net
+https?:\/\/([^\/]*\.)?software-engine\.org
+https?:\/\/([^\/]*\.)?softwarematrix\.org
+https?:\/\/([^\/]*\.)?sohardcore-com-r56bt\.blogspot\.com
+https?:\/\/([^\/]*\.)?soho0\.szm\.sk
+https?:\/\/([^\/]*\.)?solacemysoul\.blogspot\.com
+https?:\/\/([^\/]*\.)?solder\.lowestprices\.at
+https?:\/\/([^\/]*\.)?soler\.net\.cn
+https?:\/\/([^\/]*\.)?sologals-com-hs2xad\.blogspot\.com
+https?:\/\/([^\/]*\.)?sologals-grr5g3\.blogspot\.com
+https?:\/\/([^\/]*\.)?soma\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?soma\.homelinux\.com
+https?:\/\/([^\/]*\.)?soma\.int\.tf
+https?:\/\/([^\/]*\.)?soma\.php5\.cz
+https?:\/\/([^\/]*\.)?soma1\.skocz\.net
+https?:\/\/([^\/]*\.)?someshit\.ru
+https?:\/\/([^\/]*\.)?somqyxli-teensite\.blogspot\.com
+https?:\/\/([^\/]*\.)?sonata\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?sonr4\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?sotremont\.ru
+https?:\/\/([^\/]*\.)?soulswallo\.blogspot\.com
+https?:\/\/([^\/]*\.)?soundandmossl\.com
+https?:\/\/([^\/]*\.)?southcarolinamortgage-x\.com
+https?:\/\/([^\/]*\.)?southdakotamortgage-x\.com
+https?:\/\/([^\/]*\.)?southtecrepair\.com
+https?:\/\/([^\/]*\.)?spacedim68\.blogspot\.com
+https?:\/\/([^\/]*\.)?spamim\.net
+https?:\/\/([^\/]*\.)?spawww\.info
+https?:\/\/([^\/]*\.)?spcw3\.szm\.sk
+https?:\/\/([^\/]*\.)?special-ringtones\.net
+https?:\/\/([^\/]*\.)?specific911\.biz
+https?:\/\/([^\/]*\.)?specific911\.org
+https?:\/\/([^\/]*\.)?speed-casino\.com
+https?:\/\/([^\/]*\.)?speens\.pass\.as
+https?:\/\/([^\/]*\.)?spermicide-trojan-condom\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?spermshack-al\.blogspot\.com
+https?:\/\/([^\/]*\.)?spermshack-com-bef\.blogspot\.com
+https?:\/\/([^\/]*\.)?spermshack-com-gee1\.blogspot\.com
+https?:\/\/([^\/]*\.)?spermshack-com-gtafrogps\.blogspot\.com
+https?:\/\/([^\/]*\.)?spermshack-g01u1\.blogspot\.com
+https?:\/\/([^\/]*\.)?spewie-com-mbntjlrkk\.blogspot\.com
+https?:\/\/([^\/]*\.)?spfwq\.zhengstar\.com
+https?:\/\/([^\/]*\.)?spice-girlpkg\.blogspot\.com
+https?:\/\/([^\/]*\.)?spirit-of-llama\.blogspot\.com
+https?:\/\/([^\/]*\.)?spirit-psyki\.ifrance\.com
+https?:\/\/([^\/]*\.)?spiserch\.com
+https?:\/\/([^\/]*\.)?splashka\.xhostar\.com
+https?:\/\/([^\/]*\.)?splendid-casino\.com
+https?:\/\/([^\/]*\.)?spoilzone\.epinoy\.com
+https?:\/\/([^\/]*\.)?spqi6\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?sprint-ringtonenot\.blogspot\.com
+https?:\/\/([^\/]*\.)?sprintringtonesxvt\.blogspot\.com
+https?:\/\/([^\/]*\.)?sprintringtoneuad\.blogspot\.com
+https?:\/\/([^\/]*\.)?spstestfree\.com\.com
+https?:\/\/([^\/]*\.)?spunkmonster\.net
+https?:\/\/([^\/]*\.)?spwnk\.szm\.sk
+https?:\/\/([^\/]*\.)?spxqly9\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?spyware-adware-killer\.com
+https?:\/\/([^\/]*\.)?sqoi8\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?squall\.my10gb\.com
+https?:\/\/([^\/]*\.)?sqvde\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?sralua\.com
+https?:\/\/([^\/]*\.)?srarware\.com
+https?:\/\/([^\/]*\.)?srkyyca\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?srtongje\.republika\.pl
+https?:\/\/([^\/]*\.)?sruqsz3\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ssdcard\.info
+https?:\/\/([^\/]*\.)?sslive\.info
+https?:\/\/([^\/]*\.)?sspwye7\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?sswingersss-777\.tripod\.com
+https?:\/\/([^\/]*\.)?st-pharmacy\.com
+https?:\/\/([^\/]*\.)?staa8\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?staff\.jccc\.edu
+https?:\/\/([^\/]*\.)?stainlesssteelpipe\.net
+https?:\/\/([^\/]*\.)?stampa-foto-digitale\.ll11\.info
+https?:\/\/([^\/]*\.)?starcelebs-com-ewe5\.blogspot\.com
+https?:\/\/([^\/]*\.)?starcelebs-com-i3a2mxf\.blogspot\.com
+https?:\/\/([^\/]*\.)?starcelebs-wihuk\.blogspot\.com
+https?:\/\/([^\/]*\.)?starryland\.com\.cn
+https?:\/\/([^\/]*\.)?startchicks\.info
+https?:\/\/([^\/]*\.)?state-gay-marriage-legal\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?state-of-germany\.com
+https?:\/\/([^\/]*\.)?statisticpal\.com
+https?:\/\/([^\/]*\.)?stcc9\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?steeen-s-bl\.blogspot\.com
+https?:\/\/([^\/]*\.)?steel-table-leg\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?stocking-fetish-video\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?stocking-movies-com-du1\.blogspot\.com
+https?:\/\/([^\/]*\.)?stocking-tease-b7gl\.blogspot\.com
+https?:\/\/([^\/]*\.)?stocking-tease-com-bm6h145g\.blogspot\.com
+https?:\/\/([^\/]*\.)?stockings\.coz\.in
+https?:\/\/([^\/]*\.)?stop-smoking\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?stpetersburg\.it
+https?:\/\/([^\/]*\.)?stphipps\.dl\.pl
+https?:\/\/([^\/]*\.)?strakac\.dtdns\.net
+https?:\/\/([^\/]*\.)?strange-bizarre-things\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?stranieriinitalia\.org
+https?:\/\/([^\/]*\.)?straponpost\.net
+https?:\/\/([^\/]*\.)?street-poker\.com
+https?:\/\/([^\/]*\.)?streetmp3\.com
+https?:\/\/([^\/]*\.)?strega\.us
+https?:\/\/([^\/]*\.)?strip-tease-video-bb-bb-z\.blogspot\.com
+https?:\/\/([^\/]*\.)?strongsong\.republika\.pl
+https?:\/\/([^\/]*\.)?structure-settlement\.hotmail\.ru
+https?:\/\/([^\/]*\.)?sts\.ucsd\.edu
+https?:\/\/([^\/]*\.)?students\.hsc\.unt\.edu
+https?:\/\/([^\/]*\.)?study-us\.cn
+https?:\/\/([^\/]*\.)?stvincent\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?stydx\.szm\.sk
+https?:\/\/([^\/]*\.)?sublimedirectory-com-otb\.blogspot\.com
+https?:\/\/([^\/]*\.)?sublimemovies-com-wdvh2wmm3\.blogspot\.com
+https?:\/\/([^\/]*\.)?sublimepie-com-e8g3dw2\.blogspot\.com
+https?:\/\/([^\/]*\.)?sudanportal\.mrcc\.aast\.edu
+https?:\/\/([^\/]*\.)?suede-cowboy-boot\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?suicidexlove\.blogspot\.com
+https?:\/\/([^\/]*\.)?sumeshi0206\.dyndns\.org
+https?:\/\/([^\/]*\.)?sunaseth\.blogspot\.com
+https?:\/\/([^\/]*\.)?sunp\.com
+https?:\/\/([^\/]*\.)?sunporno-com-avbxn\.blogspot\.com
+https?:\/\/([^\/]*\.)?sunporno-com-go5\.blogspot\.com
+https?:\/\/([^\/]*\.)?sunsetdreamscondo\.com
+https?:\/\/([^\/]*\.)?sunsky365\.net
+https?:\/\/([^\/]*\.)?superadultdirect\.info
+https?:\/\/([^\/]*\.)?supercalcinhas-com-rij\.blogspot\.com
+https?:\/\/([^\/]*\.)?superdiosas-com-tm5k7f1v3\.blogspot\.com
+https?:\/\/([^\/]*\.)?superfinancesolutions\.com
+https?:\/\/([^\/]*\.)?supergirls\.pochta\.ru
+https?:\/\/([^\/]*\.)?superha\.angelcities\.com
+https?:\/\/([^\/]*\.)?superinsuranceworld\.info
+https?:\/\/([^\/]*\.)?superinternetexplorer\.info
+https?:\/\/([^\/]*\.)?supershare\.cn
+https?:\/\/([^\/]*\.)?suphost\.info
+https?:\/\/([^\/]*\.)?supplements\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?supplements\.mylonso\.be
+https?:\/\/([^\/]*\.)?supplierlist\.com
+https?:\/\/([^\/]*\.)?surfacing\.101freehost\.com
+https?:\/\/([^\/]*\.)?surmontil\.sblog\.cz
+https?:\/\/([^\/]*\.)?suxwyj-free-porn\.blogspot\.com
+https?:\/\/([^\/]*\.)?suzoro-amateur-sex-video\.blogspot\.com
+https?:\/\/([^\/]*\.)?suzulan\.blogspot\.com
+https?:\/\/([^\/]*\.)?svetys9i\.125mb\.com
+https?:\/\/([^\/]*\.)?svike\.info
+https?:\/\/([^\/]*\.)?swdella\.ifrance\.com
+https?:\/\/([^\/]*\.)?swechat\.republika\.pl
+https?:\/\/([^\/]*\.)?sweet-krissy\.babubi\.net
+https?:\/\/([^\/]*\.)?sweetpniangel87\.blogspot\.com
+https?:\/\/([^\/]*\.)?swingersadult\.net
+https?:\/\/([^\/]*\.)?sx\.nazari\.org
+https?:\/\/([^\/]*\.)?sx\.z0rz\.com
+https?:\/\/([^\/]*\.)?sxwliwe\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?sy-casino\.com
+https?:\/\/([^\/]*\.)?sygz\.51mp4mp3\.com
+https?:\/\/([^\/]*\.)?sylvan-queen\.iespana\.es
+https?:\/\/([^\/]*\.)?symphony\.8tt\.org
+https?:\/\/([^\/]*\.)?symptom-of-allergic-reaction-to-latex-condom\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?symy\.jp
+https?:\/\/([^\/]*\.)?synnin-viemaa\.blogspot\.com
+https?:\/\/([^\/]*\.)?sz-cj\.com
+https?:\/\/([^\/]*\.)?sz-dongtai\.com
+https?:\/\/([^\/]*\.)?sz-tianyicn\.com
+https?:\/\/([^\/]*\.)?sz4a\.cn
+https?:\/\/([^\/]*\.)?szarts\.com
+https?:\/\/([^\/]*\.)?szbeiyang\.net
+https?:\/\/([^\/]*\.)?szhangkong\.com
+https?:\/\/([^\/]*\.)?szhjc\.net
+https?:\/\/([^\/]*\.)?szhowfine\.com
+https?:\/\/([^\/]*\.)?szhx\.ebloggy\.com
+https?:\/\/([^\/]*\.)?szhxseo\.com
+https?:\/\/([^\/]*\.)?szjiuli\.com
+https?:\/\/([^\/]*\.)?szjiuli\.ebloggy\.com
+https?:\/\/([^\/]*\.)?szjpnet\.ebloggy\.com
+https?:\/\/([^\/]*\.)?szjyhk\.com
+https?:\/\/([^\/]*\.)?szlawyer\.home4u\.china\.com
+https?:\/\/([^\/]*\.)?szlichuang\.cn
+https?:\/\/([^\/]*\.)?szlszx\.com
+https?:\/\/([^\/]*\.)?sznuts\.cn
+https?:\/\/([^\/]*\.)?szpptc\.com
+https?:\/\/([^\/]*\.)?szsc-car\.com
+https?:\/\/([^\/]*\.)?szsfbq\.com
+https?:\/\/([^\/]*\.)?szyongjin\.ebloggy\.com
+https?:\/\/([^\/]*\.)?szzhuce\.home4u\.china\.com
+https?:\/\/([^\/]*\.)?t-sonnaya\.blogspot\.com
+https?:\/\/([^\/]*\.)?t-teens-for\.blogspot\.com
+https?:\/\/([^\/]*\.)?t\.tl
+https?:\/\/([^\/]*\.)?tabarlie\.forumzen\.com
+https?:\/\/([^\/]*\.)?tabgand\.info
+https?:\/\/([^\/]*\.)?tablitki\.xwiki\.com
+https?:\/\/([^\/]*\.)?taboo-porn-clip-blogeq2\.blogspot\.com
+https?:\/\/([^\/]*\.)?tackle\.247ihost\.com
+https?:\/\/([^\/]*\.)?tacomanissansubaru\.info
+https?:\/\/([^\/]*\.)?tactroc\.dl\.pl
+https?:\/\/([^\/]*\.)?tadalafil-\.ql\.st
+https?:\/\/([^\/]*\.)?tadalafil\.scanthenet\.com
+https?:\/\/([^\/]*\.)?tadalafil\.skocz\.net
+https?:\/\/([^\/]*\.)?tadalafil35\.lookscool\.com
+https?:\/\/([^\/]*\.)?taefis\.com
+https?:\/\/([^\/]*\.)?tagetboc\.darkbb\.com
+https?:\/\/([^\/]*\.)?talj5\.szm\.sk
+https?:\/\/([^\/]*\.)?tanoli\.su\.pl
+https?:\/\/([^\/]*\.)?tanorol\.dl\.pl
+https?:\/\/([^\/]*\.)?taouolo\.dl\.pl
+https?:\/\/([^\/]*\.)?tapz3\.szm\.sk
+https?:\/\/([^\/]*\.)?taracdom\.heavenforum\.com
+https?:\/\/([^\/]*\.)?tarfol\.com
+https?:\/\/([^\/]*\.)?target-oo6qbtx\.blogspot\.com
+https?:\/\/([^\/]*\.)?taria-m4j\.blogspot\.com
+https?:\/\/([^\/]*\.)?taricdam\.dynamicbb\.com
+https?:\/\/([^\/]*\.)?tarkupu\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?taroelt\.bb-fr\.com
+https?:\/\/([^\/]*\.)?tarra-i6vqa54v\.blogspot\.com
+https?:\/\/([^\/]*\.)?tart0938\.blogspot\.com
+https?:\/\/([^\/]*\.)?taryn-hgxikm27y\.blogspot\.com
+https?:\/\/([^\/]*\.)?tarzuf\.com
+https?:\/\/([^\/]*\.)?tasculio\.forumzen\.com
+https?:\/\/([^\/]*\.)?tasha-rup0n3a\.blogspot\.com
+https?:\/\/([^\/]*\.)?tashia-w1evjakk7\.blogspot\.com
+https?:\/\/([^\/]*\.)?tasia-emtoy\.blogspot\.com
+https?:\/\/([^\/]*\.)?tasimd\.com
+https?:\/\/([^\/]*\.)?tasizuwann-tm7y\.blogspot\.com
+https?:\/\/([^\/]*\.)?tasnin\.com
+https?:\/\/([^\/]*\.)?tate-dt\.blogspot\.com
+https?:\/\/([^\/]*\.)?tateyana-c8s1ms4ai\.blogspot\.com
+https?:\/\/([^\/]*\.)?tateyona-br48\.blogspot\.com
+https?:\/\/([^\/]*\.)?tatrocbas\.dl\.pl
+https?:\/\/([^\/]*\.)?tatrocmon\.dl\.pl
+https?:\/\/([^\/]*\.)?tattoo-ojqmc35d\.blogspot\.com
+https?:\/\/([^\/]*\.)?tatum-mlzsfqw\.blogspot\.com
+https?:\/\/([^\/]*\.)?tatumn-i4anj15\.blogspot\.com
+https?:\/\/([^\/]*\.)?tatyiana-kwg0ud8fqf\.blogspot\.com
+https?:\/\/([^\/]*\.)?tauntianna-h6\.blogspot\.com
+https?:\/\/([^\/]*\.)?taurus-gx\.blogspot\.com
+https?:\/\/([^\/]*\.)?tayamarn\.blogspot\.com
+https?:\/\/([^\/]*\.)?taydem-wdm6rxd328\.blogspot\.com
+https?:\/\/([^\/]*\.)?taydra-ejk45\.blogspot\.com
+https?:\/\/([^\/]*\.)?tayla-t7b\.blogspot\.com
+https?:\/\/([^\/]*\.)?tayler-cfez\.blogspot\.com
+https?:\/\/([^\/]*\.)?taylor-bof5\.blogspot\.com
+https?:\/\/([^\/]*\.)?taylorbow-com-il3f4ae\.blogspot\.com
+https?:\/\/([^\/]*\.)?taylorbow-com-inbg2nw\.blogspot\.com
+https?:\/\/([^\/]*\.)?taynafrates\.freetzi\.com
+https?:\/\/([^\/]*\.)?taysha-avsm8\.blogspot\.com
+https?:\/\/([^\/]*\.)?tazman-m6\.blogspot\.com
+https?:\/\/([^\/]*\.)?tbgbnt5\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?tbns\.net
+https?:\/\/([^\/]*\.)?tbrgxjv\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?tcsgn\.szm\.sk
+https?:\/\/([^\/]*\.)?tczxwwz\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?tczxxm6\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?tdzkzkt\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?tdzl\.sunp\.com
+https?:\/\/([^\/]*\.)?tea-imk7ry1\.blogspot\.com
+https?:\/\/([^\/]*\.)?tea-pot\.keckins\.be
+https?:\/\/([^\/]*\.)?teacher-fucking-free\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?teacher-km\.blogspot\.com
+https?:\/\/([^\/]*\.)?teaching1degree\.chat\.ru
+https?:\/\/([^\/]*\.)?teainfo\.org
+https?:\/\/([^\/]*\.)?teamsquirt-com-kjcj3u3i\.blogspot\.com
+https?:\/\/([^\/]*\.)?tear\.hostcroc\.com
+https?:\/\/([^\/]*\.)?tech-txyvo8\.blogspot\.com
+https?:\/\/([^\/]*\.)?tech\.china\.com
+https?:\/\/([^\/]*\.)?techinpet\.blogspot\.com
+https?:\/\/([^\/]*\.)?technical-school\.fromru\.com
+https?:\/\/([^\/]*\.)?technical-school\.hotmail\.ru
+https?:\/\/([^\/]*\.)?technical-school\.newmail\.ru
+https?:\/\/([^\/]*\.)?technical1school\.chat\.ru
+https?:\/\/([^\/]*\.)?teen-anal-cum-shot\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?teen-blonde-beauty\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?teen-blow-job-movie\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?teen-boob-fuck\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?teen-boob-porn\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?teen-buff\.net
+https?:\/\/([^\/]*\.)?teen-chick-masturbating\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?teen-facial-fuck\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?teen-feet-bew\.blogspot\.com
+https?:\/\/([^\/]*\.)?teen-internal-cum-shot\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?teen-kelly-lesbian\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?teen-movie\.freeinsite\.net
+https?:\/\/([^\/]*\.)?teen-porn-clip-newsyk6\.blogspot\.com
+https?:\/\/([^\/]*\.)?teen-sex-movie-f-d-t\.blogspot\.com
+https?:\/\/([^\/]*\.)?teen-sex-video-w8jpk\.blogspot\.com
+https?:\/\/([^\/]*\.)?teen-zips\.babubi\.net
+https?:\/\/([^\/]*\.)?teen6\.vdforum\.ru
+https?:\/\/([^\/]*\.)?teenax-b00\.blogspot\.com
+https?:\/\/([^\/]*\.)?teenax-com-pidoq\.blogspot\.com
+https?:\/\/([^\/]*\.)?teenax-com-rh0ssu62yh\.blogspot\.com
+https?:\/\/([^\/]*\.)?teenax-com-rke6\.blogspot\.com
+https?:\/\/([^\/]*\.)?teenbe-com-bdp\.blogspot\.com
+https?:\/\/([^\/]*\.)?teenbe-com-mb6x\.blogspot\.com
+https?:\/\/([^\/]*\.)?teenbe-com-mh03o\.blogspot\.com
+https?:\/\/([^\/]*\.)?teenblowjobs\.vdforum\.ru
+https?:\/\/([^\/]*\.)?teenboat-com-g6\.blogspot\.com
+https?:\/\/([^\/]*\.)?teenboat-com-g6f103r\.blogspot\.com
+https?:\/\/([^\/]*\.)?teenboat-com-mu1s7p\.blogspot\.com
+https?:\/\/([^\/]*\.)?teenboat-e76l\.blogspot\.com
+https?:\/\/([^\/]*\.)?teenboys-wel\.blogspot\.com
+https?:\/\/([^\/]*\.)?teenel-com-hhd\.blogspot\.com
+https?:\/\/([^\/]*\.)?teenel-com-hq0sgbm\.blogspot\.com
+https?:\/\/([^\/]*\.)?teeniefiles-com-g84lu\.blogspot\.com
+https?:\/\/([^\/]*\.)?teeniefiles-com-o43\.blogspot\.com
+https?:\/\/([^\/]*\.)?teeniefiles-com-ob782u\.blogspot\.com
+https?:\/\/([^\/]*\.)?teeniemovies-com-aptqg\.blogspot\.com
+https?:\/\/([^\/]*\.)?teeniemovies-com-as\.blogspot\.com
+https?:\/\/([^\/]*\.)?teeniemovies-com-hinof\.blogspot\.com
+https?:\/\/([^\/]*\.)?teeniesxxx-b00\.blogspot\.com
+https?:\/\/([^\/]*\.)?teenmodels\.hostingtree\.org
+https?:\/\/([^\/]*\.)?teenporn\.vdforum\.ru
+https?:\/\/([^\/]*\.)?teenpornrur\.blogspot\.com
+https?:\/\/([^\/]*\.)?teenpussyfhv\.blogspot\.com
+https?:\/\/([^\/]*\.)?teens-chat\.info
+https?:\/\/([^\/]*\.)?teens-list-b-all\.blogspot\.com
+https?:\/\/([^\/]*\.)?teenseven-com-c0jvb2z\.blogspot\.com
+https?:\/\/([^\/]*\.)?teensex-com-c4w3g\.blogspot\.com
+https?:\/\/([^\/]*\.)?teensex-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?teensex\.adultserv\.info
+https?:\/\/([^\/]*\.)?teensss-com-b8s44\.blogspot\.com
+https?:\/\/([^\/]*\.)?teensss-com-tds\.blogspot\.com
+https?:\/\/([^\/]*\.)?teensss-com-thrd\.blogspot\.com
+https?:\/\/([^\/]*\.)?teentera-com-d5j3qqs\.blogspot\.com
+https?:\/\/([^\/]*\.)?teentera-com-dyty5cv\.blogspot\.com
+https?:\/\/([^\/]*\.)?teentera-com-w65\.blogspot\.com
+https?:\/\/([^\/]*\.)?teentiger-com-e4e6i2f\.blogspot\.com
+https?:\/\/([^\/]*\.)?teentiger-com-eo602\.blogspot\.com
+https?:\/\/([^\/]*\.)?teentiger-com-fozol\.blogspot\.com
+https?:\/\/([^\/]*\.)?teeny-boppers-club-beg\.blogspot\.com
+https?:\/\/([^\/]*\.)?teenybopperclub-com-bgqk6ejjv\.blogspot\.com
+https?:\/\/([^\/]*\.)?teenybopperclub-com-bukb\.blogspot\.com
+https?:\/\/([^\/]*\.)?teenybopperclub-segod\.blogspot\.com
+https?:\/\/([^\/]*\.)?tegan-d1yagb\.blogspot\.com
+https?:\/\/([^\/]*\.)?tehxm\.szm\.sk
+https?:\/\/([^\/]*\.)?tehya-csxo8\.blogspot\.com
+https?:\/\/([^\/]*\.)?tehyana-bg3\.blogspot\.com
+https?:\/\/([^\/]*\.)?tekd8\.szm\.sk
+https?:\/\/([^\/]*\.)?tekiartur\.xhostar\.com
+https?:\/\/([^\/]*\.)?tekila-a5x7\.blogspot\.com
+https?:\/\/([^\/]*\.)?tela-ou3khz\.blogspot\.com
+https?:\/\/([^\/]*\.)?telenglish\.com\.cn
+https?:\/\/([^\/]*\.)?telephone-ip\.blogspot\.com
+https?:\/\/([^\/]*\.)?telnushka\.blogspot\.com
+https?:\/\/([^\/]*\.)?temazepam\.xwiki\.com
+https?:\/\/([^\/]*\.)?temma-k1n03ege\.blogspot\.com
+https?:\/\/([^\/]*\.)?temp-h33\.blogspot\.com
+https?:\/\/([^\/]*\.)?temptation-gujlu2\.blogspot\.com
+https?:\/\/([^\/]*\.)?ten-ra66722hs5\.blogspot\.com
+https?:\/\/([^\/]*\.)?tenea-w1\.blogspot\.com
+https?:\/\/([^\/]*\.)?tenisha-e6f\.blogspot\.com
+https?:\/\/([^\/]*\.)?tennasa-ttk2oqe4m\.blogspot\.com
+https?:\/\/([^\/]*\.)?tennesseemortgage-x\.com
+https?:\/\/([^\/]*\.)?tennille-dm\.blogspot\.com
+https?:\/\/([^\/]*\.)?tennis-ca8swtk\.blogspot\.com
+https?:\/\/([^\/]*\.)?tenor-saxophone-fingering\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?tenuate\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?teopoo\.dynamicforum\.net
+https?:\/\/([^\/]*\.)?teplomer\.dtdns\.net
+https?:\/\/([^\/]*\.)?tequila-be5\.blogspot\.com
+https?:\/\/([^\/]*\.)?teresanict-oorzzsxmjd\.blogspot\.com
+https?:\/\/([^\/]*\.)?teri-m84ywv38\.blogspot\.com
+https?:\/\/([^\/]*\.)?terika-i10\.blogspot\.com
+https?:\/\/([^\/]*\.)?term-paper\.fromru\.com
+https?:\/\/([^\/]*\.)?term-paper\.nm\.ru
+https?:\/\/([^\/]*\.)?term_paper\.chat\.ru
+https?:\/\/([^\/]*\.)?terminal-h0o2cfsibt\.blogspot\.com
+https?:\/\/([^\/]*\.)?terri-gqdjfrm33d\.blogspot\.com
+https?:\/\/([^\/]*\.)?terrianna-ru\.blogspot\.com
+https?:\/\/([^\/]*\.)?terrin-wmwxu3v\.blogspot\.com
+https?:\/\/([^\/]*\.)?terriona-erbvdaj\.blogspot\.com
+https?:\/\/([^\/]*\.)?terry-tqzto0ek\.blogspot\.com
+https?:\/\/([^\/]*\.)?terryikah-dhw46164c2\.blogspot\.com
+https?:\/\/([^\/]*\.)?terryiona-czcng\.blogspot\.com
+https?:\/\/([^\/]*\.)?terryn-b8p04mxhn2\.blogspot\.com
+https?:\/\/([^\/]*\.)?tess-oybaa0ng\.blogspot\.com
+https?:\/\/([^\/]*\.)?tessa-mugg\.blogspot\.com
+https?:\/\/([^\/]*\.)?test1\.com
+https?:\/\/([^\/]*\.)?test123-kpgwe3vie4\.blogspot\.com
+https?:\/\/([^\/]*\.)?test2-h6qofysx\.blogspot\.com
+https?:\/\/([^\/]*\.)?test2\.com
+https?:\/\/([^\/]*\.)?test3\.com
+https?:\/\/([^\/]*\.)?testmutherfucker\.com
+https?:\/\/([^\/]*\.)?testtest-g14\.blogspot\.com
+https?:\/\/([^\/]*\.)?tetteteent\.blogspot\.com
+https?:\/\/([^\/]*\.)?tettgwk\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?texas-hold-em-winner\.net
+https?:\/\/([^\/]*\.)?texas-hold-em\.black-poker\.com
+https?:\/\/([^\/]*\.)?texas-holdem-poker\.us\.com
+https?:\/\/([^\/]*\.)?texas-holdem-winner\.com
+https?:\/\/([^\/]*\.)?texas-holdem\.black-poker\.com
+https?:\/\/([^\/]*\.)?texas-rvmu1a0\.blogspot\.com
+https?:\/\/([^\/]*\.)?texas-va-loan\.com
+https?:\/\/([^\/]*\.)?texasdad\.com
+https?:\/\/([^\/]*\.)?texasholdem2\.com
+https?:\/\/([^\/]*\.)?texasholdemcenteral\.com
+https?:\/\/([^\/]*\.)?texasholdemsite\.net
+https?:\/\/([^\/]*\.)?texasmortgage-x\.com
+https?:\/\/([^\/]*\.)?teyana-wtpu\.blogspot\.com
+https?:\/\/([^\/]*\.)?teylore-ew24\.blogspot\.com
+https?:\/\/([^\/]*\.)?tez-teenybopperclub-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?tgao2\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?tgksg\.szm\.sk
+https?:\/\/([^\/]*\.)?tgp-movie-facial\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?tgpprincess\.net
+https?:\/\/([^\/]*\.)?tgpseeker\.net
+https?:\/\/([^\/]*\.)?thailand-t865\.blogspot\.com
+https?:\/\/([^\/]*\.)?thalia-d125yh\.blogspot\.com
+https?:\/\/([^\/]*\.)?thalya-cgjm\.blogspot\.com
+https?:\/\/([^\/]*\.)?thbxg\.szm\.sk
+https?:\/\/([^\/]*\.)?the-amberlady\.blogspot\.com
+https?:\/\/([^\/]*\.)?the-craftman\.blogspot\.com
+https?:\/\/([^\/]*\.)?the-female-orgasm-com-h1sx\.blogspot\.com
+https?:\/\/([^\/]*\.)?the-female-orgasm-com-h8qf5l27j\.blogspot\.com
+https?:\/\/([^\/]*\.)?the-most-biggest-boob-in-the-world\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?the-murderer\.blogspot\.com
+https?:\/\/([^\/]*\.)?the-roulette\.net
+https?:\/\/([^\/]*\.)?theaddedtouch\.net
+https?:\/\/([^\/]*\.)?theaf\.info
+https?:\/\/([^\/]*\.)?theaftor\.com
+https?:\/\/([^\/]*\.)?theag\.info
+https?:\/\/([^\/]*\.)?theap\.info
+https?:\/\/([^\/]*\.)?theas\.info
+https?:\/\/([^\/]*\.)?theat\.info
+https?:\/\/([^\/]*\.)?theaw\.info
+https?:\/\/([^\/]*\.)?thebestjaguar\.info
+https?:\/\/([^\/]*\.)?thebigswallow-com-khcs\.blogspot\.com
+https?:\/\/([^\/]*\.)?thebigswallow-com-kp3hmbl2e\.blogspot\.com
+https?:\/\/([^\/]*\.)?thebondbroker\.info
+https?:\/\/([^\/]*\.)?thecardinaleempire\.com
+https?:\/\/([^\/]*\.)?thechryslerjeep\.info
+https?:\/\/([^\/]*\.)?thedailywash\.blogspot\.com
+https?:\/\/([^\/]*\.)?thedrivingsite\.info
+https?:\/\/([^\/]*\.)?theente\.com
+https?:\/\/([^\/]*\.)?thefiatspider\.info
+https?:\/\/([^\/]*\.)?thehomeworkco\.com
+https?:\/\/([^\/]*\.)?theiconclub\.info
+https?:\/\/([^\/]*\.)?theillegalcause\.blogspot\.com
+https?:\/\/([^\/]*\.)?thejaguarracing\.cn
+https?:\/\/([^\/]*\.)?thejaguarracing\.info
+https?:\/\/([^\/]*\.)?theking-ox0p87\.blogspot\.com
+https?:\/\/([^\/]*\.)?theluckyman\.magnesia\.dtdns\.net
+https?:\/\/([^\/]*\.)?theman-mbvc\.blogspot\.com
+https?:\/\/([^\/]*\.)?themazdaspeed\.info
+https?:\/\/([^\/]*\.)?themoblogs\.com
+https?:\/\/([^\/]*\.)?theofe\.com
+https?:\/\/([^\/]*\.)?theorless\.com
+https?:\/\/([^\/]*\.)?theorrent\.com
+https?:\/\/([^\/]*\.)?thepartyplaza\.info
+https?:\/\/([^\/]*\.)?theresa-ipvfeka0y\.blogspot\.com
+https?:\/\/([^\/]*\.)?thesam118\.blogspot\.com
+https?:\/\/([^\/]*\.)?theseeall\.com
+https?:\/\/([^\/]*\.)?theslots\.biz
+https?:\/\/([^\/]*\.)?thesmart-casino\.com
+https?:\/\/([^\/]*\.)?thesportspark\.net
+https?:\/\/([^\/]*\.)?thessaloni-ka8qpmq7\.blogspot\.com
+https?:\/\/([^\/]*\.)?thestartrekuniverse\.net
+https?:\/\/([^\/]*\.)?thetexasholdpoker\.com
+https?:\/\/([^\/]*\.)?thetrafficproject\.com
+https?:\/\/([^\/]*\.)?thetruevoyeur-com-gkjz2m\.blogspot\.com
+https?:\/\/([^\/]*\.)?thetruevoyeur-com-gy0\.blogspot\.com
+https?:\/\/([^\/]*\.)?thetruevoyeur-com-iuswifnlo\.blogspot\.com
+https?:\/\/([^\/]*\.)?thewarhal\.com
+https?:\/\/([^\/]*\.)?thexe\.info
+https?:\/\/([^\/]*\.)?thexo\.info
+https?:\/\/([^\/]*\.)?thg\.org\.ua
+https?:\/\/([^\/]*\.)?thick-ass-movie\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?thick-ass-stripper\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?thick-black-ass-pic\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?thigh-boot-movie\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?thiyanna-hl74o5m\.blogspot\.com
+https?:\/\/([^\/]*\.)?thomarina-gt\.blogspot\.com
+https?:\/\/([^\/]*\.)?thomas-r6ik\.blogspot\.com
+https?:\/\/([^\/]*\.)?thomasina-wpcw\.blogspot\.com
+https?:\/\/([^\/]*\.)?thongbattle-com-rtrllksm\.blogspot\.com
+https?:\/\/([^\/]*\.)?thongbattle-com-rx2f2tcqrm\.blogspot\.com
+https?:\/\/([^\/]*\.)?thongdreams-com-w0r16sf\.blogspot\.com
+https?:\/\/([^\/]*\.)?thongdreams-com-wq50\.blogspot\.com
+https?:\/\/([^\/]*\.)?thongdreams-com-wx67\.blogspot\.com
+https?:\/\/([^\/]*\.)?thongdreams-com-xehiw\.blogspot\.com
+https?:\/\/([^\/]*\.)?thornblack-eq3a55o4\.blogspot\.com
+https?:\/\/([^\/]*\.)?three-to8p73\.blogspot\.com
+https?:\/\/([^\/]*\.)?threesforest\.blogspot\.com
+https?:\/\/([^\/]*\.)?thugs-djaox\.blogspot\.com
+https?:\/\/([^\/]*\.)?thugz-cqi0x7wkb6\.blogspot\.com
+https?:\/\/([^\/]*\.)?thumbzilla-com-mq\.blogspot\.com
+https?:\/\/([^\/]*\.)?thumbzilla-com-mqtw65e\.blogspot\.com
+https?:\/\/([^\/]*\.)?thumper-bs3t1\.blogspot\.com
+https?:\/\/([^\/]*\.)?thunder-aq\.blogspot\.com
+https?:\/\/([^\/]*\.)?thunder\.hostonmars\.com
+https?:\/\/([^\/]*\.)?thursday-om2jka\.blogspot\.com
+https?:\/\/([^\/]*\.)?thusqus\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?thx1138-mh86v55t2\.blogspot\.com
+https?:\/\/([^\/]*\.)?tia-icc0gbqhya\.blogspot\.com
+https?:\/\/([^\/]*\.)?tiahaat\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?tiahnna-kf24x7i4n\.blogspot\.com
+https?:\/\/([^\/]*\.)?tiaja-hr4ci\.blogspot\.com
+https?:\/\/([^\/]*\.)?tiana-g12f0\.blogspot\.com
+https?:\/\/([^\/]*\.)?tianna-r4s2r44e50\.blogspot\.com
+https?:\/\/([^\/]*\.)?tianti\.com\.cn
+https?:\/\/([^\/]*\.)?tiava-com-i60\.blogspot\.com
+https?:\/\/([^\/]*\.)?tiava-com-i73ph\.blogspot\.com
+https?:\/\/([^\/]*\.)?tiava-fiduh\.blogspot\.com
+https?:\/\/([^\/]*\.)?tiava-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?tiava-tqfgkv74\.blogspot\.com
+https?:\/\/([^\/]*\.)?tibisa-e5d1w5g\.blogspot\.com
+https?:\/\/([^\/]*\.)?ticket\.hostaim\.com
+https?:\/\/([^\/]*\.)?ticketcenter\.cn
+https?:\/\/([^\/]*\.)?tied-gay-bondage\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?tiepin\.my10gb\.com
+https?:\/\/([^\/]*\.)?tieteler\.jconserv\.net
+https?:\/\/([^\/]*\.)?tiffani-dvy3\.blogspot\.com
+https?:\/\/([^\/]*\.)?tiffany-b5arc\.blogspot\.com
+https?:\/\/([^\/]*\.)?tiffany-teen-video-ces\.blogspot\.com
+https?:\/\/([^\/]*\.)?tiffanyteen-a75atrdr\.blogspot\.com
+https?:\/\/([^\/]*\.)?tigers-ows\.blogspot\.com
+https?:\/\/([^\/]*\.)?tigger-muhul2h8\.blogspot\.com
+https?:\/\/([^\/]*\.)?tight-ik340a6f\.blogspot\.com
+https?:\/\/([^\/]*\.)?tight-tranny-ass\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?tightdelights-com-bayzdrm4r\.blogspot\.com
+https?:\/\/([^\/]*\.)?tightdelights-com-bproar5r\.blogspot\.com
+https?:\/\/([^\/]*\.)?tigre-k0qdp\.blogspot\.com
+https?:\/\/([^\/]*\.)?tijldebie\.net
+https?:\/\/([^\/]*\.)?tilneshia-r1\.blogspot\.com
+https?:\/\/([^\/]*\.)?timank\.com
+https?:\/\/([^\/]*\.)?timelee\.pp\.ru
+https?:\/\/([^\/]*\.)?timetopaynow\.com
+https?:\/\/([^\/]*\.)?timewill\.pp\.ru
+https?:\/\/([^\/]*\.)?timex-ironman\.leg4is\.be
+https?:\/\/([^\/]*\.)?timothy-tkd\.blogspot\.com
+https?:\/\/([^\/]*\.)?timsmovies-com-ck0iq6v\.blogspot\.com
+https?:\/\/([^\/]*\.)?timsmovies-com-cui64k6qv\.blogspot\.com
+https?:\/\/([^\/]*\.)?timyra-dy\.blogspot\.com
+https?:\/\/([^\/]*\.)?tina-ce\.blogspot\.com
+https?:\/\/([^\/]*\.)?tinman-bb4k610\.blogspot\.com
+https?:\/\/([^\/]*\.)?tintin-abz\.blogspot\.com
+https?:\/\/([^\/]*\.)?tiny-cock-blow-job\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?tiny18-net-e5zw0x8\.blogspot\.com
+https?:\/\/([^\/]*\.)?tiny18-net-thb44\.blogspot\.com
+https?:\/\/([^\/]*\.)?tiny18-net-tq5qwp\.blogspot\.com
+https?:\/\/([^\/]*\.)?tinyeve-net-d8ejy5\.blogspot\.com
+https?:\/\/([^\/]*\.)?tinyeve-net-dzjflb1\.blogspot\.com
+https?:\/\/([^\/]*\.)?tinysblackadventures-com-a61px\.blogspot\.com
+https?:\/\/([^\/]*\.)?tinysblackadventures-com-a638lqrud\.blogspot\.com
+https?:\/\/([^\/]*\.)?tipw\.org\.ua
+https?:\/\/([^\/]*\.)?tise2\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?titanium-ring\.boom\.ru
+https?:\/\/([^\/]*\.)?titanium-rings\.boom\.ru
+https?:\/\/([^\/]*\.)?titanium1ring\.chat\.ru
+https?:\/\/([^\/]*\.)?titanium4ring\.chat\.ru
+https?:\/\/([^\/]*\.)?titkis\.com
+https?:\/\/([^\/]*\.)?tittypalace-com-o352hny\.blogspot\.com
+https?:\/\/([^\/]*\.)?tiye-e5rhl\.blogspot\.com
+https?:\/\/([^\/]*\.)?tiyona-t4m7cq\.blogspot\.com
+https?:\/\/([^\/]*\.)?tizanidine\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?tj-d04qczfk\.blogspot\.com
+https?:\/\/([^\/]*\.)?tjbb1\.szm\.sk
+https?:\/\/([^\/]*\.)?tjjp\.left-page\.com
+https?:\/\/([^\/]*\.)?tjjp\.zxvo\.com
+https?:\/\/([^\/]*\.)?tjzhh\.com\.cn
+https?:\/\/([^\/]*\.)?tjzrr\.com
+https?:\/\/([^\/]*\.)?tkml\.tblog\.com
+https?:\/\/([^\/]*\.)?tknani\.50webs\.com
+https?:\/\/([^\/]*\.)?tkzrb\.szm\.sk
+https?:\/\/([^\/]*\.)?tladies\.net
+https?:\/\/([^\/]*\.)?tlchjhs\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?tldley4\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?tlhd3\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?tljsrq\.com
+https?:\/\/([^\/]*\.)?tlkdb\.szm\.sk
+https?:\/\/([^\/]*\.)?tmhbj\.com
+https?:\/\/([^\/]*\.)?tmobilenfn\.blogspot\.com
+https?:\/\/([^\/]*\.)?tmooeen-six\.blogspot\.com
+https?:\/\/([^\/]*\.)?tneccotnec\.fr-bb\.com
+https?:\/\/([^\/]*\.)?tnt163\.com
+https?:\/\/([^\/]*\.)?toggle-c0t3\.blogspot\.com
+https?:\/\/([^\/]*\.)?tohj3\.szm\.sk
+https?:\/\/([^\/]*\.)?tohqy\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?tokuctro\.forumzen\.com
+https?:\/\/([^\/]*\.)?tom-b56vx6b48\.blogspot\.com
+https?:\/\/([^\/]*\.)?tomato-a7e\.blogspot\.com
+https?:\/\/([^\/]*\.)?tomems\.125mb\.com
+https?:\/\/([^\/]*\.)?tommys-bookmarks-com-eeb0\.blogspot\.com
+https?:\/\/([^\/]*\.)?tommys-bookmarks-com-ev\.blogspot\.com
+https?:\/\/([^\/]*\.)?tomng\.info
+https?:\/\/([^\/]*\.)?tomsthumbs-com-ihj\.blogspot\.com
+https?:\/\/([^\/]*\.)?tomsthumbs-com-iqkobr\.blogspot\.com
+https?:\/\/([^\/]*\.)?ton4all\.com
+https?:\/\/([^\/]*\.)?tonea-m3t\.blogspot\.com
+https?:\/\/([^\/]*\.)?tonegativeone\.blogspot\.com
+https?:\/\/([^\/]*\.)?toniann-kr3\.blogspot\.com
+https?:\/\/([^\/]*\.)?tony-h3xs1\.blogspot\.com
+https?:\/\/([^\/]*\.)?tonya-g0x1\.blogspot\.com
+https?:\/\/([^\/]*\.)?toons-fuck-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?toor3\.szm\.sk
+https?:\/\/([^\/]*\.)?tootsie-rjg323oj2\.blogspot\.com
+https?:\/\/([^\/]*\.)?top-hold-em\.us
+https?:\/\/([^\/]*\.)?top-holdem\.com
+https?:\/\/([^\/]*\.)?top-holdem\.us
+https?:\/\/([^\/]*\.)?top-mp3\.biz
+https?:\/\/([^\/]*\.)?top\.pcanywhere\.net
+https?:\/\/([^\/]*\.)?topacio-w3fpzg\.blogspot\.com
+https?:\/\/([^\/]*\.)?topairlines\.ifrance\.com
+https?:\/\/([^\/]*\.)?topcelebs-com-hf34tmj\.blogspot\.com
+https?:\/\/([^\/]*\.)?topdasar\.com
+https?:\/\/([^\/]*\.)?topee\.info
+https?:\/\/([^\/]*\.)?topege\.com
+https?:\/\/([^\/]*\.)?topfarmasearch\.info
+https?:\/\/([^\/]*\.)?topgun-ef7gc204\.blogspot\.com
+https?:\/\/([^\/]*\.)?topless-babes-com-mq6hbe5uvq\.blogspot\.com
+https?:\/\/([^\/]*\.)?topo20\.org
+https?:\/\/([^\/]*\.)?topography-tuowheetle\.blogspot\.com
+https?:\/\/([^\/]*\.)?topozo\.org
+https?:\/\/([^\/]*\.)?topworldauto\.info
+https?:\/\/([^\/]*\.)?torey-dr343r\.blogspot\.com
+https?:\/\/([^\/]*\.)?tori-cmg8\.blogspot\.com
+https?:\/\/([^\/]*\.)?toriana-baui\.blogspot\.com
+https?:\/\/([^\/]*\.)?tornado-axe8uch1ar\.blogspot\.com
+https?:\/\/([^\/]*\.)?toronto-ox7aprp\.blogspot\.com
+https?:\/\/([^\/]*\.)?toropiz\.125mb\.com
+https?:\/\/([^\/]*\.)?torri-mrmj\.blogspot\.com
+https?:\/\/([^\/]*\.)?tortoise-iz\.blogspot\.com
+https?:\/\/([^\/]*\.)?torucnro\.jconserv\.net
+https?:\/\/([^\/]*\.)?tosuralz\.forumzen\.com
+https?:\/\/([^\/]*\.)?tottiona-k1zx8juj\.blogspot\.com
+https?:\/\/([^\/]*\.)?touya012\.blogspot\.com
+https?:\/\/([^\/]*\.)?town-china\.cn
+https?:\/\/([^\/]*\.)?toxic-h8jasyqt1\.blogspot\.com
+https?:\/\/([^\/]*\.)?toyota-g8\.blogspot\.com
+https?:\/\/([^\/]*\.)?tpwyc\.szm\.sk
+https?:\/\/([^\/]*\.)?tqdd7\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?tqnkaoi\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?tqrkf\.szm\.sk
+https?:\/\/([^\/]*\.)?traci-r30narn\.blogspot\.com
+https?:\/\/([^\/]*\.)?tracie-wskg17md\.blogspot\.com
+https?:\/\/([^\/]*\.)?trackting\.com
+https?:\/\/([^\/]*\.)?tractor-etae7hau1y\.blogspot\.com
+https?:\/\/([^\/]*\.)?tracy-kae\.babubi\.net
+https?:\/\/([^\/]*\.)?tracy-toe7\.blogspot\.com
+https?:\/\/([^\/]*\.)?traesha-d8u3731bb6\.blogspot\.com
+https?:\/\/([^\/]*\.)?traffit\.info
+https?:\/\/([^\/]*\.)?tralina-bvqz366sv\.blogspot\.com
+https?:\/\/([^\/]*\.)?tramadol-4u\.net
+https?:\/\/([^\/]*\.)?tramadol-911\.coz\.in
+https?:\/\/([^\/]*\.)?tramadol-bznz\.blogspot\.com
+https?:\/\/([^\/]*\.)?tramadol-cc\.blogspot\.com
+https?:\/\/([^\/]*\.)?tramadol-gs\.eu\.tc
+https?:\/\/([^\/]*\.)?tramadol-gs\.net\.tc
+https?:\/\/([^\/]*\.)?tramadol-online\.presteert\.nl
+https?:\/\/([^\/]*\.)?tramadol-wiki\.com
+https?:\/\/([^\/]*\.)?tramadol\.1\.forogratis\.es
+https?:\/\/([^\/]*\.)?tramadol\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?tramadol\.esguay\.com
+https?:\/\/([^\/]*\.)?tramadol\.fws1\.com
+https?:\/\/([^\/]*\.)?tramadol\.goodpharm\.info
+https?:\/\/([^\/]*\.)?tramadol\.knopkabablo\.info
+https?:\/\/([^\/]*\.)?tramadol\.presteert\.nl
+https?:\/\/([^\/]*\.)?tramadol\.skocz\.net
+https?:\/\/([^\/]*\.)?tramadol\.su\.pl
+https?:\/\/([^\/]*\.)?tramadol\.weboficial\.com
+https?:\/\/([^\/]*\.)?tramadol7\.php5\.cz
+https?:\/\/([^\/]*\.)?tramadolnx\.u\.yuku\.com
+https?:\/\/([^\/]*\.)?tramadolonline\.ovp\.pl
+https?:\/\/([^\/]*\.)?tramadolonlinez\.blogsome\.com
+https?:\/\/([^\/]*\.)?tramadolq\.bloggingmylife\.com
+https?:\/\/([^\/]*\.)?tramadols\.us\.tf
+https?:\/\/([^\/]*\.)?trami-a7oq4k1\.blogspot\.com
+https?:\/\/([^\/]*\.)?tramika-o4no\.blogspot\.com
+https?:\/\/([^\/]*\.)?tran-ma86bq3\.blogspot\.com
+https?:\/\/([^\/]*\.)?tranici\.info
+https?:\/\/([^\/]*\.)?tranlaura-ktn\.blogspot\.com
+https?:\/\/([^\/]*\.)?trannysurprise-com-ghn6k4r88\.blogspot\.com
+https?:\/\/([^\/]*\.)?trannysurprise-com-gq\.blogspot\.com
+https?:\/\/([^\/]*\.)?trannysurprise-com-i05csl\.blogspot\.com
+https?:\/\/([^\/]*\.)?transbiding\.com
+https?:\/\/([^\/]*\.)?transchinese\.com
+https?:\/\/([^\/]*\.)?transfer-h56d4zxl4\.blogspot\.com
+https?:\/\/([^\/]*\.)?translateatsh\.cn
+https?:\/\/([^\/]*\.)?translatebbs\.com
+https?:\/\/([^\/]*\.)?translateforcompany\.cn
+https?:\/\/([^\/]*\.)?traore-guh68nn\.blogspot\.com
+https?:\/\/([^\/]*\.)?trashnx\.datadiri\.cc
+https?:\/\/([^\/]*\.)?trastian-r1\.blogspot\.com
+https?:\/\/([^\/]*\.)?travel-blast\.com
+https?:\/\/([^\/]*\.)?travel-wa4g2\.blogspot\.com
+https?:\/\/([^\/]*\.)?travel\.globaltr\.info
+https?:\/\/([^\/]*\.)?travel2nursing\.chat\.ru
+https?:\/\/([^\/]*\.)?travelmarket\.mytravelsearch\.info
+https?:\/\/([^\/]*\.)?travis-ez8v\.blogspot\.com
+https?:\/\/([^\/]*\.)?tray-t5\.blogspot\.com
+https?:\/\/([^\/]*\.)?trbodel\.frbb\.net
+https?:\/\/([^\/]*\.)?trbusget\.forumzen\.com
+https?:\/\/([^\/]*\.)?trcchi\.td\.pl
+https?:\/\/([^\/]*\.)?trcota\.bb-fr\.com
+https?:\/\/([^\/]*\.)?trdombas\.blogcu\.com
+https?:\/\/([^\/]*\.)?trerdron\.discutfree\.com
+https?:\/\/([^\/]*\.)?trerdron\.dynamicforum\.net
+https?:\/\/([^\/]*\.)?tressa-a7w83sb\.blogspot\.com
+https?:\/\/([^\/]*\.)?tretinoingelbuy\.move\.to
+https?:\/\/([^\/]*\.)?tretinoingelbuycheap\.move\.to
+https?:\/\/([^\/]*\.)?tretinoingelbuygeneric\.drive\.to
+https?:\/\/([^\/]*\.)?tretinoingelcheap\.rulestheweb\.com
+https?:\/\/([^\/]*\.)?tretinoingelgeneric\.germany\.ms
+https?:\/\/([^\/]*\.)?trevisos\.org
+https?:\/\/([^\/]*\.)?trevor-obp5qlgdb\.blogspot\.com
+https?:\/\/([^\/]*\.)?trhlina\.republika\.pl
+https?:\/\/([^\/]*\.)?tribuna\.asp2\.cz
+https?:\/\/([^\/]*\.)?trident-m6wm\.blogspot\.com
+https?:\/\/([^\/]*\.)?trinbagoauto\.com
+https?:\/\/([^\/]*\.)?trinity-ikvstb\.blogspot\.com
+https?:\/\/([^\/]*\.)?triobuy\.vtost\.com
+https?:\/\/([^\/]*\.)?triphasil\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?tripto\.com
+https?:\/\/([^\/]*\.)?trisha-ksmv\.blogspot\.com
+https?:\/\/([^\/]*\.)?tristen-h2b1b1\.blogspot\.com
+https?:\/\/([^\/]*\.)?trivial-gk13on\.blogspot\.com
+https?:\/\/([^\/]*\.)?trixie-rkn\.blogspot\.com
+https?:\/\/([^\/]*\.)?trkucorc\.forumzen\.com
+https?:\/\/([^\/]*\.)?trlicut\.winnerforum\.net
+https?:\/\/([^\/]*\.)?trocacsit\.dl\.pl
+https?:\/\/([^\/]*\.)?troccvi\.darkbb\.com
+https?:\/\/([^\/]*\.)?trocdarc\.bb-fr\.com
+https?:\/\/([^\/]*\.)?troceltolo\.dl\.pl
+https?:\/\/([^\/]*\.)?trocoches\.info
+https?:\/\/([^\/]*\.)?trocrolac\.dl\.pl
+https?:\/\/([^\/]*\.)?troctrocro\.zj\.pl
+https?:\/\/([^\/]*\.)?trombone-wafnt\.blogspot\.com
+https?:\/\/([^\/]*\.)?trorolo\.ephpbb\.com
+https?:\/\/([^\/]*\.)?trorta\.blogcu\.com
+https?:\/\/([^\/]*\.)?trte2\.szm\.sk
+https?:\/\/([^\/]*\.)?trtrocli\.uy\.pl
+https?:\/\/([^\/]*\.)?trucks-t5rikxk1yk\.blogspot\.com
+https?:\/\/([^\/]*\.)?trumpet-d5846b6u\.blogspot\.com
+https?:\/\/([^\/]*\.)?trumst\.com
+https?:\/\/([^\/]*\.)?trzelor\.bbfr\.net
+https?:\/\/([^\/]*\.)?ts998\.com
+https?:\/\/([^\/]*\.)?tsc-clara\.hostingtree\.org
+https?:\/\/([^\/]*\.)?tsfzia5\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?tshirthell-com-h4dd14cx0g\.blogspot\.com
+https?:\/\/([^\/]*\.)?tshirthell-com-wbc\.blogspot\.com
+https?:\/\/([^\/]*\.)?tshirthell-com-wvayrpu2\.blogspot\.com
+https?:\/\/([^\/]*\.)?tslist-com-rwwi8zl\.blogspot\.com
+https?:\/\/([^\/]*\.)?tslist-com-ry\.blogspot\.com
+https?:\/\/([^\/]*\.)?tsoy7\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?tss-car\.com
+https?:\/\/([^\/]*\.)?tssuih5\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?tsvibak\.blogspot\.com
+https?:\/\/([^\/]*\.)?tt001\.com
+https?:\/\/([^\/]*\.)?tt002\.com
+https?:\/\/([^\/]*\.)?tt003\.com
+https?:\/\/([^\/]*\.)?tt004\.com
+https?:\/\/([^\/]*\.)?tt005\.com
+https?:\/\/([^\/]*\.)?tthumvir\.forumzen\.com
+https?:\/\/([^\/]*\.)?ttjpm\.szm\.sk
+https?:\/\/([^\/]*\.)?ttlive\.info
+https?:\/\/([^\/]*\.)?ttrgb\.szm\.sk
+https?:\/\/([^\/]*\.)?ttvpkp0\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?tu-wendi\.blogspot\.com
+https?:\/\/([^\/]*\.)?tubas-bc45h\.blogspot\.com
+https?:\/\/([^\/]*\.)?tuesday-anx780we1\.blogspot\.com
+https?:\/\/([^\/]*\.)?tufa\.info
+https?:\/\/([^\/]*\.)?tugjobs-com-kmr4pm\.blogspot\.com
+https?:\/\/([^\/]*\.)?tuhe-advil\.blogspot\.com
+https?:\/\/([^\/]*\.)?tulip123456\.ifrance\.com
+https?:\/\/([^\/]*\.)?turbo-o3f520u0jd\.blogspot\.com
+https?:\/\/([^\/]*\.)?turl\.jp
+https?:\/\/([^\/]*\.)?turtle-m6pr6y\.blogspot\.com
+https?:\/\/([^\/]*\.)?tuttle-i5\.blogspot\.com
+https?:\/\/([^\/]*\.)?tuttosport\.freespase\.info
+https?:\/\/([^\/]*\.)?tuubu\.szm\.sk
+https?:\/\/([^\/]*\.)?tv-bazzar\.com
+https?:\/\/([^\/]*\.)?twam0\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?tweety-k8z4spyz\.blogspot\.com
+https?:\/\/([^\/]*\.)?twelvalve\.blogspot\.com
+https?:\/\/([^\/]*\.)?twilightsex-cixeh\.blogspot\.com
+https?:\/\/([^\/]*\.)?twilightsex-com-o31qd\.blogspot\.com
+https?:\/\/([^\/]*\.)?twilightsex-com-op\.blogspot\.com
+https?:\/\/([^\/]*\.)?twins-hzhz\.blogspot\.com
+https?:\/\/([^\/]*\.)?twist-ga\.blogspot\.com
+https?:\/\/([^\/]*\.)?twister-r4\.blogspot\.com
+https?:\/\/([^\/]*\.)?two-lips-com-cfvn7fu3\.blogspot\.com
+https?:\/\/([^\/]*\.)?two-lips-com-cwk\.blogspot\.com
+https?:\/\/([^\/]*\.)?two-teen-kissing\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?two-wgn3t3\.blogspot\.com
+https?:\/\/([^\/]*\.)?twoq5\.szm\.sk
+https?:\/\/([^\/]*\.)?twxtbqn\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?txnpgc2\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?txvfpo7\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?tyepobv\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?tyj\.cwrjcn\.com
+https?:\/\/([^\/]*\.)?tyra-dna0lnjav\.blogspot\.com
+https?:\/\/([^\/]*\.)?tytiana-bjag\.blogspot\.com
+https?:\/\/([^\/]*\.)?tyvj5\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?uaobs\.szm\.sk
+https?:\/\/([^\/]*\.)?ub-mongolia\.mn
+https?:\/\/([^\/]*\.)?ubasak\.stabilt\.se
+https?:\/\/([^\/]*\.)?ubpmr\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ucdrun\.forumzen\.com
+https?:\/\/([^\/]*\.)?ucol2\.szm\.sk
+https?:\/\/([^\/]*\.)?udqhh\.szm\.sk
+https?:\/\/([^\/]*\.)?udtm7\.szm\.sk
+https?:\/\/([^\/]*\.)?uemhpgv\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?uglyjezz\.asp2\.cz
+https?:\/\/([^\/]*\.)?ugmhq\.szm\.sk
+https?:\/\/([^\/]*\.)?ukbettingweb\.com
+https?:\/\/([^\/]*\.)?ukek-hin\.isuisse\.com
+https?:\/\/([^\/]*\.)?ukrosi\.com
+https?:\/\/([^\/]*\.)?uksr9\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?ulqj9\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ultimateairpurifier\.com
+https?:\/\/([^\/]*\.)?ultimatesurrender-com-bkio\.blogspot\.com
+https?:\/\/([^\/]*\.)?ultimatesurrender-com-bm8z1b\.blogspot\.com
+https?:\/\/([^\/]*\.)?ultimatesurrender-com-c75r71j2e\.blogspot\.com
+https?:\/\/([^\/]*\.)?ultracet\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?ultradonkey-com-acp\.blogspot\.com
+https?:\/\/([^\/]*\.)?ultradonkey-com-ag0\.blogspot\.com
+https?:\/\/([^\/]*\.)?ultram-cc\.blogspot\.com
+https?:\/\/([^\/]*\.)?ultram\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?ultram\.47\.pl
+https?:\/\/([^\/]*\.)?ultram\.goodpharm\.info
+https?:\/\/([^\/]*\.)?ultram\.hav\.pl
+https?:\/\/([^\/]*\.)?ultram\.is-a-geek\.com
+https?:\/\/([^\/]*\.)?ultram\.serveftp\.com
+https?:\/\/([^\/]*\.)?ultram\.skocz\.net
+https?:\/\/([^\/]*\.)?ultrameds\.dtdns\.net
+https?:\/\/([^\/]*\.)?ultrams\.eu\.tf
+https?:\/\/([^\/]*\.)?ultrasexmovies-com-dm2dmh\.blogspot\.com
+https?:\/\/([^\/]*\.)?ultrasexmovies-com-dz02q7\.blogspot\.com
+https?:\/\/([^\/]*\.)?ultrasexmovies-com-zujuz\.blogspot\.com
+https?:\/\/([^\/]*\.)?umax-forum\.com
+https?:\/\/([^\/]*\.)?umax-ppc\.com
+https?:\/\/([^\/]*\.)?umax-se\.com
+https?:\/\/([^\/]*\.)?umax-se\.info
+https?:\/\/([^\/]*\.)?umax-search-ppc-se-board\.com
+https?:\/\/([^\/]*\.)?umax-search-se\.com
+https?:\/\/([^\/]*\.)?umax-search\.net
+https?:\/\/([^\/]*\.)?umaxppc\.com
+https?:\/\/([^\/]*\.)?umaxse\.net
+https?:\/\/([^\/]*\.)?umaxse\.org
+https?:\/\/([^\/]*\.)?umaxsearch-search-engine\.com
+https?:\/\/([^\/]*\.)?umojucd\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?umsbm\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?un-fois\.blogspot\.com
+https?:\/\/([^\/]*\.)?un-nachrichten\.de
+https?:\/\/([^\/]*\.)?unbelievable-poker\.com
+https?:\/\/([^\/]*\.)?uncledeadly83\.blogspot\.com
+https?:\/\/([^\/]*\.)?understandish\.50webs\.org
+https?:\/\/([^\/]*\.)?unglaublichkeiten\.com
+https?:\/\/([^\/]*\.)?unicom\.027168\.com
+https?:\/\/([^\/]*\.)?uniform2nursing\.chat\.ru
+https?:\/\/([^\/]*\.)?unit01\.com
+https?:\/\/([^\/]*\.)?united-airline\.boom\.ru
+https?:\/\/([^\/]*\.)?united24\.com
+https?:\/\/([^\/]*\.)?unitedinchristchurch\.org
+https?:\/\/([^\/]*\.)?universal-hosting\.net
+https?:\/\/([^\/]*\.)?university-degre\.boom\.ru
+https?:\/\/([^\/]*\.)?university1degre\.chat\.ru
+https?:\/\/([^\/]*\.)?unixbrewers\.org
+https?:\/\/([^\/]*\.)?unka\.su\.pl
+https?:\/\/([^\/]*\.)?unseenoyster\.blogspot\.com
+https?:\/\/([^\/]*\.)?unwqs\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?uobasdel\.fr-bb\.com
+https?:\/\/([^\/]*\.)?uokx8\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?uous6\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?up-skirt-butt\.medved\.odessa\.ua
+https?:\/\/([^\/]*\.)?updatenames\.dtdns\.net
+https?:\/\/([^\/]*\.)?upskirt-ebony-teen\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?upskirt-gallery-leg\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?upskirtsexxfh\.blogspot\.com
+https?:\/\/([^\/]*\.)?upwaldru\.ifrance\.com
+https?:\/\/([^\/]*\.)?uraharaben1hime\.blogspot\.com
+https?:\/\/([^\/]*\.)?urkrdh5\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?urky5\.szm\.sk
+https?:\/\/([^\/]*\.)?us-senator-dick-durbin\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?us-viagra\.us
+https?:\/\/([^\/]*\.)?us\.kopuz\.com
+https?:\/\/([^\/]*\.)?usa-online-pharmacy\.net
+https?:\/\/([^\/]*\.)?usa568\.com
+https?:\/\/([^\/]*\.)?usaah\.com
+https?:\/\/([^\/]*\.)?usbestlawyer\.org
+https?:\/\/([^\/]*\.)?usbuorl\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?usednotebooks\.ru
+https?:\/\/([^\/]*\.)?ushoh\.szm\.sk
+https?:\/\/([^\/]*\.)?uskbbb9\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?usuc\.us
+https?:\/\/([^\/]*\.)?uszfp\.szm\.sk
+https?:\/\/([^\/]*\.)?utahmortgage-x\.com
+https?:\/\/([^\/]*\.)?utranslate\.org
+https?:\/\/([^\/]*\.)?utranslation\.net
+https?:\/\/([^\/]*\.)?uucwsw7\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?uulitr\.forumzen\.com
+https?:\/\/([^\/]*\.)?uulive\.info
+https?:\/\/([^\/]*\.)?uvfotuc\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?uvgpy\.szm\.sk
+https?:\/\/([^\/]*\.)?uvndhxh\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?uwacadweb\.uwyo\.edu
+https?:\/\/([^\/]*\.)?uwek\.info
+https?:\/\/([^\/]*\.)?uxyei\.szm\.sk
+https?:\/\/([^\/]*\.)?uyjdrg3\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?uzfde\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?uzzclm9\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?v-f-sex-video-clip-g\.blogspot\.com
+https?:\/\/([^\/]*\.)?v-j-big-movie-tit-v\.blogspot\.com
+https?:\/\/([^\/]*\.)?v1h\.com
+https?:\/\/([^\/]*\.)?v5wednesday\.info
+https?:\/\/([^\/]*\.)?v7njlwgd\.nokedem\.com
+https?:\/\/([^\/]*\.)?vacanza-barcellona\.zoom10x\.info
+https?:\/\/([^\/]*\.)?vacanza-montagna\.freespase\.info
+https?:\/\/([^\/]*\.)?vacanza-neve\.zoom4x\.info
+https?:\/\/([^\/]*\.)?vacanza-toscana\.host24h\.info
+https?:\/\/([^\/]*\.)?vacuums\.be
+https?:\/\/([^\/]*\.)?vahitchc\.dl\.pl
+https?:\/\/([^\/]*\.)?valiubusines\.blogcu\.com
+https?:\/\/([^\/]*\.)?valium-911\.coz\.in
+https?:\/\/([^\/]*\.)?valium-cc\.blogspot\.com
+https?:\/\/([^\/]*\.)?valium-cheap\.18\.to
+https?:\/\/([^\/]*\.)?valium-online\.cheapills\.info
+https?:\/\/([^\/]*\.)?valium-qrxo\.blogspot\.com
+https?:\/\/([^\/]*\.)?valium\.269g\.net
+https?:\/\/([^\/]*\.)?valium\.47\.pl
+https?:\/\/([^\/]*\.)?valium\.goodpharm\.info
+https?:\/\/([^\/]*\.)?valium\.skocz\.net
+https?:\/\/([^\/]*\.)?valium\.su\.pl
+https?:\/\/([^\/]*\.)?valiumnx\.u\.yuku\.com
+https?:\/\/([^\/]*\.)?valiums\.eu\.tf
+https?:\/\/([^\/]*\.)?valleyswap\.info
+https?:\/\/([^\/]*\.)?valtrex\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?vametase\.com
+https?:\/\/([^\/]*\.)?vands88\.blogspot\.com
+https?:\/\/([^\/]*\.)?vanhelsingsgirl\.datadiri\.cc
+https?:\/\/([^\/]*\.)?vaniqa\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?varac\.heavenforum\.com
+https?:\/\/([^\/]*\.)?varac\.highforum\.net
+https?:\/\/([^\/]*\.)?varelvi\.discutfree\.com
+https?:\/\/([^\/]*\.)?varnish\.8888mb\.com
+https?:\/\/([^\/]*\.)?varnotr\.naturalforum\.net
+https?:\/\/([^\/]*\.)?varoracel\.bbfr\.net
+https?:\/\/([^\/]*\.)?varracleto\.dl\.pl
+https?:\/\/([^\/]*\.)?vazicoj\.info
+https?:\/\/([^\/]*\.)?vboya\.9999mb\.com
+https?:\/\/([^\/]*\.)?vcjwc\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?vcpk9\.szm\.sk
+https?:\/\/([^\/]*\.)?vcsps\.com
+https?:\/\/([^\/]*\.)?vdpf4\.szm\.sk
+https?:\/\/([^\/]*\.)?ve-alisa\.blogspot\.com
+https?:\/\/([^\/]*\.)?vegetnuks\.blogspot\.com
+https?:\/\/([^\/]*\.)?veggis\.org\.cn
+https?:\/\/([^\/]*\.)?vehal\.com
+https?:\/\/([^\/]*\.)?vek-xxxpower-net\.blogspot\.com
+https?:\/\/([^\/]*\.)?velvetimalice\.ibelgique\.com
+https?:\/\/([^\/]*\.)?vemiles\.ifrance\.com
+https?:\/\/([^\/]*\.)?verba\.dyndns\.dk
+https?:\/\/([^\/]*\.)?verfolva\.blogspot\.com
+https?:\/\/([^\/]*\.)?veriel\.dl\.pl
+https?:\/\/([^\/]*\.)?verizonringtonersy\.blogspot\.com
+https?:\/\/([^\/]*\.)?verizonringtonesdvf\.blogspot\.com
+https?:\/\/([^\/]*\.)?vermontmortgage-x\.com
+https?:\/\/([^\/]*\.)?vertyg\.org
+https?:\/\/([^\/]*\.)?veryge\.com
+https?:\/\/([^\/]*\.)?veryprivatebanking\.info
+https?:\/\/([^\/]*\.)?vestuk\.com
+https?:\/\/([^\/]*\.)?veterinar\.spb\.ru
+https?:\/\/([^\/]*\.)?vets\.appliedphysics\.swri\.edu
+https?:\/\/([^\/]*\.)?vfrkiv6\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?vfrrto\.org
+https?:\/\/([^\/]*\.)?vhuekxi\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?vi-allo\.blogspot\.com
+https?:\/\/([^\/]*\.)?viadele\.dl\.pl
+https?:\/\/([^\/]*\.)?viagaravaegra\.com
+https?:\/\/([^\/]*\.)?viaggi-del-ventaglio\.forumhst\.info
+https?:\/\/([^\/]*\.)?viagra-4u\.us
+https?:\/\/([^\/]*\.)?viagra-online\.presteert\.nl
+https?:\/\/([^\/]*\.)?viagra-soft-tabs\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?viagra\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?viagra\.cheapills\.info
+https?:\/\/([^\/]*\.)?viagra\.edu\.tf
+https?:\/\/([^\/]*\.)?viagra\.esguay\.com
+https?:\/\/([^\/]*\.)?viagra\.inc5\.com
+https?:\/\/([^\/]*\.)?viagra\.rx4\.org
+https?:\/\/([^\/]*\.)?viagra\.skocz\.net
+https?:\/\/([^\/]*\.)?viagrai\.blogspot\.com
+https?:\/\/([^\/]*\.)?viagraorder\.mail15\.com
+https?:\/\/([^\/]*\.)?viagraq\.bloggingmylife\.com
+https?:\/\/([^\/]*\.)?viagrarx\.weboficial\.com
+https?:\/\/([^\/]*\.)?vicodin-pharm\.fws1\.com
+https?:\/\/([^\/]*\.)?vicodin\.269g\.net
+https?:\/\/([^\/]*\.)?vicodin\.conto\.pl
+https?:\/\/([^\/]*\.)?vicodin\.esguay\.com
+https?:\/\/([^\/]*\.)?vicodin\.guu\.pl
+https?:\/\/([^\/]*\.)?vicodin88\.tblog\.com
+https?:\/\/([^\/]*\.)?victoria-silvstedt\.freehostss\.info
+https?:\/\/([^\/]*\.)?videlric\.dl\.pl
+https?:\/\/([^\/]*\.)?video-comico\.host24h\.info
+https?:\/\/([^\/]*\.)?video-porn-caseros-ju\.blogspot\.com
+https?:\/\/([^\/]*\.)?video-porn-gratis-sod\.blogspot\.com
+https?:\/\/([^\/]*\.)?video-post-com-bejiq\.blogspot\.com
+https?:\/\/([^\/]*\.)?video-post-com-bfoybt\.blogspot\.com
+https?:\/\/([^\/]*\.)?video-post-com-my\.blogspot\.com
+https?:\/\/([^\/]*\.)?video-post-com-thumbview-rehif\.blogspot\.com
+https?:\/\/([^\/]*\.)?video-spot-sexy\.19mb\.info
+https?:\/\/([^\/]*\.)?video-spot-sexy\.you-bizz\.info
+https?:\/\/([^\/]*\.)?video-whore-bb-bb-b\.blogspot\.com
+https?:\/\/([^\/]*\.)?videosection-com-h0xon\.blogspot\.com
+https?:\/\/([^\/]*\.)?videosection-com-w1w\.blogspot\.com
+https?:\/\/([^\/]*\.)?videosection-com-w62l\.blogspot\.com
+https?:\/\/([^\/]*\.)?videosharez\.com
+https?:\/\/([^\/]*\.)?vidgals-com-e13sc4yv0\.blogspot\.com
+https?:\/\/([^\/]*\.)?vidgals-com-e6ig7a\.blogspot\.com
+https?:\/\/([^\/]*\.)?vidgals-com-edvo\.blogspot\.com
+https?:\/\/([^\/]*\.)?vidronla\.blogcu\.com
+https?:\/\/([^\/]*\.)?vielsit\.su\.pl
+https?:\/\/([^\/]*\.)?vietnamparadisetravel\.com
+https?:\/\/([^\/]*\.)?viewerswives-net-c4\.blogspot\.com
+https?:\/\/([^\/]*\.)?viewerswives-net-oc\.blogspot\.com
+https?:\/\/([^\/]*\.)?viewgals-com-ah\.blogspot\.com
+https?:\/\/([^\/]*\.)?viewgals-com-il253r\.blogspot\.com
+https?:\/\/([^\/]*\.)?vigduk30\.xshorturl\.com
+https?:\/\/([^\/]*\.)?vigetleto\.heavenforum\.com
+https?:\/\/([^\/]*\.)?vihl6\.szm\.sk
+https?:\/\/([^\/]*\.)?viliolo\.winnerforum\.net
+https?:\/\/([^\/]*\.)?village\.asp2\.cz
+https?:\/\/([^\/]*\.)?villaggio-ischia\.ll11\.info
+https?:\/\/([^\/]*\.)?vinnie-1-hit\.blogspot\.com
+https?:\/\/([^\/]*\.)?vintage\.sexcluborgy\.net
+https?:\/\/([^\/]*\.)?vioxx\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?vioxx\.3d-game\.com
+https?:\/\/([^\/]*\.)?vipasrel\.cultureforum\.net
+https?:\/\/([^\/]*\.)?vipcrew-com-bj\.blogspot\.com
+https?:\/\/([^\/]*\.)?vipcrew-com-r0w\.blogspot\.com
+https?:\/\/([^\/]*\.)?vipcrew-com-rs74r7iy5\.blogspot\.com
+https?:\/\/([^\/]*\.)?viphls\.com
+https?:\/\/([^\/]*\.)?vipliz\.com
+https?:\/\/([^\/]*\.)?vipmsite\.com
+https?:\/\/([^\/]*\.)?virgin-porn\.futureblog\.org
+https?:\/\/([^\/]*\.)?virgin-sexy\.com
+https?:\/\/([^\/]*\.)?virginfucked-com-h200n1lxcg\.blogspot\.com
+https?:\/\/([^\/]*\.)?virginiamortgage-x\.com
+https?:\/\/([^\/]*\.)?viricrel\.lolbb\.com
+https?:\/\/([^\/]*\.)?virinok\.dynamicforum\.net
+https?:\/\/([^\/]*\.)?virtualeinfiniti\.cn
+https?:\/\/([^\/]*\.)?vitalitymax\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?vivino\.ephpbb\.com
+https?:\/\/([^\/]*\.)?vizelvar\.frbb\.net
+https?:\/\/([^\/]*\.)?vjkavu6\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?vjsgby0\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?vjuk7\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?vjwm2\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?vkblq\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?vksfucm\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?vmdes\.com
+https?:\/\/([^\/]*\.)?vmpi4\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?vmvip\.com
+https?:\/\/([^\/]*\.)?vmvip\.net
+https?:\/\/([^\/]*\.)?vmvip\.org
+https?:\/\/([^\/]*\.)?vo-free-oral-movie-clip-toc\.blogspot\.com
+https?:\/\/([^\/]*\.)?voip-guide\.org
+https?:\/\/([^\/]*\.)?vol-soft17-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?voli-amsterdam\.freespase\.info
+https?:\/\/([^\/]*\.)?voli-argentina\.zoom10x\.info
+https?:\/\/([^\/]*\.)?voli-londra\.freespase\.info
+https?:\/\/([^\/]*\.)?voli-spagna\.freespase\.info
+https?:\/\/([^\/]*\.)?volume5\.8888mb\.com
+https?:\/\/([^\/]*\.)?voxn2\.szm\.sk
+https?:\/\/([^\/]*\.)?voyeur-video-amateur-free\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?voyeurzine-com-af2\.blogspot\.com
+https?:\/\/([^\/]*\.)?voyeurzine-com-dbhx1q\.blogspot\.com
+https?:\/\/([^\/]*\.)?voyiu\.szm\.sk
+https?:\/\/([^\/]*\.)?vpbu1\.szm\.sk
+https?:\/\/([^\/]*\.)?vrlw9\.szm\.sk
+https?:\/\/([^\/]*\.)?vrnjgk1\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?vstqfs3\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?vtop\.fateback\.com
+https?:\/\/([^\/]*\.)?vtop\.kilu\.de
+https?:\/\/([^\/]*\.)?vtop\.topcities\.com
+https?:\/\/([^\/]*\.)?vtrxzzwj-teensite\.blogspot\.com
+https?:\/\/([^\/]*\.)?vttolldd\.org
+https?:\/\/([^\/]*\.)?vttthtgg\.org
+https?:\/\/([^\/]*\.)?vtwm7\.szm\.sk
+https?:\/\/([^\/]*\.)?vu-alias\.blogspot\.com
+https?:\/\/([^\/]*\.)?vu-aliases\.blogspot\.com
+https?:\/\/([^\/]*\.)?vu-free-big-sex-movie-fen\.blogspot\.com
+https?:\/\/([^\/]*\.)?vud-vipcrew-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?vue\.uit\.tufts\.edu
+https?:\/\/([^\/]*\.)?vulgarisprime\.50webs\.org
+https?:\/\/([^\/]*\.)?vuqi-alana\.blogspot\.com
+https?:\/\/([^\/]*\.)?vutwwd6\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?vuzeq-sex-video-d\.blogspot\.com
+https?:\/\/([^\/]*\.)?vvaliumonline\.ovp\.pl
+https?:\/\/([^\/]*\.)?vvline\.info
+https?:\/\/([^\/]*\.)?vwjr2\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?vwzm6\.szm\.sk
+https?:\/\/([^\/]*\.)?vyqdvf1\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?vyuf9\.szm\.sk
+https?:\/\/([^\/]*\.)?vzevz\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?w-q-elmo-extreme-video-n\.blogspot\.com
+https?:\/\/([^\/]*\.)?w-s-free-gay-sex-video-l\.blogspot\.com
+https?:\/\/([^\/]*\.)?w2\.ftpcn\.cn
+https?:\/\/([^\/]*\.)?w528\.com
+https?:\/\/([^\/]*\.)?wacks\.info
+https?:\/\/([^\/]*\.)?wak1ngmel0dies\.blogspot\.com
+https?:\/\/([^\/]*\.)?walker-ross\.com
+https?:\/\/([^\/]*\.)?walkerproconsulting\.com
+https?:\/\/([^\/]*\.)?walt-disney-world-travel\.globaltr\.info
+https?:\/\/([^\/]*\.)?wanadoo-fr-eyn6u1yt0\.blogspot\.com
+https?:\/\/([^\/]*\.)?wanadoo-fr-gsz\.blogspot\.com
+https?:\/\/([^\/]*\.)?wanadoo-fr-i0iq\.blogspot\.com
+https?:\/\/([^\/]*\.)?wannawatch-com-k1rckf8\.blogspot\.com
+https?:\/\/([^\/]*\.)?wannawatch-com-krz7dxd5a\.blogspot\.com
+https?:\/\/([^\/]*\.)?wannawatch-com-oaeedr\.blogspot\.com
+https?:\/\/([^\/]*\.)?wapzhijia\.com
+https?:\/\/([^\/]*\.)?waserk\.com
+https?:\/\/([^\/]*\.)?washingtonmortgage-x\.com
+https?:\/\/([^\/]*\.)?watch-free-porn-clip-zone43l\.blogspot\.com
+https?:\/\/([^\/]*\.)?watch-free-porn-clip-zonekjl\.blogspot\.com
+https?:\/\/([^\/]*\.)?watch-free-porn-video-bu\.blogspot\.com
+https?:\/\/([^\/]*\.)?watchersweb-com-t61o4wrr4h\.blogspot\.com
+https?:\/\/([^\/]*\.)?watchersweb-com-tnwrlyoqk\.blogspot\.com
+https?:\/\/([^\/]*\.)?watchingthetube\.com
+https?:\/\/([^\/]*\.)?water\.toxhost\.com
+https?:\/\/([^\/]*\.)?waxen\.kwik\.to
+https?:\/\/([^\/]*\.)?wbun7\.szm\.sk
+https?:\/\/([^\/]*\.)?wc1\.worldcrossing\.com
+https?:\/\/([^\/]*\.)?we-alfred\.blogspot\.com
+https?:\/\/([^\/]*\.)?we-alondra\.blogspot\.com
+https?:\/\/([^\/]*\.)?we-lesbian-video-download\.blogspot\.com
+https?:\/\/([^\/]*\.)?web\.missouri\.edu
+https?:\/\/([^\/]*\.)?web\.scc\.losrios\.edu
+https?:\/\/([^\/]*\.)?web\.skku\.edu
+https?:\/\/([^\/]*\.)?web55\.info
+https?:\/\/([^\/]*\.)?webhost11\.com
+https?:\/\/([^\/]*\.)?webhosting-x\.com
+https?:\/\/([^\/]*\.)?weblog\.xanga\.com
+https?:\/\/([^\/]*\.)?webmd-drugs\.com
+https?:\/\/([^\/]*\.)?websz\.com
+https?:\/\/([^\/]*\.)?wedding-knot\.com
+https?:\/\/([^\/]*\.)?weddingactions\.com
+https?:\/\/([^\/]*\.)?wedner\.info
+https?:\/\/([^\/]*\.)?weekly-pay\.com
+https?:\/\/([^\/]*\.)?weersa\.com
+https?:\/\/([^\/]*\.)?weight-loss\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?weixing\.hk\.cn
+https?:\/\/([^\/]*\.)?welisi\.hk
+https?:\/\/([^\/]*\.)?welivetogether-com-ek6boac\.blogspot\.com
+https?:\/\/([^\/]*\.)?welivetogether-com-oc7yzd4ev\.blogspot\.com
+https?:\/\/([^\/]*\.)?welivetogether-com-wcu8\.blogspot\.com
+https?:\/\/([^\/]*\.)?wellbutrin\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?wellbutrin\.php5\.cz
+https?:\/\/([^\/]*\.)?wenmasterworld\.com
+https?:\/\/([^\/]*\.)?werdq\.com
+https?:\/\/([^\/]*\.)?wess\.250free\.com
+https?:\/\/([^\/]*\.)?westvirginiamortgage-x\.com
+https?:\/\/([^\/]*\.)?wet-mature-slut\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?wet-pussy-cum-shot\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?wet-teen-panties-wen\.blogspot\.com
+https?:\/\/([^\/]*\.)?wetplace-com-g16jfp6\.blogspot\.com
+https?:\/\/([^\/]*\.)?wfkd3\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?wgxq2\.szm\.sk
+https?:\/\/([^\/]*\.)?whipped-ass-slut\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?whipsnorkel\.275mb\.com
+https?:\/\/([^\/]*\.)?white-guys-fucking-black-teen\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?whitehole\.republika\.pl
+https?:\/\/([^\/]*\.)?wholesale-scooter\.hotmail\.ru
+https?:\/\/([^\/]*\.)?whorevideos-com-hj8shgp\.blogspot\.com
+https?:\/\/([^\/]*\.)?whorevideos-com-wddx\.blogspot\.com
+https?:\/\/([^\/]*\.)?whorevideos-txe5g0x\.blogspot\.com
+https?:\/\/([^\/]*\.)?whpsjw1\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?whss0\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?wierdporno-com-kur70yvrl0\.blogspot\.com
+https?:\/\/([^\/]*\.)?wifi-planet\.org
+https?:\/\/([^\/]*\.)?wiku-aikman\.blogspot\.com
+https?:\/\/([^\/]*\.)?wild-party-fuck\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?wild-poker\.com
+https?:\/\/([^\/]*\.)?willeyfordmazda\.info
+https?:\/\/([^\/]*\.)?win-for-italy\.info
+https?:\/\/([^\/]*\.)?wind\.8888mb\.com
+https?:\/\/([^\/]*\.)?winter-gossamer\.blogspot\.com
+https?:\/\/([^\/]*\.)?winter\.12gbfree\.com
+https?:\/\/([^\/]*\.)?wired-shemales\.lampa\.ipupdater\.com
+https?:\/\/([^\/]*\.)?wiremesh-guanda\.com
+https?:\/\/([^\/]*\.)?wisconsinmortgage-x\.com
+https?:\/\/([^\/]*\.)?wisdom123\.com
+https?:\/\/([^\/]*\.)?wisewomanguide\.com
+https?:\/\/([^\/]*\.)?wisnp\.szm\.sk
+https?:\/\/([^\/]*\.)?wix-timsmovies-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?wizingsh\.dl\.pl
+https?:\/\/([^\/]*\.)?wjch5\.szm\.sk
+https?:\/\/([^\/]*\.)?wjhh9\.szm\.sk
+https?:\/\/([^\/]*\.)?wjjk0\.szm\.sk
+https?:\/\/([^\/]*\.)?wjsueg-free-video\.blogspot\.com
+https?:\/\/([^\/]*\.)?wkelleylucas\.com
+https?:\/\/([^\/]*\.)?wkfsi774k\.org
+https?:\/\/([^\/]*\.)?wljp9\.szm\.sk
+https?:\/\/([^\/]*\.)?wm-u\.com
+https?:\/\/([^\/]*\.)?wmis\.com\.cn
+https?:\/\/([^\/]*\.)?wmlpv\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?wmtnd\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?wnwr5\.szm\.sk
+https?:\/\/([^\/]*\.)?woilco6\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?wolflist-com-rkj7d3\.blogspot\.com
+https?:\/\/([^\/]*\.)?wolverine-steel-toe-work-boot\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?woman-masturbating-man\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?woman-who-can-lick-their-own-pussy\.gameover\.in\.ua
+https?:\/\/([^\/]*\.)?woman-who-fuck-donkey\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?woman-with-prosthetic-leg\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?womansmith\.com
+https?:\/\/([^\/]*\.)?women-fitness\.org
+https?:\/\/([^\/]*\.)?womens-hard-nipples\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?womens-snake-boot\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?woolygrrl\.blogspot\.com
+https?:\/\/([^\/]*\.)?worldsex-com-byzv1xjl\.blogspot\.com
+https?:\/\/([^\/]*\.)?worldsex-com-eqn4b\.blogspot\.com
+https?:\/\/([^\/]*\.)?worldtalktv\.net\.ru
+https?:\/\/([^\/]*\.)?worldufo\.net\.ru
+https?:\/\/([^\/]*\.)?worldwide-games\.net
+https?:\/\/([^\/]*\.)?worldwide-online-pharmacy\.net
+https?:\/\/([^\/]*\.)?worldwide-tramadol\.net
+https?:\/\/([^\/]*\.)?woro-adriana\.blogspot\.com
+https?:\/\/([^\/]*\.)?wot-smutgremlins-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?wow-gold\.dinmo\.cn
+https?:\/\/([^\/]*\.)?wow-powerleveling-wow\.com
+https?:\/\/([^\/]*\.)?wowgold\.org\.cn
+https?:\/\/([^\/]*\.)?wowtgp-com-ap4y\.blogspot\.com
+https?:\/\/([^\/]*\.)?wowvids-com-ooiofshz5\.blogspot\.com
+https?:\/\/([^\/]*\.)?woww0\.szm\.sk
+https?:\/\/([^\/]*\.)?wpgt1\.szm\.sk
+https?:\/\/([^\/]*\.)?wpnvjk9\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?wqhnog2\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?wqr\.cn
+https?:\/\/([^\/]*\.)?wrnf9\.szm\.sk
+https?:\/\/([^\/]*\.)?wshcroq\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?wsuonline\.weber\.edu
+https?:\/\/([^\/]*\.)?wszqc\.szm\.sk
+https?:\/\/([^\/]*\.)?wtrpfpa\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?wuconweb\.wustl\.edu
+https?:\/\/([^\/]*\.)?wujin\.dzsc\.com
+https?:\/\/([^\/]*\.)?wulzi\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?wvuf0\.szm\.sk
+https?:\/\/([^\/]*\.)?wvwd6\.szm\.sk
+https?:\/\/([^\/]*\.)?wwfedgechristian\.com
+https?:\/\/([^\/]*\.)?wwlive\.info
+https?:\/\/([^\/]*\.)?wwtop\.info
+https?:\/\/([^\/]*\.)?www-girlygangbang-com\.magnesia\.dtdns\.net
+https?:\/\/([^\/]*\.)?www-loadmymouth-com\.klose\.ipupdater\.com
+https?:\/\/([^\/]*\.)?www-shemales-from-hell-com\.magnesia\.dtdns\.net
+https?:\/\/([^\/]*\.)?www\.aet\.cup\.edu
+https?:\/\/([^\/]*\.)?www\.ags\.uci\.edu
+https?:\/\/([^\/]*\.)?www\.chaco\.gov\.ar
+https?:\/\/([^\/]*\.)?www\.coe\.ohio-state\.edu
+https?:\/\/([^\/]*\.)?www\.csulb\.edu
+https?:\/\/([^\/]*\.)?www\.cucsur\.udg\.mx
+https?:\/\/([^\/]*\.)?www\.depts\.ttu\.edu
+https?:\/\/([^\/]*\.)?www\.elie\.com\.cn
+https?:\/\/([^\/]*\.)?www\.forourbano\.gov\.ar
+https?:\/\/([^\/]*\.)?www\.grad\.english\.ttu\.edu
+https?:\/\/([^\/]*\.)?www\.hcs\.harvard\.edu
+https?:\/\/([^\/]*\.)?www\.health-livening\.com
+https?:\/\/([^\/]*\.)?www\.imperial\.edu
+https?:\/\/([^\/]*\.)?www\.isis\.vanderbilt\.edu
+https?:\/\/([^\/]*\.)?www\.leal-alfa\.upc\.edu
+https?:\/\/([^\/]*\.)?www\.ns\.ui\.edu
+https?:\/\/([^\/]*\.)?www\.oswego\.edu
+https?:\/\/([^\/]*\.)?www\.oznet\.ksu\.edu
+https?:\/\/([^\/]*\.)?www\.polisci\.berkeley\.edu
+https?:\/\/([^\/]*\.)?www\.redwingnet\.com
+https?:\/\/([^\/]*\.)?www\.rit\.edu
+https?:\/\/([^\/]*\.)?www\.rso\.cmich\.edu
+https?:\/\/([^\/]*\.)?www\.sccs\.swarthmore\.edu
+https?:\/\/([^\/]*\.)?www\.sportsbackers\.org
+https?:\/\/([^\/]*\.)?www\.tamug\.edu
+https?:\/\/([^\/]*\.)?www\.ug\.it\.usyd\.edu\.au
+https?:\/\/([^\/]*\.)?www\.uky\.edu
+https?:\/\/([^\/]*\.)?www\.wvup\.edu
+https?:\/\/([^\/]*\.)?www1\.freehostingguru\.com
+https?:\/\/([^\/]*\.)?www2\.cs\.washington\.edu
+https?:\/\/([^\/]*\.)?www3\.ddns\.ms
+https?:\/\/([^\/]*\.)?www4\.epac\.to
+https?:\/\/([^\/]*\.)?www4\.nau\.edu
+https?:\/\/([^\/]*\.)?www4\.vjc\.edu
+https?:\/\/([^\/]*\.)?www6\.ezua\.com
+https?:\/\/([^\/]*\.)?www6\.ns1\.name
+https?:\/\/([^\/]*\.)?www69\.bestdeals\.at
+https?:\/\/([^\/]*\.)?www69\.byinter\.net
+https?:\/\/([^\/]*\.)?www69\.dynu\.com
+https?:\/\/([^\/]*\.)?www69\.findhere\.org
+https?:\/\/([^\/]*\.)?www69\.fw\.nu
+https?:\/\/([^\/]*\.)?www69\.ugly\.as
+https?:\/\/([^\/]*\.)?www7\.25u\.com
+https?:\/\/([^\/]*\.)?www7\.ygto\.com
+https?:\/\/([^\/]*\.)?www8\.ns01\.us
+https?:\/\/([^\/]*\.)?www9\.servequake\.com
+https?:\/\/([^\/]*\.)?www9\.trickip\.org
+https?:\/\/([^\/]*\.)?www99\.bounceme\.net
+https?:\/\/([^\/]*\.)?www99\.zapto\.org
+https?:\/\/([^\/]*\.)?wwweazol\.znamka\.yi\.org
+https?:\/\/([^\/]*\.)?wwwmenozac\.gumaky\.yi\.org
+https?:\/\/([^\/]*\.)?wwwpeterscumshotscom\.jalovica\.dtdns\.net
+https?:\/\/([^\/]*\.)?wwwpornweekcom\.lampa\.ipupdater\.com
+https?:\/\/([^\/]*\.)?wwwtheluckymancom\.hrable\.dtdns\.net
+https?:\/\/([^\/]*\.)?wxcl6\.szm\.sk
+https?:\/\/([^\/]*\.)?wxmbv\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?wxsbjx\.com
+https?:\/\/([^\/]*\.)?wxzgyb\.com
+https?:\/\/([^\/]*\.)?wy-ls\.com
+https?:\/\/([^\/]*\.)?wyeax\.szm\.sk
+https?:\/\/([^\/]*\.)?wyloguj\.php5\.cz
+https?:\/\/([^\/]*\.)?wyomingmortgage-x\.com
+https?:\/\/([^\/]*\.)?wywurxj\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?wzcub\.szm\.sk
+https?:\/\/([^\/]*\.)?wzhj\.com\.cn
+https?:\/\/([^\/]*\.)?wzhjom6\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?wzlsx\.com
+https?:\/\/([^\/]*\.)?x-cialis\.be
+https?:\/\/([^\/]*\.)?x-ho-com-m23lsy\.blogspot\.com
+https?:\/\/([^\/]*\.)?x-ho-com-mlk2tai422\.blogspot\.com
+https?:\/\/([^\/]*\.)?x-orgy-com-in7nn0o1\.blogspot\.com
+https?:\/\/([^\/]*\.)?x24\.xxuz\.com
+https?:\/\/([^\/]*\.)?x25\.2mydns\.com
+https?:\/\/([^\/]*\.)?x25\.plorp\.com
+https?:\/\/([^\/]*\.)?x4\.lov3\.net
+https?:\/\/([^\/]*\.)?x6x\.a\.la
+https?:\/\/([^\/]*\.)?x888x\.myserver\.org
+https?:\/\/([^\/]*\.)?x8x\.trickip\.net
+https?:\/\/([^\/]*\.)?xadultpersonals\.com
+https?:\/\/([^\/]*\.)?xafengyuan\.com
+https?:\/\/([^\/]*\.)?xaltc\.szm\.sk
+https?:\/\/([^\/]*\.)?xanax-911\.coz\.in
+https?:\/\/([^\/]*\.)?xanax-acpq\.blogspot\.com
+https?:\/\/([^\/]*\.)?xanax-ccc\.blogspot\.com
+https?:\/\/([^\/]*\.)?xanax-lyiy\.blogspot\.com
+https?:\/\/([^\/]*\.)?xanax-online\.cheapills\.info
+https?:\/\/([^\/]*\.)?xanax\.esguay\.com
+https?:\/\/([^\/]*\.)?xanax\.goodpharm\.info
+https?:\/\/([^\/]*\.)?xanax\.skocz\.net
+https?:\/\/([^\/]*\.)?xanax\.su\.pl
+https?:\/\/([^\/]*\.)?xanaxnx\.u\.yuku\.com
+https?:\/\/([^\/]*\.)?xawcj\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?xboct13\.blogspot\.com
+https?:\/\/([^\/]*\.)?xbyzkngo-teensite\.blogspot\.com
+https?:\/\/([^\/]*\.)?xcp\.51mp4mp3\.com
+https?:\/\/([^\/]*\.)?xd-fw\.com
+https?:\/\/([^\/]*\.)?xdwxs\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?xdzs\.com\.cn
+https?:\/\/([^\/]*\.)?xef-publicinvasion-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?xejedot-sophie-marceau-c\.blogspot\.com
+https?:\/\/([^\/]*\.)?xelby0\.blogspot\.com
+https?:\/\/([^\/]*\.)?xenical\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?xenical\.1k\.pl
+https?:\/\/([^\/]*\.)?xenicallem\.queroumforum\.com
+https?:\/\/([^\/]*\.)?xfdr7\.szm\.sk
+https?:\/\/([^\/]*\.)?xfokcw0\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?xgdlfj\.com
+https?:\/\/([^\/]*\.)?xhttp\.net
+https?:\/\/([^\/]*\.)?xian-hua\.nease\.net
+https?:\/\/([^\/]*\.)?xiangyujipiao\.com
+https?:\/\/([^\/]*\.)?xibch\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?xih-snakesworld-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?xih-topless-babes-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?xihdl\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?xionny\.cn
+https?:\/\/([^\/]*\.)?xitokiry\.blogspot\.com
+https?:\/\/([^\/]*\.)?xiwhr\.szm\.sk
+https?:\/\/([^\/]*\.)?xjenhx\.blogspot\.com
+https?:\/\/([^\/]*\.)?xlala\.com
+https?:\/\/([^\/]*\.)?xlale\.com
+https?:\/\/([^\/]*\.)?xlalu\.com
+https?:\/\/([^\/]*\.)?xlvc3\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?xlxx-com-i0xeru\.blogspot\.com
+https?:\/\/([^\/]*\.)?xlxx-fokiq\.blogspot\.com
+https?:\/\/([^\/]*\.)?xlxx-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?xmail\.net
+https?:\/\/([^\/]*\.)?xmodem-mal\.blogspot\.com
+https?:\/\/([^\/]*\.)?xnbs1\.szm\.sk
+https?:\/\/([^\/]*\.)?xnews\.soad\.umich\.edu
+https?:\/\/([^\/]*\.)?xnxx-com-e06t\.blogspot\.com
+https?:\/\/([^\/]*\.)?xnxx-crcdfs0jy6\.blogspot\.com
+https?:\/\/([^\/]*\.)?xnxxmovies-com-bv10g2\.blogspot\.com
+https?:\/\/([^\/]*\.)?xnxxmovies-com-wv\.blogspot\.com
+https?:\/\/([^\/]*\.)?xo-whatever\.blogspot\.com
+https?:\/\/([^\/]*\.)?xolz4\.szm\.sk
+https?:\/\/([^\/]*\.)?xometi\.com
+https?:\/\/([^\/]*\.)?xonlinedating\.com
+https?:\/\/([^\/]*\.)?xoomer\.alice\.it
+https?:\/\/([^\/]*\.)?xparamacy\.org
+https?:\/\/([^\/]*\.)?xpharmacy\.org
+https?:\/\/([^\/]*\.)?xqnqh\.szm\.sk
+https?:\/\/([^\/]*\.)?xr\.bz
+https?:\/\/([^\/]*\.)?xsecrets-com-kyms\.blogspot\.com
+https?:\/\/([^\/]*\.)?xsgr7\.szm\.sk
+https?:\/\/([^\/]*\.)?xshorturl\.info
+https?:\/\/([^\/]*\.)?xsyvh\.szm\.sk
+https?:\/\/([^\/]*\.)?xt168\.com
+https?:\/\/([^\/]*\.)?xudo-akira\.blogspot\.com
+https?:\/\/([^\/]*\.)?xujwy\.szm\.sk
+https?:\/\/([^\/]*\.)?xun-screwedupmovies-com\.blogspot\.com
+https?:\/\/([^\/]*\.)?xvbbols\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?xvec\.com
+https?:\/\/([^\/]*\.)?xvnj5\.szm\.sk
+https?:\/\/([^\/]*\.)?xwsdr\.szm\.sk
+https?:\/\/([^\/]*\.)?xxellaxx\.250free\.com
+https?:\/\/([^\/]*\.)?xxhk2\.szm\.sk
+https?:\/\/([^\/]*\.)?xxlmovies-com-gswie\.blogspot\.com
+https?:\/\/([^\/]*\.)?xxlmovies-com-m7rg0g8viu\.blogspot\.com
+https?:\/\/([^\/]*\.)?xxlmovies-mcorb4\.blogspot\.com
+https?:\/\/([^\/]*\.)?xxufdm-free-video\.blogspot\.com
+https?:\/\/([^\/]*\.)?xxx-mature-movie-gallery\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?xxx-pic-blonde\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?xxx-porn-clip-blogn0y\.blogspot\.com
+https?:\/\/([^\/]*\.)?xxx0movie\.info
+https?:\/\/([^\/]*\.)?xxx1adult1video\.blogspot\.com
+https?:\/\/([^\/]*\.)?xxx1clip\.blogspot\.com
+https?:\/\/([^\/]*\.)?xxx1movie\.blogspot\.com
+https?:\/\/([^\/]*\.)?xxx1video\.blogspot\.com
+https?:\/\/([^\/]*\.)?xxxdessert-com-rl7e3\.blogspot\.com
+https?:\/\/([^\/]*\.)?xxxfolder-com-h3126\.blogspot\.com
+https?:\/\/([^\/]*\.)?xxxkey-com-ma\.blogspot\.com
+https?:\/\/([^\/]*\.)?xxxlost\.info
+https?:\/\/([^\/]*\.)?xxxphenterm1nen0w\.tripod\.com
+https?:\/\/([^\/]*\.)?xxxpornstarclassics-com-cezx51lw8\.blogspot\.com
+https?:\/\/([^\/]*\.)?xxxpornstarclassics-com-d2ttwy\.blogspot\.com
+https?:\/\/([^\/]*\.)?xxxpower-net-aped3c1xa\.blogspot\.com
+https?:\/\/([^\/]*\.)?xxxproposal-com-b06etpw\.blogspot\.com
+https?:\/\/([^\/]*\.)?xxxvogue-hux\.blogspot\.com
+https?:\/\/([^\/]*\.)?xxxvogue-net-oe2t1p081c\.blogspot\.com
+https?:\/\/([^\/]*\.)?xxxvogue-net-qerij\.blogspot\.com
+https?:\/\/([^\/]*\.)?xyz-i2\.blogspot\.com
+https?:\/\/([^\/]*\.)?xyzzy-k7c37b5tuw\.blogspot\.com
+https?:\/\/([^\/]*\.)?xzc\.org\.ua
+https?:\/\/([^\/]*\.)?xzgang-bangorgy\.hav\.pl
+https?:\/\/([^\/]*\.)?xznylonlycra\.hav\.pl
+https?:\/\/([^\/]*\.)?xzrapeextreme\.hav\.pl
+https?:\/\/([^\/]*\.)?xzzoodogsex\.hav\.pl
+https?:\/\/([^\/]*\.)?y--e--s\.com
+https?:\/\/([^\/]*\.)?ya\.ru
+https?:\/\/([^\/]*\.)?yaahoeu\.com
+https?:\/\/([^\/]*\.)?yaco-hbkklx6ltp\.blogspot\.com
+https?:\/\/([^\/]*\.)?yahia-g3x\.blogspot\.com
+https?:\/\/([^\/]*\.)?yaho-rei6\.blogspot\.com
+https?:\/\/([^\/]*\.)?yahoochat-w11g7\.blogspot\.com
+https?:\/\/([^\/]*\.)?yale-eui5rgcu\.blogspot\.com
+https?:\/\/([^\/]*\.)?yamaha-scooter\.hotmail\.ru
+https?:\/\/([^\/]*\.)?yamaha-t46nzou\.blogspot\.com
+https?:\/\/([^\/]*\.)?yamaha2scooter\.chat\.ru
+https?:\/\/([^\/]*\.)?yamel-da\.blogspot\.com
+https?:\/\/([^\/]*\.)?yamil-c1n2ob52\.blogspot\.com
+https?:\/\/([^\/]*\.)?yamonee-bqcyojq\.blogspot\.com
+https?:\/\/([^\/]*\.)?yang-as\.blogspot\.com
+https?:\/\/([^\/]*\.)?yankees-o3\.blogspot\.com
+https?:\/\/([^\/]*\.)?yasashisa\.blogspot\.com
+https?:\/\/([^\/]*\.)?yashun-i63\.blogspot\.com
+https?:\/\/([^\/]*\.)?yasin-kfn0g\.blogspot\.com
+https?:\/\/([^\/]*\.)?yaskap\.com
+https?:\/\/([^\/]*\.)?yasmin-hovn5q\.blogspot\.com
+https?:\/\/([^\/]*\.)?yasmin\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?yazar-rnzt\.blogspot\.com
+https?:\/\/([^\/]*\.)?yblwoh-free-video\.blogspot\.com
+https?:\/\/([^\/]*\.)?ycft3\.szm\.sk
+https?:\/\/([^\/]*\.)?ycixxgt\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ycsv1\.szm\.sk
+https?:\/\/([^\/]*\.)?ydyofrj\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ydyp\.left-web\.com
+https?:\/\/([^\/]*\.)?ydyp\.zxvo\.com
+https?:\/\/([^\/]*\.)?yehuo\.cn
+https?:\/\/([^\/]*\.)?yell0w-n1njaman\.blogspot\.com
+https?:\/\/([^\/]*\.)?yellow-wc4pxnc\.blogspot\.com
+https?:\/\/([^\/]*\.)?yellowstone-e4b\.blogspot\.com
+https?:\/\/([^\/]*\.)?yes-t2cfk28\.blogspot\.com
+https?:\/\/([^\/]*\.)?yesenia-dip5700iq\.blogspot\.com
+https?:\/\/([^\/]*\.)?yessenia-c0ue443\.blogspot\.com
+https?:\/\/([^\/]*\.)?yetbarek-b31fe\.blogspot\.com
+https?:\/\/([^\/]*\.)?yfojo\.szm\.sk
+https?:\/\/([^\/]*\.)?yfxy2\.szm\.sk
+https?:\/\/([^\/]*\.)?yhmh\.vip\.myrice\.com
+https?:\/\/([^\/]*\.)?yi-gan\.com
+https?:\/\/([^\/]*\.)?yicloqd\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?yiqiyibiao\.dzsc\.com
+https?:\/\/([^\/]*\.)?yisroel-a5dk2rzyvx\.blogspot\.com
+https?:\/\/([^\/]*\.)?yjyvm\.szm\.sk
+https?:\/\/([^\/]*\.)?ymaby\.info
+https?:\/\/([^\/]*\.)?yncharm\.com
+https?:\/\/([^\/]*\.)?ynij1\.szm\.sk
+https?:\/\/([^\/]*\.)?ynkbb\.szm\.sk
+https?:\/\/([^\/]*\.)?ynndy\.szm\.sk
+https?:\/\/([^\/]*\.)?ynztp\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?yoda-oz08ueg\.blogspot\.com
+https?:\/\/([^\/]*\.)?yoel-mu5ej\.blogspot\.com
+https?:\/\/([^\/]*\.)?yogee\.info
+https?:\/\/([^\/]*\.)?yojany-iu3bpg2\.blogspot\.com
+https?:\/\/([^\/]*\.)?yolanda-k1\.blogspot\.com
+https?:\/\/([^\/]*\.)?yomama-hmsa\.blogspot\.com
+https?:\/\/([^\/]*\.)?yongxun\.net
+https?:\/\/([^\/]*\.)?yosemite-gf77c0ggp\.blogspot\.com
+https?:\/\/([^\/]*\.)?yoshi-in-black\.blogspot\.com
+https?:\/\/([^\/]*\.)?yosuke-r8aua1\.blogspot\.com
+https?:\/\/([^\/]*\.)?young-anal-fuck\.travel-ag\.od\.ua
+https?:\/\/([^\/]*\.)?young-anal-sex\.travel-ag\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?young-blonde-slut\.medved\.uzhgorod\.ua
+https?:\/\/([^\/]*\.)?young-britney\.babubi\.net
+https?:\/\/([^\/]*\.)?young-cheerleader-pic\.medved\.od\.ua
+https?:\/\/([^\/]*\.)?young-chubby-pussy\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?young-ffm\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?young-lesbian-having-sex\.protime\.in\.ua
+https?:\/\/([^\/]*\.)?young-sex\.futureblog\.org
+https?:\/\/([^\/]*\.)?young-teen-girl-anal\.aroundworld\.in\.ua
+https?:\/\/([^\/]*\.)?young-tender\.info
+https?:\/\/([^\/]*\.)?young-wqn\.blogspot\.com
+https?:\/\/([^\/]*\.)?youngerbabes-com-d1fem\.blogspot\.com
+https?:\/\/([^\/]*\.)?youngerbabes-com-dpdc\.blogspot\.com
+https?:\/\/([^\/]*\.)?youngerbabes-freesite\.blogspot\.com
+https?:\/\/([^\/]*\.)?youngleafs-eh2a6kcze\.blogspot\.com
+https?:\/\/([^\/]*\.)?youngpervs-com-wdh\.blogspot\.com
+https?:\/\/([^\/]*\.)?youngshemalesex\.com
+https?:\/\/([^\/]*\.)?your-online-health\.com
+https?:\/\/([^\/]*\.)?your-starry-sky\.blogspot\.com
+https?:\/\/([^\/]*\.)?yourbudgetcar\.info
+https?:\/\/([^\/]*\.)?yourbusinesshouston\.info
+https?:\/\/([^\/]*\.)?yourchryslersebring\.info
+https?:\/\/([^\/]*\.)?yourgunter\.com
+https?:\/\/([^\/]*\.)?yourhealthypharmacy\.com
+https?:\/\/([^\/]*\.)?yourlust-com-rttu8a0a\.blogspot\.com
+https?:\/\/([^\/]*\.)?yourmazdacar\.info
+https?:\/\/([^\/]*\.)?yourmerchandise\.org
+https?:\/\/([^\/]*\.)?yourofe\.com
+https?:\/\/([^\/]*\.)?yrada\.flnet\.org
+https?:\/\/([^\/]*\.)?yrecnfz\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?yrgs2\.szm\.sk
+https?:\/\/([^\/]*\.)?yronc\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ysvc0\.szm\.sk
+https?:\/\/([^\/]*\.)?ytdebao\.com
+https?:\/\/([^\/]*\.)?ytgf84j\.nokedem\.com
+https?:\/\/([^\/]*\.)?ythsq\.com
+https?:\/\/([^\/]*\.)?ytifexil\.org
+https?:\/\/([^\/]*\.)?ytlmc\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ytwoqlj\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?yucaibooks\.com
+https?:\/\/([^\/]*\.)?yuebing\.com
+https?:\/\/([^\/]*\.)?yume-taira\.blogspot\.com
+https?:\/\/([^\/]*\.)?yuniel-ebyd5\.blogspot\.com
+https?:\/\/([^\/]*\.)?yunmak\.com
+https?:\/\/([^\/]*\.)?yushantang\.com
+https?:\/\/([^\/]*\.)?yvette-tshq577\.blogspot\.com
+https?:\/\/([^\/]*\.)?yvonte-dyv02l\.blogspot\.com
+https?:\/\/([^\/]*\.)?yvpwh\.szm\.sk
+https?:\/\/([^\/]*\.)?ywnid\.szm\.sk
+https?:\/\/([^\/]*\.)?ywuyuan\.com
+https?:\/\/([^\/]*\.)?ywxjm\.com
+https?:\/\/([^\/]*\.)?yycp\.com
+https?:\/\/([^\/]*\.)?yylive\.info
+https?:\/\/([^\/]*\.)?yyys\.com\.cn
+https?:\/\/([^\/]*\.)?z411\.net
+https?:\/\/([^\/]*\.)?za\.spamim\.net
+https?:\/\/([^\/]*\.)?zabavna9sy4ka\.biografi\.org
+https?:\/\/([^\/]*\.)?zaccary-ciworog\.blogspot\.com
+https?:\/\/([^\/]*\.)?zachariah-bebg88d2x\.blogspot\.com
+https?:\/\/([^\/]*\.)?zacharias-a0kmh1em7\.blogspot\.com
+https?:\/\/([^\/]*\.)?zacharygen-okno4540\.blogspot\.com
+https?:\/\/([^\/]*\.)?zacherie-m82qs0617\.blogspot\.com
+https?:\/\/([^\/]*\.)?zack-ir368\.blogspot\.com
+https?:\/\/([^\/]*\.)?zackari-kkcdz8\.blogspot\.com
+https?:\/\/([^\/]*\.)?zackarylee-h4qu\.blogspot\.com
+https?:\/\/([^\/]*\.)?zackerize-ge\.blogspot\.com
+https?:\/\/([^\/]*\.)?zahtavia-riy3\.blogspot\.com
+https?:\/\/([^\/]*\.)?zaid-wi0g\.blogspot\.com
+https?:\/\/([^\/]*\.)?zaidimar-ej\.blogspot\.com
+https?:\/\/([^\/]*\.)?zainab-t46we0w3y\.blogspot\.com
+https?:\/\/([^\/]*\.)?zakaria-c5j57crpj7\.blogspot\.com
+https?:\/\/([^\/]*\.)?zanaflex\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?zanuda-juja\.blogspot\.com
+https?:\/\/([^\/]*\.)?zap-ar2fzu\.blogspot\.com
+https?:\/\/([^\/]*\.)?zara-oze5dt\.blogspot\.com
+https?:\/\/([^\/]*\.)?zarat\.php5\.cz
+https?:\/\/([^\/]*\.)?zatowana-m5hsah3h\.blogspot\.com
+https?:\/\/([^\/]*\.)?zaxswq\.com
+https?:\/\/([^\/]*\.)?zayfa\.com
+https?:\/\/([^\/]*\.)?zazu-ikzc0402\.blogspot\.com
+https?:\/\/([^\/]*\.)?zbbi8\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?zbifhoep\.t35\.com
+https?:\/\/([^\/]*\.)?zbjyzm1\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?zbrown\.org
+https?:\/\/([^\/]*\.)?zbwk\.com
+https?:\/\/([^\/]*\.)?zcld\.net
+https?:\/\/([^\/]*\.)?zdei\.nokedem\.com
+https?:\/\/([^\/]*\.)?zdpid\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?ze-sex-video-download\.blogspot\.com
+https?:\/\/([^\/]*\.)?zebbiejohnson\.blogspot\.com
+https?:\/\/([^\/]*\.)?zebra-ka8g\.blogspot\.com
+https?:\/\/([^\/]*\.)?zebutal\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?zeds\.info
+https?:\/\/([^\/]*\.)?zeku-airhead\.blogspot\.com
+https?:\/\/([^\/]*\.)?zelalal\.darkbb\.com
+https?:\/\/([^\/]*\.)?zelalli\.blogcu\.com
+https?:\/\/([^\/]*\.)?zelbasleto\.heavenforum\.com
+https?:\/\/([^\/]*\.)?zeldomric\.dl\.pl
+https?:\/\/([^\/]*\.)?zelekah-h5u4yo\.blogspot\.com
+https?:\/\/([^\/]*\.)?zella\.grafbb\.com
+https?:\/\/([^\/]*\.)?zelladar\.bb-fr\.com
+https?:\/\/([^\/]*\.)?zellapask\.lolbb\.com
+https?:\/\/([^\/]*\.)?zelpasacel\.dl\.pl
+https?:\/\/([^\/]*\.)?zelrelacel\.su\.pl
+https?:\/\/([^\/]*\.)?zelrelli\.zj\.pl
+https?:\/\/([^\/]*\.)?zelrelzel\.blogcu\.com
+https?:\/\/([^\/]*\.)?zelrictr\.lolforum\.net
+https?:\/\/([^\/]*\.)?zelvaracel\.zikforum\.com
+https?:\/\/([^\/]*\.)?zelviacel\.frbb\.net
+https?:\/\/([^\/]*\.)?zelvicat\.fr-bb\.com
+https?:\/\/([^\/]*\.)?zemiacik\.yi\.org
+https?:\/\/([^\/]*\.)?zena-grmhf3shi\.blogspot\.com
+https?:\/\/([^\/]*\.)?zenaidalee-rm0p6t8md\.blogspot\.com
+https?:\/\/([^\/]*\.)?zenda-wszf1\.blogspot\.com
+https?:\/\/([^\/]*\.)?zennie-ey5i633f\.blogspot\.com
+https?:\/\/([^\/]*\.)?zenw7\.szm\.sk
+https?:\/\/([^\/]*\.)?zephyr-tk1\.blogspot\.com
+https?:\/\/([^\/]*\.)?zepporah-dypvn5g3\.blogspot\.com
+https?:\/\/([^\/]*\.)?zerks\.info
+https?:\/\/([^\/]*\.)?zfbfbs9\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?zfkmaei\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?zghy2\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?zgzr\.com
+https?:\/\/([^\/]*\.)?zhenrong\.com\.cn
+https?:\/\/([^\/]*\.)?zhihehotel\.com
+https?:\/\/([^\/]*\.)?zhiju\.home4u\.china\.com
+https?:\/\/([^\/]*\.)?zhkaw\.com
+https?:\/\/([^\/]*\.)?zhkuj\.fr33webhost\.com
+https?:\/\/([^\/]*\.)?zhopaitalii\.info
+https?:\/\/([^\/]*\.)?zhuxg\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?zhw35iida\.org
+https?:\/\/([^\/]*\.)?ziahya-cbqb\.blogspot\.com
+https?:\/\/([^\/]*\.)?zimg4\.szm\.sk
+https?:\/\/([^\/]*\.)?zimmerman-a4shi\.blogspot\.com
+https?:\/\/([^\/]*\.)?zippedurl\.com
+https?:\/\/([^\/]*\.)?zisai\.com\.cn
+https?:\/\/([^\/]*\.)?ziseq-sex-movie-x\.blogspot\.com
+https?:\/\/([^\/]*\.)?zithromaxbuycheap\.drive\.to
+https?:\/\/([^\/]*\.)?zithromaxbuygeneric\.cut\.by
+https?:\/\/([^\/]*\.)?zithromaxcheap\.drive\.to
+https?:\/\/([^\/]*\.)?zithromaxgenericbuy\.drive\.to
+https?:\/\/([^\/]*\.)?zithromaxgenericcheap\.dive\.to
+https?:\/\/([^\/]*\.)?zithromaxxonline\.ovp\.pl
+https?:\/\/([^\/]*\.)?zivuc-free-sex-movie-l\.blogspot\.com
+https?:\/\/([^\/]*\.)?zjeyu\.com
+https?:\/\/([^\/]*\.)?zjpgxo4\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?zjudeyah-m2r38d\.blogspot\.com
+https?:\/\/([^\/]*\.)?zjww\.com
+https?:\/\/([^\/]*\.)?zlid3\.szm\.sk
+https?:\/\/([^\/]*\.)?zljekr8\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?zlocztw\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?zmga3\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?zmodem-isjrjd\.blogspot\.com
+https?:\/\/([^\/]*\.)?zocor\.bad-food\.net
+https?:\/\/([^\/]*\.)?zocor\.barnkalas\.net
+https?:\/\/([^\/]*\.)?zocor\.blomberg\.nu
+https?:\/\/([^\/]*\.)?zocor\.bokmarke\.nu
+https?:\/\/([^\/]*\.)?zocor\.bredbandsfabriken\.nu
+https?:\/\/([^\/]*\.)?zocor\.center\.nu
+https?:\/\/([^\/]*\.)?zocor\.hellstrom\.nu
+https?:\/\/([^\/]*\.)?zocor\.hem\.nu
+https?:\/\/([^\/]*\.)?zocor\.infosajt\.net
+https?:\/\/([^\/]*\.)?zocor\.internetreklam\.nu
+https?:\/\/([^\/]*\.)?zocor\.jacobson\.nu
+https?:\/\/([^\/]*\.)?zoe-ktd\.blogspot\.com
+https?:\/\/([^\/]*\.)?zofarl\.com
+https?:\/\/([^\/]*\.)?zofia-hkn\.blogspot\.com
+https?:\/\/([^\/]*\.)?zoie-g2h02z5mta\.blogspot\.com
+https?:\/\/([^\/]*\.)?zoklaku\.forumculture\.net
+https?:\/\/([^\/]*\.)?zoklapec\.dynamicbb\.com
+https?:\/\/([^\/]*\.)?zoloft\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?zoloft\.1k\.pl
+https?:\/\/([^\/]*\.)?zoloft\.skocz\.net
+https?:\/\/([^\/]*\.)?zombie-r7ye\.blogspot\.com
+https?:\/\/([^\/]*\.)?zovirax\.esdemasiado\.com
+https?:\/\/([^\/]*\.)?zovirax\.inicioya\.com
+https?:\/\/([^\/]*\.)?zovirax\.ole\.to
+https?:\/\/([^\/]*\.)?zovirax\.redireccion\.com
+https?:\/\/([^\/]*\.)?zoya-w1tebgqbtr\.blogspot\.com
+https?:\/\/([^\/]*\.)?zpbvsng\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?zpco4\.szm\.sk
+https?:\/\/([^\/]*\.)?zpykbi9\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?zqit6\.szm\.sk
+https?:\/\/([^\/]*\.)?zqxss\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?zsbupdo\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?zswok\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?zsxqgv2\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ztauk\.szm\.sk
+https?:\/\/([^\/]*\.)?ztkvz\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ztlztpj\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?ztnqok7\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?zuleima-epbxv\.blogspot\.com
+https?:\/\/([^\/]*\.)?zulema-tsaieb\.blogspot\.com
+https?:\/\/([^\/]*\.)?zulmarie-dk8\.blogspot\.com
+https?:\/\/([^\/]*\.)?zuri-ch0\.blogspot\.com
+https?:\/\/([^\/]*\.)?zurysarai-bykp\.blogspot\.com
+https?:\/\/([^\/]*\.)?zusette-ai2\.blogspot\.com
+https?:\/\/([^\/]*\.)?zvcqr\.szm\.sk
+https?:\/\/([^\/]*\.)?zvnaw\.szm\.sk
+https?:\/\/([^\/]*\.)?zvoh2\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?zvzsxqw\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?zxtpets\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?zya9\.info
+https?:\/\/([^\/]*\.)?zyban\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?zyban\.controlando\.com
+https?:\/\/([^\/]*\.)?zybans\.blogspot\.com
+https?:\/\/([^\/]*\.)?zyrtec\.1\.p2l\.info
+https?:\/\/([^\/]*\.)?zyrtec\.1k\.pl
+https?:\/\/([^\/]*\.)?zyrtec\.coz\.in
+https?:\/\/([^\/]*\.)?zywyn\.info
+https?:\/\/([^\/]*\.)?zzcwj\.myfreewebhost\.org
+https?:\/\/([^\/]*\.)?zzdx\.com
diff --git a/mod/dokuwiki/vendors/dokuwiki/conf/words.aspell.dist b/mod/dokuwiki/vendors/dokuwiki/conf/words.aspell.dist
new file mode 100644
index 000000000..a49138fcb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/conf/words.aspell.dist
@@ -0,0 +1,5 @@
+personal_ws-1.1 en 4 utf-8
+DokuWiki
+Wiki
+WikiWiki
+Gohr
diff --git a/mod/dokuwiki/vendors/dokuwiki/data/_dummy b/mod/dokuwiki/vendors/dokuwiki/data/_dummy
new file mode 100644
index 000000000..37ed18a63
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/data/_dummy
@@ -0,0 +1 @@
+data directory
diff --git a/mod/dokuwiki/vendors/dokuwiki/data/attic/_dummy b/mod/dokuwiki/vendors/dokuwiki/data/attic/_dummy
new file mode 100644
index 000000000..e69de29bb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/data/attic/_dummy
diff --git a/mod/dokuwiki/vendors/dokuwiki/data/cache/_dummy b/mod/dokuwiki/vendors/dokuwiki/data/cache/_dummy
new file mode 100644
index 000000000..e69de29bb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/data/cache/_dummy
diff --git a/mod/dokuwiki/vendors/dokuwiki/data/index/_dummy b/mod/dokuwiki/vendors/dokuwiki/data/index/_dummy
new file mode 100644
index 000000000..e69de29bb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/data/index/_dummy
diff --git a/mod/dokuwiki/vendors/dokuwiki/data/locks/_dummy b/mod/dokuwiki/vendors/dokuwiki/data/locks/_dummy
new file mode 100644
index 000000000..e69de29bb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/data/locks/_dummy
diff --git a/mod/dokuwiki/vendors/dokuwiki/data/media/wiki/dokuwiki-128.png b/mod/dokuwiki/vendors/dokuwiki/data/media/wiki/dokuwiki-128.png
new file mode 100644
index 000000000..b2306ac95
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/data/media/wiki/dokuwiki-128.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/data/meta/_dummy b/mod/dokuwiki/vendors/dokuwiki/data/meta/_dummy
new file mode 100644
index 000000000..e69de29bb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/data/meta/_dummy
diff --git a/mod/dokuwiki/vendors/dokuwiki/data/pages/playground/playground.txt b/mod/dokuwiki/vendors/dokuwiki/data/pages/playground/playground.txt
new file mode 100644
index 000000000..a2274bda4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/data/pages/playground/playground.txt
@@ -0,0 +1 @@
+====== PlayGround ======
diff --git a/mod/dokuwiki/vendors/dokuwiki/data/pages/sidebar.txt b/mod/dokuwiki/vendors/dokuwiki/data/pages/sidebar.txt
new file mode 100644
index 000000000..090a66349
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/data/pages/sidebar.txt
@@ -0,0 +1,2 @@
+{{indexmenu>..#1|js#thread navbar}}
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/data/pages/wiki/dokuwiki.txt b/mod/dokuwiki/vendors/dokuwiki/data/pages/wiki/dokuwiki.txt
new file mode 100644
index 000000000..2cede366f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/data/pages/wiki/dokuwiki.txt
@@ -0,0 +1,66 @@
+[[http://www.dokuwiki.org/es:dokuwiki|es]] [[http://www.dokuwiki.org/ca:dokuwiki|ca]] [[http://www.dokuwiki.org/fr:dokuwiki|fr]] [[http://www.dokuwiki.org/dokuwiki|more]]
+
+====== DokuWiki ======
+
+[[doku>wiki:dokuwiki|{{wiki:dokuwiki-128.png }}]] DokuWiki is a standards compliant, simple to use [[wp>Wiki]], mainly aimed at creating documentation of any kind. It is targeted at developer teams, workgroups and small companies. It has a simple but powerful [[wiki:syntax]] which makes sure the datafiles remain readable outside the Wiki and eases the creation of structured texts. All data is stored in plain text files -- no database is required.
+
+Read the [[doku>manual|DokuWiki Manual]] to unleash the full power of DokuWiki.
+
+===== Download =====
+
+DokuWiki is available at http://www.splitbrain.org/go/dokuwiki
+
+
+===== Read More =====
+
+All documentation and additional information besides the [[syntax|syntax description]] is maintained in the DokuWiki at [[doku>|www.dokuwiki.org]].
+
+**About DokuWiki**
+
+ * [[doku>features|A feature list]] :!:
+ * [[doku>users|Happy Users]]
+ * [[doku>press|Who wrote about it]]
+ * [[doku>blogroll|What Bloggers think]]
+ * [[http://www.wikimatrix.org/show/DokuWiki|Compare it with other wiki software]]
+
+**Installing DokuWiki**
+
+ * [[doku>requirements|System Requirements]]
+ * [[http://www.splitbrain.org/go/dokuwiki|Download DokuWiki]] :!:
+ * [[doku>changes|Change Log]]
+ * [[doku>Install|How to install or upgrade]] :!:
+ * [[doku>config|Configuration]]
+
+**Using DokuWiki**
+
+ * [[doku>syntax|Wiki Syntax]]
+ * [[doku>manual|The manual]] :!:
+ * [[doku>FAQ|Frequently Asked Questions (FAQ)]]
+ * [[doku>glossary|Glossary]]
+ * [[http://search.dokuwiki.org|Search for DokuWiki help and documentation]]
+
+**Customizing DokuWiki**
+
+ * [[doku>tips|Tips and Tricks]]
+ * [[doku>Template|How to create and use templates]]
+ * [[doku>plugins|Installing plugins]]
+ * [[doku>development|Development Resources]]
+
+**DokuWiki Feedback and Community**
+
+ * [[doku>newsletter|Subscribe to the newsletter]] :!:
+ * [[doku>mailinglist|Join the mailing list]]
+ * [[http://forum.dokuwiki.org|Check out the user forum]]
+ * [[doku>irc|Talk to other users in the IRC channel]]
+ * [[http://bugs.splitbrain.org/index.php?project=1|Submit bugs and feature wishes]]
+ * [[http://www.wikimatrix.org/forum/viewforum.php?id=10|Share your experiences in the WikiMatrix forum]]
+ * [[doku>thanks|Some humble thanks]]
+
+
+===== Copyright =====
+
+2004-2009 (c) Andreas Gohr <andi@splitbrain.org>((Please do not contact me for help and support -- use the [[doku>mailinglist]] or [[http://forum.dokuwiki.org|forum]] instead))
+
+The DokuWiki engine is licensed under [[http://www.gnu.org/licenses/gpl.html|GNU General Public License]] Version 2. If you use DokuWiki in your company, consider [[doku>donate|donating]] a few bucks ;-).
+
+Not sure what this means? See the [[doku>faq:license|FAQ on the Licenses]].
diff --git a/mod/dokuwiki/vendors/dokuwiki/data/pages/wiki/syntax.txt b/mod/dokuwiki/vendors/dokuwiki/data/pages/wiki/syntax.txt
new file mode 100644
index 000000000..7dad453db
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/data/pages/wiki/syntax.txt
@@ -0,0 +1,488 @@
+[[http://www.dokuwiki.org/es:syntax|es]] [[http://www.dokuwiki.org/ca:syntax|ca]] [[http://www.dokuwiki.org/fr:syntax|fr]] [[http://www.dokuwiki.org/syntax|more]]
+
+====== Formatting Syntax ======
+
+[[doku>DokuWiki]] supports some simple markup language, which tries to make the datafiles to be as readable as possible. This page contains all possible syntax you may use when editing the pages. Simply have a look at the source of this page by pressing the //Edit this page// button at the top or bottom of the page. If you want to try something, just use the [[playground:playground|playground]] page. The simpler markup is easily accessible via [[doku>toolbar|quickbuttons]], too.
+
+===== Basic Text Formatting =====
+
+DokuWiki supports **bold**, //italic//, __underlined__ and ''monospaced'' texts. Of course you can **__//''combine''//__** all these.
+
+ DokuWiki supports **bold**, //italic//, __underlined__ and ''monospaced'' texts.
+ Of course you can **__//''combine''//__** all these.
+
+You can use <sub>subscript</sub> and <sup>superscript</sup>, too.
+
+ You can use <sub>subscript</sub> and <sup>superscript</sup>, too.
+
+You can mark something as <del>deleted</del> as well.
+
+ You can mark something as <del>deleted</del> as well.
+
+**Paragraphs** are created from blank lines. If you want to **force a newline** without a paragraph, you can use two backslashes followed by a whitespace or the end of line.
+
+This is some text with some linebreaks\\ Note that the
+two backslashes are only recognized at the end of a line\\
+or followed by\\ a whitespace \\this happens without it.
+
+ This is some text with some linebreaks\\ Note that the
+ two backslashes are only recognized at the end of a line\\
+ or followed by\\ a whitespace \\this happens without it.
+
+You should use forced newlines only if really needed.
+
+===== Links =====
+
+DokuWiki supports multiple ways of creating links.
+
+==== External ====
+
+External links are recognized automagically: http://www.google.com or simply www.google.com - You can set the link text as well: [[http://www.google.com|This Link points to google]]. Email addresses like this one: <andi@splitbrain.org> are recognized, too.
+
+ DokuWiki supports multiple ways of creating links. External links are recognized
+ automagically: http://www.google.com or simply www.google.com - You can set
+ link text as well: [[http://www.google.com|This Link points to google]]. Email
+ addresses like this one: <andi@splitbrain.org> are recognized, too.
+
+==== Internal ====
+
+Internal links are created by using square brackets. You can either just give a [[pagename]] or use an additional [[pagename|link text]].
+
+ Internal links are created by using square brackets. You can either just give
+ a [[pagename]] or use an additional [[pagename|link text]].
+
+[[doku>pagename|Wiki pagenames]] are converted to lowercase automatically, special characters are not allowed.
+
+You can use [[some:namespaces]] by using a colon in the pagename.
+
+ You can use [[some:namespaces]] by using a colon in the pagename.
+
+For details about namespaces see [[doku>namespaces]].
+
+Linking to a specific section is possible, too. Just add the section name behind a hash character as known from HTML. This links to [[syntax#internal|this Section]].
+
+ This links to [[syntax#internal|this Section]].
+
+Notes:
+
+ * Links to [[syntax|existing pages]] are shown in a different style from [[nonexisting]] ones.
+ * DokuWiki does not use [[wp>CamelCase]] to automatically create links by default, but this behavior can be enabled in the [[doku>config]] file. Hint: If DokuWiki is a link, then it's enabled.
+ * When a section's heading is changed, its bookmark changes, too. So don't rely on section linking too much.
+
+==== Interwiki ====
+
+DokuWiki supports [[doku>Interwiki]] links. These are quick links to other Wikis. For example this is a link to Wikipedia's page about Wikis: [[wp>Wiki]].
+
+ DokuWiki supports [[doku>Interwiki]] links. These are quick links to other Wikis.
+ For example this is a link to Wikipedia's page about Wikis: [[wp>Wiki]].
+
+
+==== Windows Shares ====
+
+Windows shares like [[\\server\share|this]] are recognized, too. Please note that these only make sense in a homogeneous user group like a corporate [[wp>Intranet]].
+
+ Windows Shares like [[\\server\share|this]] are recognized, too.
+
+Notes:
+
+ * For security reasons direct browsing of windows shares only works in Microsoft Internet Explorer per default (and only in the "local zone").
+ * For Mozilla and Firefox it can be enabled through different workaround mentioned in the [[http://kb.mozillazine.org/Links_to_local_pages_don't_work|Mozilla Knowledge Base]].
+
+
+==== Image Links ====
+
+You can also use an image to link to another internal or external page by combining the syntax for links and [[#images_and_other_files|images]] (see below) like this:
+
+ [[http://www.php.net|{{wiki:dokuwiki-128.png}}]]
+
+[[http://www.php.net|{{wiki:dokuwiki-128.png}}]]
+
+Please note: The image formatting is the only formatting syntax accepted in link names.
+
+The whole [[#images_and_other_files|image]] and [[#links|link]] syntax is supported (including image resizing, internal and external images and URLs and interwiki links).
+
+===== Footnotes =====
+
+You can add footnotes ((This is a footnote)) by using double parentheses.
+
+ You can add footnotes ((This is a footnote)) by using double parentheses.
+
+===== Sectioning =====
+
+You can use up to five different levels of headlines to structure your content. If you have more than three headlines, a table of contents is generated automatically -- this can be disabled by including the string ''<nowiki>~~NOTOC~~</nowiki>'' in the document.
+
+==== Headline Level 3 ====
+=== Headline Level 4 ===
+== Headline Level 5 ==
+
+ ==== Headline Level 3 ====
+ === Headline Level 4 ===
+ == Headline Level 5 ==
+
+By using four or more dashes, you can make a horizontal line:
+
+----
+
+===== Images and Other Files =====
+
+You can include external and internal [[doku>images]] with curly brackets. Optionally you can specify the size of them.
+
+Real size: {{wiki:dokuwiki-128.png}}
+
+Resize to given width: {{wiki:dokuwiki-128.png?50}}
+
+Resize to given width and height((when the aspect ratio of the given width and height doesn't match that of the image, it will be cropped to the new ratio before resizing)): {{wiki:dokuwiki-128.png?200x50}}
+
+Resized external image: {{http://de3.php.net/images/php.gif?200x50}}
+
+ Real size: {{wiki:dokuwiki-128.png}}
+ Resize to given width: {{wiki:dokuwiki-128.png?50}}
+ Resize to given width and height: {{wiki:dokuwiki-128.png?200x50}}
+ Resized external image: {{http://de3.php.net/images/php.gif?200x50}}
+
+
+By using left or right whitespaces you can choose the alignment.
+
+{{ wiki:dokuwiki-128.png}}
+
+{{wiki:dokuwiki-128.png }}
+
+{{ wiki:dokuwiki-128.png }}
+
+ {{ wiki:dokuwiki-128.png}}
+ {{wiki:dokuwiki-128.png }}
+ {{ wiki:dokuwiki-128.png }}
+
+Of course, you can add a title (displayed as a tooltip by most browsers), too.
+
+{{ wiki:dokuwiki-128.png |This is the caption}}
+
+ {{ wiki:dokuwiki-128.png |This is the caption}}
+
+If you specify a filename (external or internal) that is not an image (''gif, jpeg, png''), then it will be displayed as a link instead.
+
+For linking an image to another page see [[#Image Links]] above.
+
+===== Lists =====
+
+Dokuwiki supports ordered and unordered lists. To create a list item, indent your text by two spaces and use a ''*'' for unordered lists or a ''-'' for ordered ones.
+
+ * This is a list
+ * The second item
+ * You may have different levels
+ * Another item
+
+ - The same list but ordered
+ - Another item
+ - Just use indention for deeper levels
+ - That's it
+
+<code>
+ * This is a list
+ * The second item
+ * You may have different levels
+ * Another item
+
+ - The same list but ordered
+ - Another item
+ - Just use indention for deeper levels
+ - That's it
+</code>
+
+Also take a look at the [[doku>faq:lists|FAQ on list items]].
+
+===== Text Conversions =====
+
+DokuWiki can convert certain pre-defined characters or strings into images or other text or HTML.
+
+The text to image conversion is mainly done for smileys. And the text to HTML conversion is used for typography replacements, but can be configured to use other HTML as well.
+
+==== Text to Image Conversions ====
+
+DokuWiki converts commonly used [[wp>emoticon]]s to their graphical equivalents. Those [[doku>Smileys]] and other images can be configured and extended. Here is an overview of Smileys included in DokuWiki:
+
+ * 8-) %% 8-) %%
+ * 8-O %% 8-O %%
+ * :-( %% :-( %%
+ * :-) %% :-) %%
+ * =) %% =) %%
+ * :-/ %% :-/ %%
+ * :-\ %% :-\ %%
+ * :-? %% :-? %%
+ * :-D %% :-D %%
+ * :-P %% :-P %%
+ * :-O %% :-O %%
+ * :-X %% :-X %%
+ * :-| %% :-| %%
+ * ;-) %% ;-) %%
+ * ^_^ %% ^_^ %%
+ * :?: %% :?: %%
+ * :!: %% :!: %%
+ * LOL %% LOL %%
+ * FIXME %% FIXME %%
+ * DELETEME %% DELETEME %%
+
+==== Text to HTML Conversions ====
+
+Typography: [[DokuWiki]] can convert simple text characters to their typographically correct entities. Here is an example of recognized characters.
+
+-> <- <-> => <= <=> >> << -- --- 640x480 (c) (tm) (r)
+"He thought 'It's a man's world'..."
+
+<code>
+-> <- <-> => <= <=> >> << -- --- 640x480 (c) (tm) (r)
+"He thought 'It's a man's world'..."
+</code>
+
+The same can be done to produce any kind of HTML, it just needs to be added to the [[doku>entities|pattern file]].
+
+There are three exceptions which do not come from that pattern file: multiplication entity (640x480), 'single' and "double quotes". They can be turned off through a [[doku>config:typography|config option]].
+
+===== Quoting =====
+
+Some times you want to mark some text to show it's a reply or comment. You can use the following syntax:
+
+ I think we should do it
+
+ > No we shouldn't
+
+ >> Well, I say we should
+
+ > Really?
+
+ >> Yes!
+
+ >>> Then lets do it!
+
+I think we should do it
+
+> No we shouldn't
+
+>> Well, I say we should
+
+> Really?
+
+>> Yes!
+
+>>> Then lets do it!
+
+===== Tables =====
+
+DokuWiki supports a simple syntax to create tables.
+
+^ Heading 1 ^ Heading 2 ^ Heading 3 ^
+| Row 1 Col 1 | Row 1 Col 2 | Row 1 Col 3 |
+| Row 2 Col 1 | some colspan (note the double pipe) ||
+| Row 3 Col 1 | Row 3 Col 2 | Row 3 Col 3 |
+
+Table rows have to start and end with a ''|'' for normal rows or a ''^'' for headers.
+
+ ^ Heading 1 ^ Heading 2 ^ Heading 3 ^
+ | Row 1 Col 1 | Row 1 Col 2 | Row 1 Col 3 |
+ | Row 2 Col 1 | some colspan (note the double pipe) ||
+ | Row 3 Col 1 | Row 3 Col 2 | Row 3 Col 3 |
+
+To connect cells horizontally, just make the next cell completely empty as shown above. Be sure to have always the same amount of cell separators!
+
+Vertical tableheaders are possible, too.
+
+| ^ Heading 1 ^ Heading 2 ^
+^ Heading 3 | Row 1 Col 2 | Row 1 Col 3 |
+^ Heading 4 | no colspan this time | |
+^ Heading 5 | Row 2 Col 2 | Row 2 Col 3 |
+
+As you can see, it's the cell separator before a cell which decides about the formatting:
+
+ | ^ Heading 1 ^ Heading 2 ^
+ ^ Heading 3 | Row 1 Col 2 | Row 1 Col 3 |
+ ^ Heading 4 | no colspan this time | |
+ ^ Heading 5 | Row 2 Col 2 | Row 2 Col 3 |
+
+You can have rowspans (vertically connected cells) by adding '':::'' into the cells below the one to which they should connect.
+
+^ Heading 1 ^ Heading 2 ^ Heading 3 ^
+| Row 1 Col 1 | this cell spans vertically | Row 1 Col 3 |
+| Row 2 Col 1 | ::: | Row 2 Col 3 |
+| Row 3 Col 1 | ::: | Row 2 Col 3 |
+
+Apart from the rowspan syntax those cells should not contain anything else.
+
+ ^ Heading 1 ^ Heading 2 ^ Heading 3 ^
+ | Row 1 Col 1 | this cell spans vertically | Row 1 Col 3 |
+ | Row 2 Col 1 | ::: | Row 2 Col 3 |
+ | Row 3 Col 1 | ::: | Row 2 Col 3 |
+
+You can align the table contents, too. Just add at least two whitespaces at the opposite end of your text: Add two spaces on the left to align right, two spaces on the right to align left and two spaces at least at both ends for centered text.
+
+^ Table with alignment ^^^
+| right| center |left |
+|left | right| center |
+| xxxxxxxxxxxx | xxxxxxxxxxxx | xxxxxxxxxxxx |
+
+This is how it looks in the source:
+
+ ^ Table with alignment ^^^
+ | right| center |left |
+ |left | right| center |
+ | xxxxxxxxxxxx | xxxxxxxxxxxx | xxxxxxxxxxxx |
+
+Note: Vertical alignment is not supported.
+
+===== No Formatting =====
+
+If you need to display text exactly like it is typed (without any formatting), enclose the area either with ''%%<nowiki>%%'' tags or even simpler, with double percent signs ''<nowiki>%%</nowiki>''.
+
+<nowiki>
+This is some text which contains addresses like this: http://www.splitbrain.org and **formatting**, but nothing is done with it.
+</nowiki>
+The same is true for %%//__this__ text// with a smiley ;-)%%.
+
+ <nowiki>
+ This is some text which contains addresses like this: http://www.splitbrain.org and **formatting**, but nothing is done with it.
+ </nowiki>
+ The same is true for %%//__this__ text// with a smiley ;-)%%.
+
+===== Code Blocks =====
+
+You can include code blocks into your documents by either indenting them by at least two spaces (like used for the previous examples) or by using the tags ''%%<code>%%'' or ''%%<file>%%''.
+
+ This is text is indented by two spaces.
+
+<code>
+This is preformatted code all spaces are preserved: like <-this
+</code>
+
+<file>
+This is pretty much the same, but you could use it to show that you quoted a file.
+</file>
+
+Those blocks were created by this source:
+
+ This is text is indented by two spaces.
+
+ <code>
+ This is preformatted code all spaces are preserved: like <-this
+ </code>
+
+ <file>
+ This is pretty much the same, but you could use it to show that you quoted a file.
+ </file>
+
+==== Syntax Highlighting ====
+
+[[wiki:DokuWiki]] can highlight sourcecode, which makes it easier to read. It uses the [[http://qbnz.com/highlighter/|GeSHi]] Generic Syntax Highlighter -- so any language supported by GeSHi is supported. The syntax is the same like in the code and file blocks in the previous section, but this time the name of the used language is inserted inside the tag. Eg. ''<nowiki><code java></nowiki>'' or ''<nowiki><file java></nowiki>''.
+
+<code java>
+/**
+ * The HelloWorldApp class implements an application that
+ * simply displays "Hello World!" to the standard output.
+ */
+class HelloWorldApp {
+ public static void main(String[] args) {
+ System.out.println("Hello World!"); //Display the string.
+ }
+}
+</code>
+
+The following language strings are currently recognized: //abap, actionscript-french, actionscript, actionscript3, ada, apache, applescript, asm, asp, autoit, avisynth, bash, basic4gl, bf, bibtex, blitzbasic, bnf, boo, c, c_mac, caddcl, cadlisp, cfdg, cfm, cil, cmake, cobol, cpp, cpp-qt, csharp, css, d, dcs, delphi, diff, div, dos, dot, eiffel, email, erlang, fo, fortran, freebasic, genero, glsl, gml, gnuplot, groovy, gettext, haskell, hq9plus, html, idl, ini, inno, intercal, io, java5, java, javascript, kixtart, klonec, klonecpp, latex, lisp, locobasic, lolcode, lotusformulas, lotusscript, lscript, lsl2, lua, m68k, make, matlab, mirc, modula3, mpasm, mxml, mysql, nsis, oberon2, objc, ocaml-brief, ocaml, oobas, oracle8, oracle11, pascal, perl, per, php-brief, php, pic16, pixelbender, plsql, povray, powershell, progress, prolog, properties, providex, python, qbasic, rails, rebol, reg, robots, ruby, sas, scala, scheme, scilab, sdlbasic, smalltalk, smarty, sql, tcl, teraterm, text, thinbasic, tsql, typoscript, vbnet, vb, verilog, vhdl, vim, visualfoxpro, visualprolog, whitespace, winbatch, whois, xml, xorg_conf, xpp, z80//
+
+==== Downloadable Code Blocks ====
+
+When you use the ''%%<code>%%'' or ''%%<file>%%'' syntax as above, you might want to make the shown code available for download as well. You can to this by specifying a file name after language code like this:
+
+<code>
+<file php myexample.php>
+<?php echo "hello world!"; ?>
+</file>
+</code>
+
+<file php myexample.php>
+<?php echo "hello world!"; ?>
+</file>
+
+If you don't want any highlighting but want a downloadable file, specify a dash (''-'') as the language code: ''%%<code - myfile.foo>%%''.
+
+
+===== Embedding HTML and PHP =====
+
+You can embed raw HTML or PHP code into your documents by using the ''%%<html>%%'' or ''%%<php>%%'' tags. (Use uppercase tags if you need to enclose block level elements.)
+
+HTML example:
+
+<code>
+<html>
+This is some <span style="color:red;font-size:150%;">inline HTML</span>
+</html>
+<HTML>
+<p style="border:2px dashed red;">And this is some block HTML</p>
+</HTML>
+</code>
+
+<html>
+This is some <span style="color:red;font-size:150%;">inline HTML</span>
+</html>
+<HTML>
+<p style="border:2px dashed red;">And this is some block HTML</p>
+</HTML>
+
+PHP example:
+
+<code>
+<php>
+echo 'A logo generated by PHP:';
+echo '<img src="' . $_SERVER['PHP_SELF'] . '?=' . php_logo_guid() . '" alt="PHP Logo !" />';
+echo '(generated inline HTML)';
+</php>
+<PHP>
+echo '<table class="inline"><tr><td>The same, but inside a block level element:</td>';
+echo '<td><img src="' . $_SERVER['PHP_SELF'] . '?=' . php_logo_guid() . '" alt="PHP Logo !" /></td>';
+echo '</tr></table>';
+</PHP>
+</code>
+
+<php>
+echo 'A logo generated by PHP:';
+echo '<img src="' . $_SERVER['PHP_SELF'] . '?=' . php_logo_guid() . '" alt="PHP Logo !" />';
+echo '(inline HTML)';
+</php>
+<PHP>
+echo '<table class="inline"><tr><td>The same, but inside a block level element:</td>';
+echo '<td><img src="' . $_SERVER['PHP_SELF'] . '?=' . php_logo_guid() . '" alt="PHP Logo !" /></td>';
+echo '</tr></table>';
+</PHP>
+
+**Please Note**: HTML and PHP embedding is disabled by default in the configuration. If disabled, the code is displayed instead of executed.
+
+===== RSS/ATOM Feed Aggregation =====
+[[DokuWiki]] can integrate data from external XML feeds. For parsing the XML feeds, [[http://simplepie.org/|SimplePie]] is used. All formats understood by SimplePie can be used in DokuWiki as well. You can influence the rendering by multiple additional space separated parameters:
+
+^ Parameter ^ Description ^
+| any number | will be used as maximum number items to show, defaults to 8 |
+| reverse | display the last items in the feed first |
+| author | show item authors names |
+| date | show item dates |
+| description| show the item description. If [[doku>config:htmlok|HTML]] is disabled all tags will be stripped |
+| //n//[dhm] | refresh period, where d=days, h=hours, m=minutes. (e.g. 12h = 12 hours). |
+
+The refresh period defaults to 4 hours. Any value below 10 minutes will be treated as 10 minutes. [[wiki:DokuWiki]] will generally try to supply a cached version of a page, obviously this is inappropriate when the page contains dynamic external content. The parameter tells [[wiki:DokuWiki]] to re-render the page if it is more than //refresh period// since the page was last rendered.
+
+**Example:**
+
+ {{rss>http://slashdot.org/index.rss 5 author date 1h }}
+
+{{rss>http://slashdot.org/index.rss 5 author date 1h }}
+
+
+===== Control Macros =====
+
+Some syntax influences how DokuWiki renders a page without creating any output it self. The following control macros are availble:
+
+^ Macro ^ Description |
+| %%~~NOTOC~~%% | If this macro is found on the page, no table of contents will be created |
+| %%~~NOCACHE~~%% | DokuWiki caches all output by default. Sometimes this might not be wanted (eg. when the %%<php>%% syntax above is used), adding this macro will force DokuWiki to rerender a page on every call |
+
+===== Syntax Plugins =====
+
+DokuWiki's syntax can be extended by [[doku>plugins|Plugins]]. How the installed plugins are used is described on their appropriate description pages. The following syntax plugins are available in this particular DokuWiki installation:
+
+~~INFO:syntaxplugins~~
diff --git a/mod/dokuwiki/vendors/dokuwiki/data/tmp/_dummy b/mod/dokuwiki/vendors/dokuwiki/data/tmp/_dummy
new file mode 100644
index 000000000..e69de29bb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/data/tmp/_dummy
diff --git a/mod/dokuwiki/vendors/dokuwiki/doku.php b/mod/dokuwiki/vendors/dokuwiki/doku.php
new file mode 100644
index 000000000..5566577f6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/doku.php
@@ -0,0 +1,109 @@
+<?php
+/**
+ * DokuWiki mainscript
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+
+// xdebug_start_profiling();
+
+if(!defined('DOKU_INC')) define('DOKU_INC',dirname(__FILE__).'/');
+global $ACT;
+global $conf;
+
+if (isset($_SERVER['HTTP_X_DOKUWIKI_DO'])){
+ $ACT = trim(strtolower($_SERVER['HTTP_X_DOKUWIKI_DO']));
+} elseif (!empty($IDX)) {
+ $ACT = 'index';
+} elseif (get_input('do')) {
+ $ACT = get_input('do');
+} else {
+ $ACT = 'show';
+}
+
+global $QUERY;
+global $ID;
+global $NS;
+global $REV;
+global $IDX;
+global $DATE;
+global $RANGE;
+global $HIGH;
+global $TEXT;
+global $PRE;
+global $SUF;
+global $SUM;
+global $REV;
+global $INFO;
+global $JSINFO;
+
+
+require_once(DOKU_INC.'inc/init.php');
+require_once(DOKU_INC.'inc/common.php');
+require_once(DOKU_INC.'inc/events.php');
+require_once(DOKU_INC.'inc/pageutils.php');
+require_once(DOKU_INC.'inc/html.php');
+require_once(DOKU_INC.'inc/auth.php');
+require_once(DOKU_INC.'inc/actions.php');
+
+//import variables
+$QUERY = trim(get_input('id'));
+$ID = getID();
+$NS = getNS($ID);
+$REV = get_input('rev');
+$IDX = get_input('idx');
+$DATE = get_input('date');
+$RANGE = get_input('lines');
+$HIGH = get_input('s');
+if(empty($HIGH)) $HIGH = getGoogleQuery();
+
+$TEXT = cleanText($_POST['wikitext']);
+$PRE = cleanText($_POST['prefix']);
+$SUF = cleanText($_POST['suffix']);
+$SUM = get_input('summary');
+
+//sanitize revision
+$REV = preg_replace('/[^0-9]/','',$REV);
+
+//make infos about the selected page available
+$INFO = pageinfo();
+
+//export minimal infos to JS, plugins can add more
+$JSINFO['id'] = $ID;
+$JSINFO['namespace'] = (string) $INFO['namespace'];
+
+
+// handle debugging
+if($conf['allowdebug'] && $ACT == 'debug'){
+ html_debug();
+ exit;
+}
+
+//send 404 for missing pages if configured or ID has special meaning to bots
+if(!$INFO['exists'] &&
+ ($conf['send404'] || preg_match('/^(robots\.txt|sitemap\.xml(\.gz)?|favicon\.ico|crossdomain\.xml)$/',$ID)) &&
+ ($ACT == 'show' || substr($ACT,0,7) == 'export_') ){
+ header('HTTP/1.0 404 Not Found');
+}
+
+//prepare breadcrumbs (initialize a static var)
+if ($conf['breadcrumbs']) breadcrumbs();
+
+// check upstream
+checkUpdateMessages();
+
+$tmp = array(); // No event data
+trigger_event('DOKUWIKI_STARTED',$tmp);
+
+//close session
+session_write_close();
+
+//do the work
+act_dispatch($ACT);
+
+$tmp = array(); // No event data
+trigger_event('DOKUWIKI_DONE', $tmp);
+
+// xdebug_dump_function_profile(1);
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/feed.php b/mod/dokuwiki/vendors/dokuwiki/feed.php
new file mode 100644
index 000000000..e7ea5808c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/feed.php
@@ -0,0 +1,353 @@
+<?php
+/**
+ * XML feed export
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+
+if(!defined('DOKU_INC')) define('DOKU_INC',dirname(__FILE__).'/');
+require_once(DOKU_INC.'inc/init.php');
+require_once(DOKU_INC.'inc/common.php');
+require_once(DOKU_INC.'inc/events.php');
+require_once(DOKU_INC.'inc/parserutils.php');
+require_once(DOKU_INC.'inc/feedcreator.class.php');
+require_once(DOKU_INC.'inc/auth.php');
+require_once(DOKU_INC.'inc/pageutils.php');
+require_once(DOKU_INC.'inc/httputils.php');
+
+//close session
+session_write_close();
+
+// get params
+$opt = rss_parseOptions();
+
+// the feed is dynamic - we need a cache for each combo
+// (but most people just use the default feed so it's still effective)
+$cache = getCacheName(join('',array_values($opt)).$_SERVER['REMOTE_USER'],'.feed');
+$key = join('', array_values($opt)) . $_SERVER['REMOTE_USER'];
+$cache = new cache($key, '.feed');
+
+// prepare cache depends
+$depends['files'] = getConfigFiles('main');
+$depends['age'] = $conf['rss_update'];
+$depends['purge'] = ($_REQUEST['purge']) ? true : false;
+
+// check cacheage and deliver if nothing has changed since last
+// time or the update interval has not passed, also handles conditional requests
+header('Cache-Control: must-revalidate, post-check=0, pre-check=0');
+header('Pragma: public');
+header('Content-Type: application/xml; charset=utf-8');
+header('X-Robots-Tag: noindex');
+if($cache->useCache($depends)) {
+ http_conditionalRequest($cache->_time);
+ if($conf['allowdebug']) header("X-CacheUsed: $cache->cache");
+ print $cache->retrieveCache();
+ exit;
+} else {
+ http_conditionalRequest(time());
+ }
+
+// create new feed
+$rss = new DokuWikiFeedCreator();
+$rss->title = $conf['title'].(($opt['namespace']) ? ' '.$opt['namespace'] : '');
+$rss->link = DOKU_URL;
+$rss->syndicationURL = DOKU_URL.'feed.php';
+$rss->cssStyleSheet = DOKU_URL.'lib/exe/css.php?s=feed';
+
+$image = new FeedImage();
+$image->title = $conf['title'];
+$image->url = DOKU_URL."lib/images/favicon.ico";
+$image->link = DOKU_URL;
+$rss->image = $image;
+
+$data = null;
+if($opt['feed_mode'] == 'list'){
+ $data = rssListNamespace($opt);
+}elseif($opt['feed_mode'] == 'search'){
+ $data = rssSearch($opt);
+}else{
+ $eventData = array(
+ 'opt' => &$opt,
+ 'data' => &$data,
+ );
+ $event = new Doku_Event('FEED_MODE_UNKNOWN', $eventData);
+ if ($event->advise_before(true)) {
+ $data = rssRecentChanges($opt);
+ }
+ $event->advise_after();
+}
+
+rss_buildItems($rss, $data, $opt);
+$feed = $rss->createFeed($opt['feed_type'],'utf-8');
+
+// save cachefile
+$cache->storeCache($feed);
+
+// finally deliver
+print $feed;
+
+// ---------------------------------------------------------------- //
+
+/**
+ * Get URL parameters and config options and return a initialized option array
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function rss_parseOptions(){
+ global $conf;
+
+ $opt['items'] = (int) $_REQUEST['num'];
+ $opt['feed_type'] = $_REQUEST['type'];
+ $opt['feed_mode'] = $_REQUEST['mode'];
+ $opt['show_minor'] = $_REQUEST['minor'];
+ $opt['namespace'] = $_REQUEST['ns'];
+ $opt['link_to'] = $_REQUEST['linkto'];
+ $opt['item_content'] = $_REQUEST['content'];
+ $opt['search_query'] = $_REQUEST['q'];
+
+ if(!$opt['feed_type']) $opt['feed_type'] = $conf['rss_type'];
+ if(!$opt['item_content']) $opt['item_content'] = $conf['rss_content'];
+ if(!$opt['link_to']) $opt['link_to'] = $conf['rss_linkto'];
+ if(!$opt['items']) $opt['items'] = $conf['recent'];
+ $opt['guardmail'] = ($conf['mailguard'] != '' && $conf['mailguard'] != 'none');
+
+ switch ($opt['feed_type']){
+ case 'rss':
+ $opt['feed_type'] = 'RSS0.91';
+ $opt['mime_type'] = 'text/xml';
+ break;
+ case 'rss2':
+ $opt['feed_type'] = 'RSS2.0';
+ $opt['mime_type'] = 'text/xml';
+ break;
+ case 'atom':
+ $opt['feed_type'] = 'ATOM0.3';
+ $opt['mime_type'] = 'application/xml';
+ break;
+ case 'atom1':
+ $opt['feed_type'] = 'ATOM1.0';
+ $opt['mime_type'] = 'application/atom+xml';
+ break;
+ default:
+ $opt['feed_type'] = 'RSS1.0';
+ $opt['mime_type'] = 'application/xml';
+ }
+
+ $eventData = array(
+ 'opt' => &$opt,
+ );
+ trigger_event('FEED_OPTS_POSTPROCESS', $eventData);
+ return $opt;
+}
+
+/**
+ * Add recent changed pages to a feed object
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @param object $rss - the FeedCreator Object
+ * @param array $data - the items to add
+ * @param array $opt - the feed options
+ */
+function rss_buildItems(&$rss,&$data,$opt){
+ global $conf;
+ global $lang;
+ global $auth;
+
+ $eventData = array(
+ 'rss' => &$rss,
+ 'data' => &$data,
+ 'opt' => &$opt,
+ );
+ $event = new Doku_Event('FEED_DATA_PROCESS', $eventData);
+ if ($event->advise_before(false)){
+ foreach($data as $ditem){
+ if(!is_array($ditem)){
+ // not an array? then only a list of IDs was given
+ $ditem = array( 'id' => $ditem );
+ }
+
+ $item = new FeedItem();
+ $id = $ditem['id'];
+ $meta = p_get_metadata($id);
+
+ // add date
+ if($ditem['date']){
+ $date = $ditem['date'];
+ }elseif($meta['date']['modified']){
+ $date = $meta['date']['modified'];
+ }else{
+ $date = @filemtime(wikiFN($id));
+ }
+ if($date) $item->date = date('r',$date);
+
+ // add title
+ if($conf['useheading'] && $meta['title']){
+ $item->title = $meta['title'];
+ }else{
+ $item->title = $ditem['id'];
+ }
+ if($conf['rss_show_summary'] && !empty($ditem['sum'])){
+ $item->title .= ' - '.strip_tags($ditem['sum']);
+ }
+
+ // add item link
+ switch ($opt['link_to']){
+ case 'page':
+ $item->link = wl($id,'rev='.$date,true,'&');
+ break;
+ case 'rev':
+ $item->link = wl($id,'do=revisions&rev='.$date,true,'&');
+ break;
+ case 'current':
+ $item->link = wl($id, '', true,'&');
+ break;
+ case 'diff':
+ default:
+ $item->link = wl($id,'rev='.$date.'&do=diff',true,'&');
+ }
+
+ // add item content
+ switch ($opt['item_content']){
+ case 'diff':
+ case 'htmldiff':
+ require_once(DOKU_INC.'inc/DifferenceEngine.php');
+ $revs = getRevisions($id, 0, 1);
+ $rev = $revs[0];
+
+ if($rev){
+ $df = new Diff(explode("\n",htmlspecialchars(rawWiki($id,$rev))),
+ explode("\n",htmlspecialchars(rawWiki($id,''))));
+ }else{
+ $df = new Diff(array(''),
+ explode("\n",htmlspecialchars(rawWiki($id,''))));
+ }
+
+ if($opt['item_content'] == 'htmldiff'){
+ $tdf = new TableDiffFormatter();
+ $content = '<table>';
+ $content .= '<tr><th colspan="2" width="50%">'.$rev.'</th>';
+ $content .= '<th colspan="2" width="50%">'.$lang['current'].'</th></tr>';
+ $content .= $tdf->format($df);
+ $content .= '</table>';
+ }else{
+ $udf = new UnifiedDiffFormatter();
+ $content = "<pre>\n".$udf->format($df)."\n</pre>";
+ }
+ break;
+ case 'html':
+ $content = p_wiki_xhtml($id,$date,false);
+ // no TOC in feeds
+ $content = preg_replace('/(<!-- TOC START -->).*(<!-- TOC END -->)/s','',$content);
+
+ // make URLs work when canonical is not set, regexp instead of rerendering!
+ if(!$conf['canonical']){
+ $base = preg_quote(DOKU_REL,'/');
+ $content = preg_replace('/(<a href|<img src)="('.$base.')/s','$1="'.DOKU_URL,$content);
+ }
+
+ break;
+ case 'abstract':
+ default:
+ $content = $meta['description']['abstract'];
+ }
+ $item->description = $content; //FIXME a plugin hook here could be senseful
+
+ // add user
+ # FIXME should the user be pulled from metadata as well?
+ $user = null;
+ $user = @$ditem['user']; // the @ spares time repeating lookup
+ $item->author = '';
+ if($user && $conf['useacl'] && $auth){
+ $userInfo = $auth->getUserData($user);
+ $item->author = $userInfo['name'];
+ if($userInfo && !$opt['guardmail']){
+ $item->authorEmail = $userInfo['mail'];
+ }else{
+ //cannot obfuscate because some RSS readers may check validity
+ $item->authorEmail = $user.'@'.$ditem['ip'];
+ }
+ }elseif($user){
+ // this happens when no ACL but some Apache auth is used
+ $item->author = $user;
+ $item->authorEmail = $user.'@'.$ditem['ip'];
+ }else{
+ $item->authorEmail = 'anonymous@'.$ditem['ip'];
+ }
+
+ // add category
+ if($meta['subject']){
+ $item->category = $meta['subject'];
+ }else{
+ $cat = getNS($id);
+ if($cat) $item->category = $cat;
+ }
+
+ // finally add the item to the feed object, after handing it to registered plugins
+ $evdata = array('item' => &$item,
+ 'opt' => &$opt,
+ 'ditem' => &$ditem,
+ 'rss' => &$rss);
+ $evt = new Doku_Event('FEED_ITEM_ADD', $evdata);
+ if ($evt->advise_before()){
+ $rss->addItem($item);
+ }
+ $evt->advise_after(); // for completeness
+ }
+ }
+ $event->advise_after();
+}
+
+
+/**
+ * Add recent changed pages to the feed object
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function rssRecentChanges($opt){
+ global $conf;
+ global $auth;
+
+ $flags = RECENTS_SKIP_DELETED;
+ if(!$opt['show_minor']) $flags += RECENTS_SKIP_MINORS;
+
+ $recents = getRecents(0,$opt['items'],$opt['namespace'],$flags);
+ return $recents;
+}
+
+/**
+ * Add all pages of a namespace to the feed object
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function rssListNamespace($opt){
+ require_once(DOKU_INC.'inc/search.php');
+ global $conf;
+
+ $ns=':'.cleanID($opt['namespace']);
+ $ns=str_replace(':','/',$ns);
+
+ $data = array();
+ sort($data);
+ search($data,$conf['datadir'],'search_list','',$ns);
+
+ return $data;
+}
+
+/**
+ * Add the result of a full text search to the feed object
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function rssSearch($opt){
+ if(!$opt['search_query']) return;
+
+ require_once(DOKU_INC.'inc/fulltext.php');
+ $data = array();
+ $data = ft_pageSearch($opt['search_query'],$poswords);
+ $data = array_keys($data);
+
+ return $data;
+}
+
+//Setup VIM: ex: et ts=4 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/DifferenceEngine.php b/mod/dokuwiki/vendors/dokuwiki/inc/DifferenceEngine.php
new file mode 100644
index 000000000..e28826c1f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/DifferenceEngine.php
@@ -0,0 +1,1066 @@
+<?php
+/**
+ * A PHP diff engine for phpwiki. (Taken from phpwiki-1.3.3)
+ *
+ * Additions by Axel Boldt for MediaWiki
+ *
+ * @copyright (C) 2000, 2001 Geoffrey T. Dairiki <dairiki@dairiki.org>
+ * @license You may copy this code freely under the conditions of the GPL.
+ */
+define('USE_ASSERTS', function_exists('assert'));
+
+class _DiffOp {
+ var $type;
+ var $orig;
+ var $closing;
+
+ function reverse() {
+ trigger_error("pure virtual", E_USER_ERROR);
+ }
+
+ function norig() {
+ return $this->orig ? sizeof($this->orig) : 0;
+ }
+
+ function nclosing() {
+ return $this->closing ? sizeof($this->closing) : 0;
+ }
+}
+
+class _DiffOp_Copy extends _DiffOp {
+ var $type = 'copy';
+
+ function _DiffOp_Copy ($orig, $closing = false) {
+ if (!is_array($closing))
+ $closing = $orig;
+ $this->orig = $orig;
+ $this->closing = $closing;
+ }
+
+ function reverse() {
+ return new _DiffOp_Copy($this->closing, $this->orig);
+ }
+}
+
+class _DiffOp_Delete extends _DiffOp {
+ var $type = 'delete';
+
+ function _DiffOp_Delete ($lines) {
+ $this->orig = $lines;
+ $this->closing = false;
+ }
+
+ function reverse() {
+ return new _DiffOp_Add($this->orig);
+ }
+}
+
+class _DiffOp_Add extends _DiffOp {
+ var $type = 'add';
+
+ function _DiffOp_Add ($lines) {
+ $this->closing = $lines;
+ $this->orig = false;
+ }
+
+ function reverse() {
+ return new _DiffOp_Delete($this->closing);
+ }
+}
+
+class _DiffOp_Change extends _DiffOp {
+ var $type = 'change';
+
+ function _DiffOp_Change ($orig, $closing) {
+ $this->orig = $orig;
+ $this->closing = $closing;
+ }
+
+ function reverse() {
+ return new _DiffOp_Change($this->closing, $this->orig);
+ }
+}
+
+
+/**
+ * Class used internally by Diff to actually compute the diffs.
+ *
+ * The algorithm used here is mostly lifted from the perl module
+ * Algorithm::Diff (version 1.06) by Ned Konz, which is available at:
+ * http://www.perl.com/CPAN/authors/id/N/NE/NEDKONZ/Algorithm-Diff-1.06.zip
+ *
+ * More ideas are taken from:
+ * http://www.ics.uci.edu/~eppstein/161/960229.html
+ *
+ * Some ideas are (and a bit of code) are from from analyze.c, from GNU
+ * diffutils-2.7, which can be found at:
+ * ftp://gnudist.gnu.org/pub/gnu/diffutils/diffutils-2.7.tar.gz
+ *
+ * closingly, some ideas (subdivision by NCHUNKS > 2, and some optimizations)
+ * are my own.
+ *
+ * @author Geoffrey T. Dairiki
+ * @access private
+ */
+class _DiffEngine
+{
+ function diff ($from_lines, $to_lines) {
+ $n_from = sizeof($from_lines);
+ $n_to = sizeof($to_lines);
+
+ $this->xchanged = $this->ychanged = array();
+ $this->xv = $this->yv = array();
+ $this->xind = $this->yind = array();
+ unset($this->seq);
+ unset($this->in_seq);
+ unset($this->lcs);
+
+ // Skip leading common lines.
+ for ($skip = 0; $skip < $n_from && $skip < $n_to; $skip++) {
+ if ($from_lines[$skip] != $to_lines[$skip])
+ break;
+ $this->xchanged[$skip] = $this->ychanged[$skip] = false;
+ }
+ // Skip trailing common lines.
+ $xi = $n_from; $yi = $n_to;
+ for ($endskip = 0; --$xi > $skip && --$yi > $skip; $endskip++) {
+ if ($from_lines[$xi] != $to_lines[$yi])
+ break;
+ $this->xchanged[$xi] = $this->ychanged[$yi] = false;
+ }
+
+ // Ignore lines which do not exist in both files.
+ for ($xi = $skip; $xi < $n_from - $endskip; $xi++)
+ $xhash[$from_lines[$xi]] = 1;
+ for ($yi = $skip; $yi < $n_to - $endskip; $yi++) {
+ $line = $to_lines[$yi];
+ if ( ($this->ychanged[$yi] = empty($xhash[$line])) )
+ continue;
+ $yhash[$line] = 1;
+ $this->yv[] = $line;
+ $this->yind[] = $yi;
+ }
+ for ($xi = $skip; $xi < $n_from - $endskip; $xi++) {
+ $line = $from_lines[$xi];
+ if ( ($this->xchanged[$xi] = empty($yhash[$line])) )
+ continue;
+ $this->xv[] = $line;
+ $this->xind[] = $xi;
+ }
+
+ // Find the LCS.
+ $this->_compareseq(0, sizeof($this->xv), 0, sizeof($this->yv));
+
+ // Merge edits when possible
+ $this->_shift_boundaries($from_lines, $this->xchanged, $this->ychanged);
+ $this->_shift_boundaries($to_lines, $this->ychanged, $this->xchanged);
+
+ // Compute the edit operations.
+ $edits = array();
+ $xi = $yi = 0;
+ while ($xi < $n_from || $yi < $n_to) {
+ USE_ASSERTS && assert($yi < $n_to || $this->xchanged[$xi]);
+ USE_ASSERTS && assert($xi < $n_from || $this->ychanged[$yi]);
+
+ // Skip matching "snake".
+ $copy = array();
+ while ( $xi < $n_from && $yi < $n_to
+ && !$this->xchanged[$xi] && !$this->ychanged[$yi]) {
+ $copy[] = $from_lines[$xi++];
+ ++$yi;
+ }
+ if ($copy)
+ $edits[] = new _DiffOp_Copy($copy);
+
+ // Find deletes & adds.
+ $delete = array();
+ while ($xi < $n_from && $this->xchanged[$xi])
+ $delete[] = $from_lines[$xi++];
+
+ $add = array();
+ while ($yi < $n_to && $this->ychanged[$yi])
+ $add[] = $to_lines[$yi++];
+
+ if ($delete && $add)
+ $edits[] = new _DiffOp_Change($delete, $add);
+ elseif ($delete)
+ $edits[] = new _DiffOp_Delete($delete);
+ elseif ($add)
+ $edits[] = new _DiffOp_Add($add);
+ }
+ return $edits;
+ }
+
+
+ /**
+ * Divide the Largest Common Subsequence (LCS) of the sequences
+ * [XOFF, XLIM) and [YOFF, YLIM) into NCHUNKS approximately equally
+ * sized segments.
+ *
+ * Returns (LCS, PTS). LCS is the length of the LCS. PTS is an
+ * array of NCHUNKS+1 (X, Y) indexes giving the diving points between
+ * sub sequences. The first sub-sequence is contained in [X0, X1),
+ * [Y0, Y1), the second in [X1, X2), [Y1, Y2) and so on. Note
+ * that (X0, Y0) == (XOFF, YOFF) and
+ * (X[NCHUNKS], Y[NCHUNKS]) == (XLIM, YLIM).
+ *
+ * This function assumes that the first lines of the specified portions
+ * of the two files do not match, and likewise that the last lines do not
+ * match. The caller must trim matching lines from the beginning and end
+ * of the portions it is going to specify.
+ */
+ function _diag ($xoff, $xlim, $yoff, $ylim, $nchunks) {
+ $flip = false;
+
+ if ($xlim - $xoff > $ylim - $yoff) {
+ // Things seems faster (I'm not sure I understand why)
+ // when the shortest sequence in X.
+ $flip = true;
+ list ($xoff, $xlim, $yoff, $ylim)
+ = array( $yoff, $ylim, $xoff, $xlim);
+ }
+
+ if ($flip)
+ for ($i = $ylim - 1; $i >= $yoff; $i--)
+ $ymatches[$this->xv[$i]][] = $i;
+ else
+ for ($i = $ylim - 1; $i >= $yoff; $i--)
+ $ymatches[$this->yv[$i]][] = $i;
+
+ $this->lcs = 0;
+ $this->seq[0]= $yoff - 1;
+ $this->in_seq = array();
+ $ymids[0] = array();
+
+ $numer = $xlim - $xoff + $nchunks - 1;
+ $x = $xoff;
+ for ($chunk = 0; $chunk < $nchunks; $chunk++) {
+ if ($chunk > 0)
+ for ($i = 0; $i <= $this->lcs; $i++)
+ $ymids[$i][$chunk-1] = $this->seq[$i];
+
+ $x1 = $xoff + (int)(($numer + ($xlim-$xoff)*$chunk) / $nchunks);
+ for ( ; $x < $x1; $x++) {
+ $line = $flip ? $this->yv[$x] : $this->xv[$x];
+ if (empty($ymatches[$line]))
+ continue;
+ $matches = $ymatches[$line];
+ reset($matches);
+ while (list ($junk, $y) = each($matches))
+ if (empty($this->in_seq[$y])) {
+ $k = $this->_lcs_pos($y);
+ USE_ASSERTS && assert($k > 0);
+ $ymids[$k] = $ymids[$k-1];
+ break;
+ }
+ while (list ($junk, $y) = each($matches)) {
+ if ($y > $this->seq[$k-1]) {
+ USE_ASSERTS && assert($y < $this->seq[$k]);
+ // Optimization: this is a common case:
+ // next match is just replacing previous match.
+ $this->in_seq[$this->seq[$k]] = false;
+ $this->seq[$k] = $y;
+ $this->in_seq[$y] = 1;
+ }
+ else if (empty($this->in_seq[$y])) {
+ $k = $this->_lcs_pos($y);
+ USE_ASSERTS && assert($k > 0);
+ $ymids[$k] = $ymids[$k-1];
+ }
+ }
+ }
+ }
+
+ $seps[] = $flip ? array($yoff, $xoff) : array($xoff, $yoff);
+ $ymid = $ymids[$this->lcs];
+ for ($n = 0; $n < $nchunks - 1; $n++) {
+ $x1 = $xoff + (int)(($numer + ($xlim - $xoff) * $n) / $nchunks);
+ $y1 = $ymid[$n] + 1;
+ $seps[] = $flip ? array($y1, $x1) : array($x1, $y1);
+ }
+ $seps[] = $flip ? array($ylim, $xlim) : array($xlim, $ylim);
+
+ return array($this->lcs, $seps);
+ }
+
+ function _lcs_pos ($ypos) {
+ $end = $this->lcs;
+ if ($end == 0 || $ypos > $this->seq[$end]) {
+ $this->seq[++$this->lcs] = $ypos;
+ $this->in_seq[$ypos] = 1;
+ return $this->lcs;
+ }
+
+ $beg = 1;
+ while ($beg < $end) {
+ $mid = (int)(($beg + $end) / 2);
+ if ( $ypos > $this->seq[$mid] )
+ $beg = $mid + 1;
+ else
+ $end = $mid;
+ }
+
+ USE_ASSERTS && assert($ypos != $this->seq[$end]);
+
+ $this->in_seq[$this->seq[$end]] = false;
+ $this->seq[$end] = $ypos;
+ $this->in_seq[$ypos] = 1;
+ return $end;
+ }
+
+ /**
+ * Find LCS of two sequences.
+ *
+ * The results are recorded in the vectors $this->{x,y}changed[], by
+ * storing a 1 in the element for each line that is an insertion
+ * or deletion (ie. is not in the LCS).
+ *
+ * The subsequence of file 0 is [XOFF, XLIM) and likewise for file 1.
+ *
+ * Note that XLIM, YLIM are exclusive bounds.
+ * All line numbers are origin-0 and discarded lines are not counted.
+ */
+ function _compareseq ($xoff, $xlim, $yoff, $ylim) {
+ // Slide down the bottom initial diagonal.
+ while ($xoff < $xlim && $yoff < $ylim
+ && $this->xv[$xoff] == $this->yv[$yoff]) {
+ ++$xoff;
+ ++$yoff;
+ }
+
+ // Slide up the top initial diagonal.
+ while ($xlim > $xoff && $ylim > $yoff
+ && $this->xv[$xlim - 1] == $this->yv[$ylim - 1]) {
+ --$xlim;
+ --$ylim;
+ }
+
+ if ($xoff == $xlim || $yoff == $ylim)
+ $lcs = 0;
+ else {
+ // This is ad hoc but seems to work well.
+ //$nchunks = sqrt(min($xlim - $xoff, $ylim - $yoff) / 2.5);
+ //$nchunks = max(2,min(8,(int)$nchunks));
+ $nchunks = min(7, $xlim - $xoff, $ylim - $yoff) + 1;
+ list ($lcs, $seps)
+ = $this->_diag($xoff,$xlim,$yoff, $ylim,$nchunks);
+ }
+
+ if ($lcs == 0) {
+ // X and Y sequences have no common subsequence:
+ // mark all changed.
+ while ($yoff < $ylim)
+ $this->ychanged[$this->yind[$yoff++]] = 1;
+ while ($xoff < $xlim)
+ $this->xchanged[$this->xind[$xoff++]] = 1;
+ }
+ else {
+ // Use the partitions to split this problem into subproblems.
+ reset($seps);
+ $pt1 = $seps[0];
+ while ($pt2 = next($seps)) {
+ $this->_compareseq ($pt1[0], $pt2[0], $pt1[1], $pt2[1]);
+ $pt1 = $pt2;
+ }
+ }
+ }
+
+ /**
+ * Adjust inserts/deletes of identical lines to join changes
+ * as much as possible.
+ *
+ * We do something when a run of changed lines include a
+ * line at one end and has an excluded, identical line at the other.
+ * We are free to choose which identical line is included.
+ * `compareseq' usually chooses the one at the beginning,
+ * but usually it is cleaner to consider the following identical line
+ * to be the "change".
+ *
+ * This is extracted verbatim from analyze.c (GNU diffutils-2.7).
+ */
+ function _shift_boundaries ($lines, &$changed, $other_changed) {
+ $i = 0;
+ $j = 0;
+
+ USE_ASSERTS && assert('sizeof($lines) == sizeof($changed)');
+ $len = sizeof($lines);
+ $other_len = sizeof($other_changed);
+
+ while (1) {
+ /*
+ * Scan forwards to find beginning of another run of changes.
+ * Also keep track of the corresponding point in the other file.
+ *
+ * Throughout this code, $i and $j are adjusted together so that
+ * the first $i elements of $changed and the first $j elements
+ * of $other_changed both contain the same number of zeros
+ * (unchanged lines).
+ * Furthermore, $j is always kept so that $j == $other_len or
+ * $other_changed[$j] == false.
+ */
+ while ($j < $other_len && $other_changed[$j])
+ $j++;
+
+ while ($i < $len && ! $changed[$i]) {
+ USE_ASSERTS && assert('$j < $other_len && ! $other_changed[$j]');
+ $i++; $j++;
+ while ($j < $other_len && $other_changed[$j])
+ $j++;
+ }
+
+ if ($i == $len)
+ break;
+
+ $start = $i;
+
+ // Find the end of this run of changes.
+ while (++$i < $len && $changed[$i])
+ continue;
+
+ do {
+ /*
+ * Record the length of this run of changes, so that
+ * we can later determine whether the run has grown.
+ */
+ $runlength = $i - $start;
+
+ /*
+ * Move the changed region back, so long as the
+ * previous unchanged line matches the last changed one.
+ * This merges with previous changed regions.
+ */
+ while ($start > 0 && $lines[$start - 1] == $lines[$i - 1]) {
+ $changed[--$start] = 1;
+ $changed[--$i] = false;
+ while ($start > 0 && $changed[$start - 1])
+ $start--;
+ USE_ASSERTS && assert('$j > 0');
+ while ($other_changed[--$j])
+ continue;
+ USE_ASSERTS && assert('$j >= 0 && !$other_changed[$j]');
+ }
+
+ /*
+ * Set CORRESPONDING to the end of the changed run, at the last
+ * point where it corresponds to a changed run in the other file.
+ * CORRESPONDING == LEN means no such point has been found.
+ */
+ $corresponding = $j < $other_len ? $i : $len;
+
+ /*
+ * Move the changed region forward, so long as the
+ * first changed line matches the following unchanged one.
+ * This merges with following changed regions.
+ * Do this second, so that if there are no merges,
+ * the changed region is moved forward as far as possible.
+ */
+ while ($i < $len && $lines[$start] == $lines[$i]) {
+ $changed[$start++] = false;
+ $changed[$i++] = 1;
+ while ($i < $len && $changed[$i])
+ $i++;
+
+ USE_ASSERTS && assert('$j < $other_len && ! $other_changed[$j]');
+ $j++;
+ if ($j < $other_len && $other_changed[$j]) {
+ $corresponding = $i;
+ while ($j < $other_len && $other_changed[$j])
+ $j++;
+ }
+ }
+ } while ($runlength != $i - $start);
+
+ /*
+ * If possible, move the fully-merged run of changes
+ * back to a corresponding run in the other file.
+ */
+ while ($corresponding < $i) {
+ $changed[--$start] = 1;
+ $changed[--$i] = 0;
+ USE_ASSERTS && assert('$j > 0');
+ while ($other_changed[--$j])
+ continue;
+ USE_ASSERTS && assert('$j >= 0 && !$other_changed[$j]');
+ }
+ }
+ }
+}
+
+/**
+ * Class representing a 'diff' between two sequences of strings.
+ */
+class Diff
+{
+ var $edits;
+
+ /**
+ * Constructor.
+ * Computes diff between sequences of strings.
+ *
+ * @param $from_lines array An array of strings.
+ * (Typically these are lines from a file.)
+ * @param $to_lines array An array of strings.
+ */
+ function Diff($from_lines, $to_lines) {
+ $eng = new _DiffEngine;
+ $this->edits = $eng->diff($from_lines, $to_lines);
+ //$this->_check($from_lines, $to_lines);
+ }
+
+ /**
+ * Compute reversed Diff.
+ *
+ * SYNOPSIS:
+ *
+ * $diff = new Diff($lines1, $lines2);
+ * $rev = $diff->reverse();
+ * @return object A Diff object representing the inverse of the
+ * original diff.
+ */
+ function reverse () {
+ $rev = $this;
+ $rev->edits = array();
+ foreach ($this->edits as $edit) {
+ $rev->edits[] = $edit->reverse();
+ }
+ return $rev;
+ }
+
+ /**
+ * Check for empty diff.
+ *
+ * @return bool True iff two sequences were identical.
+ */
+ function isEmpty () {
+ foreach ($this->edits as $edit) {
+ if ($edit->type != 'copy')
+ return false;
+ }
+ return true;
+ }
+
+ /**
+ * Compute the length of the Longest Common Subsequence (LCS).
+ *
+ * This is mostly for diagnostic purposed.
+ *
+ * @return int The length of the LCS.
+ */
+ function lcs () {
+ $lcs = 0;
+ foreach ($this->edits as $edit) {
+ if ($edit->type == 'copy')
+ $lcs += sizeof($edit->orig);
+ }
+ return $lcs;
+ }
+
+ /**
+ * Get the original set of lines.
+ *
+ * This reconstructs the $from_lines parameter passed to the
+ * constructor.
+ *
+ * @return array The original sequence of strings.
+ */
+ function orig() {
+ $lines = array();
+
+ foreach ($this->edits as $edit) {
+ if ($edit->orig)
+ array_splice($lines, sizeof($lines), 0, $edit->orig);
+ }
+ return $lines;
+ }
+
+ /**
+ * Get the closing set of lines.
+ *
+ * This reconstructs the $to_lines parameter passed to the
+ * constructor.
+ *
+ * @return array The sequence of strings.
+ */
+ function closing() {
+ $lines = array();
+
+ foreach ($this->edits as $edit) {
+ if ($edit->closing)
+ array_splice($lines, sizeof($lines), 0, $edit->closing);
+ }
+ return $lines;
+ }
+
+ /**
+ * Check a Diff for validity.
+ *
+ * This is here only for debugging purposes.
+ */
+ function _check ($from_lines, $to_lines) {
+ if (serialize($from_lines) != serialize($this->orig()))
+ trigger_error("Reconstructed original doesn't match", E_USER_ERROR);
+ if (serialize($to_lines) != serialize($this->closing()))
+ trigger_error("Reconstructed closing doesn't match", E_USER_ERROR);
+
+ $rev = $this->reverse();
+ if (serialize($to_lines) != serialize($rev->orig()))
+ trigger_error("Reversed original doesn't match", E_USER_ERROR);
+ if (serialize($from_lines) != serialize($rev->closing()))
+ trigger_error("Reversed closing doesn't match", E_USER_ERROR);
+
+
+ $prevtype = 'none';
+ foreach ($this->edits as $edit) {
+ if ( $prevtype == $edit->type )
+ trigger_error("Edit sequence is non-optimal", E_USER_ERROR);
+ $prevtype = $edit->type;
+ }
+
+ $lcs = $this->lcs();
+ trigger_error("Diff okay: LCS = $lcs", E_USER_NOTICE);
+ }
+}
+
+/**
+ * FIXME: bad name.
+ */
+class MappedDiff
+extends Diff
+{
+ /**
+ * Constructor.
+ *
+ * Computes diff between sequences of strings.
+ *
+ * This can be used to compute things like
+ * case-insensitve diffs, or diffs which ignore
+ * changes in white-space.
+ *
+ * @param $from_lines array An array of strings.
+ * (Typically these are lines from a file.)
+ *
+ * @param $to_lines array An array of strings.
+ *
+ * @param $mapped_from_lines array This array should
+ * have the same size number of elements as $from_lines.
+ * The elements in $mapped_from_lines and
+ * $mapped_to_lines are what is actually compared
+ * when computing the diff.
+ *
+ * @param $mapped_to_lines array This array should
+ * have the same number of elements as $to_lines.
+ */
+ function MappedDiff($from_lines, $to_lines,
+ $mapped_from_lines, $mapped_to_lines) {
+
+ assert(sizeof($from_lines) == sizeof($mapped_from_lines));
+ assert(sizeof($to_lines) == sizeof($mapped_to_lines));
+
+ $this->Diff($mapped_from_lines, $mapped_to_lines);
+
+ $xi = $yi = 0;
+ for ($i = 0; $i < sizeof($this->edits); $i++) {
+ $orig = &$this->edits[$i]->orig;
+ if (is_array($orig)) {
+ $orig = array_slice($from_lines, $xi, sizeof($orig));
+ $xi += sizeof($orig);
+ }
+
+ $closing = &$this->edits[$i]->closing;
+ if (is_array($closing)) {
+ $closing = array_slice($to_lines, $yi, sizeof($closing));
+ $yi += sizeof($closing);
+ }
+ }
+ }
+}
+
+/**
+ * A class to format Diffs
+ *
+ * This class formats the diff in classic diff format.
+ * It is intended that this class be customized via inheritance,
+ * to obtain fancier outputs.
+ */
+class DiffFormatter
+{
+ /**
+ * Number of leading context "lines" to preserve.
+ *
+ * This should be left at zero for this class, but subclasses
+ * may want to set this to other values.
+ */
+ var $leading_context_lines = 0;
+
+ /**
+ * Number of trailing context "lines" to preserve.
+ *
+ * This should be left at zero for this class, but subclasses
+ * may want to set this to other values.
+ */
+ var $trailing_context_lines = 0;
+
+ /**
+ * Format a diff.
+ *
+ * @param $diff object A Diff object.
+ * @return string The formatted output.
+ */
+ function format($diff) {
+
+ $xi = $yi = 1;
+ $block = false;
+ $context = array();
+
+ $nlead = $this->leading_context_lines;
+ $ntrail = $this->trailing_context_lines;
+
+ $this->_start_diff();
+
+ foreach ($diff->edits as $edit) {
+ if ($edit->type == 'copy') {
+ if (is_array($block)) {
+ if (sizeof($edit->orig) <= $nlead + $ntrail) {
+ $block[] = $edit;
+ }
+ else{
+ if ($ntrail) {
+ $context = array_slice($edit->orig, 0, $ntrail);
+ $block[] = new _DiffOp_Copy($context);
+ }
+ $this->_block($x0, $ntrail + $xi - $x0,
+ $y0, $ntrail + $yi - $y0,
+ $block);
+ $block = false;
+ }
+ }
+ $context = $edit->orig;
+ }
+ else {
+ if (! is_array($block)) {
+ $context = array_slice($context, sizeof($context) - $nlead);
+ $x0 = $xi - sizeof($context);
+ $y0 = $yi - sizeof($context);
+ $block = array();
+ if ($context)
+ $block[] = new _DiffOp_Copy($context);
+ }
+ $block[] = $edit;
+ }
+
+ if ($edit->orig)
+ $xi += sizeof($edit->orig);
+ if ($edit->closing)
+ $yi += sizeof($edit->closing);
+ }
+
+ if (is_array($block))
+ $this->_block($x0, $xi - $x0,
+ $y0, $yi - $y0,
+ $block);
+
+ return $this->_end_diff();
+ }
+
+ function _block($xbeg, $xlen, $ybeg, $ylen, &$edits) {
+ $this->_start_block($this->_block_header($xbeg, $xlen, $ybeg, $ylen));
+ foreach ($edits as $edit) {
+ if ($edit->type == 'copy')
+ $this->_context($edit->orig);
+ elseif ($edit->type == 'add')
+ $this->_added($edit->closing);
+ elseif ($edit->type == 'delete')
+ $this->_deleted($edit->orig);
+ elseif ($edit->type == 'change')
+ $this->_changed($edit->orig, $edit->closing);
+ else
+ trigger_error("Unknown edit type", E_USER_ERROR);
+ }
+ $this->_end_block();
+ }
+
+ function _start_diff() {
+ ob_start();
+ }
+
+ function _end_diff() {
+ $val = ob_get_contents();
+ ob_end_clean();
+ return $val;
+ }
+
+ function _block_header($xbeg, $xlen, $ybeg, $ylen) {
+ if ($xlen > 1)
+ $xbeg .= "," . ($xbeg + $xlen - 1);
+ if ($ylen > 1)
+ $ybeg .= "," . ($ybeg + $ylen - 1);
+
+ return $xbeg . ($xlen ? ($ylen ? 'c' : 'd') : 'a') . $ybeg;
+ }
+
+ function _start_block($header) {
+ echo $header;
+ }
+
+ function _end_block() {
+ }
+
+ function _lines($lines, $prefix = ' ') {
+ foreach ($lines as $line)
+ echo "$prefix $line\n";
+ }
+
+ function _context($lines) {
+ $this->_lines($lines);
+ }
+
+ function _added($lines) {
+ $this->_lines($lines, ">");
+ }
+ function _deleted($lines) {
+ $this->_lines($lines, "<");
+ }
+
+ function _changed($orig, $closing) {
+ $this->_deleted($orig);
+ echo "---\n";
+ $this->_added($closing);
+ }
+}
+
+
+/**
+ * Additions by Axel Boldt follow, partly taken from diff.php, phpwiki-1.3.3
+ *
+ */
+
+define('NBSP', "\xC2\xA0"); // utf-8 non-breaking space.
+
+class _HWLDF_WordAccumulator {
+ function _HWLDF_WordAccumulator () {
+ $this->_lines = array();
+ $this->_line = '';
+ $this->_group = '';
+ $this->_tag = '';
+ }
+
+ function _flushGroup ($new_tag) {
+ if ($this->_group !== '') {
+ if ($this->_tag == 'mark')
+ $this->_line .= '<strong>'.$this->_group.'</strong>';
+ else
+ $this->_line .= $this->_group;
+ }
+ $this->_group = '';
+ $this->_tag = $new_tag;
+ }
+
+ function _flushLine ($new_tag) {
+ $this->_flushGroup($new_tag);
+ if ($this->_line != '')
+ $this->_lines[] = $this->_line;
+ $this->_line = '';
+ }
+
+ function addWords ($words, $tag = '') {
+ if ($tag != $this->_tag)
+ $this->_flushGroup($tag);
+
+ foreach ($words as $word) {
+ // new-line should only come as first char of word.
+ if ($word == '')
+ continue;
+ if ($word[0] == "\n") {
+ $this->_group .= NBSP;
+ $this->_flushLine($tag);
+ $word = substr($word, 1);
+ }
+ assert(!strstr($word, "\n"));
+ $this->_group .= $word;
+ }
+ }
+
+ function getLines() {
+ $this->_flushLine('~done');
+ return $this->_lines;
+ }
+}
+
+class WordLevelDiff extends MappedDiff
+{
+ function WordLevelDiff ($orig_lines, $closing_lines) {
+ list ($orig_words, $orig_stripped) = $this->_split($orig_lines);
+ list ($closing_words, $closing_stripped) = $this->_split($closing_lines);
+
+
+ $this->MappedDiff($orig_words, $closing_words,
+ $orig_stripped, $closing_stripped);
+ }
+
+ function _split($lines) {
+ // FIXME: fix POSIX char class.
+# if (!preg_match_all('/ ( [^\S\n]+ | [[:alnum:]]+ | . ) (?: (?!< \n) [^\S\n])? /xs',
+ if (!preg_match_all('/ ( [^\S\n]+ | [0-9_A-Za-z\x80-\xff]+ | . ) (?: (?!< \n) [^\S\n])? /xs',
+ implode("\n", $lines),
+ $m)) {
+ return array(array(''), array(''));
+ }
+ return array($m[0], $m[1]);
+ }
+
+ function orig () {
+ $orig = new _HWLDF_WordAccumulator;
+
+ foreach ($this->edits as $edit) {
+ if ($edit->type == 'copy')
+ $orig->addWords($edit->orig);
+ elseif ($edit->orig)
+ $orig->addWords($edit->orig, 'mark');
+ }
+ return $orig->getLines();
+ }
+
+ function closing () {
+ $closing = new _HWLDF_WordAccumulator;
+
+ foreach ($this->edits as $edit) {
+ if ($edit->type == 'copy')
+ $closing->addWords($edit->closing);
+ elseif ($edit->closing)
+ $closing->addWords($edit->closing, 'mark');
+ }
+ return $closing->getLines();
+ }
+}
+
+/**
+ * "Unified" diff formatter.
+ *
+ * This class formats the diff in classic "unified diff" format.
+ */
+class UnifiedDiffFormatter extends DiffFormatter
+{
+ function UnifiedDiffFormatter($context_lines = 4) {
+ $this->leading_context_lines = $context_lines;
+ $this->trailing_context_lines = $context_lines;
+ }
+
+ function _block_header($xbeg, $xlen, $ybeg, $ylen) {
+ if ($xlen != 1)
+ $xbeg .= "," . $xlen;
+ if ($ylen != 1)
+ $ybeg .= "," . $ylen;
+ return "@@ -$xbeg +$ybeg @@\n";
+ }
+
+ function _added($lines) {
+ $this->_lines($lines, "+");
+ }
+ function _deleted($lines) {
+ $this->_lines($lines, "-");
+ }
+ function _changed($orig, $final) {
+ $this->_deleted($orig);
+ $this->_added($final);
+ }
+}
+
+/**
+ * Wikipedia Table style diff formatter.
+ *
+ */
+class TableDiffFormatter extends DiffFormatter
+{
+ function TableDiffFormatter() {
+ $this->leading_context_lines = 2;
+ $this->trailing_context_lines = 2;
+ }
+
+ function _pre($text){
+ $text = htmlspecialchars($text);
+ $text = str_replace(' ',' &nbsp;',$text);
+ if($text{0} == ' ') $text = '&nbsp;'.substr($text,1);
+ return $text;
+ }
+
+ function _block_header( $xbeg, $xlen, $ybeg, $ylen ) {
+ global $lang;
+ $l1 = $lang['line'].' '.$xbeg;
+ $l2 = $lang['line'].' '.$ybeg;
+ $r = '<tr><td class="diff-blockheader" colspan="2">'.$l1.":</td>\n" .
+ '<td class="diff-blockheader" colspan="2">'.$l2.":</td></tr>\n";
+ return $r;
+ }
+
+ function _start_block( $header ) {
+ print( $header );
+ }
+
+ function _end_block() {
+ }
+
+ function _lines( $lines, $prefix=' ', $color="white" ) {
+ }
+
+ function addedLine( $line ) {
+ $line = str_replace(' ',' &nbsp;',$line);
+ if($line{0} == ' ') $line = '&nbsp;'.substr($line,1);
+ return '<td>+</td><td class="diff-addedline">' .
+ $line.'</td>';
+ }
+
+ function deletedLine( $line ) {
+ $line = str_replace(' ',' &nbsp;',$line);
+ if($line{0} == ' ') $line = '&nbsp;'.substr($line,1);
+ return '<td>-</td><td class="diff-deletedline">' .
+ $line.'</td>';
+ }
+
+ function emptyLine() {
+ //$line = str_replace(' ','&nbsp; ',$line);
+ return '<td colspan="2">&nbsp;</td>';
+ }
+
+ function contextLine( $line ) {
+ $line = str_replace(' ',' &nbsp;',$line);
+ if($line{0} == ' ') $line = '&nbsp;'.substr($line,1);
+ return '<td> </td><td class="diff-context">'.$line.'</td>';
+ }
+
+ function _added($lines) {
+ foreach ($lines as $line) {
+ print( '<tr>' . $this->emptyLine() .
+ $this->addedLine( $line ) . "</tr>\n" );
+ }
+ }
+
+ function _deleted($lines) {
+ foreach ($lines as $line) {
+ print( '<tr>' . $this->deletedLine( $line ) .
+ $this->emptyLine() . "</tr>\n" );
+ }
+ }
+
+ function _context( $lines ) {
+ foreach ($lines as $line) {
+ print( '<tr>' . $this->contextLine( $line ) .
+ $this->contextLine( $line ) . "</tr>\n" );
+ }
+ }
+
+ function _changed( $orig, $closing ) {
+ $diff = new WordLevelDiff( $orig, $closing );
+ $del = $diff->orig();
+ $add = $diff->closing();
+
+ while ( $line = array_shift( $del ) ) {
+ $aline = array_shift( $add );
+ print( '<tr>' . $this->deletedLine( $line ) .
+ $this->addedLine( $aline ) . "</tr>\n" );
+ }
+ $this->_added( $add ); # If any leftovers
+ }
+}
+
+
+//Setup VIM: ex: et ts=2 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/EmailAddressValidator.php b/mod/dokuwiki/vendors/dokuwiki/inc/EmailAddressValidator.php
new file mode 100644
index 000000000..2ce2093e2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/EmailAddressValidator.php
@@ -0,0 +1,146 @@
+<?php
+/**
+ * EmailAddressValidator Class
+ *
+ * @author Dave Child <dave@addedbytes.com>
+ * @link http://code.google.com/p/php-email-address-validation/
+ * @license http://www.opensource.org/licenses/bsd-license.php
+ */
+class EmailAddressValidator {
+
+ /**
+ * Check email address validity
+ * @param strEmailAddress Email address to be checked
+ * @return True if email is valid, false if not
+ */
+ function check_email_address($strEmailAddress) {
+
+ // Control characters are not allowed
+ if (preg_match('/[\x00-\x1F\x7F-\xFF]/', $strEmailAddress)) {
+ return false;
+ }
+
+ // Split it into sections using last instance of "@"
+ $intAtSymbol = strrpos($strEmailAddress, '@');
+ if ($intAtSymbol === false) {
+ // No "@" symbol in email.
+ return false;
+ }
+ $arrEmailAddress[0] = substr($strEmailAddress, 0, $intAtSymbol);
+ $arrEmailAddress[1] = substr($strEmailAddress, $intAtSymbol + 1);
+
+ // Count the "@" symbols. Only one is allowed, except where
+ // contained in quote marks in the local part. Quickest way to
+ // check this is to remove anything in quotes.
+ $arrTempAddress[0] = preg_replace('/"[^"]+"/'
+ ,''
+ ,$arrEmailAddress[0]);
+ $arrTempAddress[1] = $arrEmailAddress[1];
+ $strTempAddress = $arrTempAddress[0] . $arrTempAddress[1];
+ // Then check - should be no "@" symbols.
+ if (strrpos($strTempAddress, '@') !== false) {
+ // "@" symbol found
+ return false;
+ }
+
+ // Check local portion
+ if (!$this->check_local_portion($arrEmailAddress[0])) {
+ return false;
+ }
+
+ // Check domain portion
+ if (!$this->check_domain_portion($arrEmailAddress[1])) {
+ return false;
+ }
+
+ // If we're still here, all checks above passed. Email is valid.
+ return true;
+
+ }
+
+ /**
+ * Checks email section before "@" symbol for validity
+ * @param strLocalPortion Text to be checked
+ * @return True if local portion is valid, false if not
+ */
+ function check_local_portion($strLocalPortion) {
+ // Local portion can only be from 1 to 64 characters, inclusive.
+ // Please note that servers are encouraged to accept longer local
+ // parts than 64 characters.
+ if (!$this->check_text_length($strLocalPortion, 1, 64)) {
+ return false;
+ }
+ // Local portion must be:
+ // 1) a dot-atom (strings separated by periods)
+ // 2) a quoted string
+ // 3) an obsolete format string (combination of the above)
+ $arrLocalPortion = explode('.', $strLocalPortion);
+ for ($i = 0, $max = sizeof($arrLocalPortion); $i < $max; $i++) {
+ if (!preg_match('.^('
+ . '([A-Za-z0-9!#$%&\'*+/=?^_`{|}~-]'
+ . '[A-Za-z0-9!#$%&\'*+/=?^_`{|}~-]{0,63})'
+ .'|'
+ . '("[^\\\"]{0,62}")'
+ .')$.'
+ ,$arrLocalPortion[$i])) {
+ return false;
+ }
+ }
+ return true;
+ }
+
+ /**
+ * Checks email section after "@" symbol for validity
+ * @param strDomainPortion Text to be checked
+ * @return True if domain portion is valid, false if not
+ */
+ function check_domain_portion($strDomainPortion) {
+ // Total domain can only be from 1 to 255 characters, inclusive
+ if (!$this->check_text_length($strDomainPortion, 1, 255)) {
+ return false;
+ }
+ // Check if domain is IP, possibly enclosed in square brackets.
+ if (preg_match('/^(25[0-5]|2[0-4][0-9]|1[0-9][0-9]|[1-9]?[0-9])'
+ .'(\.(25[0-5]|2[0-4][0-9]|1[0-9][0-9]|[1-9]?[0-9])){3}$/'
+ ,$strDomainPortion) ||
+ preg_match('/^\[(25[0-5]|2[0-4][0-9]|1[0-9][0-9]|[1-9]?[0-9])'
+ .'(\.(25[0-5]|2[0-4][0-9]|1[0-9][0-9]|[1-9]?[0-9])){3}\]$/'
+ ,$strDomainPortion)) {
+ return true;
+ } else {
+ $arrDomainPortion = explode('.', $strDomainPortion);
+ if (sizeof($arrDomainPortion) < 2) {
+ return false; // Not enough parts to domain
+ }
+ for ($i = 0, $max = sizeof($arrDomainPortion); $i < $max; $i++) {
+ // Each portion must be between 1 and 63 characters, inclusive
+ if (!$this->check_text_length($arrDomainPortion[$i], 1, 63)) {
+ return false;
+ }
+ if (!preg_match('/^(([A-Za-z0-9][A-Za-z0-9-]{0,61}[A-Za-z0-9])|'
+ .'([A-Za-z0-9]+))$/', $arrDomainPortion[$i])) {
+ return false;
+ }
+ }
+ }
+ return true;
+ }
+
+ /**
+ * Check given text length is between defined bounds
+ * @param strText Text to be checked
+ * @param intMinimum Minimum acceptable length
+ * @param intMaximum Maximum acceptable length
+ * @return True if string is within bounds (inclusive), false if not
+ */
+ function check_text_length($strText, $intMinimum, $intMaximum) {
+ // Minimum and maximum are both inclusive
+ $intTextLength = strlen($strText);
+ if (($intTextLength < $intMinimum) || ($intTextLength > $intMaximum)) {
+ return false;
+ } else {
+ return true;
+ }
+ }
+}
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/FeedParser.php b/mod/dokuwiki/vendors/dokuwiki/inc/FeedParser.php
new file mode 100644
index 000000000..f37888f01
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/FeedParser.php
@@ -0,0 +1,76 @@
+<?php
+/**
+ * Class used to parse RSS and ATOM feeds
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+
+if(!defined('DOKU_INC')) die('meh.');
+require_once(DOKU_INC.'inc/HTTPClient.php');
+require_once(DOKU_INC.'inc/SimplePie.php');
+
+
+/**
+ * We override some methods of the original SimplePie class here
+ */
+class FeedParser extends SimplePie {
+
+ /**
+ * Constructor. Set some defaults
+ */
+ function FeedParser(){
+ $this->SimplePie();
+ $this->enable_cache(false);
+ $this->set_file_class('FeedParser_File');
+ }
+
+ /**
+ * Backward compatibility for older plugins
+ */
+ function feed_url($url){
+ $this->set_feed_url($url);
+ }
+}
+
+/**
+ * Fetch an URL using our own HTTPClient
+ *
+ * Replaces SimplePie's own class
+ */
+class FeedParser_File extends SimplePie_File {
+ var $http;
+ var $useragent;
+ var $success = true;
+ var $headers = array();
+ var $body;
+ var $error;
+
+ /**
+ * Inititializes the HTTPClient
+ *
+ * We ignore all given parameters - they are set in DokuHTTPClient
+ */
+ function FeedParser_File($url, $timeout=10, $redirects=5,
+ $headers=null, $useragent=null, $force_fsockopen=false) {
+ $this->http = new DokuHTTPClient();
+ $this->success = $this->http->sendRequest($url);
+
+ $this->headers = $this->http->resp_headers;
+ $this->body = $this->http->resp_body;
+ $this->error = $this->http->error;
+ return $this->success;
+ }
+
+ function headers(){
+ return $this->headers;
+ }
+
+ function body(){
+ return $this->body;
+ }
+
+ function close(){
+ return true;
+ }
+
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/HTTPClient.php b/mod/dokuwiki/vendors/dokuwiki/inc/HTTPClient.php
new file mode 100644
index 000000000..578d7e7cd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/HTTPClient.php
@@ -0,0 +1,573 @@
+<?php
+/**
+ * HTTP Client
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Goetz <cpuidle@gmx.de>
+ */
+
+
+define('HTTP_NL',"\r\n");
+
+
+/**
+ * Adds DokuWiki specific configs to the HTTP client
+ *
+ * @author Andreas Goetz <cpuidle@gmx.de>
+ */
+class DokuHTTPClient extends HTTPClient {
+
+ /**
+ * Constructor.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function DokuHTTPClient(){
+ global $conf;
+
+ // call parent constructor
+ $this->HTTPClient();
+
+ // set some values from the config
+ $this->proxy_host = $conf['proxy']['host'];
+ $this->proxy_port = $conf['proxy']['port'];
+ $this->proxy_user = $conf['proxy']['user'];
+ $this->proxy_pass = conf_decodeString($conf['proxy']['pass']);
+ $this->proxy_ssl = $conf['proxy']['ssl'];
+ }
+
+
+ /**
+ * Wraps an event around the parent function
+ *
+ * @triggers HTTPCLIENT_REQUEST_SEND
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function sendRequest($url,$data='',$method='GET'){
+ $httpdata = array('url' => $url,
+ 'data' => $data,
+ 'method' => $method);
+ $evt = new Doku_Event('HTTPCLIENT_REQUEST_SEND',$httpdata);
+ if($evt->advise_before()){
+ $url = $httpdata['url'];
+ $data = $httpdata['data'];
+ $method = $httpdata['method'];
+ }
+ $evt->advise_after();
+ unset($evt);
+ return parent::sendRequest($url,$data,$method);
+ }
+
+}
+
+/**
+ * This class implements a basic HTTP client
+ *
+ * It supports POST and GET, Proxy usage, basic authentication,
+ * handles cookies and referers. It is based upon the httpclient
+ * function from the VideoDB project.
+ *
+ * @link http://www.splitbrain.org/go/videodb
+ * @author Andreas Goetz <cpuidle@gmx.de>
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+class HTTPClient {
+ //set these if you like
+ var $agent; // User agent
+ var $http; // HTTP version defaults to 1.0
+ var $timeout; // read timeout (seconds)
+ var $cookies;
+ var $referer;
+ var $max_redirect;
+ var $max_bodysize;
+ var $max_bodysize_abort = true; // if set, abort if the response body is bigger than max_bodysize
+ var $header_regexp; // if set this RE must match against the headers, else abort
+ var $headers;
+ var $debug;
+ var $start = 0; // for timings
+
+ // don't set these, read on error
+ var $error;
+ var $redirect_count;
+
+ // read these after a successful request
+ var $resp_status;
+ var $resp_body;
+ var $resp_headers;
+
+ // set these to do basic authentication
+ var $user;
+ var $pass;
+
+ // set these if you need to use a proxy
+ var $proxy_host;
+ var $proxy_port;
+ var $proxy_user;
+ var $proxy_pass;
+ var $proxy_ssl; //boolean set to true if your proxy needs SSL
+
+ // what we use as boundary on multipart/form-data posts
+ var $boundary = '---DokuWikiHTTPClient--4523452351';
+
+ /**
+ * Constructor.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function HTTPClient(){
+ $this->agent = 'Mozilla/4.0 (compatible; DokuWiki HTTP Client; '.PHP_OS.')';
+ $this->timeout = 15;
+ $this->cookies = array();
+ $this->referer = '';
+ $this->max_redirect = 3;
+ $this->redirect_count = 0;
+ $this->status = 0;
+ $this->headers = array();
+ $this->http = '1.0';
+ $this->debug = false;
+ $this->max_bodysize = 0;
+ $this->header_regexp= '';
+ if(extension_loaded('zlib')) $this->headers['Accept-encoding'] = 'gzip';
+ $this->headers['Accept'] = 'text/xml,application/xml,application/xhtml+xml,'.
+ 'text/html,text/plain,image/png,image/jpeg,image/gif,*/*';
+ $this->headers['Accept-Language'] = 'en-us';
+ }
+
+
+ /**
+ * Simple function to do a GET request
+ *
+ * Returns the wanted page or false on an error;
+ *
+ * @param string $url The URL to fetch
+ * @param bool $sloppy304 Return body on 304 not modified
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function get($url,$sloppy304=false){
+ if(!$this->sendRequest($url)) return false;
+ if($this->status == 304 && $sloppy304) return $this->resp_body;
+ if($this->status < 200 || $this->status > 206) return false;
+ return $this->resp_body;
+ }
+
+ /**
+ * Simple function to do a POST request
+ *
+ * Returns the resulting page or false on an error;
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function post($url,$data){
+ if(!$this->sendRequest($url,$data,'POST')) return false;
+ if($this->status < 200 || $this->status > 206) return false;
+ return $this->resp_body;
+ }
+
+ /**
+ * Send an HTTP request
+ *
+ * This method handles the whole HTTP communication. It respects set proxy settings,
+ * builds the request headers, follows redirects and parses the response.
+ *
+ * Post data should be passed as associative array. When passed as string it will be
+ * sent as is. You will need to setup your own Content-Type header then.
+ *
+ * @param string $url - the complete URL
+ * @param mixed $data - the post data either as array or raw data
+ * @param string $method - HTTP Method usually GET or POST.
+ * @return bool - true on success
+ * @author Andreas Goetz <cpuidle@gmx.de>
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function sendRequest($url,$data='',$method='GET'){
+ $this->start = $this->_time();
+ $this->error = '';
+ $this->status = 0;
+
+ // don't accept gzip if truncated bodies might occur
+ if($this->max_bodysize &&
+ !$this->max_bodysize_abort &&
+ $this->headers['Accept-encoding'] == 'gzip'){
+ unset($this->headers['Accept-encoding']);
+ }
+
+ // parse URL into bits
+ $uri = parse_url($url);
+ $server = $uri['host'];
+ $path = $uri['path'];
+ if(empty($path)) $path = '/';
+ if(!empty($uri['query'])) $path .= '?'.$uri['query'];
+ $port = $uri['port'];
+ if(isset($uri['user'])) $this->user = $uri['user'];
+ if(isset($uri['pass'])) $this->pass = $uri['pass'];
+
+ // proxy setup
+ if($this->proxy_host){
+ $request_url = $url;
+ $server = $this->proxy_host;
+ $port = $this->proxy_port;
+ if (empty($port)) $port = 8080;
+ }else{
+ $request_url = $path;
+ $server = $server;
+ if (empty($port)) $port = ($uri['scheme'] == 'https') ? 443 : 80;
+ }
+
+ // add SSL stream prefix if needed - needs SSL support in PHP
+ if($port == 443 || $this->proxy_ssl) $server = 'ssl://'.$server;
+
+ // prepare headers
+ $headers = $this->headers;
+ $headers['Host'] = $uri['host'];
+ $headers['User-Agent'] = $this->agent;
+ $headers['Referer'] = $this->referer;
+ $headers['Connection'] = 'Close';
+ if($method == 'POST'){
+ if(is_array($data)){
+ if($headers['Content-Type'] == 'multipart/form-data'){
+ $headers['Content-Type'] = 'multipart/form-data; boundary='.$this->boundary;
+ $data = $this->_postMultipartEncode($data);
+ }else{
+ $headers['Content-Type'] = 'application/x-www-form-urlencoded';
+ $data = $this->_postEncode($data);
+ }
+ }
+ $headers['Content-Length'] = strlen($data);
+ $rmethod = 'POST';
+ }elseif($method == 'GET'){
+ $data = ''; //no data allowed on GET requests
+ }
+ if($this->user) {
+ $headers['Authorization'] = 'Basic '.base64_encode($this->user.':'.$this->pass);
+ }
+ if($this->proxy_user) {
+ $headers['Proxy-Authorization'] = 'Basic '.base64_encode($this->proxy_user.':'.$this->proxy_pass);
+ }
+
+ // stop time
+ $start = time();
+
+ // open socket
+ $socket = @fsockopen($server,$port,$errno, $errstr, $this->timeout);
+ if (!$socket){
+ $resp->status = '-100';
+ $this->error = "Could not connect to $server:$port\n$errstr ($errno)";
+ return false;
+ }
+ //set non blocking
+ stream_set_blocking($socket,0);
+
+ // build request
+ $request = "$method $request_url HTTP/".$this->http.HTTP_NL;
+ $request .= $this->_buildHeaders($headers);
+ $request .= $this->_getCookies();
+ $request .= HTTP_NL;
+ $request .= $data;
+
+ $this->_debug('request',$request);
+
+ // send request
+ $towrite = strlen($request);
+ $written = 0;
+ while($written < $towrite){
+ $ret = fwrite($socket, substr($request,$written));
+ if($ret === false){
+ $this->status = -100;
+ $this->error = 'Failed writing to socket';
+ return false;
+ }
+ $written += $ret;
+ }
+
+
+ // read headers from socket
+ $r_headers = '';
+ do{
+ if(time()-$start > $this->timeout){
+ $this->status = -100;
+ $this->error = sprintf('Timeout while reading headers (%.3fs)',$this->_time() - $this->start);
+ return false;
+ }
+ if(feof($socket)){
+ $this->error = 'Premature End of File (socket)';
+ return false;
+ }
+ $r_headers .= fgets($socket,1024);
+ }while(!preg_match('/\r?\n\r?\n$/',$r_headers));
+
+ $this->_debug('response headers',$r_headers);
+
+ // check if expected body size exceeds allowance
+ if($this->max_bodysize && preg_match('/\r?\nContent-Length:\s*(\d+)\r?\n/i',$r_headers,$match)){
+ if($match[1] > $this->max_bodysize){
+ $this->error = 'Reported content length exceeds allowed response size';
+ if ($this->max_bodysize_abort)
+ return false;
+ }
+ }
+
+ // get Status
+ if (!preg_match('/^HTTP\/(\d\.\d)\s*(\d+).*?\n/', $r_headers, $m)) {
+ $this->error = 'Server returned bad answer';
+ return false;
+ }
+ $this->status = $m[2];
+
+ // handle headers and cookies
+ $this->resp_headers = $this->_parseHeaders($r_headers);
+ if(isset($this->resp_headers['set-cookie'])){
+ foreach ((array) $this->resp_headers['set-cookie'] as $cookie){
+ list($cookie) = explode(';',$cookie,2);
+ list($key,$val) = explode('=',$cookie,2);
+ $key = trim($key);
+ if($val == 'deleted'){
+ if(isset($this->cookies[$key])){
+ unset($this->cookies[$key]);
+ }
+ }elseif($key){
+ $this->cookies[$key] = $val;
+ }
+ }
+ }
+
+ $this->_debug('Object headers',$this->resp_headers);
+
+ // check server status code to follow redirect
+ if($this->status == 301 || $this->status == 302 ){
+ if (empty($this->resp_headers['location'])){
+ $this->error = 'Redirect but no Location Header found';
+ return false;
+ }elseif($this->redirect_count == $this->max_redirect){
+ $this->error = 'Maximum number of redirects exceeded';
+ return false;
+ }else{
+ $this->redirect_count++;
+ $this->referer = $url;
+ // handle non-RFC-compliant relative redirects
+ if (!preg_match('/^http/i', $this->resp_headers['location'])){
+ if($this->resp_headers['location'][0] != '/'){
+ $this->resp_headers['location'] = $uri['scheme'].'://'.$uri['host'].':'.$uri['port'].
+ dirname($uri['path']).'/'.$this->resp_headers['location'];
+ }else{
+ $this->resp_headers['location'] = $uri['scheme'].'://'.$uri['host'].':'.$uri['port'].
+ $this->resp_headers['location'];
+ }
+ }
+ // perform redirected request, always via GET (required by RFC)
+ return $this->sendRequest($this->resp_headers['location'],array(),'GET');
+ }
+ }
+
+ // check if headers are as expected
+ if($this->header_regexp && !preg_match($this->header_regexp,$r_headers)){
+ $this->error = 'The received headers did not match the given regexp';
+ return false;
+ }
+
+ //read body (with chunked encoding if needed)
+ $r_body = '';
+ if(preg_match('/transfer\-(en)?coding:\s*chunked\r\n/i',$r_headers)){
+ do {
+ unset($chunk_size);
+ do {
+ if(feof($socket)){
+ $this->error = 'Premature End of File (socket)';
+ return false;
+ }
+ if(time()-$start > $this->timeout){
+ $this->status = -100;
+ $this->error = sprintf('Timeout while reading chunk (%.3fs)',$this->_time() - $this->start);
+ return false;
+ }
+ $byte = fread($socket,1);
+ $chunk_size .= $byte;
+ } while (preg_match('/[a-zA-Z0-9]/',$byte)); // read chunksize including \r
+
+ $byte = fread($socket,1); // readtrailing \n
+ $chunk_size = hexdec($chunk_size);
+ if ($chunk_size) {
+ $this_chunk = fread($socket,$chunk_size);
+ $r_body .= $this_chunk;
+ $byte = fread($socket,2); // read trailing \r\n
+ }
+
+ if($this->max_bodysize && strlen($r_body) > $this->max_bodysize){
+ $this->error = 'Allowed response size exceeded';
+ if ($this->max_bodysize_abort)
+ return false;
+ else
+ break;
+ }
+ } while ($chunk_size);
+ }else{
+ // read entire socket
+ while (!feof($socket)) {
+ if(time()-$start > $this->timeout){
+ $this->status = -100;
+ $this->error = sprintf('Timeout while reading response (%.3fs)',$this->_time() - $this->start);
+ return false;
+ }
+ $r_body .= fread($socket,4096);
+ $r_size = strlen($r_body);
+ if($this->max_bodysize && $r_size > $this->max_bodysize){
+ $this->error = 'Allowed response size exceeded';
+ if ($this->max_bodysize_abort)
+ return false;
+ else
+ break;
+ }
+ if(isset($this->resp_headers['content-length']) &&
+ !isset($this->resp_headers['transfer-encoding']) &&
+ $this->resp_headers['content-length'] == $r_size){
+ // we read the content-length, finish here
+ break;
+ }
+ }
+ }
+
+ // close socket
+ $status = socket_get_status($socket);
+ fclose($socket);
+
+ // decode gzip if needed
+ if(isset($this->resp_headers['content-encoding']) &&
+ $this->resp_headers['content-encoding'] == 'gzip' &&
+ strlen($r_body) > 10 && substr($r_body,0,3)=="\x1f\x8b\x08"){
+ $this->resp_body = @gzinflate(substr($r_body, 10));
+ }else{
+ $this->resp_body = $r_body;
+ }
+
+ $this->_debug('response body',$this->resp_body);
+ $this->redirect_count = 0;
+ return true;
+ }
+
+ /**
+ * print debug info
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function _debug($info,$var=null){
+ if(!$this->debug) return;
+ print '<b>'.$info.'</b> '.($this->_time() - $this->start).'s<br />';
+ if(!is_null($var)){
+ ob_start();
+ print_r($var);
+ $content = htmlspecialchars(ob_get_contents());
+ ob_end_clean();
+ print '<pre>'.$content.'</pre>';
+ }
+ }
+
+ /**
+ * Return current timestamp in microsecond resolution
+ */
+ function _time(){
+ list($usec, $sec) = explode(" ", microtime());
+ return ((float)$usec + (float)$sec);
+ }
+
+ /**
+ * convert given header string to Header array
+ *
+ * All Keys are lowercased.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function _parseHeaders($string){
+ $headers = array();
+ $lines = explode("\n",$string);
+ foreach($lines as $line){
+ list($key,$val) = explode(':',$line,2);
+ $key = strtolower(trim($key));
+ $val = trim($val);
+ if(empty($val)) continue;
+ if(isset($headers[$key])){
+ if(is_array($headers[$key])){
+ $headers[$key][] = $val;
+ }else{
+ $headers[$key] = array($headers[$key],$val);
+ }
+ }else{
+ $headers[$key] = $val;
+ }
+ }
+ return $headers;
+ }
+
+ /**
+ * convert given header array to header string
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function _buildHeaders($headers){
+ $string = '';
+ foreach($headers as $key => $value){
+ if(empty($value)) continue;
+ $string .= $key.': '.$value.HTTP_NL;
+ }
+ return $string;
+ }
+
+ /**
+ * get cookies as http header string
+ *
+ * @author Andreas Goetz <cpuidle@gmx.de>
+ */
+ function _getCookies(){
+ $headers = '';
+ foreach ($this->cookies as $key => $val){
+ $headers .= "$key=$val; ";
+ }
+ $headers = substr($headers, 0, -2);
+ if ($headers !== '') $headers = "Cookie: $headers".HTTP_NL;
+ return $headers;
+ }
+
+ /**
+ * Encode data for posting
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function _postEncode($data){
+ foreach($data as $key => $val){
+ if($url) $url .= '&';
+ $url .= urlencode($key).'='.urlencode($val);
+ }
+ return $url;
+ }
+
+ /**
+ * Encode data for posting using multipart encoding
+ *
+ * @fixme use of urlencode might be wrong here
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function _postMultipartEncode($data){
+ $boundary = '--'.$this->boundary;
+ $out = '';
+ foreach($data as $key => $val){
+ $out .= $boundary.HTTP_NL;
+ if(!is_array($val)){
+ $out .= 'Content-Disposition: form-data; name="'.urlencode($key).'"'.HTTP_NL;
+ $out .= HTTP_NL; // end of headers
+ $out .= $val;
+ $out .= HTTP_NL;
+ }else{
+ $out .= 'Content-Disposition: form-data; name="'.urlencode($key).'"';
+ if($val['filename']) $out .= '; filename="'.urlencode($val['filename']).'"';
+ $out .= HTTP_NL;
+ if($val['mimetype']) $out .= 'Content-Type: '.$val['mimetype'].HTTP_NL;
+ $out .= HTTP_NL; // end of headers
+ $out .= $val['body'];
+ $out .= HTTP_NL;
+ }
+ }
+ $out .= "$boundary--".HTTP_NL;
+ return $out;
+ }
+
+}
+
+//Setup VIM: ex: et ts=4 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/IXR_Library.php b/mod/dokuwiki/vendors/dokuwiki/inc/IXR_Library.php
new file mode 100644
index 000000000..2752e31f2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/IXR_Library.php
@@ -0,0 +1,817 @@
+<?php
+/**
+ * IXR - The Inutio XML-RPC Library - (c) Incutio Ltd 2002
+ *
+ * @version 1.61
+ * @author Simon Willison
+ * @date 11th July 2003
+ * @link http://scripts.incutio.com/xmlrpc/
+ * @link http://scripts.incutio.com/xmlrpc/manual.php
+ * @license Artistic License http://www.opensource.org/licenses/artistic-license.php
+ *
+ * Modified for DokuWiki
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+
+
+class IXR_Value {
+ var $data;
+ var $type;
+ function IXR_Value ($data, $type = false) {
+ $this->data = $data;
+ if (!$type) {
+ $type = $this->calculateType();
+ }
+ $this->type = $type;
+ if ($type == 'struct') {
+ /* Turn all the values in the array in to new IXR_Value objects */
+ foreach ($this->data as $key => $value) {
+ $this->data[$key] = new IXR_Value($value);
+ }
+ }
+ if ($type == 'array') {
+ for ($i = 0, $j = count($this->data); $i < $j; $i++) {
+ $this->data[$i] = new IXR_Value($this->data[$i]);
+ }
+ }
+ }
+ function calculateType() {
+ if ($this->data === true || $this->data === false) {
+ return 'boolean';
+ }
+ if (is_integer($this->data)) {
+ return 'int';
+ }
+ if (is_double($this->data)) {
+ return 'double';
+ }
+ // Deal with IXR object types base64 and date
+ if (is_object($this->data) && is_a($this->data, 'IXR_Date')) {
+ return 'date';
+ }
+ if (is_object($this->data) && is_a($this->data, 'IXR_Base64')) {
+ return 'base64';
+ }
+ // If it is a normal PHP object convert it in to a struct
+ if (is_object($this->data)) {
+
+ $this->data = get_object_vars($this->data);
+ return 'struct';
+ }
+ if (!is_array($this->data)) {
+ return 'string';
+ }
+ /* We have an array - is it an array or a struct ? */
+ if ($this->isStruct($this->data)) {
+ return 'struct';
+ } else {
+ return 'array';
+ }
+ }
+ function getXml() {
+ /* Return XML for this value */
+ switch ($this->type) {
+ case 'boolean':
+ return '<boolean>'.(($this->data) ? '1' : '0').'</boolean>';
+ break;
+ case 'int':
+ return '<int>'.$this->data.'</int>';
+ break;
+ case 'double':
+ return '<double>'.$this->data.'</double>';
+ break;
+ case 'string':
+ return '<string>'.htmlspecialchars($this->data).'</string>';
+ break;
+ case 'array':
+ $return = '<array><data>'."\n";
+ foreach ($this->data as $item) {
+ $return .= ' <value>'.$item->getXml()."</value>\n";
+ }
+ $return .= '</data></array>';
+ return $return;
+ break;
+ case 'struct':
+ $return = '<struct>'."\n";
+ foreach ($this->data as $name => $value) {
+ $return .= " <member><name>$name</name><value>";
+ $return .= $value->getXml()."</value></member>\n";
+ }
+ $return .= '</struct>';
+ return $return;
+ break;
+ case 'date':
+ case 'base64':
+ return $this->data->getXml();
+ break;
+ }
+ return false;
+ }
+ function isStruct($array) {
+ /* Nasty function to check if an array is a struct or not */
+ $expected = 0;
+ foreach ($array as $key => $value) {
+ if ((string)$key != (string)$expected) {
+ return true;
+ }
+ $expected++;
+ }
+ return false;
+ }
+}
+
+
+class IXR_Message {
+ var $message;
+ var $messageType; // methodCall / methodResponse / fault
+ var $faultCode;
+ var $faultString;
+ var $methodName;
+ var $params;
+ // Current variable stacks
+ var $_arraystructs = array(); // The stack used to keep track of the current array/struct
+ var $_arraystructstypes = array(); // Stack keeping track of if things are structs or array
+ var $_currentStructName = array(); // A stack as well
+ var $_param;
+ var $_value;
+ var $_currentTag;
+ var $_currentTagContents;
+ // The XML parser
+ var $_parser;
+ function IXR_Message ($message) {
+ $this->message = $message;
+ }
+ function parse() {
+ // first remove the XML declaration
+ $this->message = preg_replace('/<\?xml(.*)?\?'.'>/', '', $this->message);
+ // workaround for a bug in PHP/libxml2, see http://bugs.php.net/bug.php?id=45996
+ $this->message = str_replace('&lt;', '&#60;', $this->message);
+ $this->message = str_replace('&gt;', '&#62;', $this->message);
+ $this->message = str_replace('&amp;', '&#38;', $this->message);
+ $this->message = str_replace('&apos;', '&#39;', $this->message);
+ $this->message = str_replace('&quot;', '&#34;', $this->message);
+ if (trim($this->message) == '') {
+ return false;
+ }
+ $this->_parser = xml_parser_create();
+ // Set XML parser to take the case of tags in to account
+ xml_parser_set_option($this->_parser, XML_OPTION_CASE_FOLDING, false);
+ // Set XML parser callback functions
+ xml_set_object($this->_parser, $this);
+ xml_set_element_handler($this->_parser, 'tag_open', 'tag_close');
+ xml_set_character_data_handler($this->_parser, 'cdata');
+ if (!xml_parse($this->_parser, $this->message)) {
+ /* die(sprintf('XML error: %s at line %d',
+ xml_error_string(xml_get_error_code($this->_parser)),
+ xml_get_current_line_number($this->_parser))); */
+ return false;
+ }
+ xml_parser_free($this->_parser);
+ // Grab the error messages, if any
+ if ($this->messageType == 'fault') {
+ $this->faultCode = $this->params[0]['faultCode'];
+ $this->faultString = $this->params[0]['faultString'];
+ }
+ return true;
+ }
+ function tag_open($parser, $tag, $attr) {
+ $this->currentTag = $tag;
+ $this->_currentTagContents = '';
+ switch($tag) {
+ case 'methodCall':
+ case 'methodResponse':
+ case 'fault':
+ $this->messageType = $tag;
+ break;
+ /* Deal with stacks of arrays and structs */
+ case 'data': // data is to all intents and puposes more interesting than array
+ $this->_arraystructstypes[] = 'array';
+ $this->_arraystructs[] = array();
+ break;
+ case 'struct':
+ $this->_arraystructstypes[] = 'struct';
+ $this->_arraystructs[] = array();
+ break;
+ }
+ }
+ function cdata($parser, $cdata) {
+ $this->_currentTagContents .= $cdata;
+ }
+ function tag_close($parser, $tag) {
+ $valueFlag = false;
+ switch($tag) {
+ case 'int':
+ case 'i4':
+ $value = (int)trim($this->_currentTagContents);
+ $this->_currentTagContents = '';
+ $valueFlag = true;
+ break;
+ case 'double':
+ $value = (double)trim($this->_currentTagContents);
+ $this->_currentTagContents = '';
+ $valueFlag = true;
+ break;
+ case 'string':
+ $value = (string)$this->_currentTagContents;
+ $this->_currentTagContents = '';
+ $valueFlag = true;
+ break;
+ case 'dateTime.iso8601':
+ $value = new IXR_Date(trim($this->_currentTagContents));
+ // $value = $iso->getTimestamp();
+ $this->_currentTagContents = '';
+ $valueFlag = true;
+ break;
+ case 'value':
+ // "If no type is indicated, the type is string."
+ if (trim($this->_currentTagContents) != '') {
+ $value = (string)$this->_currentTagContents;
+ $this->_currentTagContents = '';
+ $valueFlag = true;
+ }
+ break;
+ case 'boolean':
+ $value = (boolean)trim($this->_currentTagContents);
+ $this->_currentTagContents = '';
+ $valueFlag = true;
+ break;
+ case 'base64':
+ $value = base64_decode($this->_currentTagContents);
+ $this->_currentTagContents = '';
+ $valueFlag = true;
+ break;
+ /* Deal with stacks of arrays and structs */
+ case 'data':
+ case 'struct':
+ $value = array_pop($this->_arraystructs);
+ array_pop($this->_arraystructstypes);
+ $valueFlag = true;
+ break;
+ case 'member':
+ array_pop($this->_currentStructName);
+ break;
+ case 'name':
+ $this->_currentStructName[] = trim($this->_currentTagContents);
+ $this->_currentTagContents = '';
+ break;
+ case 'methodName':
+ $this->methodName = trim($this->_currentTagContents);
+ $this->_currentTagContents = '';
+ break;
+ }
+ if ($valueFlag) {
+ /*
+ if (!is_array($value) && !is_object($value)) {
+ $value = trim($value);
+ }
+ */
+ if (count($this->_arraystructs) > 0) {
+ // Add value to struct or array
+ if ($this->_arraystructstypes[count($this->_arraystructstypes)-1] == 'struct') {
+ // Add to struct
+ $this->_arraystructs[count($this->_arraystructs)-1][$this->_currentStructName[count($this->_currentStructName)-1]] = $value;
+ } else {
+ // Add to array
+ $this->_arraystructs[count($this->_arraystructs)-1][] = $value;
+ }
+ } else {
+ // Just add as a paramater
+ $this->params[] = $value;
+ }
+ }
+ }
+}
+
+
+class IXR_Server {
+ var $data;
+ var $callbacks = array();
+ var $message;
+ var $capabilities;
+ function IXR_Server($callbacks = false, $data = false) {
+ $this->setCapabilities();
+ if ($callbacks) {
+ $this->callbacks = $callbacks;
+ }
+ $this->setCallbacks();
+ $this->serve($data);
+ }
+ function serve($data = false) {
+ if (!$data) {
+ global $HTTP_RAW_POST_DATA;
+ if (!$HTTP_RAW_POST_DATA) {
+ die('XML-RPC server accepts POST requests only.');
+ }
+ $data = $HTTP_RAW_POST_DATA;
+ }
+ $this->message = new IXR_Message($data);
+ if (!$this->message->parse()) {
+ $this->error(-32700, 'parse error. not well formed');
+ }
+ if ($this->message->messageType != 'methodCall') {
+ $this->error(-32600, 'server error. invalid xml-rpc. not conforming to spec. Request must be a methodCall');
+ }
+ $result = $this->call($this->message->methodName, $this->message->params);
+ // Is the result an error?
+ if (is_a($result, 'IXR_Error')) {
+ $this->error($result);
+ }
+ // Encode the result
+ $r = new IXR_Value($result);
+ $resultxml = $r->getXml();
+ // Create the XML
+ $xml = <<<EOD
+<methodResponse>
+ <params>
+ <param>
+ <value>
+ $resultxml
+ </value>
+ </param>
+ </params>
+</methodResponse>
+
+EOD;
+ // Send it
+ $this->output($xml);
+ }
+ function call($methodname, $args) {
+ if (!$this->hasMethod($methodname)) {
+ return new IXR_Error(-32601, 'server error. requested method '.$methodname.' does not exist.');
+ }
+ $method = $this->callbacks[$methodname];
+ // Perform the callback and send the response
+
+# Removed for DokuWiki to have a more consistent interface
+# if (count($args) == 1) {
+# // If only one paramater just send that instead of the whole array
+# $args = $args[0];
+# }
+
+
+# Adjusted for DokuWiki to use call_user_func_array
+
+ // args need to be an array
+ $args = (array) $args;
+
+ // Are we dealing with a function or a method?
+ if (substr($method, 0, 5) == 'this:') {
+ // It's a class method - check it exists
+ $method = substr($method, 5);
+ if (!method_exists($this, $method)) {
+ return new IXR_Error(-32601, 'server error. requested class method "'.$method.'" does not exist.');
+ }
+ // Call the method
+ #$result = $this->$method($args);
+ $result = call_user_func_array(array(&$this,$method),$args);
+ } elseif (substr($method, 0, 7) == 'plugin:') {
+ require_once(DOKU_INC.'inc/pluginutils.php');
+ list($pluginname, $callback) = explode(':', substr($method, 7), 2);
+ if(!plugin_isdisabled($pluginname)) {
+ $plugin = plugin_load('action', $pluginname);
+ return call_user_func_array(array($plugin, $callback), $args);
+ } else {
+ return new IXR_Error(-99999, 'server error');
+ }
+ } else {
+ // It's a function - does it exist?
+ if (!function_exists($method)) {
+ return new IXR_Error(-32601, 'server error. requested function "'.$method.'" does not exist.');
+ }
+ // Call the function
+ #$result = $method($args);
+ $result = call_user_func_array($method,$args);
+ }
+ return $result;
+ }
+
+ function error($error, $message = false) {
+ // Accepts either an error object or an error code and message
+ if ($message && !is_object($error)) {
+ $error = new IXR_Error($error, $message);
+ }
+ $this->output($error->getXml());
+ }
+ function output($xml) {
+ $xml = '<?xml version="1.0"?>'."\n".$xml;
+ $length = strlen($xml);
+ header('Connection: close');
+ header('Content-Length: '.$length);
+ header('Content-Type: text/xml');
+ header('Date: '.date('r'));
+ echo $xml;
+ exit;
+ }
+ function hasMethod($method) {
+ return in_array($method, array_keys($this->callbacks));
+ }
+ function setCapabilities() {
+ // Initialises capabilities array
+ $this->capabilities = array(
+ 'xmlrpc' => array(
+ 'specUrl' => 'http://www.xmlrpc.com/spec',
+ 'specVersion' => 1
+ ),
+ 'faults_interop' => array(
+ 'specUrl' => 'http://xmlrpc-epi.sourceforge.net/specs/rfc.fault_codes.php',
+ 'specVersion' => 20010516
+ ),
+ 'system.multicall' => array(
+ 'specUrl' => 'http://www.xmlrpc.com/discuss/msgReader$1208',
+ 'specVersion' => 1
+ ),
+ );
+ }
+ function getCapabilities() {
+ return $this->capabilities;
+ }
+ function setCallbacks() {
+ $this->callbacks['system.getCapabilities'] = 'this:getCapabilities';
+ $this->callbacks['system.listMethods'] = 'this:listMethods';
+ $this->callbacks['system.multicall'] = 'this:multiCall';
+ }
+ function listMethods() {
+ // Returns a list of methods - uses array_reverse to ensure user defined
+ // methods are listed before server defined methods
+ return array_reverse(array_keys($this->callbacks));
+ }
+ function multiCall($methodcalls) {
+ // See http://www.xmlrpc.com/discuss/msgReader$1208
+ $return = array();
+ foreach ($methodcalls as $call) {
+ $method = $call['methodName'];
+ $params = $call['params'];
+ if ($method == 'system.multicall') {
+ $result = new IXR_Error(-32600, 'Recursive calls to system.multicall are forbidden');
+ } else {
+ $result = $this->call($method, $params);
+ }
+ if (is_a($result, 'IXR_Error')) {
+ $return[] = array(
+ 'faultCode' => $result->code,
+ 'faultString' => $result->message
+ );
+ } else {
+ $return[] = array($result);
+ }
+ }
+ return $return;
+ }
+}
+
+class IXR_Request {
+ var $method;
+ var $args;
+ var $xml;
+ function IXR_Request($method, $args) {
+ $this->method = $method;
+ $this->args = $args;
+ $this->xml = <<<EOD
+<?xml version="1.0"?>
+<methodCall>
+<methodName>{$this->method}</methodName>
+<params>
+
+EOD;
+ foreach ($this->args as $arg) {
+ $this->xml .= '<param><value>';
+ $v = new IXR_Value($arg);
+ $this->xml .= $v->getXml();
+ $this->xml .= "</value></param>\n";
+ }
+ $this->xml .= '</params></methodCall>';
+ }
+ function getLength() {
+ return strlen($this->xml);
+ }
+ function getXml() {
+ return $this->xml;
+ }
+}
+
+/**
+ * Changed for DokuWiki to use DokuHTTPClient
+ *
+ * This should be compatible to the original class, but uses DokuWiki's
+ * HTTP client library which will respect proxy settings
+ *
+ * Because the XMLRPC client is not used in DokuWiki currently this is completely
+ * untested
+ */
+class IXR_Client extends DokuHTTPClient {
+ var $posturl = '';
+ var $message = false;
+ var $xmlerror = false;
+
+ function IXR_Client($server, $path = false, $port = 80) {
+ $this->DokuHTTPClient();
+ if (!$path) {
+ // Assume we have been given a URL instead
+ $this->posturl = $server;
+ }else{
+ $this->posturl = 'http://'.$server.':'.$port.$path;
+ }
+ }
+
+ function query() {
+ $args = func_get_args();
+ $method = array_shift($args);
+ $request = new IXR_Request($method, $args);
+ $xml = $request->getXml();
+
+ $this->headers['Content-Type'] = 'text/xml';
+ if(!$this->sendRequest($this->posturl,$xml,'POST')){
+ $this->xmlerror = new IXR_Error(-32300, 'transport error - '.$this->error);
+ return false;
+ }
+
+ // Check HTTP Response code
+ if($this->status < 200 || $this->status > 206){
+ $this->xmlerror = new IXR_Error(-32300, 'transport error - HTTP status '.$this->status);
+ return false;
+ }
+
+ // Now parse what we've got back
+ $this->message = new IXR_Message($this->resp_body);
+ if (!$this->message->parse()) {
+ // XML error
+ $this->xmlerror = new IXR_Error(-32700, 'parse error. not well formed');
+ return false;
+ }
+ // Is the message a fault?
+ if ($this->message->messageType == 'fault') {
+ $this->xmlerror = new IXR_Error($this->message->faultCode, $this->message->faultString);
+ return false;
+ }
+ // Message must be OK
+ return true;
+ }
+ function getResponse() {
+ // methodResponses can only have one param - return that
+ return $this->message->params[0];
+ }
+ function isError() {
+ return (is_object($this->xmlerror));
+ }
+ function getErrorCode() {
+ return $this->xmlerror->code;
+ }
+ function getErrorMessage() {
+ return $this->xmlerror->message;
+ }
+}
+
+
+class IXR_Error {
+ var $code;
+ var $message;
+ function IXR_Error($code, $message) {
+ $this->code = $code;
+ $this->message = $message;
+ }
+ function getXml() {
+ $xml = <<<EOD
+<methodResponse>
+ <fault>
+ <value>
+ <struct>
+ <member>
+ <name>faultCode</name>
+ <value><int>{$this->code}</int></value>
+ </member>
+ <member>
+ <name>faultString</name>
+ <value><string>{$this->message}</string></value>
+ </member>
+ </struct>
+ </value>
+ </fault>
+</methodResponse>
+
+EOD;
+ return $xml;
+ }
+}
+
+
+class IXR_Date {
+ var $year;
+ var $month;
+ var $day;
+ var $hour;
+ var $minute;
+ var $second;
+ function IXR_Date($time) {
+ // $time can be a PHP timestamp or an ISO one
+ if (is_numeric($time)) {
+ $this->parseTimestamp($time);
+ } else {
+ $this->parseIso($time);
+ }
+ }
+ function parseTimestamp($timestamp) {
+ $this->year = gmdate('Y', $timestamp);
+ $this->month = gmdate('m', $timestamp);
+ $this->day = gmdate('d', $timestamp);
+ $this->hour = gmdate('H', $timestamp);
+ $this->minute = gmdate('i', $timestamp);
+ $this->second = gmdate('s', $timestamp);
+ }
+ function parseIso($iso) {
+ $this->year = substr($iso, 0, 4);
+ $this->month = substr($iso, 5, 2);
+ $this->day = substr($iso, 8, 2);
+ $this->hour = substr($iso, 11, 2);
+ $this->minute = substr($iso, 14, 2);
+ $this->second = substr($iso, 17, 2);
+ }
+ function getIso() {
+ return $this->year.'-'.$this->month.'-'.$this->day.'T'.$this->hour.':'.$this->minute.':'.$this->second;
+ }
+ function getXml() {
+ return '<dateTime.iso8601>'.$this->getIso().'</dateTime.iso8601>';
+ }
+ function getTimestamp() {
+ return gmmktime($this->hour, $this->minute, $this->second, $this->month, $this->day, $this->year);
+ }
+}
+
+
+class IXR_Base64 {
+ var $data;
+ function IXR_Base64($data) {
+ $this->data = $data;
+ }
+ function getXml() {
+ return '<base64>'.base64_encode($this->data).'</base64>';
+ }
+}
+
+
+class IXR_IntrospectionServer extends IXR_Server {
+ var $signatures;
+ var $help;
+ function IXR_IntrospectionServer() {
+ $this->setCallbacks();
+ $this->setCapabilities();
+ $this->capabilities['introspection'] = array(
+ 'specUrl' => 'http://xmlrpc.usefulinc.com/doc/reserved.html',
+ 'specVersion' => 1
+ );
+ $this->addCallback(
+ 'system.methodSignature',
+ 'this:methodSignature',
+ array('array', 'string'),
+ 'Returns an array describing the return type and required parameters of a method'
+ );
+ $this->addCallback(
+ 'system.getCapabilities',
+ 'this:getCapabilities',
+ array('struct'),
+ 'Returns a struct describing the XML-RPC specifications supported by this server'
+ );
+ $this->addCallback(
+ 'system.listMethods',
+ 'this:listMethods',
+ array('array'),
+ 'Returns an array of available methods on this server'
+ );
+ $this->addCallback(
+ 'system.methodHelp',
+ 'this:methodHelp',
+ array('string', 'string'),
+ 'Returns a documentation string for the specified method'
+ );
+ }
+ function addCallback($method, $callback, $args, $help) {
+ $this->callbacks[$method] = $callback;
+ $this->signatures[$method] = $args;
+ $this->help[$method] = $help;
+ }
+ function call($methodname, $args) {
+ // Make sure it's in an array
+ if ($args && !is_array($args)) {
+ $args = array($args);
+ }
+ // Over-rides default call method, adds signature check
+ if (!$this->hasMethod($methodname)) {
+ return new IXR_Error(-32601, 'server error. requested method "'.$this->message->methodName.'" not specified.');
+ }
+ $method = $this->callbacks[$methodname];
+ $signature = $this->signatures[$methodname];
+ $returnType = array_shift($signature);
+ // Check the number of arguments. Check only, if the minimum count of parameters is specified. More parameters are possible.
+ // This is a hack to allow optional parameters...
+ if (count($args) < count($signature)) {
+ // print 'Num of args: '.count($args).' Num in signature: '.count($signature);
+ return new IXR_Error(-32602, 'server error. wrong number of method parameters');
+ }
+ // Check the argument types
+ $ok = true;
+ $argsbackup = $args;
+ for ($i = 0, $j = count($args); $i < $j; $i++) {
+ $arg = array_shift($args);
+ $type = array_shift($signature);
+ switch ($type) {
+ case 'int':
+ case 'i4':
+ if (is_array($arg) || !is_int($arg)) {
+ $ok = false;
+ }
+ break;
+ case 'base64':
+ case 'string':
+ if (!is_string($arg)) {
+ $ok = false;
+ }
+ break;
+ case 'boolean':
+ if ($arg !== false && $arg !== true) {
+ $ok = false;
+ }
+ break;
+ case 'float':
+ case 'double':
+ if (!is_float($arg)) {
+ $ok = false;
+ }
+ break;
+ case 'date':
+ case 'dateTime.iso8601':
+ if (!is_a($arg, 'IXR_Date')) {
+ $ok = false;
+ }
+ break;
+ }
+ if (!$ok) {
+ return new IXR_Error(-32602, 'server error. invalid method parameters');
+ }
+ }
+ // It passed the test - run the "real" method call
+ return parent::call($methodname, $argsbackup);
+ }
+ function methodSignature($method) {
+ if (!$this->hasMethod($method)) {
+ return new IXR_Error(-32601, 'server error. requested method "'.$method.'" not specified.');
+ }
+ // We should be returning an array of types
+ $types = $this->signatures[$method];
+ $return = array();
+ foreach ($types as $type) {
+ switch ($type) {
+ case 'string':
+ $return[] = 'string';
+ break;
+ case 'int':
+ case 'i4':
+ $return[] = 42;
+ break;
+ case 'double':
+ $return[] = 3.1415;
+ break;
+ case 'dateTime.iso8601':
+ $return[] = new IXR_Date(time());
+ break;
+ case 'boolean':
+ $return[] = true;
+ break;
+ case 'base64':
+ $return[] = new IXR_Base64('base64');
+ break;
+ case 'array':
+ $return[] = array('array');
+ break;
+ case 'struct':
+ $return[] = array('struct' => 'struct');
+ break;
+ }
+ }
+ return $return;
+ }
+ function methodHelp($method) {
+ return $this->help[$method];
+ }
+}
+
+
+class IXR_ClientMulticall extends IXR_Client {
+ var $calls = array();
+ function IXR_ClientMulticall($server, $path = false, $port = 80) {
+ parent::IXR_Client($server, $path, $port);
+ //$this->useragent = 'The Incutio XML-RPC PHP Library (multicall client)';
+ }
+ function addCall() {
+ $args = func_get_args();
+ $methodName = array_shift($args);
+ $struct = array(
+ 'methodName' => $methodName,
+ 'params' => $args
+ );
+ $this->calls[] = $struct;
+ }
+ function query() {
+ // Prepare multicall, then call the parent::query() method
+ return parent::query('system.multicall', $this->calls);
+ }
+}
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/JSON.php b/mod/dokuwiki/vendors/dokuwiki/inc/JSON.php
new file mode 100644
index 000000000..ea66c9c32
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/JSON.php
@@ -0,0 +1,624 @@
+<?php
+/* vim: set expandtab tabstop=4 shiftwidth=4 softtabstop=4: */
+
+/**
+ * Converts to and from JSON format.
+ *
+ * JSON (JavaScript Object Notation) is a lightweight data-interchange
+ * format. It is easy for humans to read and write. It is easy for machines
+ * to parse and generate. It is based on a subset of the JavaScript
+ * Programming Language, Standard ECMA-262 3rd Edition - December 1999.
+ * This feature can also be found in Python. JSON is a text format that is
+ * completely language independent but uses conventions that are familiar
+ * to programmers of the C-family of languages, including C, C++, C#, Java,
+ * JavaScript, Perl, TCL, and many others. These properties make JSON an
+ * ideal data-interchange language.
+ *
+ * This package provides a simple encoder and decoder for JSON notation. It
+ * is intended for use with client-side Javascript applications that make
+ * use of HTTPRequest to perform server communication functions - data can
+ * be encoded into JSON notation for use in a client-side javascript, or
+ * decoded from incoming Javascript requests. JSON format is native to
+ * Javascript, and can be directly eval()'ed with no further parsing
+ * overhead
+ *
+ * All strings should be in ASCII or UTF-8 format!
+ *
+ * PHP versions 4 and 5
+ *
+ * LICENSE: Redistribution and use in source and binary forms, with or
+ * without modification, are permitted provided that the following
+ * conditions are met: Redistributions of source code must retain the
+ * above copyright notice, this list of conditions and the following
+ * disclaimer. Redistributions in binary form must reproduce the above
+ * copyright notice, this list of conditions and the following disclaimer
+ * in the documentation and/or other materials provided with the
+ * distribution.
+ *
+ * THIS SOFTWARE IS PROVIDED ``AS IS'' AND ANY EXPRESS OR IMPLIED
+ * WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
+ * MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN
+ * NO EVENT SHALL CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
+ * INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
+ * BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS
+ * OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
+ * ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR
+ * TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE
+ * USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH
+ * DAMAGE.
+ *
+ * @category
+ * @package
+ * @author Michal Migurski <mike-json@teczno.com>
+ * @author Matt Knapp <mdknapp[at]gmail[dot]com>
+ * @author Brett Stimmerman <brettstimmerman[at]gmail[dot]com>
+ * @copyright 2005 Michal Migurski
+ * @license http://www.freebsd.org/copyright/freebsd-license.html
+ * @link http://pear.php.net/pepr/pepr-proposal-show.php?id=198
+ */
+
+// for DokuWiki
+if(!defined('DOKU_INC')) die('meh.');
+require_once(DOKU_INC.'inc/utf8.php');
+
+/**
+ * Marker constant for JSON::decode(), used to flag stack state
+ */
+define('JSON_SLICE', 1);
+
+/**
+ * Marker constant for JSON::decode(), used to flag stack state
+ */
+define('JSON_IN_STR', 2);
+
+/**
+ * Marker constant for JSON::decode(), used to flag stack state
+ */
+define('JSON_IN_ARR', 4);
+
+/**
+ * Marker constant for JSON::decode(), used to flag stack state
+ */
+define('JSON_IN_OBJ', 8);
+
+/**
+ * Marker constant for JSON::decode(), used to flag stack state
+ */
+define('JSON_IN_CMT', 16);
+
+/**
+ * Behavior switch for JSON::decode()
+ */
+define('JSON_LOOSE_TYPE', 10);
+
+/**
+ * Behavior switch for JSON::decode()
+ */
+define('JSON_STRICT_TYPE', 11);
+
+/**
+ * Converts to and from JSON format.
+ *
+ * @category
+ * @package
+ * @author Michal Migurski <mike-json@teczno.com>
+ * @author Matt Knapp <mdknapp[at]gmail[dot]com>
+ * @author Brett Stimmerman <brettstimmerman[at]gmail[dot]com>
+ * @copyright 2005 Michal Migurski
+ * @license http://www.php.net/license/3_0.txt PHP License 3.0
+ * @version
+ * @link
+ * @see
+ * @since
+ * @deprecated
+ */
+class JSON
+{
+ /**
+ * constructs a new JSON instance
+ *
+ * @param int $use object behavior: when encoding or decoding,
+ * be loose or strict about object/array usage
+ *
+ * possible values:
+ * JSON_STRICT_TYPE - strict typing, default
+ * "{...}" syntax creates objects in decode.
+ * JSON_LOOSE_TYPE - loose typing
+ * "{...}" syntax creates associative arrays in decode.
+ */
+ function JSON($use=JSON_STRICT_TYPE)
+ {
+ $this->use = $use;
+ }
+
+ /**
+ * encodes an arbitrary variable into JSON format
+ *
+ * @param mixed $var any number, boolean, string, array, or object to be encoded.
+ * see argument 1 to JSON() above for array-parsing behavior.
+ * if var is a strng, note that encode() always expects it
+ * to be in ASCII or UTF-8 format!
+ *
+ * @return string JSON string representation of input var
+ * @access public
+ */
+ function encode($var)
+ {
+ switch (gettype($var)) {
+ case 'boolean':
+ return $var ? 'true' : 'false';
+
+ case 'NULL':
+ return 'null';
+
+ case 'integer':
+ return sprintf('%d', $var);
+
+ case 'double':
+ case 'float':
+ return sprintf('%f', $var);
+
+ case 'string':
+ // STRINGS ARE EXPECTED TO BE IN ASCII OR UTF-8 FORMAT
+ $ascii = '';
+ $strlen_var = strlen($var);
+
+ /*
+ * Iterate over every character in the string,
+ * escaping with a slash or encoding to UTF-8 where necessary
+ */
+ for ($c = 0; $c < $strlen_var; ++$c) {
+
+ $ord_var_c = ord($var{$c});
+
+ switch ($ord_var_c) {
+ case 0x08: $ascii .= '\b'; break;
+ case 0x09: $ascii .= '\t'; break;
+ case 0x0A: $ascii .= '\n'; break;
+ case 0x0C: $ascii .= '\f'; break;
+ case 0x0D: $ascii .= '\r'; break;
+
+ case 0x22:
+ case 0x2F:
+ case 0x5C:
+ // double quote, slash, slosh
+ $ascii .= '\\'.$var{$c};
+ break;
+
+ case (($ord_var_c >= 0x20) && ($ord_var_c <= 0x7F)):
+ // characters U-00000000 - U-0000007F (same as ASCII)
+ $ascii .= $var{$c};
+ break;
+
+ case (($ord_var_c & 0xE0) == 0xC0):
+ // characters U-00000080 - U-000007FF, mask 110XXXXX
+ // see http://www.cl.cam.ac.uk/~mgk25/unicode.html#utf-8
+ $char = pack('C*', $ord_var_c, ord($var{$c+1}));
+ $c+=1;
+ //$utf16 = mb_convert_encoding($char, 'UTF-16', 'UTF-8');
+ $utf16 = utf8_to_utf16be($char);
+ $ascii .= sprintf('\u%04s', bin2hex($utf16));
+ break;
+
+ case (($ord_var_c & 0xF0) == 0xE0):
+ // characters U-00000800 - U-0000FFFF, mask 1110XXXX
+ // see http://www.cl.cam.ac.uk/~mgk25/unicode.html#utf-8
+ $char = pack('C*', $ord_var_c,
+ ord($var{$c+1}),
+ ord($var{$c+2}));
+ $c+=2;
+ //$utf16 = mb_convert_encoding($char, 'UTF-16', 'UTF-8');
+ $utf16 = utf8_to_utf16be($char);
+ $ascii .= sprintf('\u%04s', bin2hex($utf16));
+ break;
+
+ case (($ord_var_c & 0xF8) == 0xF0):
+ // characters U-00010000 - U-001FFFFF, mask 11110XXX
+ // see http://www.cl.cam.ac.uk/~mgk25/unicode.html#utf-8
+ $char = pack('C*', $ord_var_c,
+ ord($var{$c+1}),
+ ord($var{$c+2}),
+ ord($var{$c+3}));
+ $c+=3;
+ //$utf16 = mb_convert_encoding($char, 'UTF-16', 'UTF-8');
+ $utf16 = utf8_to_utf16be($char);
+ $ascii .= sprintf('\u%04s', bin2hex($utf16));
+ break;
+
+ case (($ord_var_c & 0xFC) == 0xF8):
+ // characters U-00200000 - U-03FFFFFF, mask 111110XX
+ // see http://www.cl.cam.ac.uk/~mgk25/unicode.html#utf-8
+ $char = pack('C*', $ord_var_c,
+ ord($var{$c+1}),
+ ord($var{$c+2}),
+ ord($var{$c+3}),
+ ord($var{$c+4}));
+ $c+=4;
+ //$utf16 = mb_convert_encoding($char, 'UTF-16', 'UTF-8');
+ $utf16 = utf8_to_utf16be($char);
+ $ascii .= sprintf('\u%04s', bin2hex($utf16));
+ break;
+
+ case (($ord_var_c & 0xFE) == 0xFC):
+ // characters U-04000000 - U-7FFFFFFF, mask 1111110X
+ // see http://www.cl.cam.ac.uk/~mgk25/unicode.html#utf-8
+ $char = pack('C*', $ord_var_c,
+ ord($var{$c+1}),
+ ord($var{$c+2}),
+ ord($var{$c+3}),
+ ord($var{$c+4}),
+ ord($var{$c+5}));
+ $c+=5;
+ //$utf16 = mb_convert_encoding($char, 'UTF-16', 'UTF-8');
+ $utf16 = utf8_to_utf16be($char);
+ $ascii .= sprintf('\u%04s', bin2hex($utf16));
+ break;
+ }
+ }
+
+ return '"'.$ascii.'"';
+
+ case 'array':
+ /*
+ * As per JSON spec if any array key is not an integer
+ * we must treat the the whole array as an object. We
+ * also try to catch a sparsely populated associative
+ * array with numeric keys here because some JS engines
+ * will create an array with empty indexes up to
+ * max_index which can cause memory issues and because
+ * the keys, which may be relevant, will be remapped
+ * otherwise.
+ *
+ * As per the ECMA and JSON specification an object may
+ * have any string as a property. Unfortunately due to
+ * a hole in the ECMA specification if the key is a
+ * ECMA reserved word or starts with a digit the
+ * parameter is only accessible using ECMAScript's
+ * bracket notation.
+ */
+
+ // treat as a JSON object
+ if (is_array($var) && count($var) && (array_keys($var) !== range(0, sizeof($var) - 1))) {
+ return sprintf('{%s}', join(',', array_map(array($this, 'name_value'),
+ array_keys($var),
+ array_values($var))));
+ }
+
+ // treat it like a regular array
+ return sprintf('[%s]', join(',', array_map(array($this, 'encode'), $var)));
+
+ case 'object':
+ $vars = get_object_vars($var);
+ return sprintf('{%s}', join(',', array_map(array($this, 'name_value'),
+ array_keys($vars),
+ array_values($vars))));
+
+ default:
+ return '';
+ }
+ }
+
+ /**
+ * encodes an arbitrary variable into JSON format, alias for encode()
+ */
+ function enc($var)
+ {
+ return $this->encode($var);
+ }
+
+ /** function name_value
+ * array-walking function for use in generating JSON-formatted name-value pairs
+ *
+ * @param string $name name of key to use
+ * @param mixed $value reference to an array element to be encoded
+ *
+ * @return string JSON-formatted name-value pair, like '"name":value'
+ * @access private
+ */
+ function name_value($name, $value)
+ {
+ return (sprintf("%s:%s", $this->encode(strval($name)), $this->encode($value)));
+ }
+
+ /**
+ * reduce a string by removing leading and trailing comments and whitespace
+ *
+ * @param $str string string value to strip of comments and whitespace
+ *
+ * @return string string value stripped of comments and whitespace
+ * @access private
+ */
+ function reduce_string($str)
+ {
+ $str = preg_replace(array(
+
+ // eliminate single line comments in '// ...' form
+ '#^\s*//(.+)$#m',
+
+ // eliminate multi-line comments in '/* ... */' form, at start of string
+ '#^\s*/\*(.+)\*/#Us',
+
+ // eliminate multi-line comments in '/* ... */' form, at end of string
+ '#/\*(.+)\*/\s*$#Us'
+
+ ), '', $str);
+
+ // eliminate extraneous space
+ return trim($str);
+ }
+
+ /**
+ * decodes a JSON string into appropriate variable
+ *
+ * @param string $str JSON-formatted string
+ *
+ * @return mixed number, boolean, string, array, or object
+ * corresponding to given JSON input string.
+ * See argument 1 to JSON() above for object-output behavior.
+ * Note that decode() always returns strings
+ * in ASCII or UTF-8 format!
+ * @access public
+ */
+ function decode($str)
+ {
+ $str = $this->reduce_string($str);
+
+ switch (strtolower($str)) {
+ case 'true':
+ return true;
+
+ case 'false':
+ return false;
+
+ case 'null':
+ return null;
+
+ default:
+ if (is_numeric($str)) {
+ // Lookie-loo, it's a number
+
+ // This would work on its own, but I'm trying to be
+ // good about returning integers where appropriate:
+ // return (float)$str;
+
+ // Return float or int, as appropriate
+ return ((float)$str == (integer)$str)
+ ? (integer)$str
+ : (float)$str;
+
+ } elseif (preg_match('/^("|\').+("|\')$/s', $str, $m) && $m[1] == $m[2]) {
+ // STRINGS RETURNED IN UTF-8 FORMAT
+ $delim = substr($str, 0, 1);
+ $chrs = substr($str, 1, -1);
+ $utf8 = '';
+ $strlen_chrs = strlen($chrs);
+
+ for ($c = 0; $c < $strlen_chrs; ++$c) {
+
+ $substr_chrs_c_2 = substr($chrs, $c, 2);
+ $ord_chrs_c = ord($chrs{$c});
+
+ switch ($substr_chrs_c_2) {
+ case '\b': $utf8 .= chr(0x08); $c+=1; break;
+ case '\t': $utf8 .= chr(0x09); $c+=1; break;
+ case '\n': $utf8 .= chr(0x0A); $c+=1; break;
+ case '\f': $utf8 .= chr(0x0C); $c+=1; break;
+ case '\r': $utf8 .= chr(0x0D); $c+=1; break;
+
+ case '\\"':
+ case '\\\'':
+ case '\\\\':
+ case '\\/':
+ if (($delim == '"' && $substr_chrs_c_2 != '\\\'') ||
+ ($delim == "'" && $substr_chrs_c_2 != '\\"')) {
+ $utf8 .= $chrs{++$c};
+ }
+ break;
+
+ default:
+ if (preg_match('/\\\u[0-9A-F]{4}/i', substr($chrs, $c, 6))) {
+ // single, escaped unicode character
+ $utf16 = chr(hexdec(substr($chrs, ($c+2), 2)))
+ . chr(hexdec(substr($chrs, ($c+4), 2)));
+ //$utf8 .= mb_convert_encoding($utf16, 'UTF-8', 'UTF-16');
+ $utf8 .= utf16be_to_utf8($utf16);
+ $c+=5;
+
+ } elseif(($ord_chrs_c >= 0x20) && ($ord_chrs_c <= 0x7F)) {
+ $utf8 .= $chrs{$c};
+
+ } elseif(($ord_chrs_c & 0xE0) == 0xC0) {
+ // characters U-00000080 - U-000007FF, mask 110XXXXX
+ //see http://www.cl.cam.ac.uk/~mgk25/unicode.html#utf-8
+ $utf8 .= substr($chrs, $c, 2); $c += 1;
+
+ } elseif(($ord_chrs_c & 0xF0) == 0xE0) {
+ // characters U-00000800 - U-0000FFFF, mask 1110XXXX
+ // see http://www.cl.cam.ac.uk/~mgk25/unicode.html#utf-8
+ $utf8 .= substr($chrs, $c, 3); $c += 2;
+
+ } elseif(($ord_chrs_c & 0xF8) == 0xF0) {
+ // characters U-00010000 - U-001FFFFF, mask 11110XXX
+ // see http://www.cl.cam.ac.uk/~mgk25/unicode.html#utf-8
+ $utf8 .= substr($chrs, $c, 4); $c += 3;
+
+ } elseif(($ord_chrs_c & 0xFC) == 0xF8) {
+ // characters U-00200000 - U-03FFFFFF, mask 111110XX
+ // see http://www.cl.cam.ac.uk/~mgk25/unicode.html#utf-8
+ $utf8 .= substr($chrs, $c, 5); $c += 4;
+
+ } elseif(($ord_chrs_c & 0xFE) == 0xFC) {
+ // characters U-04000000 - U-7FFFFFFF, mask 1111110X
+ // see http://www.cl.cam.ac.uk/~mgk25/unicode.html#utf-8
+ $utf8 .= substr($chrs, $c, 6); $c += 5;
+
+ }
+ break;
+
+ }
+
+ }
+
+ return $utf8;
+
+ } elseif (preg_match('/^\[.*\]$/s', $str) || preg_match('/^\{.*\}$/s', $str)) {
+ // array, or object notation
+
+ if ($str{0} == '[') {
+ $stk = array(JSON_IN_ARR);
+ $arr = array();
+ } else {
+ if ($this->use == JSON_LOOSE_TYPE) {
+ $stk = array(JSON_IN_OBJ);
+ $obj = array();
+ } else {
+ $stk = array(JSON_IN_OBJ);
+ $obj = new stdClass();
+ }
+ }
+
+ array_push($stk, array('what' => JSON_SLICE,
+ 'where' => 0,
+ 'delim' => false));
+
+ $chrs = substr($str, 1, -1);
+ $chrs = $this->reduce_string($chrs);
+
+ if ($chrs == '') {
+ if (reset($stk) == JSON_IN_ARR) {
+ return $arr;
+
+ } else {
+ return $obj;
+
+ }
+ }
+
+ //print("\nparsing {$chrs}\n");
+
+ $strlen_chrs = strlen($chrs);
+
+ for ($c = 0; $c <= $strlen_chrs; ++$c) {
+
+ $top = end($stk);
+ $substr_chrs_c_2 = substr($chrs, $c, 2);
+
+ if (($c == $strlen_chrs) || (($chrs{$c} == ',') && ($top['what'] == JSON_SLICE))) {
+ // found a comma that is not inside a string, array, etc.,
+ // OR we've reached the end of the character list
+ $slice = substr($chrs, $top['where'], ($c - $top['where']));
+ array_push($stk, array('what' => JSON_SLICE, 'where' => ($c + 1), 'delim' => false));
+ //print("Found split at {$c}: ".substr($chrs, $top['where'], (1 + $c - $top['where']))."\n");
+
+ if (reset($stk) == JSON_IN_ARR) {
+ // we are in an array, so just push an element onto the stack
+ array_push($arr, $this->decode($slice));
+
+ } elseif (reset($stk) == JSON_IN_OBJ) {
+ // we are in an object, so figure
+ // out the property name and set an
+ // element in an associative array,
+ // for now
+ if (preg_match('/^\s*(["\'].*[^\\\]["\'])\s*:\s*(\S.*),?$/Uis', $slice, $parts)) {
+ // "name":value pair
+ $key = $this->decode($parts[1]);
+ $val = $this->decode($parts[2]);
+
+ if ($this->use == JSON_LOOSE_TYPE) {
+ $obj[$key] = $val;
+ } else {
+ $obj->$key = $val;
+ }
+ } elseif (preg_match('/^\s*(\w+)\s*:\s*(\S.*),?$/Uis', $slice, $parts)) {
+ // name:value pair, where name is unquoted
+ $key = $parts[1];
+ $val = $this->decode($parts[2]);
+
+ if ($this->use == JSON_LOOSE_TYPE) {
+ $obj[$key] = $val;
+ } else {
+ $obj->$key = $val;
+ }
+ }
+
+ }
+
+ } elseif ((($chrs{$c} == '"') || ($chrs{$c} == "'")) &&
+ in_array($top['what'], array(JSON_SLICE, JSON_IN_ARR, JSON_IN_OBJ))) {
+ // found a quote, and we are not inside a string
+ array_push($stk, array('what' => JSON_IN_STR, 'where' => $c, 'delim' => $chrs{$c}));
+ //print("Found start of string at {$c}\n");
+
+ } elseif (($chrs{$c} == $top['delim']) &&
+ ($top['what'] == JSON_IN_STR) &&
+ (($chrs{$c - 1} != "\\") ||
+ ($chrs{$c - 1} == "\\" && $chrs{$c - 2} == "\\"))) {
+ // found a quote, we're in a string, and it's not escaped
+ array_pop($stk);
+ //print("Found end of string at {$c}: ".substr($chrs, $top['where'], (1 + 1 + $c - $top['where']))."\n");
+
+ } elseif (($chrs{$c} == '[') &&
+ in_array($top['what'], array(JSON_SLICE, JSON_IN_ARR, JSON_IN_OBJ))) {
+ // found a left-bracket, and we are in an array, object, or slice
+ array_push($stk, array('what' => JSON_IN_ARR, 'where' => $c, 'delim' => false));
+ //print("Found start of array at {$c}\n");
+
+ } elseif (($chrs{$c} == ']') && ($top['what'] == JSON_IN_ARR)) {
+ // found a right-bracket, and we're in an array
+ array_pop($stk);
+ //print("Found end of array at {$c}: ".substr($chrs, $top['where'], (1 + $c - $top['where']))."\n");
+
+ } elseif (($chrs{$c} == '{') &&
+ in_array($top['what'], array(JSON_SLICE, JSON_IN_ARR, JSON_IN_OBJ))) {
+ // found a left-brace, and we are in an array, object, or slice
+ array_push($stk, array('what' => JSON_IN_OBJ, 'where' => $c, 'delim' => false));
+ //print("Found start of object at {$c}\n");
+
+ } elseif (($chrs{$c} == '}') && ($top['what'] == JSON_IN_OBJ)) {
+ // found a right-brace, and we're in an object
+ array_pop($stk);
+ //print("Found end of object at {$c}: ".substr($chrs, $top['where'], (1 + $c - $top['where']))."\n");
+
+ } elseif (($substr_chrs_c_2 == '/*') &&
+ in_array($top['what'], array(JSON_SLICE, JSON_IN_ARR, JSON_IN_OBJ))) {
+ // found a comment start, and we are in an array, object, or slice
+ array_push($stk, array('what' => JSON_IN_CMT, 'where' => $c, 'delim' => false));
+ $c++;
+ //print("Found start of comment at {$c}\n");
+
+ } elseif (($substr_chrs_c_2 == '*/') && ($top['what'] == JSON_IN_CMT)) {
+ // found a comment end, and we're in one now
+ array_pop($stk);
+ $c++;
+
+ for ($i = $top['where']; $i <= $c; ++$i)
+ $chrs = substr_replace($chrs, ' ', $i, 1);
+
+ //print("Found end of comment at {$c}: ".substr($chrs, $top['where'], (1 + $c - $top['where']))."\n");
+
+ }
+
+ }
+
+ if (reset($stk) == JSON_IN_ARR) {
+ return $arr;
+
+ } elseif (reset($stk) == JSON_IN_OBJ) {
+ return $obj;
+
+ }
+
+ }
+ }
+ }
+
+ /**
+ * decodes a JSON string into appropriate variable; alias for decode()
+ */
+ function dec($var)
+ {
+ return $this->decode($var);
+ }
+
+}
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/JpegMeta.php b/mod/dokuwiki/vendors/dokuwiki/inc/JpegMeta.php
new file mode 100644
index 000000000..cb1d7d694
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/JpegMeta.php
@@ -0,0 +1,3116 @@
+<?php
+/**
+ * JPEG metadata reader/writer
+ *
+ * @license PHP license 2.0 (http://www.php.net/license/2_02.txt)
+ * @link http://www.zonageek.com/software/php/jpeg/index.php
+ * @author Sebastian Delmont <sdelmont@zonageek.com>
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Hakan Sandell <hakan.sandell@mydata.se>
+ * @todo Add support for Maker Notes, Extend for GIF and PNG metadata
+ */
+
+// This class is a modified and enhanced version of the JPEG class by
+// Sebastian Delmont. Original Copyright notice follows:
+//
+// +----------------------------------------------------------------------+
+// | PHP version 4.0 |
+// +----------------------------------------------------------------------+
+// | Copyright (c) 1997, 1998, 1999, 2000, 2001 The PHP Group |
+// +----------------------------------------------------------------------+
+// | This source file is subject to version 2.0 of the PHP license, |
+// | that is bundled with this package in the file LICENSE, and is |
+// | available at through the world-wide-web at |
+// | http://www.php.net/license/2_02.txt. |
+// | If you did not receive a copy of the PHP license and are unable to |
+// | obtain it through the world-wide-web, please send a note to |
+// | license@php.net so we can mail you a copy immediately. |
+// +----------------------------------------------------------------------+
+// | Authors: Sebastian Delmont <sdelmont@zonageek.com> |
+// +----------------------------------------------------------------------+
+
+class JpegMeta
+{
+ var $_fileName;
+ var $_fp = null;
+ var $_type = 'unknown';
+
+ var $_markers;
+ var $_info;
+
+
+ /**
+ * Constructor
+ *
+ * @author Sebastian Delmont <sdelmont@zonageek.com>
+ */
+ function JpegMeta($fileName)
+ {
+
+ $this->_fileName = $fileName;
+
+ $this->_fp = null;
+ $this->_type = 'unknown';
+
+ unset($this->_info);
+ unset($this->_markers);
+ }
+
+ /**
+ * Returns all gathered info as multidim array
+ *
+ * @author Sebastian Delmont <sdelmont@zonageek.com>
+ */
+ function & getRawInfo()
+ {
+ $this->_parseAll();
+
+ if ($this->_markers == null) {
+ return false;
+ }
+
+ return $this->_info;
+ }
+
+ /**
+ * Returns basic image info
+ *
+ * @author Sebastian Delmont <sdelmont@zonageek.com>
+ */
+ function & getBasicInfo()
+ {
+ $this->_parseAll();
+
+ $info = array();
+
+ if ($this->_markers == null) {
+ return false;
+ }
+
+ $info['Name'] = $this->_info['file']['Name'];
+ if (isset($this->_info['file']['Url'])) {
+ $info['Url'] = $this->_info['file']['Url'];
+ $info['NiceSize'] = "???KB";
+ }
+ else {
+ $info['Size'] = $this->_info['file']['Size'];
+ $info['NiceSize'] = $this->_info['file']['NiceSize'];
+ }
+
+ if (@isset($this->_info['sof']['Format'])) {
+ $info['Format'] = $this->_info['sof']['Format'] . " JPEG";
+ }
+ else {
+ $info['Format'] = $this->_info['sof']['Format'] . " JPEG";
+ }
+
+ if (@isset($this->_info['sof']['ColorChannels'])) {
+ $info['ColorMode'] = ($this->_info['sof']['ColorChannels'] > 1) ? "Color" : "B&W";
+ }
+
+ $info['Width'] = $this->getWidth();
+ $info['Height'] = $this->getHeight();
+ $info['DimStr'] = $this->getDimStr();
+
+ $dates = $this->getDates();
+
+ $info['DateTime'] = $dates['EarliestTime'];
+ $info['DateTimeStr'] = $dates['EarliestTimeStr'];
+
+ $info['HasThumbnail'] = $this->hasThumbnail();
+
+ return $info;
+ }
+
+
+ /**
+ * Convinience function to access nearly all available Data
+ * through one function
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function getField($fields)
+ {
+ if(!is_array($fields)) $fields = array($fields);
+ $info = false;
+ foreach($fields as $field){
+ if(strtolower(substr($field,0,5)) == 'iptc.'){
+ $info = $this->getIPTCField(substr($field,5));
+ }elseif(strtolower(substr($field,0,5)) == 'exif.'){
+ $info = $this->getExifField(substr($field,5));
+ }elseif(strtolower(substr($field,0,4)) == 'xmp.'){
+ $info = $this->getXmpField(substr($field,4));
+ }elseif(strtolower(substr($field,0,5)) == 'file.'){
+ $info = $this->getFileField(substr($field,5));
+ }elseif(strtolower(substr($field,0,5)) == 'date.'){
+ $info = $this->getDateField(substr($field,5));
+ }elseif(strtolower($field) == 'simple.camera'){
+ $info = $this->getCamera();
+ }elseif(strtolower($field) == 'simple.raw'){
+ return $this->getRawInfo();
+ }elseif(strtolower($field) == 'simple.title'){
+ $info = $this->getTitle();
+ }elseif(strtolower($field) == 'simple.shutterspeed'){
+ $info = $this->getShutterSpeed();
+ }else{
+ $info = $this->getExifField($field);
+ }
+ if($info != false) break;
+ }
+
+ if($info === false) $info = $alt;
+ if(is_array($info)){
+ if(isset($info['val'])){
+ $info = $info['val'];
+ }else{
+ $info = join(', ',$info);
+ }
+ }
+ return trim($info);
+ }
+
+ /**
+ * Convinience function to set nearly all available Data
+ * through one function
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function setField($field, $value)
+ {
+ if(strtolower(substr($field,0,5)) == 'iptc.'){
+ return $this->setIPTCField(substr($field,5),$value);
+ }elseif(strtolower(substr($field,0,5)) == 'exif.'){
+ return $this->setExifField(substr($field,5),$value);
+ }else{
+ return $this->setExifField($field,$value);
+ }
+ }
+
+ /**
+ * Convinience function to delete nearly all available Data
+ * through one function
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function deleteField($field)
+ {
+ if(strtolower(substr($field,0,5)) == 'iptc.'){
+ return $this->deleteIPTCField(substr($field,5));
+ }elseif(strtolower(substr($field,0,5)) == 'exif.'){
+ return $this->deleteExifField(substr($field,5));
+ }else{
+ return $this->deleteExifField($field);
+ }
+ }
+
+ /**
+ * Return a date field
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function getDateField($field)
+ {
+ if (!isset($this->_info['dates'])) {
+ $this->_info['dates'] = $this->getDates();
+ }
+
+ if (isset($this->_info['dates'][$field])) {
+ return $this->_info['dates'][$field];
+ }
+
+ return false;
+ }
+
+ /**
+ * Return a file info field
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function getFileField($field)
+ {
+ if (!isset($this->_info['file'])) {
+ $this->_parseFileInfo();
+ }
+
+ if (isset($this->_info['file'][$field])) {
+ return $this->_info['file'][$field];
+ }
+
+ return false;
+ }
+
+ /**
+ * Return the camera info (Maker and Model)
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @todo handle makernotes
+ */
+ function getCamera(){
+ $make = $this->getField(array('Exif.Make','Exif.TIFFMake'));
+ $model = $this->getField(array('Exif.Model','Exif.TIFFModel'));
+ $cam = trim("$make $model");
+ if(empty($cam)) return false;
+ return $cam;
+ }
+
+ /**
+ * Return shutter speed as a ratio
+ *
+ * @author Joe Lapp <joe.lapp@pobox.com>
+ */
+ function getShutterSpeed()
+ {
+ if (!isset($this->_info['exif'])) {
+ $this->_parseMarkerExif();
+ }
+ if(!isset($this->_info['exif']['ExposureTime'])){
+ return '';
+ }
+
+ $field = $this->_info['exif']['ExposureTime'];
+ if($field['den'] == 1) return $field['num'];
+ return $field['num'].'/'.$field['den'];
+ }
+
+ /**
+ * Return an EXIF field
+ *
+ * @author Sebastian Delmont <sdelmont@zonageek.com>
+ */
+ function getExifField($field)
+ {
+ if (!isset($this->_info['exif'])) {
+ $this->_parseMarkerExif();
+ }
+
+ if ($this->_markers == null) {
+ return false;
+ }
+
+ if (isset($this->_info['exif'][$field])) {
+ return $this->_info['exif'][$field];
+ }
+
+ return false;
+ }
+
+ /**
+ * Return an XMP field
+ *
+ * @author Hakan Sandell <hakan.sandell@mydata.se>
+ */
+ function getXmpField($field)
+ {
+ if (!isset($this->_info['xmp'])) {
+ $this->_parseMarkerXmp();
+ }
+
+ if ($this->_markers == null) {
+ return false;
+ }
+
+ if (isset($this->_info['xmp'][$field])) {
+ return $this->_info['xmp'][$field];
+ }
+
+ return false;
+ }
+
+ /**
+ * Return an Adobe Field
+ *
+ * @author Sebastian Delmont <sdelmont@zonageek.com>
+ */
+ function getAdobeField($field)
+ {
+ if (!isset($this->_info['adobe'])) {
+ $this->_parseMarkerAdobe();
+ }
+
+ if ($this->_markers == null) {
+ return false;
+ }
+
+ if (isset($this->_info['adobe'][$field])) {
+ return $this->_info['adobe'][$field];
+ }
+
+ return false;
+ }
+
+ /**
+ * Return an IPTC field
+ *
+ * @author Sebastian Delmont <sdelmont@zonageek.com>
+ */
+ function getIPTCField($field)
+ {
+ if (!isset($this->_info['iptc'])) {
+ $this->_parseMarkerAdobe();
+ }
+
+ if ($this->_markers == null) {
+ return false;
+ }
+
+ if (isset($this->_info['iptc'][$field])) {
+ return $this->_info['iptc'][$field];
+ }
+
+ return false;
+ }
+
+ /**
+ * Set an EXIF field
+ *
+ * @author Sebastian Delmont <sdelmont@zonageek.com>
+ * @author Joe Lapp <joe.lapp@pobox.com>
+ */
+ function setExifField($field, $value)
+ {
+ if (!isset($this->_info['exif'])) {
+ $this->_parseMarkerExif();
+ }
+
+ if ($this->_markers == null) {
+ return false;
+ }
+
+ if ($this->_info['exif'] == false) {
+ $this->_info['exif'] = array();
+ }
+
+ // make sure datetimes are in correct format
+ if(strlen($field) >= 8 && strtolower(substr($field, 0, 8)) == 'datetime') {
+ if(strlen($value) < 8 || $value{4} != ':' || $value{7} != ':') {
+ $value = date('Y:m:d H:i:s', strtotime($value));
+ }
+ }
+
+ $this->_info['exif'][$field] = $value;
+
+ return true;
+ }
+
+ /**
+ * Set an Adobe Field
+ *
+ * @author Sebastian Delmont <sdelmont@zonageek.com>
+ */
+ function setAdobeField($field, $value)
+ {
+ if (!isset($this->_info['adobe'])) {
+ $this->_parseMarkerAdobe();
+ }
+
+ if ($this->_markers == null) {
+ return false;
+ }
+
+ if ($this->_info['adobe'] == false) {
+ $this->_info['adobe'] = array();
+ }
+
+ $this->_info['adobe'][$field] = $value;
+
+ return true;
+ }
+
+ /**
+ * Calculates the multiplier needed to resize the image to the given
+ * dimensions
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function getResizeRatio($maxwidth,$maxheight=0){
+ if(!$maxheight) $maxheight = $maxwidth;
+
+ $w = $this->getField('File.Width');
+ $h = $this->getField('File.Height');
+
+ $ratio = 1;
+ if($w >= $h){
+ if($w >= $maxwidth){
+ $ratio = $maxwidth/$w;
+ }elseif($h > $maxheight){
+ $ratio = $maxheight/$h;
+ }
+ }else{
+ if($h >= $maxheight){
+ $ratio = $maxheight/$h;
+ }elseif($w > $maxwidth){
+ $ratio = $maxwidth/$w;
+ }
+ }
+ return $ratio;
+ }
+
+
+ /**
+ * Set an IPTC field
+ *
+ * @author Sebastian Delmont <sdelmont@zonageek.com>
+ */
+ function setIPTCField($field, $value)
+ {
+ if (!isset($this->_info['iptc'])) {
+ $this->_parseMarkerAdobe();
+ }
+
+ if ($this->_markers == null) {
+ return false;
+ }
+
+ if ($this->_info['iptc'] == false) {
+ $this->_info['iptc'] = array();
+ }
+
+ $this->_info['iptc'][$field] = $value;
+
+ return true;
+ }
+
+ /**
+ * Delete an EXIF field
+ *
+ * @author Sebastian Delmont <sdelmont@zonageek.com>
+ */
+ function deleteExifField($field)
+ {
+ if (!isset($this->_info['exif'])) {
+ $this->_parseMarkerAdobe();
+ }
+
+ if ($this->_markers == null) {
+ return false;
+ }
+
+ if ($this->_info['exif'] != false) {
+ unset($this->_info['exif'][$field]);
+ }
+
+ return true;
+ }
+
+ /**
+ * Delete an Adobe field
+ *
+ * @author Sebastian Delmont <sdelmont@zonageek.com>
+ */
+ function deleteAdobeField($field)
+ {
+ if (!isset($this->_info['adobe'])) {
+ $this->_parseMarkerAdobe();
+ }
+
+ if ($this->_markers == null) {
+ return false;
+ }
+
+ if ($this->_info['adobe'] != false) {
+ unset($this->_info['adobe'][$field]);
+ }
+
+ return true;
+ }
+
+ /**
+ * Delete an IPTC field
+ *
+ * @author Sebastian Delmont <sdelmont@zonageek.com>
+ */
+ function deleteIPTCField($field)
+ {
+ if (!isset($this->_info['iptc'])) {
+ $this->_parseMarkerAdobe();
+ }
+
+ if ($this->_markers == null) {
+ return false;
+ }
+
+ if ($this->_info['iptc'] != false) {
+ unset($this->_info['iptc'][$field]);
+ }
+
+ return true;
+ }
+
+ /**
+ * Get the image's title, tries various fields
+ *
+ * @param int $max maximum number chars (keeps words)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function getTitle($max=80){
+ $cap = '';
+
+ // try various fields
+ $cap = $this->getField(array('Iptc.Headline',
+ 'Iptc.Caption',
+ 'Xmp.dc:title',
+ 'Exif.UserComment',
+ 'Exif.TIFFUserComment',
+ 'Exif.TIFFImageDescription',
+ 'File.Name'));
+ if (empty($cap)) return false;
+
+ if(!$max) return $cap;
+ // Shorten to 80 chars (keeping words)
+ $new = preg_replace('/\n.+$/','',wordwrap($cap, $max));
+ if($new != $cap) $new .= '...';
+
+ return $new;
+ }
+
+ /**
+ * Gather various date fields
+ *
+ * @author Sebastian Delmont <sdelmont@zonageek.com>
+ */
+ function getDates()
+ {
+ $this->_parseAll();
+ if ($this->_markers == null) {
+ if (@isset($this->_info['file']['UnixTime'])) {
+ $dates['FileModified'] = $this->_info['file']['UnixTime'];
+ $dates['Time'] = $this->_info['file']['UnixTime'];
+ $dates['TimeSource'] = 'FileModified';
+ $dates['TimeStr'] = date("Y-m-d H:i:s", $this->_info['file']['UnixTime']);
+ $dates['EarliestTime'] = $this->_info['file']['UnixTime'];
+ $dates['EarliestTimeSource'] = 'FileModified';
+ $dates['EarliestTimeStr'] = date("Y-m-d H:i:s", $this->_info['file']['UnixTime']);
+ $dates['LatestTime'] = $this->_info['file']['UnixTime'];
+ $dates['LatestTimeSource'] = 'FileModified';
+ $dates['LatestTimeStr'] = date("Y-m-d H:i:s", $this->_info['file']['UnixTime']);
+ return $dates;
+ }
+ return false;
+ }
+
+ $dates = array();
+
+ $latestTime = 0;
+ $latestTimeSource = "";
+ $earliestTime = time();
+ $earliestTimeSource = "";
+
+ if (@isset($this->_info['exif']['DateTime'])) {
+ $dates['ExifDateTime'] = $this->_info['exif']['DateTime'];
+
+ $aux = $this->_info['exif']['DateTime'];
+ $aux{4} = "-";
+ $aux{7} = "-";
+ $t = strtotime($aux);
+
+ if ($t && $t > $latestTime) {
+ $latestTime = $t;
+ $latestTimeSource = "ExifDateTime";
+ }
+
+ if ($t && $t < $earliestTime) {
+ $earliestTime = $t;
+ $earliestTimeSource = "ExifDateTime";
+ }
+ }
+
+ if (@isset($this->_info['exif']['DateTimeOriginal'])) {
+ $dates['ExifDateTimeOriginal'] = $this->_info['exif']['DateTime'];
+
+ $aux = $this->_info['exif']['DateTimeOriginal'];
+ $aux{4} = "-";
+ $aux{7} = "-";
+ $t = strtotime($aux);
+
+ if ($t && $t > $latestTime) {
+ $latestTime = $t;
+ $latestTimeSource = "ExifDateTimeOriginal";
+ }
+
+ if ($t && $t < $earliestTime) {
+ $earliestTime = $t;
+ $earliestTimeSource = "ExifDateTimeOriginal";
+ }
+ }
+
+ if (@isset($this->_info['exif']['DateTimeDigitized'])) {
+ $dates['ExifDateTimeDigitized'] = $this->_info['exif']['DateTime'];
+
+ $aux = $this->_info['exif']['DateTimeDigitized'];
+ $aux{4} = "-";
+ $aux{7} = "-";
+ $t = strtotime($aux);
+
+ if ($t && $t > $latestTime) {
+ $latestTime = $t;
+ $latestTimeSource = "ExifDateTimeDigitized";
+ }
+
+ if ($t && $t < $earliestTime) {
+ $earliestTime = $t;
+ $earliestTimeSource = "ExifDateTimeDigitized";
+ }
+ }
+
+ if (@isset($this->_info['iptc']['DateCreated'])) {
+ $dates['IPTCDateCreated'] = $this->_info['iptc']['DateCreated'];
+
+ $aux = $this->_info['iptc']['DateCreated'];
+ $aux = substr($aux, 0, 4) . "-" . substr($aux, 4, 2) . "-" . substr($aux, 6, 2);
+ $t = strtotime($aux);
+
+ if ($t && $t > $latestTime) {
+ $latestTime = $t;
+ $latestTimeSource = "IPTCDateCreated";
+ }
+
+ if ($t && $t < $earliestTime) {
+ $earliestTime = $t;
+ $earliestTimeSource = "IPTCDateCreated";
+ }
+ }
+
+ if (@isset($this->_info['file']['UnixTime'])) {
+ $dates['FileModified'] = $this->_info['file']['UnixTime'];
+
+ $t = $this->_info['file']['UnixTime'];
+
+ if ($t && $t > $latestTime) {
+ $latestTime = $t;
+ $latestTimeSource = "FileModified";
+ }
+
+ if ($t && $t < $earliestTime) {
+ $earliestTime = $t;
+ $earliestTimeSource = "FileModified";
+ }
+ }
+
+ $dates['Time'] = $earliestTime;
+ $dates['TimeSource'] = $earliestTimeSource;
+ $dates['TimeStr'] = date("Y-m-d H:i:s", $earliestTime);
+ $dates['EarliestTime'] = $earliestTime;
+ $dates['EarliestTimeSource'] = $earliestTimeSource;
+ $dates['EarliestTimeStr'] = date("Y-m-d H:i:s", $earliestTime);
+ $dates['LatestTime'] = $latestTime;
+ $dates['LatestTimeSource'] = $latestTimeSource;
+ $dates['LatestTimeStr'] = date("Y-m-d H:i:s", $latestTime);
+
+ return $dates;
+ }
+
+ /**
+ * Get the image width, tries various fields
+ *
+ * @author Sebastian Delmont <sdelmont@zonageek.com>
+ */
+ function getWidth()
+ {
+ if (!isset($this->_info['sof'])) {
+ $this->_parseMarkerSOF();
+ }
+
+ if ($this->_markers == null) {
+ return false;
+ }
+
+ if (isset($this->_info['sof']['ImageWidth'])) {
+ return $this->_info['sof']['ImageWidth'];
+ }
+
+ if (!isset($this->_info['exif'])) {
+ $this->_parseMarkerExif();
+ }
+
+ if (isset($this->_info['exif']['PixelXDimension'])) {
+ return $this->_info['exif']['PixelXDimension'];
+ }
+
+ return false;
+ }
+
+ /**
+ * Get the image height, tries various fields
+ *
+ * @author Sebastian Delmont <sdelmont@zonageek.com>
+ */
+ function getHeight()
+ {
+ if (!isset($this->_info['sof'])) {
+ $this->_parseMarkerSOF();
+ }
+
+ if ($this->_markers == null) {
+ return false;
+ }
+
+ if (isset($this->_info['sof']['ImageHeight'])) {
+ return $this->_info['sof']['ImageHeight'];
+ }
+
+ if (!isset($this->_info['exif'])) {
+ $this->_parseMarkerExif();
+ }
+
+ if (isset($this->_info['exif']['PixelYDimension'])) {
+ return $this->_info['exif']['PixelYDimension'];
+ }
+
+ return false;
+ }
+
+ /**
+ * Get an dimension string for use in img tag
+ *
+ * @author Sebastian Delmont <sdelmont@zonageek.com>
+ */
+ function getDimStr()
+ {
+ if ($this->_markers == null) {
+ return false;
+ }
+
+ $w = $this->getWidth();
+ $h = $this->getHeight();
+
+ return "width='" . $w . "' height='" . $h . "'";
+ }
+
+ /**
+ * Checks for an embedded thumbnail
+ *
+ * @author Sebastian Delmont <sdelmont@zonageek.com>
+ */
+ function hasThumbnail($which = 'any')
+ {
+ if (($which == 'any') || ($which == 'exif')) {
+ if (!isset($this->_info['exif'])) {
+ $this->_parseMarkerExif();
+ }
+
+ if ($this->_markers == null) {
+ return false;
+ }
+
+ if (isset($this->_info['exif']) && is_array($this->_info['exif'])) {
+ if (isset($this->_info['exif']['JFIFThumbnail'])) {
+ return 'exif';
+ }
+ }
+ }
+
+ if ($which == 'adobe') {
+ if (!isset($this->_info['adobe'])) {
+ $this->_parseMarkerAdobe();
+ }
+
+ if ($this->_markers == null) {
+ return false;
+ }
+
+ if (isset($this->_info['adobe']) && is_array($this->_info['adobe'])) {
+ if (isset($this->_info['adobe']['ThumbnailData'])) {
+ return 'exif';
+ }
+ }
+ }
+
+ return false;
+ }
+
+ /**
+ * Send embedded thumbnail to browser
+ *
+ * @author Sebastian Delmont <sdelmont@zonageek.com>
+ */
+ function sendThumbnail($which = 'any')
+ {
+ $data = null;
+
+ if (($which == 'any') || ($which == 'exif')) {
+ if (!isset($this->_info['exif'])) {
+ $this->_parseMarkerExif();
+ }
+
+ if ($this->_markers == null) {
+ return false;
+ }
+
+ if (isset($this->_info['exif']) && is_array($this->_info['exif'])) {
+ if (isset($this->_info['exif']['JFIFThumbnail'])) {
+ $data =& $this->_info['exif']['JFIFThumbnail'];
+ }
+ }
+ }
+
+ if (($which == 'adobe') || ($data == null)){
+ if (!isset($this->_info['adobe'])) {
+ $this->_parseMarkerAdobe();
+ }
+
+ if ($this->_markers == null) {
+ return false;
+ }
+
+ if (isset($this->_info['adobe']) && is_array($this->_info['adobe'])) {
+ if (isset($this->_info['adobe']['ThumbnailData'])) {
+ $data =& $this->_info['adobe']['ThumbnailData'];
+ }
+ }
+ }
+
+ if ($data != null) {
+ header("Content-type: image/jpeg");
+ echo $data;
+ return true;
+ }
+
+ return false;
+ }
+
+ /**
+ * Save changed Metadata
+ *
+ * @author Sebastian Delmont <sdelmont@zonageek.com>
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function save($fileName = "") {
+ if ($fileName == "") {
+ $tmpName = tempnam(dirname($this->_fileName),'_metatemp_');
+ $this->_writeJPEG($tmpName);
+ if (@file_exists($tmpName)) {
+ return io_rename($tmpName, $this->_fileName);
+ }
+ } else {
+ return $this->_writeJPEG($fileName);
+ }
+ return false;
+ }
+
+ /*************************************************************/
+ /* PRIVATE FUNCTIONS (Internal Use Only!) */
+ /*************************************************************/
+
+ /*************************************************************/
+ function _dispose()
+ {
+ $this->_fileName = $fileName;
+
+ $this->_fp = null;
+ $this->_type = 'unknown';
+
+ unset($this->_markers);
+ unset($this->_info);
+ }
+
+ /*************************************************************/
+ function _readJPEG()
+ {
+ unset($this->_markers);
+ //unset($this->_info);
+ $this->_markers = array();
+ //$this->_info = array();
+
+ $this->_fp = @fopen($this->_fileName, 'rb');
+ if ($this->_fp) {
+ if (file_exists($this->_fileName)) {
+ $this->_type = 'file';
+ }
+ else {
+ $this->_type = 'url';
+ }
+ }
+ else {
+ $this->_fp = null;
+ return false; // ERROR: Can't open file
+ }
+
+ // Check for the JPEG signature
+ $c1 = ord(fgetc($this->_fp));
+ $c2 = ord(fgetc($this->_fp));
+
+ if ($c1 != 0xFF || $c2 != 0xD8) { // (0xFF + SOI)
+ $this->_markers = null;
+ return false; // ERROR: File is not a JPEG
+ }
+
+ $count = 0;
+
+ $done = false;
+ $ok = true;
+
+ while (!$done) {
+ $capture = false;
+
+ // First, skip any non 0xFF bytes
+ $discarded = 0;
+ $c = ord(fgetc($this->_fp));
+ while (!feof($this->_fp) && ($c != 0xFF)) {
+ $discarded++;
+ $c = ord(fgetc($this->_fp));
+ }
+ // Then skip all 0xFF until the marker byte
+ do {
+ $marker = ord(fgetc($this->_fp));
+ } while (!feof($this->_fp) && ($marker == 0xFF));
+
+ if (feof($this->_fp)) {
+ return false; // ERROR: Unexpected EOF
+ }
+ if ($discarded != 0) {
+ return false; // ERROR: Extraneous data
+ }
+
+ $length = ord(fgetc($this->_fp)) * 256 + ord(fgetc($this->_fp));
+ if (feof($this->_fp)) {
+ return false; // ERROR: Unexpected EOF
+ }
+ if ($length < 2) {
+ return false; // ERROR: Extraneous data
+ }
+ $length = $length - 2; // The length we got counts itself
+
+ switch ($marker) {
+ case 0xC0: // SOF0
+ case 0xC1: // SOF1
+ case 0xC2: // SOF2
+ case 0xC9: // SOF9
+ case 0xE0: // APP0: JFIF data
+ case 0xE1: // APP1: EXIF or XMP data
+ case 0xED: // APP13: IPTC / Photoshop data
+ $capture = true;
+ break;
+ case 0xDA: // SOS: Start of scan... the image itself and the last block on the file
+ $capture = false;
+ $length = -1; // This field has no length... it includes all data until EOF
+ $done = true;
+ break;
+ default:
+ $capture = true;//false;
+ break;
+ }
+
+ $this->_markers[$count] = array();
+ $this->_markers[$count]['marker'] = $marker;
+ $this->_markers[$count]['length'] = $length;
+
+ if ($capture) {
+ if ($length)
+ $this->_markers[$count]['data'] =& fread($this->_fp, $length);
+ else
+ $this->_markers[$count]['data'] = "";
+ }
+ elseif (!$done) {
+ $result = @fseek($this->_fp, $length, SEEK_CUR);
+ // fseek doesn't seem to like HTTP 'files', but fgetc has no problem
+ if (!($result === 0)) {
+ for ($i = 0; $i < $length; $i++) {
+ fgetc($this->_fp);
+ }
+ }
+ }
+ $count++;
+ }
+
+ if ($this->_fp) {
+ fclose($this->_fp);
+ $this->_fp = null;
+ }
+
+ return $ok;
+ }
+
+ /*************************************************************/
+ function _parseAll()
+ {
+ if (!isset($this->_info['file'])) {
+ $this->_parseFileInfo();
+ }
+ if (!isset($this->_markers)) {
+ $this->_readJPEG();
+ }
+
+ if ($this->_markers == null) {
+ return false;
+ }
+
+ if (!isset($this->_info['jfif'])) {
+ $this->_parseMarkerJFIF();
+ }
+ if (!isset($this->_info['jpeg'])) {
+ $this->_parseMarkerSOF();
+ }
+ if (!isset($this->_info['exif'])) {
+ $this->_parseMarkerExif();
+ }
+ if (!isset($this->_info['xmp'])) {
+ $this->_parseMarkerXmp();
+ }
+ if (!isset($this->_info['adobe'])) {
+ $this->_parseMarkerAdobe();
+ }
+ }
+
+ /*************************************************************/
+ function _writeJPEG($outputName)
+ {
+ $this->_parseAll();
+
+ $wroteEXIF = false;
+ $wroteAdobe = false;
+
+ $this->_fp = @fopen($this->_fileName, 'r');
+ if ($this->_fp) {
+ if (file_exists($this->_fileName)) {
+ $this->_type = 'file';
+ }
+ else {
+ $this->_type = 'url';
+ }
+ }
+ else {
+ $this->_fp = null;
+ return false; // ERROR: Can't open file
+ }
+
+ $this->_fpout = fopen($outputName, 'wb');
+ if ($this->_fpout) {
+ }
+ else {
+ $this->_fpout = null;
+ fclose($this->_fp);
+ $this->_fp = null;
+ return false; // ERROR: Can't open output file
+ }
+
+ // Check for the JPEG signature
+ $c1 = ord(fgetc($this->_fp));
+ $c2 = ord(fgetc($this->_fp));
+
+ if ($c1 != 0xFF || $c2 != 0xD8) { // (0xFF + SOI)
+ return false; // ERROR: File is not a JPEG
+ }
+
+ fputs($this->_fpout, chr(0xFF), 1);
+ fputs($this->_fpout, chr(0xD8), 1); // (0xFF + SOI)
+
+ $count = 0;
+
+ $done = false;
+ $ok = true;
+
+ while (!$done) {
+ // First, skip any non 0xFF bytes
+ $discarded = 0;
+ $c = ord(fgetc($this->_fp));
+ while (!feof($this->_fp) && ($c != 0xFF)) {
+ $discarded++;
+ $c = ord(fgetc($this->_fp));
+ }
+ // Then skip all 0xFF until the marker byte
+ do {
+ $marker = ord(fgetc($this->_fp));
+ } while (!feof($this->_fp) && ($marker == 0xFF));
+
+ if (feof($this->_fp)) {
+ $ok = false;
+ break; // ERROR: Unexpected EOF
+ }
+ if ($discarded != 0) {
+ $ok = false;
+ break; // ERROR: Extraneous data
+ }
+
+ $length = ord(fgetc($this->_fp)) * 256 + ord(fgetc($this->_fp));
+ if (feof($this->_fp)) {
+ $ok = false;
+ break; // ERROR: Unexpected EOF
+ }
+ if ($length < 2) {
+ $ok = false;
+ break; // ERROR: Extraneous data
+ }
+ $length = $length - 2; // The length we got counts itself
+
+ unset($data);
+ if ($marker == 0xE1) { // APP1: EXIF data
+ $data =& $this->_createMarkerEXIF();
+ $wroteEXIF = true;
+ }
+ elseif ($marker == 0xED) { // APP13: IPTC / Photoshop data
+ $data =& $this->_createMarkerAdobe();
+ $wroteAdobe = true;
+ }
+ elseif ($marker == 0xDA) { // SOS: Start of scan... the image itself and the last block on the file
+ $done = true;
+ }
+
+ if (!$wroteEXIF && (($marker < 0xE0) || ($marker > 0xEF))) {
+ if (isset($this->_info['exif']) && is_array($this->_info['exif'])) {
+ $exif =& $this->_createMarkerEXIF();
+ $this->_writeJPEGMarker(0xE1, strlen($exif), $exif, 0);
+ unset($exif);
+ }
+ $wroteEXIF = true;
+ }
+
+ if (!$wroteAdobe && (($marker < 0xE0) || ($marker > 0xEF))) {
+ if ((isset($this->_info['adobe']) && is_array($this->_info['adobe']))
+ || (isset($this->_info['iptc']) && is_array($this->_info['iptc']))) {
+ $adobe =& $this->_createMarkerAdobe();
+ $this->_writeJPEGMarker(0xED, strlen($adobe), $adobe, 0);
+ unset($adobe);
+ }
+ $wroteAdobe = true;
+ }
+
+ $origLength = $length;
+ if (isset($data)) {
+ $length = strlen($data);
+ }
+
+ if ($marker != -1) {
+ $this->_writeJPEGMarker($marker, $length, $data, $origLength);
+ }
+ }
+
+ if ($this->_fp) {
+ fclose($this->_fp);
+ $this->_fp = null;
+ }
+
+ if ($this->_fpout) {
+ fclose($this->_fpout);
+ $this->_fpout = null;
+ }
+
+ return $ok;
+ }
+
+ /*************************************************************/
+ function _writeJPEGMarker($marker, $length, &$data, $origLength)
+ {
+ if ($length <= 0) {
+ return false;
+ }
+
+ fputs($this->_fpout, chr(0xFF), 1);
+ fputs($this->_fpout, chr($marker), 1);
+ fputs($this->_fpout, chr((($length + 2) & 0x0000FF00) >> 8), 1);
+ fputs($this->_fpout, chr((($length + 2) & 0x000000FF) >> 0), 1);
+
+ if (isset($data)) {
+ // Copy the generated data
+ fputs($this->_fpout, $data, $length);
+
+ if ($origLength > 0) { // Skip the original data
+ $result = @fseek($this->_fp, $origLength, SEEK_CUR);
+ // fseek doesn't seem to like HTTP 'files', but fgetc has no problem
+ if ($result != 0) {
+ for ($i = 0; $i < $origLength; $i++) {
+ fgetc($this->_fp);
+ }
+ }
+ }
+ }
+ else {
+ if ($marker == 0xDA) { // Copy until EOF
+ while (!feof($this->_fp)) {
+ $data = fread($this->_fp, 1024 * 16);
+ fputs($this->_fpout, $data, strlen($data));
+ }
+ }
+ else { // Copy only $length bytes
+ $data = @fread($this->_fp, $length);
+ fputs($this->_fpout, $data, $length);
+ }
+ }
+
+ return true;
+ }
+
+ /**
+ * Gets basic info from the file - should work with non-JPEGs
+ *
+ * @author Sebastian Delmont <sdelmont@zonageek.com>
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function _parseFileInfo()
+ {
+ if (file_exists($this->_fileName)) {
+ $this->_info['file'] = array();
+ $this->_info['file']['Name'] = basename($this->_fileName);
+ $this->_info['file']['Path'] = fullpath($this->_fileName);
+ $this->_info['file']['Size'] = filesize($this->_fileName);
+ if ($this->_info['file']['Size'] < 1024) {
+ $this->_info['file']['NiceSize'] = $this->_info['file']['Size'] . 'B';
+ }
+ elseif ($this->_info['file']['Size'] < (1024 * 1024)) {
+ $this->_info['file']['NiceSize'] = round($this->_info['file']['Size'] / 1024) . 'KB';
+ }
+ elseif ($this->_info['file']['Size'] < (1024 * 1024 * 1024)) {
+ $this->_info['file']['NiceSize'] = round($this->_info['file']['Size'] / (1024*1024)) . 'MB';
+ }
+ else {
+ $this->_info['file']['NiceSize'] = $this->_info['file']['Size'] . 'B';
+ }
+ $this->_info['file']['UnixTime'] = filemtime($this->_fileName);
+
+ // get image size directly from file
+ $size = getimagesize($this->_fileName);
+ $this->_info['file']['Width'] = $size[0];
+ $this->_info['file']['Height'] = $size[1];
+ // set mime types and formats
+ // http://www.php.net/manual/en/function.getimagesize.php
+ // http://www.php.net/manual/en/function.image-type-to-mime-type.php
+ switch ($size[2]){
+ case 1:
+ $this->_info['file']['Mime'] = 'image/gif';
+ $this->_info['file']['Format'] = 'GIF';
+ break;
+ case 2:
+ $this->_info['file']['Mime'] = 'image/jpeg';
+ $this->_info['file']['Format'] = 'JPEG';
+ break;
+ case 3:
+ $this->_info['file']['Mime'] = 'image/png';
+ $this->_info['file']['Format'] = 'PNG';
+ break;
+ case 4:
+ $this->_info['file']['Mime'] = 'application/x-shockwave-flash';
+ $this->_info['file']['Format'] = 'SWF';
+ break;
+ case 5:
+ $this->_info['file']['Mime'] = 'image/psd';
+ $this->_info['file']['Format'] = 'PSD';
+ break;
+ case 6:
+ $this->_info['file']['Mime'] = 'image/bmp';
+ $this->_info['file']['Format'] = 'BMP';
+ break;
+ case 7:
+ $this->_info['file']['Mime'] = 'image/tiff';
+ $this->_info['file']['Format'] = 'TIFF (Intel)';
+ break;
+ case 8:
+ $this->_info['file']['Mime'] = 'image/tiff';
+ $this->_info['file']['Format'] = 'TIFF (Motorola)';
+ break;
+ case 9:
+ $this->_info['file']['Mime'] = 'application/octet-stream';
+ $this->_info['file']['Format'] = 'JPC';
+ break;
+ case 10:
+ $this->_info['file']['Mime'] = 'image/jp2';
+ $this->_info['file']['Format'] = 'JP2';
+ break;
+ case 11:
+ $this->_info['file']['Mime'] = 'application/octet-stream';
+ $this->_info['file']['Format'] = 'JPX';
+ break;
+ case 12:
+ $this->_info['file']['Mime'] = 'application/octet-stream';
+ $this->_info['file']['Format'] = 'JB2';
+ break;
+ case 13:
+ $this->_info['file']['Mime'] = 'application/x-shockwave-flash';
+ $this->_info['file']['Format'] = 'SWC';
+ break;
+ case 14:
+ $this->_info['file']['Mime'] = 'image/iff';
+ $this->_info['file']['Format'] = 'IFF';
+ break;
+ case 15:
+ $this->_info['file']['Mime'] = 'image/vnd.wap.wbmp';
+ $this->_info['file']['Format'] = 'WBMP';
+ break;
+ case 16:
+ $this->_info['file']['Mime'] = 'image/xbm';
+ $this->_info['file']['Format'] = 'XBM';
+ break;
+ default:
+ $this->_info['file']['Mime'] = 'image/unknown';
+ }
+ }
+ else {
+ $this->_info['file'] = array();
+ $this->_info['file']['Name'] = basename($this->_fileName);
+ $this->_info['file']['Url'] = $this->_fileName;
+ }
+
+ return true;
+ }
+
+ /*************************************************************/
+ function _parseMarkerJFIF()
+ {
+ if (!isset($this->_markers)) {
+ $this->_readJPEG();
+ }
+
+ if ($this->_markers == null) {
+ return false;
+ }
+
+ $data = null;
+ $count = count($this->_markers);
+ for ($i = 0; $i < $count; $i++) {
+ if ($this->_markers[$i]['marker'] == 0xE0) {
+ $signature = $this->_getFixedString($this->_markers[$i]['data'], 0, 4);
+ if ($signature == 'JFIF') {
+ $data =& $this->_markers[$i]['data'];
+ break;
+ }
+ }
+ }
+
+ if ($data == null) {
+ $this->_info['jfif'] = false;
+ return false;
+ }
+
+ $pos = 0;
+ $this->_info['jfif'] = array();
+
+
+ $vmaj = $this->_getByte($data, 5);
+ $vmin = $this->_getByte($data, 6);
+
+ $this->_info['jfif']['Version'] = sprintf('%d.%02d', $vmaj, $vmin);
+
+ $units = $this->_getByte($data, 7);
+ switch ($units) {
+ case 0:
+ $this->_info['jfif']['Units'] = 'pixels';
+ break;
+ case 1:
+ $this->_info['jfif']['Units'] = 'dpi';
+ break;
+ case 2:
+ $this->_info['jfif']['Units'] = 'dpcm';
+ break;
+ default:
+ $this->_info['jfif']['Units'] = 'unknown';
+ break;
+ }
+
+ $xdens = $this->_getShort($data, 8);
+ $ydens = $this->_getShort($data, 10);
+
+ $this->_info['jfif']['XDensity'] = $xdens;
+ $this->_info['jfif']['YDensity'] = $ydens;
+
+ $thumbx = $this->_getByte($data, 12);
+ $thumby = $this->_getByte($data, 13);
+
+ $this->_info['jfif']['ThumbnailWidth'] = $thumbx;
+ $this->_info['jfif']['ThumbnailHeight'] = $thumby;
+
+ return true;
+ }
+
+ /*************************************************************/
+ function _parseMarkerSOF()
+ {
+ if (!isset($this->_markers)) {
+ $this->_readJPEG();
+ }
+
+ if ($this->_markers == null) {
+ return false;
+ }
+
+ $data = null;
+ $count = count($this->_markers);
+ for ($i = 0; $i < $count; $i++) {
+ switch ($this->_markers[$i]['marker']) {
+ case 0xC0: // SOF0
+ case 0xC1: // SOF1
+ case 0xC2: // SOF2
+ case 0xC9: // SOF9
+ $data =& $this->_markers[$i]['data'];
+ $marker = $this->_markers[$i]['marker'];
+ break;
+ }
+ }
+
+ if ($data == null) {
+ $this->_info['sof'] = false;
+ return false;
+ }
+
+ $pos = 0;
+ $this->_info['sof'] = array();
+
+
+ switch ($marker) {
+ case 0xC0: // SOF0
+ $format = 'Baseline';
+ break;
+ case 0xC1: // SOF1
+ $format = 'Progessive';
+ break;
+ case 0xC2: // SOF2
+ $format = 'Non-baseline';
+ break;
+ case 0xC9: // SOF9
+ $format = 'Arithmetic';
+ break;
+ default:
+ return false;
+ break;
+ }
+
+
+ $this->_info['sof']['Format'] = $format;
+
+ $this->_info['sof']['SamplePrecision'] = $this->_getByte($data, $pos + 0);
+ $this->_info['sof']['ImageHeight'] = $this->_getShort($data, $pos + 1);
+ $this->_info['sof']['ImageWidth'] = $this->_getShort($data, $pos + 3);
+ $this->_info['sof']['ColorChannels'] = $this->_getByte($data, $pos + 5);
+
+ return true;
+ }
+
+ /**
+ * Parses the XMP data
+ *
+ * @author Hakan Sandell <hakan.sandell@mydata.se>
+ */
+ function _parseMarkerXmp()
+ {
+ if (!isset($this->_markers)) {
+ $this->_readJPEG();
+ }
+
+ if ($this->_markers == null) {
+ return false;
+ }
+
+ $data = null;
+ $count = count($this->_markers);
+ for ($i = 0; $i < $count; $i++) {
+ if ($this->_markers[$i]['marker'] == 0xE1) {
+ $signature = $this->_getFixedString($this->_markers[$i]['data'], 0, 29);
+ if ($signature == "http://ns.adobe.com/xap/1.0/\0") {
+ $data =& substr($this->_markers[$i]['data'], 29);
+ break;
+ }
+ }
+ }
+
+ if ($data == null) {
+ $this->_info['xmp'] = false;
+ return false;
+ }
+
+ $parser = xml_parser_create();
+ xml_parser_set_option($parser, XML_OPTION_CASE_FOLDING, 0);
+ xml_parser_set_option($parser, XML_OPTION_SKIP_WHITE, 1);
+ xml_parse_into_struct($parser, $data, $values, $tags);
+ xml_parser_free($parser);
+
+ $this->_info['xmp'] = array();
+ $count = count($values);
+ for ($i = 0; $i < $count; $i++) {
+ if ($values[$i][tag] == 'rdf:Description' && $values[$i][type] == 'open') {
+
+ while ($values[++$i][tag] != 'rdf:Description') {
+ $this->_parseXmpNode($values, $i, $this->_info['xmp'][$values[$i][tag]]);
+ }
+ }
+ }
+ return true;
+ }
+
+ /**
+ * Parses XMP nodes by recursion
+ *
+ * @author Hakan Sandell <hakan.sandell@mydata.se>
+ */
+ function _parseXmpNode($values, &$i, &$meta)
+ {
+ if ($values[$i][type] == 'complete') {
+ // Simple Type property
+ $meta = $values[$i][value];
+ return;
+ }
+
+ $i++;
+ if ($values[$i][tag] == 'rdf:Bag' || $values[$i][tag] == 'rdf:Seq') {
+ // Array property
+ $meta = array();
+ while ($values[++$i][tag] == 'rdf:li') {
+ $this->_parseXmpNode($values, $i, $meta[]);
+ }
+ $i++; // skip closing tag
+
+ } elseif ($values[$i][tag] == 'rdf:Alt') {
+ // Language Alternative property, only the first (default) value is used
+ $i++;
+ $this->_parseXmpNode($values, $i, $meta);
+ while ($values[++$i][tag] != 'rdf:Alt');
+ $i++; // skip closing tag
+
+ } else {
+ // Structure property
+ $meta = array();
+ $startTag = $values[$i-1][tag];
+ do {
+ $this->_parseXmpNode($values, $i, $meta[$values[$i][tag]]);
+ } while ($values[++$i][tag] != $startTag);
+ }
+ }
+
+ /*************************************************************/
+ function _parseMarkerExif()
+ {
+ if (!isset($this->_markers)) {
+ $this->_readJPEG();
+ }
+
+ if ($this->_markers == null) {
+ return false;
+ }
+
+ $data = null;
+ $count = count($this->_markers);
+ for ($i = 0; $i < $count; $i++) {
+ if ($this->_markers[$i]['marker'] == 0xE1) {
+ $signature = $this->_getFixedString($this->_markers[$i]['data'], 0, 6);
+ if ($signature == "Exif\0\0") {
+ $data =& $this->_markers[$i]['data'];
+ break;
+ }
+ }
+ }
+
+ if ($data == null) {
+ $this->_info['exif'] = false;
+ return false;
+ }
+ $pos = 6;
+ $this->_info['exif'] = array();
+
+ // We don't increment $pos after this because Exif uses offsets relative to this point
+
+ $byteAlign = $this->_getShort($data, $pos + 0);
+
+ if ($byteAlign == 0x4949) { // "II"
+ $isBigEndian = false;
+ }
+ elseif ($byteAlign == 0x4D4D) { // "MM"
+ $isBigEndian = true;
+ }
+ else {
+ return false; // Unexpected data
+ }
+
+ $alignCheck = $this->_getShort($data, $pos + 2, $isBigEndian);
+ if ($alignCheck != 0x002A) // That's the expected value
+ return false; // Unexpected data
+
+ if ($isBigEndian) {
+ $this->_info['exif']['ByteAlign'] = "Big Endian";
+ }
+ else {
+ $this->_info['exif']['ByteAlign'] = "Little Endian";
+ }
+
+ $offsetIFD0 = $this->_getLong($data, $pos + 4, $isBigEndian);
+ if ($offsetIFD0 < 8)
+ return false; // Unexpected data
+
+ $offsetIFD1 = $this->_readIFD($data, $pos, $offsetIFD0, $isBigEndian, 'ifd0');
+ if ($offsetIFD1 != 0)
+ $this->_readIFD($data, $pos, $offsetIFD1, $isBigEndian, 'ifd1');
+
+ return true;
+ }
+
+ /*************************************************************/
+ function _readIFD($data, $base, $offset, $isBigEndian, $mode)
+ {
+ $EXIFTags = $this->_exifTagNames($mode);
+
+ $numEntries = $this->_getShort($data, $base + $offset, $isBigEndian);
+ $offset += 2;
+
+ $exifTIFFOffset = 0;
+ $exifTIFFLength = 0;
+ $exifThumbnailOffset = 0;
+ $exifThumbnailLength = 0;
+
+ for ($i = 0; $i < $numEntries; $i++) {
+ $tag = $this->_getShort($data, $base + $offset, $isBigEndian);
+ $offset += 2;
+ $type = $this->_getShort($data, $base + $offset, $isBigEndian);
+ $offset += 2;
+ $count = $this->_getLong($data, $base + $offset, $isBigEndian);
+ $offset += 4;
+
+ if (($type < 1) || ($type > 12))
+ return false; // Unexpected Type
+
+ $typeLengths = array( -1, 1, 1, 2, 4, 8, 1, 1, 2, 4, 8, 4, 8 );
+
+ $dataLength = $typeLengths[$type] * $count;
+ if ($dataLength > 4) {
+ $dataOffset = $this->_getLong($data, $base + $offset, $isBigEndian);
+ $rawValue = $this->_getFixedString($data, $base + $dataOffset, $dataLength);
+ }
+ else {
+ $rawValue = $this->_getFixedString($data, $base + $offset, $dataLength);
+ }
+ $offset += 4;
+
+ switch ($type) {
+ case 1: // UBYTE
+ if ($count == 1) {
+ $value = $this->_getByte($rawValue, 0);
+ }
+ else {
+ $value = array();
+ for ($j = 0; $j < $count; $j++)
+ $value[$j] = $this->_getByte($rawValue, $j);
+ }
+ break;
+ case 2: // ASCII
+ $value = $rawValue;
+ break;
+ case 3: // USHORT
+ if ($count == 1) {
+ $value = $this->_getShort($rawValue, 0, $isBigEndian);
+ }
+ else {
+ $value = array();
+ for ($j = 0; $j < $count; $j++)
+ $value[$j] = $this->_getShort($rawValue, $j * 2, $isBigEndian);
+ }
+ break;
+ case 4: // ULONG
+ if ($count == 1) {
+ $value = $this->_getLong($rawValue, 0, $isBigEndian);
+ }
+ else {
+ $value = array();
+ for ($j = 0; $j < $count; $j++)
+ $value[$j] = $this->_getLong($rawValue, $j * 4, $isBigEndian);
+ }
+ break;
+ case 5: // URATIONAL
+ if ($count == 1) {
+ $a = $this->_getLong($rawValue, 0, $isBigEndian);
+ $b = $this->_getLong($rawValue, 4, $isBigEndian);
+ $value = array();
+ $value['val'] = 0;
+ $value['num'] = $a;
+ $value['den'] = $b;
+ if (($a != 0) && ($b != 0)) {
+ $value['val'] = $a / $b;
+ }
+ }
+ else {
+ $value = array();
+ for ($j = 0; $j < $count; $j++) {
+ $a = $this->_getLong($rawValue, $j * 8, $isBigEndian);
+ $b = $this->_getLong($rawValue, ($j * 8) + 4, $isBigEndian);
+ $value = array();
+ $value[$j]['val'] = 0;
+ $value[$j]['num'] = $a;
+ $value[$j]['den'] = $b;
+ if (($a != 0) && ($b != 0))
+ $value[$j]['val'] = $a / $b;
+ }
+ }
+ break;
+ case 6: // SBYTE
+ if ($count == 1) {
+ $value = $this->_getByte($rawValue, 0);
+ }
+ else {
+ $value = array();
+ for ($j = 0; $j < $count; $j++)
+ $value[$j] = $this->_getByte($rawValue, $j);
+ }
+ break;
+ case 7: // UNDEFINED
+ $value = $rawValue;
+ break;
+ case 8: // SSHORT
+ if ($count == 1) {
+ $value = $this->_getShort($rawValue, 0, $isBigEndian);
+ }
+ else {
+ $value = array();
+ for ($j = 0; $j < $count; $j++)
+ $value[$j] = $this->_getShort($rawValue, $j * 2, $isBigEndian);
+ }
+ break;
+ case 9: // SLONG
+ if ($count == 1) {
+ $value = $this->_getLong($rawValue, 0, $isBigEndian);
+ }
+ else {
+ $value = array();
+ for ($j = 0; $j < $count; $j++)
+ $value[$j] = $this->_getLong($rawValue, $j * 4, $isBigEndian);
+ }
+ break;
+ case 10: // SRATIONAL
+ if ($count == 1) {
+ $a = $this->_getLong($rawValue, 0, $isBigEndian);
+ $b = $this->_getLong($rawValue, 4, $isBigEndian);
+ $value = array();
+ $value['val'] = 0;
+ $value['num'] = $a;
+ $value['den'] = $b;
+ if (($a != 0) && ($b != 0))
+ $value['val'] = $a / $b;
+ }
+ else {
+ $value = array();
+ for ($j = 0; $j < $count; $j++) {
+ $a = $this->_getLong($rawValue, $j * 8, $isBigEndian);
+ $b = $this->_getLong($rawValue, ($j * 8) + 4, $isBigEndian);
+ $value = array();
+ $value[$j]['val'] = 0;
+ $value[$j]['num'] = $a;
+ $value[$j]['den'] = $b;
+ if (($a != 0) && ($b != 0))
+ $value[$j]['val'] = $a / $b;
+ }
+ }
+ break;
+ case 11: // FLOAT
+ $value = $rawValue;
+ break;
+
+ case 12: // DFLOAT
+ $value = $rawValue;
+ break;
+ default:
+ return false; // Unexpected Type
+ }
+
+ $tagName = '';
+ if (($mode == 'ifd0') && ($tag == 0x8769)) { // ExifIFDOffset
+ $this->_readIFD($data, $base, $value, $isBigEndian, 'exif');
+ }
+ elseif (($mode == 'ifd0') && ($tag == 0x8825)) { // GPSIFDOffset
+ $this->_readIFD($data, $base, $value, $isBigEndian, 'gps');
+ }
+ elseif (($mode == 'ifd1') && ($tag == 0x0111)) { // TIFFStripOffsets
+ $exifTIFFOffset = $value;
+ }
+ elseif (($mode == 'ifd1') && ($tag == 0x0117)) { // TIFFStripByteCounts
+ $exifTIFFLength = $value;
+ }
+ elseif (($mode == 'ifd1') && ($tag == 0x0201)) { // TIFFJFIFOffset
+ $exifThumbnailOffset = $value;
+ }
+ elseif (($mode == 'ifd1') && ($tag == 0x0202)) { // TIFFJFIFLength
+ $exifThumbnailLength = $value;
+ }
+ elseif (($mode == 'exif') && ($tag == 0xA005)) { // InteropIFDOffset
+ $this->_readIFD($data, $base, $value, $isBigEndian, 'interop');
+ }
+ // elseif (($mode == 'exif') && ($tag == 0x927C)) { // MakerNote
+ // }
+ else {
+ if (isset($EXIFTags[$tag])) {
+ $tagName = $EXIFTags[$tag];
+ if (isset($this->_info['exif'][$tagName])) {
+ if (!is_array($this->_info['exif'][$tagName])) {
+ $aux = array();
+ $aux[0] = $this->_info['exif'][$tagName];
+ $this->_info['exif'][$tagName] = $aux;
+ }
+
+ $this->_info['exif'][$tagName][count($this->_info['exif'][$tagName])] = $value;
+ }
+ else {
+ $this->_info['exif'][$tagName] = $value;
+ }
+ }
+ else {
+#echo sprintf("<h1>Unknown tag %02x (t: %d l: %d) %s in %s</h1>", $tag, $type, $count, $mode, $this->_fileName);
+ // Unknown Tags will be ignored!!!
+ // That's because the tag might be a pointer (like the Exif tag)
+ // and saving it without saving the data it points to might
+ // create an invalid file.
+ }
+ }
+ }
+
+ if (($exifThumbnailOffset > 0) && ($exifThumbnailLength > 0)) {
+ $this->_info['exif']['JFIFThumbnail'] = $this->_getFixedString($data, $base + $exifThumbnailOffset, $exifThumbnailLength);
+ }
+
+ if (($exifTIFFOffset > 0) && ($exifTIFFLength > 0)) {
+ $this->_info['exif']['TIFFStrips'] = $this->_getFixedString($data, $base + $exifTIFFOffset, $exifTIFFLength);
+ }
+
+ $nextOffset = $this->_getLong($data, $base + $offset, $isBigEndian);
+ return $nextOffset;
+ }
+
+ /*************************************************************/
+ function & _createMarkerExif()
+ {
+ $data = null;
+ $count = count($this->_markers);
+ for ($i = 0; $i < $count; $i++) {
+ if ($this->_markers[$i]['marker'] == 0xE1) {
+ $signature = $this->_getFixedString($this->_markers[$i]['data'], 0, 6);
+ if ($signature == "Exif\0\0") {
+ $data =& $this->_markers[$i]['data'];
+ break;
+ }
+ }
+ }
+
+ if (!isset($this->_info['exif'])) {
+ return false;
+ }
+
+ $data = "Exif\0\0";
+ $pos = 6;
+ $offsetBase = 6;
+
+ if (isset($this->_info['exif']['ByteAlign']) && ($this->_info['exif']['ByteAlign'] == "Big Endian")) {
+ $isBigEndian = true;
+ $aux = "MM";
+ $pos = $this->_putString($data, $pos, $aux);
+ }
+ else {
+ $isBigEndian = false;
+ $aux = "II";
+ $pos = $this->_putString($data, $pos, $aux);
+ }
+ $pos = $this->_putShort($data, $pos, 0x002A, $isBigEndian);
+ $pos = $this->_putLong($data, $pos, 0x00000008, $isBigEndian); // IFD0 Offset is always 8
+
+ $ifd0 =& $this->_getIFDEntries($isBigEndian, 'ifd0');
+ $ifd1 =& $this->_getIFDEntries($isBigEndian, 'ifd1');
+
+ $pos = $this->_writeIFD($data, $pos, $offsetBase, $ifd0, $isBigEndian, true);
+ $pos = $this->_writeIFD($data, $pos, $offsetBase, $ifd1, $isBigEndian, false);
+
+ return $data;
+ }
+
+ /*************************************************************/
+ function _writeIFD(&$data, $pos, $offsetBase, &$entries, $isBigEndian, $hasNext)
+ {
+ $tiffData = null;
+ $tiffDataOffsetPos = -1;
+
+ $entryCount = count($entries);
+
+ $dataPos = $pos + 2 + ($entryCount * 12) + 4;
+ $pos = $this->_putShort($data, $pos, $entryCount, $isBigEndian);
+
+ for ($i = 0; $i < $entryCount; $i++) {
+ $tag = $entries[$i]['tag'];
+ $type = $entries[$i]['type'];
+
+ if ($type == -99) { // SubIFD
+ $pos = $this->_putShort($data, $pos, $tag, $isBigEndian);
+ $pos = $this->_putShort($data, $pos, 0x04, $isBigEndian); // LONG
+ $pos = $this->_putLong($data, $pos, 0x01, $isBigEndian); // Count = 1
+ $pos = $this->_putLong($data, $pos, $dataPos - $offsetBase, $isBigEndian);
+
+ $dataPos = $this->_writeIFD($data, $dataPos, $offsetBase, $entries[$i]['value'], $isBigEndian, false);
+ }
+ elseif ($type == -98) { // TIFF Data
+ $pos = $this->_putShort($data, $pos, $tag, $isBigEndian);
+ $pos = $this->_putShort($data, $pos, 0x04, $isBigEndian); // LONG
+ $pos = $this->_putLong($data, $pos, 0x01, $isBigEndian); // Count = 1
+ $tiffDataOffsetPos = $pos;
+ $pos = $this->_putLong($data, $pos, 0x00, $isBigEndian); // For Now
+ $tiffData =& $entries[$i]['value'] ;
+ }
+ else { // Regular Entry
+ $pos = $this->_putShort($data, $pos, $tag, $isBigEndian);
+ $pos = $this->_putShort($data, $pos, $type, $isBigEndian);
+ $pos = $this->_putLong($data, $pos, $entries[$i]['count'], $isBigEndian);
+ if (strlen($entries[$i]['value']) > 4) {
+ $pos = $this->_putLong($data, $pos, $dataPos - $offsetBase, $isBigEndian);
+ $dataPos = $this->_putString($data, $dataPos, $entries[$i]['value']);
+ }
+ else {
+ $val = str_pad($entries[$i]['value'], 4, "\0");
+ $pos = $this->_putString($data, $pos, $val);
+ }
+ }
+ }
+
+ if ($tiffData != null) {
+ $this->_putLong($data, $tiffDataOffsetPos, $dataPos - $offsetBase, $isBigEndian);
+ $dataPos = $this->_putString($data, $dataPos, $tiffData);
+ }
+
+ if ($hasNext) {
+ $pos = $this->_putLong($data, $pos, $dataPos - $offsetBase, $isBigEndian);
+ }
+ else {
+ $pos = $this->_putLong($data, $pos, 0, $isBigEndian);
+ }
+
+ return $dataPos;
+ }
+
+ /*************************************************************/
+ function & _getIFDEntries($isBigEndian, $mode)
+ {
+ $EXIFNames = $this->_exifTagNames($mode);
+ $EXIFTags = $this->_exifNameTags($mode);
+ $EXIFTypeInfo = $this->_exifTagTypes($mode);
+
+ $ifdEntries = array();
+ $entryCount = 0;
+
+ reset($EXIFNames);
+ while (list($tag, $name) = each($EXIFNames)) {
+ $type = $EXIFTypeInfo[$tag][0];
+ $count = $EXIFTypeInfo[$tag][1];
+ $value = null;
+
+ if (($mode == 'ifd0') && ($tag == 0x8769)) { // ExifIFDOffset
+ if (isset($this->_info['exif']['EXIFVersion'])) {
+ $value =& $this->_getIFDEntries($isBigEndian, "exif");
+ $type = -99;
+ }
+ else {
+ $value = null;
+ }
+ }
+ elseif (($mode == 'ifd0') && ($tag == 0x8825)) { // GPSIFDOffset
+ if (isset($this->_info['exif']['GPSVersionID'])) {
+ $value =& $this->_getIFDEntries($isBigEndian, "gps");
+ $type = -99;
+ }
+ else {
+ $value = null;
+ }
+ }
+ elseif (($mode == 'ifd1') && ($tag == 0x0111)) { // TIFFStripOffsets
+ if (isset($this->_info['exif']['TIFFStrips'])) {
+ $value =& $this->_info['exif']['TIFFStrips'];
+ $type = -98;
+ }
+ else {
+ $value = null;
+ }
+ }
+ elseif (($mode == 'ifd1') && ($tag == 0x0117)) { // TIFFStripByteCounts
+ if (isset($this->_info['exif']['TIFFStrips'])) {
+ $value = strlen($this->_info['exif']['TIFFStrips']);
+ }
+ else {
+ $value = null;
+ }
+ }
+ elseif (($mode == 'ifd1') && ($tag == 0x0201)) { // TIFFJFIFOffset
+ if (isset($this->_info['exif']['JFIFThumbnail'])) {
+ $value =& $this->_info['exif']['JFIFThumbnail'];
+ $type = -98;
+ }
+ else {
+ $value = null;
+ }
+ }
+ elseif (($mode == 'ifd1') && ($tag == 0x0202)) { // TIFFJFIFLength
+ if (isset($this->_info['exif']['JFIFThumbnail'])) {
+ $value = strlen($this->_info['exif']['JFIFThumbnail']);
+ }
+ else {
+ $value = null;
+ }
+ }
+ elseif (($mode == 'exif') && ($tag == 0xA005)) { // InteropIFDOffset
+ if (isset($this->_info['exif']['InteroperabilityIndex'])) {
+ $value =& $this->_getIFDEntries($isBigEndian, "interop");
+ $type = -99;
+ }
+ else {
+ $value = null;
+ }
+ }
+ elseif (isset($this->_info['exif'][$name])) {
+ $origValue =& $this->_info['exif'][$name];
+
+ // This makes it easier to process variable size elements
+ if (!is_array($origValue) || isset($origValue['val'])) {
+ unset($origValue); // Break the reference
+ $origValue = array($this->_info['exif'][$name]);
+ }
+ $origCount = count($origValue);
+
+ if ($origCount == 0 ) {
+ $type = -1; // To ignore this field
+ }
+
+ $value = " ";
+
+ switch ($type) {
+ case 1: // UBYTE
+ if ($count == 0) {
+ $count = $origCount;
+ }
+
+ $j = 0;
+ while (($j < $count) && ($j < $origCount)) {
+
+ $this->_putByte($value, $j, $origValue[$j]);
+ $j++;
+ }
+
+ while ($j < $count) {
+ $this->_putByte($value, $j, 0);
+ $j++;
+ }
+ break;
+ case 2: // ASCII
+ $v = strval($origValue[0]);
+ if (($count != 0) && (strlen($v) > $count)) {
+ $v = substr($v, 0, $count);
+ }
+ elseif (($count > 0) && (strlen($v) < $count)) {
+ $v = str_pad($v, $count, "\0");
+ }
+
+ $count = strlen($v);
+
+ $this->_putString($value, 0, $v);
+ break;
+ case 3: // USHORT
+ if ($count == 0) {
+ $count = $origCount;
+ }
+
+ $j = 0;
+ while (($j < $count) && ($j < $origCount)) {
+ $this->_putShort($value, $j * 2, $origValue[$j], $isBigEndian);
+ $j++;
+ }
+
+ while ($j < $count) {
+ $this->_putShort($value, $j * 2, 0, $isBigEndian);
+ $j++;
+ }
+ break;
+ case 4: // ULONG
+ if ($count == 0) {
+ $count = $origCount;
+ }
+
+ $j = 0;
+ while (($j < $count) && ($j < $origCount)) {
+ $this->_putLong($value, $j * 4, $origValue[$j], $isBigEndian);
+ $j++;
+ }
+
+ while ($j < $count) {
+ $this->_putLong($value, $j * 4, 0, $isBigEndian);
+ $j++;
+ }
+ break;
+ case 5: // URATIONAL
+ if ($count == 0) {
+ $count = $origCount;
+ }
+
+ $j = 0;
+ while (($j < $count) && ($j < $origCount)) {
+ $v = $origValue[$j];
+ if (is_array($v)) {
+ $a = $v['num'];
+ $b = $v['den'];
+ }
+ else {
+ $a = 0;
+ $b = 0;
+ // TODO: Allow other types and convert them
+ }
+ $this->_putLong($value, $j * 8, $a, $isBigEndian);
+ $this->_putLong($value, ($j * 8) + 4, $b, $isBigEndian);
+ $j++;
+ }
+
+ while ($j < $count) {
+ $this->_putLong($value, $j * 8, 0, $isBigEndian);
+ $this->_putLong($value, ($j * 8) + 4, 0, $isBigEndian);
+ $j++;
+ }
+ break;
+ case 6: // SBYTE
+ if ($count == 0) {
+ $count = $origCount;
+ }
+
+ $j = 0;
+ while (($j < $count) && ($j < $origCount)) {
+ $this->_putByte($value, $j, $origValue[$j]);
+ $j++;
+ }
+
+ while ($j < $count) {
+ $this->_putByte($value, $j, 0);
+ $j++;
+ }
+ break;
+ case 7: // UNDEFINED
+ $v = strval($origValue[0]);
+ if (($count != 0) && (strlen($v) > $count)) {
+ $v = substr($v, 0, $count);
+ }
+ elseif (($count > 0) && (strlen($v) < $count)) {
+ $v = str_pad($v, $count, "\0");
+ }
+
+ $count = strlen($v);
+
+ $this->_putString($value, 0, $v);
+ break;
+ case 8: // SSHORT
+ if ($count == 0) {
+ $count = $origCount;
+ }
+
+ $j = 0;
+ while (($j < $count) && ($j < $origCount)) {
+ $this->_putShort($value, $j * 2, $origValue[$j], $isBigEndian);
+ $j++;
+ }
+
+ while ($j < $count) {
+ $this->_putShort($value, $j * 2, 0, $isBigEndian);
+ $j++;
+ }
+ break;
+ case 9: // SLONG
+ if ($count == 0) {
+ $count = $origCount;
+ }
+
+ $j = 0;
+ while (($j < $count) && ($j < $origCount)) {
+ $this->_putLong($value, $j * 4, $origValue[$j], $isBigEndian);
+ $j++;
+ }
+
+ while ($j < $count) {
+ $this->_putLong($value, $j * 4, 0, $isBigEndian);
+ $j++;
+ }
+ break;
+ case 10: // SRATIONAL
+ if ($count == 0) {
+ $count = $origCount;
+ }
+
+ $j = 0;
+ while (($j < $count) && ($j < $origCount)) {
+ $v = $origValue[$j];
+ if (is_array($v)) {
+ $a = $v['num'];
+ $b = $v['den'];
+ }
+ else {
+ $a = 0;
+ $b = 0;
+ // TODO: Allow other types and convert them
+ }
+
+ $this->_putLong($value, $j * 8, $a, $isBigEndian);
+ $this->_putLong($value, ($j * 8) + 4, $b, $isBigEndian);
+ $j++;
+ }
+
+ while ($j < $count) {
+ $this->_putLong($value, $j * 8, 0, $isBigEndian);
+ $this->_putLong($value, ($j * 8) + 4, 0, $isBigEndian);
+ $j++;
+ }
+ break;
+ case 11: // FLOAT
+ if ($count == 0) {
+ $count = $origCount;
+ }
+
+ $j = 0;
+ while (($j < $count) && ($j < $origCount)) {
+ $v = strval($origValue[$j]);
+ if (strlen($v) > 4) {
+ $v = substr($v, 0, 4);
+ }
+ elseif (strlen($v) < 4) {
+ $v = str_pad($v, 4, "\0");
+ }
+ $this->_putString($value, $j * 4, $v);
+ $j++;
+ }
+
+ while ($j < $count) {
+ $this->_putString($value, $j * 4, "\0\0\0\0");
+ $j++;
+ }
+ break;
+ case 12: // DFLOAT
+ if ($count == 0) {
+ $count = $origCount;
+ }
+
+ $j = 0;
+ while (($j < $count) && ($j < $origCount)) {
+ $v = strval($origValue[$j]);
+ if (strlen($v) > 8) {
+ $v = substr($v, 0, 8);
+ }
+ elseif (strlen($v) < 8) {
+ $v = str_pad($v, 8, "\0");
+ }
+ $this->_putString($value, $j * 8, $v);
+ $j++;
+ }
+
+ while ($j < $count) {
+ $this->_putString($value, $j * 8, "\0\0\0\0\0\0\0\0");
+ $j++;
+ }
+ break;
+ default:
+ $value = null;
+ break;
+ }
+ }
+
+ if ($value != null) {
+ $ifdEntries[$entryCount] = array();
+ $ifdEntries[$entryCount]['tag'] = $tag;
+ $ifdEntries[$entryCount]['type'] = $type;
+ $ifdEntries[$entryCount]['count'] = $count;
+ $ifdEntries[$entryCount]['value'] = $value;
+
+ $entryCount++;
+ }
+ }
+
+ return $ifdEntries;
+ }
+
+ /*************************************************************/
+ function _parseMarkerAdobe()
+ {
+ if (!isset($this->_markers)) {
+ $this->_readJPEG();
+ }
+
+ if ($this->_markers == null) {
+ return false;
+ }
+
+ $data = null;
+ $count = count($this->_markers);
+ for ($i = 0; $i < $count; $i++) {
+ if ($this->_markers[$i]['marker'] == 0xED) {
+ $signature = $this->_getFixedString($this->_markers[$i]['data'], 0, 14);
+ if ($signature == "Photoshop 3.0\0") {
+ $data =& $this->_markers[$i]['data'];
+ break;
+ }
+ }
+ }
+
+ if ($data == null) {
+ $this->_info['adobe'] = false;
+ $this->_info['iptc'] = false;
+ return false;
+ }
+ $pos = 14;
+ $this->_info['adobe'] = array();
+ $this->_info['adobe']['raw'] = array();
+ $this->_info['iptc'] = array();
+
+ $datasize = strlen($data);
+
+ while ($pos < $datasize) {
+ $signature = $this->_getFixedString($data, $pos, 4);
+ if ($signature != '8BIM')
+ return false;
+ $pos += 4;
+
+ $type = $this->_getShort($data, $pos);
+ $pos += 2;
+
+ $strlen = $this->_getByte($data, $pos);
+ $pos += 1;
+ $header = '';
+ for ($i = 0; $i < $strlen; $i++) {
+ $header .= $data{$pos + $i};
+ }
+ $pos += $strlen + 1 - ($strlen % 2); // The string is padded to even length, counting the length byte itself
+
+ $length = $this->_getLong($data, $pos);
+ $pos += 4;
+
+ $basePos = $pos;
+
+ switch ($type) {
+ case 0x0404: // Caption (IPTC Data)
+ $pos = $this->_readIPTC($data, $pos);
+ if ($pos == false)
+ return false;
+ break;
+ case 0x040A: // CopyrightFlag
+ $this->_info['adobe']['CopyrightFlag'] = $this->_getByte($data, $pos);
+ $pos += $length;
+ break;
+ case 0x040B: // ImageURL
+ $this->_info['adobe']['ImageURL'] = $this->_getFixedString($data, $pos, $length);
+ $pos += $length;
+ break;
+ case 0x040C: // Thumbnail
+ $aux = $this->_getLong($data, $pos);
+ $pos += 4;
+ if ($aux == 1) {
+ $this->_info['adobe']['ThumbnailWidth'] = $this->_getLong($data, $pos);
+ $pos += 4;
+ $this->_info['adobe']['ThumbnailHeight'] = $this->_getLong($data, $pos);
+ $pos += 4;
+
+ $pos += 16; // Skip some data
+
+ $this->_info['adobe']['ThumbnailData'] = $this->_getFixedString($data, $pos, $length - 28);
+ $pos += $length - 28;
+ }
+ break;
+ default:
+ break;
+ }
+
+ // We save all blocks, even those we recognized
+ $label = sprintf('8BIM_0x%04x', $type);
+ $this->_info['adobe']['raw'][$label] = array();
+ $this->_info['adobe']['raw'][$label]['type'] = $type;
+ $this->_info['adobe']['raw'][$label]['header'] = $header;
+ $this->_info['adobe']['raw'][$label]['data'] =& $this->_getFixedString($data, $basePos, $length);
+
+ $pos = $basePos + $length + ($length % 2); // Even padding
+ }
+
+ }
+
+ /*************************************************************/
+ function _readIPTC(&$data, $pos = 0)
+ {
+ $totalLength = strlen($data);
+
+ $IPTCTags =& $this->_iptcTagNames();
+
+ while ($pos < ($totalLength - 5)) {
+ $signature = $this->_getShort($data, $pos);
+ if ($signature != 0x1C02)
+ return $pos;
+ $pos += 2;
+
+ $type = $this->_getByte($data, $pos);
+ $pos += 1;
+ $length = $this->_getShort($data, $pos);
+ $pos += 2;
+
+ $basePos = $pos;
+ $label = '';
+
+ if (isset($IPTCTags[$type])) {
+ $label = $IPTCTags[$type];
+ }
+ else {
+ $label = sprintf('IPTC_0x%02x', $type);
+ }
+
+ if ($label != '') {
+ if (isset($this->_info['iptc'][$label])) {
+ if (!is_array($this->_info['iptc'][$label])) {
+ $aux = array();
+ $aux[0] = $this->_info['iptc'][$label];
+ $this->_info['iptc'][$label] = $aux;
+ }
+ $this->_info['iptc'][$label][ count($this->_info['iptc'][$label]) ] = $this->_getFixedString($data, $pos, $length);
+ }
+ else {
+ $this->_info['iptc'][$label] = $this->_getFixedString($data, $pos, $length);
+ }
+ }
+
+ $pos = $basePos + $length; // No padding
+ }
+ return $pos;
+ }
+
+ /*************************************************************/
+ function & _createMarkerAdobe()
+ {
+ if (isset($this->_info['iptc'])) {
+ if (!isset($this->_info['adobe'])) {
+ $this->_info['adobe'] = array();
+ }
+ if (!isset($this->_info['adobe']['raw'])) {
+ $this->_info['adobe']['raw'] = array();
+ }
+ if (!isset($this->_info['adobe']['raw']['8BIM_0x0404'])) {
+ $this->_info['adobe']['raw']['8BIM_0x0404'] = array();
+ }
+ $this->_info['adobe']['raw']['8BIM_0x0404']['type'] = 0x0404;
+ $this->_info['adobe']['raw']['8BIM_0x0404']['header'] = "Caption";
+ $this->_info['adobe']['raw']['8BIM_0x0404']['data'] =& $this->_writeIPTC();
+ }
+
+ if (isset($this->_info['adobe']['raw']) && (count($this->_info['adobe']['raw']) > 0)) {
+ $data = "Photoshop 3.0\0";
+ $pos = 14;
+
+ reset($this->_info['adobe']['raw']);
+ while (list($key) = each($this->_info['adobe']['raw'])) {
+ $pos = $this->_write8BIM(
+ $data,
+ $pos,
+ $this->_info['adobe']['raw'][$key]['type'],
+ $this->_info['adobe']['raw'][$key]['header'],
+ $this->_info['adobe']['raw'][$key]['data'] );
+ }
+ }
+
+ return $data;
+ }
+
+ /*************************************************************/
+ function _write8BIM(&$data, $pos, $type, $header, &$value)
+ {
+ $signature = "8BIM";
+
+ $pos = $this->_putString($data, $pos, $signature);
+ $pos = $this->_putShort($data, $pos, $type);
+
+ $len = strlen($header);
+
+ $pos = $this->_putByte($data, $pos, $len);
+ $pos = $this->_putString($data, $pos, $header);
+ if (($len % 2) == 0) { // Even padding, including the length byte
+ $pos = $this->_putByte($data, $pos, 0);
+ }
+
+ $len = strlen($value);
+ $pos = $this->_putLong($data, $pos, $len);
+ $pos = $this->_putString($data, $pos, $value);
+ if (($len % 2) != 0) { // Even padding
+ $pos = $this->_putByte($data, $pos, 0);
+ }
+ return $pos;
+ }
+
+ /*************************************************************/
+ function & _writeIPTC()
+ {
+ $data = " ";
+ $pos = 0;
+
+ $IPTCNames =& $this->_iptcNameTags();
+
+ reset($this->_info['iptc']);
+
+
+ while (list($label) = each($this->_info['iptc'])) {
+ $value =& $this->_info['iptc'][$label];
+ $type = -1;
+
+ if (isset($IPTCNames[$label])) {
+ $type = $IPTCNames[$label];
+ }
+ elseif (substr($label, 0, 7) == "IPTC_0x") {
+ $type = hexdec(substr($label, 7, 2));
+ }
+
+ if ($type != -1) {
+ if (is_array($value)) {
+ for ($i = 0; $i < count($value); $i++) {
+ $pos = $this->_writeIPTCEntry($data, $pos, $type, $value[$i]);
+ }
+ }
+ else {
+ $pos = $this->_writeIPTCEntry($data, $pos, $type, $value);
+ }
+ }
+ }
+
+ return $data;
+ }
+
+ /*************************************************************/
+ function _writeIPTCEntry(&$data, $pos, $type, &$value)
+ {
+ $pos = $this->_putShort($data, $pos, 0x1C02);
+ $pos = $this->_putByte($data, $pos, $type);
+ $pos = $this->_putShort($data, $pos, strlen($value));
+ $pos = $this->_putString($data, $pos, $value);
+
+ return $pos;
+ }
+
+ /*************************************************************/
+ function _exifTagNames($mode)
+ {
+ $tags = array();
+
+ if ($mode == 'ifd0') {
+ $tags[0x010E] = 'ImageDescription';
+ $tags[0x010F] = 'Make';
+ $tags[0x0110] = 'Model';
+ $tags[0x0112] = 'Orientation';
+ $tags[0x011A] = 'XResolution';
+ $tags[0x011B] = 'YResolution';
+ $tags[0x0128] = 'ResolutionUnit';
+ $tags[0x0131] = 'Software';
+ $tags[0x0132] = 'DateTime';
+ $tags[0x013B] = 'Artist';
+ $tags[0x013E] = 'WhitePoint';
+ $tags[0x013F] = 'PrimaryChromaticities';
+ $tags[0x0211] = 'YCbCrCoefficients';
+ $tags[0x0212] = 'YCbCrSubSampling';
+ $tags[0x0213] = 'YCbCrPositioning';
+ $tags[0x0214] = 'ReferenceBlackWhite';
+ $tags[0x8298] = 'Copyright';
+ $tags[0x8769] = 'ExifIFDOffset';
+ $tags[0x8825] = 'GPSIFDOffset';
+ }
+ if ($mode == 'ifd1') {
+ $tags[0x00FE] = 'TIFFNewSubfileType';
+ $tags[0x00FF] = 'TIFFSubfileType';
+ $tags[0x0100] = 'TIFFImageWidth';
+ $tags[0x0101] = 'TIFFImageHeight';
+ $tags[0x0102] = 'TIFFBitsPerSample';
+ $tags[0x0103] = 'TIFFCompression';
+ $tags[0x0106] = 'TIFFPhotometricInterpretation';
+ $tags[0x0107] = 'TIFFThreshholding';
+ $tags[0x0108] = 'TIFFCellWidth';
+ $tags[0x0109] = 'TIFFCellLength';
+ $tags[0x010A] = 'TIFFFillOrder';
+ $tags[0x010E] = 'TIFFImageDescription';
+ $tags[0x010F] = 'TIFFMake';
+ $tags[0x0110] = 'TIFFModel';
+ $tags[0x0111] = 'TIFFStripOffsets';
+ $tags[0x0112] = 'TIFFOrientation';
+ $tags[0x0115] = 'TIFFSamplesPerPixel';
+ $tags[0x0116] = 'TIFFRowsPerStrip';
+ $tags[0x0117] = 'TIFFStripByteCounts';
+ $tags[0x0118] = 'TIFFMinSampleValue';
+ $tags[0x0119] = 'TIFFMaxSampleValue';
+ $tags[0x011A] = 'TIFFXResolution';
+ $tags[0x011B] = 'TIFFYResolution';
+ $tags[0x011C] = 'TIFFPlanarConfiguration';
+ $tags[0x0122] = 'TIFFGrayResponseUnit';
+ $tags[0x0123] = 'TIFFGrayResponseCurve';
+ $tags[0x0128] = 'TIFFResolutionUnit';
+ $tags[0x0131] = 'TIFFSoftware';
+ $tags[0x0132] = 'TIFFDateTime';
+ $tags[0x013B] = 'TIFFArtist';
+ $tags[0x013C] = 'TIFFHostComputer';
+ $tags[0x0140] = 'TIFFColorMap';
+ $tags[0x0152] = 'TIFFExtraSamples';
+ $tags[0x0201] = 'TIFFJFIFOffset';
+ $tags[0x0202] = 'TIFFJFIFLength';
+ $tags[0x0211] = 'TIFFYCbCrCoefficients';
+ $tags[0x0212] = 'TIFFYCbCrSubSampling';
+ $tags[0x0213] = 'TIFFYCbCrPositioning';
+ $tags[0x0214] = 'TIFFReferenceBlackWhite';
+ $tags[0x8298] = 'TIFFCopyright';
+ $tags[0x9286] = 'TIFFUserComment';
+ }
+ elseif ($mode == 'exif') {
+ $tags[0x829A] = 'ExposureTime';
+ $tags[0x829D] = 'FNumber';
+ $tags[0x8822] = 'ExposureProgram';
+ $tags[0x8824] = 'SpectralSensitivity';
+ $tags[0x8827] = 'ISOSpeedRatings';
+ $tags[0x8828] = 'OECF';
+ $tags[0x9000] = 'EXIFVersion';
+ $tags[0x9003] = 'DatetimeOriginal';
+ $tags[0x9004] = 'DatetimeDigitized';
+ $tags[0x9101] = 'ComponentsConfiguration';
+ $tags[0x9102] = 'CompressedBitsPerPixel';
+ $tags[0x9201] = 'ShutterSpeedValue';
+ $tags[0x9202] = 'ApertureValue';
+ $tags[0x9203] = 'BrightnessValue';
+ $tags[0x9204] = 'ExposureBiasValue';
+ $tags[0x9205] = 'MaxApertureValue';
+ $tags[0x9206] = 'SubjectDistance';
+ $tags[0x9207] = 'MeteringMode';
+ $tags[0x9208] = 'LightSource';
+ $tags[0x9209] = 'Flash';
+ $tags[0x920A] = 'FocalLength';
+ $tags[0x927C] = 'MakerNote';
+ $tags[0x9286] = 'UserComment';
+ $tags[0x9290] = 'SubSecTime';
+ $tags[0x9291] = 'SubSecTimeOriginal';
+ $tags[0x9292] = 'SubSecTimeDigitized';
+ $tags[0xA000] = 'FlashPixVersion';
+ $tags[0xA001] = 'ColorSpace';
+ $tags[0xA002] = 'PixelXDimension';
+ $tags[0xA003] = 'PixelYDimension';
+ $tags[0xA004] = 'RelatedSoundFile';
+ $tags[0xA005] = 'InteropIFDOffset';
+ $tags[0xA20B] = 'FlashEnergy';
+ $tags[0xA20C] = 'SpatialFrequencyResponse';
+ $tags[0xA20E] = 'FocalPlaneXResolution';
+ $tags[0xA20F] = 'FocalPlaneYResolution';
+ $tags[0xA210] = 'FocalPlaneResolutionUnit';
+ $tags[0xA214] = 'SubjectLocation';
+ $tags[0xA215] = 'ExposureIndex';
+ $tags[0xA217] = 'SensingMethod';
+ $tags[0xA300] = 'FileSource';
+ $tags[0xA301] = 'SceneType';
+ $tags[0xA302] = 'CFAPattern';
+ }
+ elseif ($mode == 'interop') {
+ $tags[0x0001] = 'InteroperabilityIndex';
+ $tags[0x0002] = 'InteroperabilityVersion';
+ $tags[0x1000] = 'RelatedImageFileFormat';
+ $tags[0x1001] = 'RelatedImageWidth';
+ $tags[0x1002] = 'RelatedImageLength';
+ }
+ elseif ($mode == 'gps') {
+ $tags[0x0000] = 'GPSVersionID';
+ $tags[0x0001] = 'GPSLatitudeRef';
+ $tags[0x0002] = 'GPSLatitude';
+ $tags[0x0003] = 'GPSLongitudeRef';
+ $tags[0x0004] = 'GPSLongitude';
+ $tags[0x0005] = 'GPSAltitudeRef';
+ $tags[0x0006] = 'GPSAltitude';
+ $tags[0x0007] = 'GPSTimeStamp';
+ $tags[0x0008] = 'GPSSatellites';
+ $tags[0x0009] = 'GPSStatus';
+ $tags[0x000A] = 'GPSMeasureMode';
+ $tags[0x000B] = 'GPSDOP';
+ $tags[0x000C] = 'GPSSpeedRef';
+ $tags[0x000D] = 'GPSSpeed';
+ $tags[0x000E] = 'GPSTrackRef';
+ $tags[0x000F] = 'GPSTrack';
+ $tags[0x0010] = 'GPSImgDirectionRef';
+ $tags[0x0011] = 'GPSImgDirection';
+ $tags[0x0012] = 'GPSMapDatum';
+ $tags[0x0013] = 'GPSDestLatitudeRef';
+ $tags[0x0014] = 'GPSDestLatitude';
+ $tags[0x0015] = 'GPSDestLongitudeRef';
+ $tags[0x0016] = 'GPSDestLongitude';
+ $tags[0x0017] = 'GPSDestBearingRef';
+ $tags[0x0018] = 'GPSDestBearing';
+ $tags[0x0019] = 'GPSDestDistanceRef';
+ $tags[0x001A] = 'GPSDestDistance';
+ }
+
+ return $tags;
+ }
+
+ /*************************************************************/
+ function _exifTagTypes($mode)
+ {
+ $tags = array();
+
+ if ($mode == 'ifd0') {
+ $tags[0x010E] = array(2, 0); // ImageDescription -> ASCII, Any
+ $tags[0x010F] = array(2, 0); // Make -> ASCII, Any
+ $tags[0x0110] = array(2, 0); // Model -> ASCII, Any
+ $tags[0x0112] = array(3, 1); // Orientation -> SHORT, 1
+ $tags[0x011A] = array(5, 1); // XResolution -> RATIONAL, 1
+ $tags[0x011B] = array(5, 1); // YResolution -> RATIONAL, 1
+ $tags[0x0128] = array(3, 1); // ResolutionUnit -> SHORT
+ $tags[0x0131] = array(2, 0); // Software -> ASCII, Any
+ $tags[0x0132] = array(2, 20); // DateTime -> ASCII, 20
+ $tags[0x013B] = array(2, 0); // Artist -> ASCII, Any
+ $tags[0x013E] = array(5, 2); // WhitePoint -> RATIONAL, 2
+ $tags[0x013F] = array(5, 6); // PrimaryChromaticities -> RATIONAL, 6
+ $tags[0x0211] = array(5, 3); // YCbCrCoefficients -> RATIONAL, 3
+ $tags[0x0212] = array(3, 2); // YCbCrSubSampling -> SHORT, 2
+ $tags[0x0213] = array(3, 1); // YCbCrPositioning -> SHORT, 1
+ $tags[0x0214] = array(5, 6); // ReferenceBlackWhite -> RATIONAL, 6
+ $tags[0x8298] = array(2, 0); // Copyright -> ASCII, Any
+ $tags[0x8769] = array(4, 1); // ExifIFDOffset -> LONG, 1
+ $tags[0x8825] = array(4, 1); // GPSIFDOffset -> LONG, 1
+ }
+ if ($mode == 'ifd1') {
+ $tags[0x00FE] = array(4, 1); // TIFFNewSubfileType -> LONG, 1
+ $tags[0x00FF] = array(3, 1); // TIFFSubfileType -> SHORT, 1
+ $tags[0x0100] = array(4, 1); // TIFFImageWidth -> LONG (or SHORT), 1
+ $tags[0x0101] = array(4, 1); // TIFFImageHeight -> LONG (or SHORT), 1
+ $tags[0x0102] = array(3, 3); // TIFFBitsPerSample -> SHORT, 3
+ $tags[0x0103] = array(3, 1); // TIFFCompression -> SHORT, 1
+ $tags[0x0106] = array(3, 1); // TIFFPhotometricInterpretation -> SHORT, 1
+ $tags[0x0107] = array(3, 1); // TIFFThreshholding -> SHORT, 1
+ $tags[0x0108] = array(3, 1); // TIFFCellWidth -> SHORT, 1
+ $tags[0x0109] = array(3, 1); // TIFFCellLength -> SHORT, 1
+ $tags[0x010A] = array(3, 1); // TIFFFillOrder -> SHORT, 1
+ $tags[0x010E] = array(2, 0); // TIFFImageDescription -> ASCII, Any
+ $tags[0x010F] = array(2, 0); // TIFFMake -> ASCII, Any
+ $tags[0x0110] = array(2, 0); // TIFFModel -> ASCII, Any
+ $tags[0x0111] = array(4, 0); // TIFFStripOffsets -> LONG (or SHORT), Any (one per strip)
+ $tags[0x0112] = array(3, 1); // TIFFOrientation -> SHORT, 1
+ $tags[0x0115] = array(3, 1); // TIFFSamplesPerPixel -> SHORT, 1
+ $tags[0x0116] = array(4, 1); // TIFFRowsPerStrip -> LONG (or SHORT), 1
+ $tags[0x0117] = array(4, 0); // TIFFStripByteCounts -> LONG (or SHORT), Any (one per strip)
+ $tags[0x0118] = array(3, 0); // TIFFMinSampleValue -> SHORT, Any (SamplesPerPixel)
+ $tags[0x0119] = array(3, 0); // TIFFMaxSampleValue -> SHORT, Any (SamplesPerPixel)
+ $tags[0x011A] = array(5, 1); // TIFFXResolution -> RATIONAL, 1
+ $tags[0x011B] = array(5, 1); // TIFFYResolution -> RATIONAL, 1
+ $tags[0x011C] = array(3, 1); // TIFFPlanarConfiguration -> SHORT, 1
+ $tags[0x0122] = array(3, 1); // TIFFGrayResponseUnit -> SHORT, 1
+ $tags[0x0123] = array(3, 0); // TIFFGrayResponseCurve -> SHORT, Any (2^BitsPerSample)
+ $tags[0x0128] = array(3, 1); // TIFFResolutionUnit -> SHORT, 1
+ $tags[0x0131] = array(2, 0); // TIFFSoftware -> ASCII, Any
+ $tags[0x0132] = array(2, 20); // TIFFDateTime -> ASCII, 20
+ $tags[0x013B] = array(2, 0); // TIFFArtist -> ASCII, Any
+ $tags[0x013C] = array(2, 0); // TIFFHostComputer -> ASCII, Any
+ $tags[0x0140] = array(3, 0); // TIFFColorMap -> SHORT, Any (3 * 2^BitsPerSample)
+ $tags[0x0152] = array(3, 0); // TIFFExtraSamples -> SHORT, Any (SamplesPerPixel - 3)
+ $tags[0x0201] = array(4, 1); // TIFFJFIFOffset -> LONG, 1
+ $tags[0x0202] = array(4, 1); // TIFFJFIFLength -> LONG, 1
+ $tags[0x0211] = array(5, 3); // TIFFYCbCrCoefficients -> RATIONAL, 3
+ $tags[0x0212] = array(3, 2); // TIFFYCbCrSubSampling -> SHORT, 2
+ $tags[0x0213] = array(3, 1); // TIFFYCbCrPositioning -> SHORT, 1
+ $tags[0x0214] = array(5, 6); // TIFFReferenceBlackWhite -> RATIONAL, 6
+ $tags[0x8298] = array(2, 0); // TIFFCopyright -> ASCII, Any
+ $tags[0x9286] = array(2, 0); // TIFFUserComment -> ASCII, Any
+ }
+ elseif ($mode == 'exif') {
+ $tags[0x829A] = array(5, 1); // ExposureTime -> RATIONAL, 1
+ $tags[0x829D] = array(5, 1); // FNumber -> RATIONAL, 1
+ $tags[0x8822] = array(3, 1); // ExposureProgram -> SHORT, 1
+ $tags[0x8824] = array(2, 0); // SpectralSensitivity -> ASCII, Any
+ $tags[0x8827] = array(3, 0); // ISOSpeedRatings -> SHORT, Any
+ $tags[0x8828] = array(7, 0); // OECF -> UNDEFINED, Any
+ $tags[0x9000] = array(7, 4); // EXIFVersion -> UNDEFINED, 4
+ $tags[0x9003] = array(2, 20); // DatetimeOriginal -> ASCII, 20
+ $tags[0x9004] = array(2, 20); // DatetimeDigitized -> ASCII, 20
+ $tags[0x9101] = array(7, 4); // ComponentsConfiguration -> UNDEFINED, 4
+ $tags[0x9102] = array(5, 1); // CompressedBitsPerPixel -> RATIONAL, 1
+ $tags[0x9201] = array(10, 1); // ShutterSpeedValue -> SRATIONAL, 1
+ $tags[0x9202] = array(5, 1); // ApertureValue -> RATIONAL, 1
+ $tags[0x9203] = array(10, 1); // BrightnessValue -> SRATIONAL, 1
+ $tags[0x9204] = array(10, 1); // ExposureBiasValue -> SRATIONAL, 1
+ $tags[0x9205] = array(5, 1); // MaxApertureValue -> RATIONAL, 1
+ $tags[0x9206] = array(5, 1); // SubjectDistance -> RATIONAL, 1
+ $tags[0x9207] = array(3, 1); // MeteringMode -> SHORT, 1
+ $tags[0x9208] = array(3, 1); // LightSource -> SHORT, 1
+ $tags[0x9209] = array(3, 1); // Flash -> SHORT, 1
+ $tags[0x920A] = array(5, 1); // FocalLength -> RATIONAL, 1
+ $tags[0x927C] = array(7, 0); // MakerNote -> UNDEFINED, Any
+ $tags[0x9286] = array(7, 0); // UserComment -> UNDEFINED, Any
+ $tags[0x9290] = array(2, 0); // SubSecTime -> ASCII, Any
+ $tags[0x9291] = array(2, 0); // SubSecTimeOriginal -> ASCII, Any
+ $tags[0x9292] = array(2, 0); // SubSecTimeDigitized -> ASCII, Any
+ $tags[0xA000] = array(7, 4); // FlashPixVersion -> UNDEFINED, 4
+ $tags[0xA001] = array(3, 1); // ColorSpace -> SHORT, 1
+ $tags[0xA002] = array(4, 1); // PixelXDimension -> LONG (or SHORT), 1
+ $tags[0xA003] = array(4, 1); // PixelYDimension -> LONG (or SHORT), 1
+ $tags[0xA004] = array(2, 13); // RelatedSoundFile -> ASCII, 13
+ $tags[0xA005] = array(4, 1); // InteropIFDOffset -> LONG, 1
+ $tags[0xA20B] = array(5, 1); // FlashEnergy -> RATIONAL, 1
+ $tags[0xA20C] = array(7, 0); // SpatialFrequencyResponse -> UNDEFINED, Any
+ $tags[0xA20E] = array(5, 1); // FocalPlaneXResolution -> RATIONAL, 1
+ $tags[0xA20F] = array(5, 1); // FocalPlaneYResolution -> RATIONAL, 1
+ $tags[0xA210] = array(3, 1); // FocalPlaneResolutionUnit -> SHORT, 1
+ $tags[0xA214] = array(3, 2); // SubjectLocation -> SHORT, 2
+ $tags[0xA215] = array(5, 1); // ExposureIndex -> RATIONAL, 1
+ $tags[0xA217] = array(3, 1); // SensingMethod -> SHORT, 1
+ $tags[0xA300] = array(7, 1); // FileSource -> UNDEFINED, 1
+ $tags[0xA301] = array(7, 1); // SceneType -> UNDEFINED, 1
+ $tags[0xA302] = array(7, 0); // CFAPattern -> UNDEFINED, Any
+ }
+ elseif ($mode == 'interop') {
+ $tags[0x0001] = array(2, 0); // InteroperabilityIndex -> ASCII, Any
+ $tags[0x0002] = array(7, 4); // InteroperabilityVersion -> UNKNOWN, 4
+ $tags[0x1000] = array(2, 0); // RelatedImageFileFormat -> ASCII, Any
+ $tags[0x1001] = array(4, 1); // RelatedImageWidth -> LONG (or SHORT), 1
+ $tags[0x1002] = array(4, 1); // RelatedImageLength -> LONG (or SHORT), 1
+ }
+ elseif ($mode == 'gps') {
+ $tags[0x0000] = array(1, 4); // GPSVersionID -> BYTE, 4
+ $tags[0x0001] = array(2, 2); // GPSLatitudeRef -> ASCII, 2
+ $tags[0x0002] = array(5, 3); // GPSLatitude -> RATIONAL, 3
+ $tags[0x0003] = array(2, 2); // GPSLongitudeRef -> ASCII, 2
+ $tags[0x0004] = array(5, 3); // GPSLongitude -> RATIONAL, 3
+ $tags[0x0005] = array(2, 2); // GPSAltitudeRef -> ASCII, 2
+ $tags[0x0006] = array(5, 1); // GPSAltitude -> RATIONAL, 1
+ $tags[0x0007] = array(5, 3); // GPSTimeStamp -> RATIONAL, 3
+ $tags[0x0008] = array(2, 0); // GPSSatellites -> ASCII, Any
+ $tags[0x0009] = array(2, 2); // GPSStatus -> ASCII, 2
+ $tags[0x000A] = array(2, 2); // GPSMeasureMode -> ASCII, 2
+ $tags[0x000B] = array(5, 1); // GPSDOP -> RATIONAL, 1
+ $tags[0x000C] = array(2, 2); // GPSSpeedRef -> ASCII, 2
+ $tags[0x000D] = array(5, 1); // GPSSpeed -> RATIONAL, 1
+ $tags[0x000E] = array(2, 2); // GPSTrackRef -> ASCII, 2
+ $tags[0x000F] = array(5, 1); // GPSTrack -> RATIONAL, 1
+ $tags[0x0010] = array(2, 2); // GPSImgDirectionRef -> ASCII, 2
+ $tags[0x0011] = array(5, 1); // GPSImgDirection -> RATIONAL, 1
+ $tags[0x0012] = array(2, 0); // GPSMapDatum -> ASCII, Any
+ $tags[0x0013] = array(2, 2); // GPSDestLatitudeRef -> ASCII, 2
+ $tags[0x0014] = array(5, 3); // GPSDestLatitude -> RATIONAL, 3
+ $tags[0x0015] = array(2, 2); // GPSDestLongitudeRef -> ASCII, 2
+ $tags[0x0016] = array(5, 3); // GPSDestLongitude -> RATIONAL, 3
+ $tags[0x0017] = array(2, 2); // GPSDestBearingRef -> ASCII, 2
+ $tags[0x0018] = array(5, 1); // GPSDestBearing -> RATIONAL, 1
+ $tags[0x0019] = array(2, 2); // GPSDestDistanceRef -> ASCII, 2
+ $tags[0x001A] = array(5, 1); // GPSDestDistance -> RATIONAL, 1
+ }
+
+ return $tags;
+ }
+
+ /*************************************************************/
+ function _exifNameTags($mode)
+ {
+ $tags = $this->_exifTagNames($mode);
+ return $this->_names2Tags($tags);
+ }
+
+ /*************************************************************/
+ function _iptcTagNames()
+ {
+ $tags = array();
+ $tags[0x14] = 'SuplementalCategories';
+ $tags[0x19] = 'Keywords';
+ $tags[0x78] = 'Caption';
+ $tags[0x7A] = 'CaptionWriter';
+ $tags[0x69] = 'Headline';
+ $tags[0x28] = 'SpecialInstructions';
+ $tags[0x0F] = 'Category';
+ $tags[0x50] = 'Byline';
+ $tags[0x55] = 'BylineTitle';
+ $tags[0x6E] = 'Credit';
+ $tags[0x73] = 'Source';
+ $tags[0x74] = 'CopyrightNotice';
+ $tags[0x05] = 'ObjectName';
+ $tags[0x5A] = 'City';
+ $tags[0x5C] = 'Sublocation';
+ $tags[0x5F] = 'ProvinceState';
+ $tags[0x65] = 'CountryName';
+ $tags[0x67] = 'OriginalTransmissionReference';
+ $tags[0x37] = 'DateCreated';
+ $tags[0x0A] = 'CopyrightFlag';
+
+ return $tags;
+ }
+
+ /*************************************************************/
+ function & _iptcNameTags()
+ {
+ $tags = $this->_iptcTagNames();
+ return $this->_names2Tags($tags);
+ }
+
+ /*************************************************************/
+ function _names2Tags($tags2Names)
+ {
+ $names2Tags = array();
+ reset($tags2Names);
+ while (list($tag, $name) = each($tags2Names)) {
+ $names2Tags[$name] = $tag;
+ }
+
+ return $names2Tags;
+ }
+
+ /*************************************************************/
+ function _getByte(&$data, $pos)
+ {
+ return ord($data{$pos});
+ }
+
+ /*************************************************************/
+ function _putByte(&$data, $pos, $val)
+ {
+ $val = intval($val);
+
+ $data{$pos} = chr($val);
+
+ return $pos + 1;
+ }
+
+ /*************************************************************/
+ function _getShort(&$data, $pos, $bigEndian = true)
+ {
+ if ($bigEndian) {
+ return (ord($data{$pos}) << 8)
+ + ord($data{$pos + 1});
+ }
+ else {
+ return ord($data{$pos})
+ + (ord($data{$pos + 1}) << 8);
+ }
+ }
+
+ /*************************************************************/
+ function _putShort(&$data, $pos = 0, $val, $bigEndian = true)
+ {
+ $val = intval($val);
+
+ if ($bigEndian) {
+ $data{$pos + 0} = chr(($val & 0x0000FF00) >> 8);
+ $data{$pos + 1} = chr(($val & 0x000000FF) >> 0);
+ }
+ else {
+ $data{$pos + 0} = chr(($val & 0x00FF) >> 0);
+ $data{$pos + 1} = chr(($val & 0xFF00) >> 8);
+ }
+
+ return $pos + 2;
+ }
+
+ /*************************************************************/
+ function _getLong(&$data, $pos, $bigEndian = true)
+ {
+ if ($bigEndian) {
+ return (ord($data{$pos}) << 24)
+ + (ord($data{$pos + 1}) << 16)
+ + (ord($data{$pos + 2}) << 8)
+ + ord($data{$pos + 3});
+ }
+ else {
+ return ord($data{$pos})
+ + (ord($data{$pos + 1}) << 8)
+ + (ord($data{$pos + 2}) << 16)
+ + (ord($data{$pos + 3}) << 24);
+ }
+ }
+
+ /*************************************************************/
+ function _putLong(&$data, $pos, $val, $bigEndian = true)
+ {
+ $val = intval($val);
+
+ if ($bigEndian) {
+ $data{$pos + 0} = chr(($val & 0xFF000000) >> 24);
+ $data{$pos + 1} = chr(($val & 0x00FF0000) >> 16);
+ $data{$pos + 2} = chr(($val & 0x0000FF00) >> 8);
+ $data{$pos + 3} = chr(($val & 0x000000FF) >> 0);
+ }
+ else {
+ $data{$pos + 0} = chr(($val & 0x000000FF) >> 0);
+ $data{$pos + 1} = chr(($val & 0x0000FF00) >> 8);
+ $data{$pos + 2} = chr(($val & 0x00FF0000) >> 16);
+ $data{$pos + 3} = chr(($val & 0xFF000000) >> 24);
+ }
+
+ return $pos + 4;
+ }
+
+ /*************************************************************/
+ function & _getNullString(&$data, $pos)
+ {
+ $str = '';
+ $max = strlen($data);
+
+ while ($pos < $max) {
+ if (ord($data{$pos}) == 0) {
+ return $str;
+ }
+ else {
+ $str .= $data{$pos};
+ }
+ $pos++;
+ }
+
+ return $str;
+ }
+
+ /*************************************************************/
+ function & _getFixedString(&$data, $pos, $length = -1)
+ {
+ if ($length == -1) {
+ $length = strlen($data) - $pos;
+ }
+
+ return substr($data, $pos, $length);
+ }
+
+ /*************************************************************/
+ function _putString(&$data, $pos, &$str)
+ {
+ $len = strlen($str);
+ for ($i = 0; $i < $len; $i++) {
+ $data{$pos + $i} = $str{$i};
+ }
+
+ return $pos + $len;
+ }
+
+ /*************************************************************/
+ function _hexDump(&$data, $start = 0, $length = -1)
+ {
+ if (($length == -1) || (($length + $start) > strlen($data))) {
+ $end = strlen($data);
+ }
+ else {
+ $end = $start + $length;
+ }
+
+ $ascii = '';
+ $count = 0;
+
+ echo "<tt>\n";
+
+ while ($start < $end) {
+ if (($count % 16) == 0) {
+ echo sprintf('%04d', $count) . ': ';
+ }
+
+ $c = ord($data{$start});
+ $count++;
+ $start++;
+
+ $aux = dechex($c);
+ if (strlen($aux) == 1)
+ echo '0';
+ echo $aux . ' ';
+
+ if ($c == 60)
+ $ascii .= '&lt;';
+ elseif ($c == 62)
+ $ascii .= '&gt;';
+ elseif ($c == 32)
+ $ascii .= '&nbsp;';
+ elseif ($c > 32)
+ $ascii .= chr($c);
+ else
+ $ascii .= '.';
+
+ if (($count % 4) == 0) {
+ echo ' - ';
+ }
+
+ if (($count % 16) == 0) {
+ echo ': ' . $ascii . "<br>\n";
+ $ascii = '';
+ }
+ }
+
+ if ($ascii != '') {
+ while (($count % 16) != 0) {
+ echo '-- ';
+ $count++;
+ if (($count % 4) == 0) {
+ echo ' - ';
+ }
+ }
+ echo ': ' . $ascii . "<br>\n";
+ }
+
+ echo "</tt>\n";
+ }
+
+/*****************************************************************/
+}
+
+/* vim: set expandtab tabstop=4 shiftwidth=4: */
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/SimplePie.php b/mod/dokuwiki/vendors/dokuwiki/inc/SimplePie.php
new file mode 100644
index 000000000..1c97e2384
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/SimplePie.php
@@ -0,0 +1,10849 @@
+<?php
+/**
+ * SimplePie
+ *
+ * A PHP-Based RSS and Atom Feed Framework.
+ * Takes the hard work out of managing a complete RSS/Atom solution.
+ *
+ * Copyright (c) 2004-2007, Ryan Parman and Geoffrey Sneddon
+ * All rights reserved.
+ *
+ * Redistribution and use in source and binary forms, with or without modification, are
+ * permitted provided that the following conditions are met:
+ *
+ * * Redistributions of source code must retain the above copyright notice, this list of
+ * conditions and the following disclaimer.
+ *
+ * * Redistributions in binary form must reproduce the above copyright notice, this list
+ * of conditions and the following disclaimer in the documentation and/or other materials
+ * provided with the distribution.
+ *
+ * * Neither the name of the SimplePie Team nor the names of its contributors may be used
+ * to endorse or promote products derived from this software without specific prior
+ * written permission.
+ *
+ * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS
+ * OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY
+ * AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDERS
+ * AND CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
+ * CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
+ * SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY
+ * THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
+ * OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
+ * POSSIBILITY OF SUCH DAMAGE.
+ *
+ * @package SimplePie
+ * @version "Razzleberry"
+ * @copyright 2004-2007 Ryan Parman, Geoffrey Sneddon
+ * @author Ryan Parman
+ * @author Geoffrey Sneddon
+ * @link http://simplepie.org/ SimplePie
+ * @link http://simplepie.org/support/ Please submit all bug reports and feature requests to the SimplePie forums
+ * @license http://www.opensource.org/licenses/bsd-license.php BSD License
+ * @todo phpDoc comments
+ */
+
+/**
+ * SimplePie Name
+ */
+define('SIMPLEPIE_NAME', 'SimplePie');
+
+/**
+ * SimplePie Version
+ */
+define('SIMPLEPIE_VERSION', '1.0.1');
+
+/**
+ * SimplePie Build
+ * @todo Hardcode for release (there's no need to have to call SimplePie_Misc::parse_date() only every load of simplepie.inc)
+ */
+define('SIMPLEPIE_BUILD', 20070719221955);
+
+/**
+ * SimplePie Website URL
+ */
+define('SIMPLEPIE_URL', 'http://simplepie.org/');
+
+/**
+ * SimplePie Useragent
+ * @see SimplePie::set_useragent()
+ */
+define('SIMPLEPIE_USERAGENT', SIMPLEPIE_NAME . '/' . SIMPLEPIE_VERSION . ' (Feed Parser; ' . SIMPLEPIE_URL . '; Allow like Gecko) Build/' . SIMPLEPIE_BUILD);
+
+/**
+ * SimplePie Linkback
+ */
+define('SIMPLEPIE_LINKBACK', '<a href="' . SIMPLEPIE_URL . '" title="' . SIMPLEPIE_NAME . ' ' . SIMPLEPIE_VERSION . '">' . SIMPLEPIE_NAME . '</a>');
+
+/**
+ * No Autodiscovery
+ * @see SimplePie::set_autodiscovery_level()
+ */
+define('SIMPLEPIE_LOCATOR_NONE', 0);
+
+/**
+ * Feed Link Element Autodiscovery
+ * @see SimplePie::set_autodiscovery_level()
+ */
+define('SIMPLEPIE_LOCATOR_AUTODISCOVERY', 1);
+
+/**
+ * Local Feed Extension Autodiscovery
+ * @see SimplePie::set_autodiscovery_level()
+ */
+define('SIMPLEPIE_LOCATOR_LOCAL_EXTENSION', 2);
+
+/**
+ * Local Feed Body Autodiscovery
+ * @see SimplePie::set_autodiscovery_level()
+ */
+define('SIMPLEPIE_LOCATOR_LOCAL_BODY', 4);
+
+/**
+ * Remote Feed Extension Autodiscovery
+ * @see SimplePie::set_autodiscovery_level()
+ */
+define('SIMPLEPIE_LOCATOR_REMOTE_EXTENSION', 8);
+
+/**
+ * Remote Feed Body Autodiscovery
+ * @see SimplePie::set_autodiscovery_level()
+ */
+define('SIMPLEPIE_LOCATOR_REMOTE_BODY', 16);
+
+/**
+ * All Feed Autodiscovery
+ * @see SimplePie::set_autodiscovery_level()
+ */
+define('SIMPLEPIE_LOCATOR_ALL', 31);
+
+/**
+ * No known feed type
+ */
+define('SIMPLEPIE_TYPE_NONE', 0);
+
+/**
+ * RSS 0.90
+ */
+define('SIMPLEPIE_TYPE_RSS_090', 1);
+
+/**
+ * RSS 0.91 (Netscape)
+ */
+define('SIMPLEPIE_TYPE_RSS_091_NETSCAPE', 2);
+
+/**
+ * RSS 0.91 (Userland)
+ */
+define('SIMPLEPIE_TYPE_RSS_091_USERLAND', 4);
+
+/**
+ * RSS 0.91 (both Netscape and Userland)
+ */
+define('SIMPLEPIE_TYPE_RSS_091', 6);
+
+/**
+ * RSS 0.92
+ */
+define('SIMPLEPIE_TYPE_RSS_092', 8);
+
+/**
+ * RSS 0.93
+ */
+define('SIMPLEPIE_TYPE_RSS_093', 16);
+
+/**
+ * RSS 0.94
+ */
+define('SIMPLEPIE_TYPE_RSS_094', 32);
+
+/**
+ * RSS 1.0
+ */
+define('SIMPLEPIE_TYPE_RSS_10', 64);
+
+/**
+ * RSS 2.0
+ */
+define('SIMPLEPIE_TYPE_RSS_20', 128);
+
+/**
+ * RDF-based RSS
+ */
+define('SIMPLEPIE_TYPE_RSS_RDF', 65);
+
+/**
+ * Non-RDF-based RSS (truly intended as syndication format)
+ */
+define('SIMPLEPIE_TYPE_RSS_SYNDICATION', 190);
+
+/**
+ * All RSS
+ */
+define('SIMPLEPIE_TYPE_RSS_ALL', 255);
+
+/**
+ * Atom 0.3
+ */
+define('SIMPLEPIE_TYPE_ATOM_03', 256);
+
+/**
+ * Atom 1.0
+ */
+define('SIMPLEPIE_TYPE_ATOM_10', 512);
+
+/**
+ * All Atom
+ */
+define('SIMPLEPIE_TYPE_ATOM_ALL', 768);
+
+/**
+ * All feed types
+ */
+define('SIMPLEPIE_TYPE_ALL', 1023);
+
+/**
+ * No construct
+ */
+define('SIMPLEPIE_CONSTRUCT_NONE', 0);
+
+/**
+ * Text construct
+ */
+define('SIMPLEPIE_CONSTRUCT_TEXT', 1);
+
+/**
+ * HTML construct
+ */
+define('SIMPLEPIE_CONSTRUCT_HTML', 2);
+
+/**
+ * XHTML construct
+ */
+define('SIMPLEPIE_CONSTRUCT_XHTML', 4);
+
+/**
+ * base64-encoded construct
+ */
+define('SIMPLEPIE_CONSTRUCT_BASE64', 8);
+
+/**
+ * IRI construct
+ */
+define('SIMPLEPIE_CONSTRUCT_IRI', 16);
+
+/**
+ * A construct that might be HTML
+ */
+define('SIMPLEPIE_CONSTRUCT_MAYBE_HTML', 32);
+
+/**
+ * All constructs
+ */
+define('SIMPLEPIE_CONSTRUCT_ALL', 63);
+
+/**
+ * PCRE for HTML attributes
+ */
+define('SIMPLEPIE_PCRE_HTML_ATTRIBUTE', '((?:\s+(?:(?:[^\s:]+:)?[^\s:]+)(?:\s*=\s*(?:"(?:[^"]*)"|\'(?:[^\']*)\'|(?:[a-z0-9\-._:]*)))?)*)\s*');
+
+/**
+ * PCRE for XML attributes
+ */
+define('SIMPLEPIE_PCRE_XML_ATTRIBUTE', '((?:\s+(?:(?:[^\s:]+:)?[^\s:]+)\s*=\s*(?:"(?:[^"]*)"|\'(?:[^\']*)\'))*)\s*');
+
+/**
+ * XML Namespace
+ */
+define('SIMPLEPIE_NAMESPACE_XML', 'http://www.w3.org/XML/1998/namespace');
+
+/**
+ * Atom 1.0 Namespace
+ */
+define('SIMPLEPIE_NAMESPACE_ATOM_10', 'http://www.w3.org/2005/Atom');
+
+/**
+ * Atom 0.3 Namespace
+ */
+define('SIMPLEPIE_NAMESPACE_ATOM_03', 'http://purl.org/atom/ns#');
+
+/**
+ * RDF Namespace
+ */
+define('SIMPLEPIE_NAMESPACE_RDF', 'http://www.w3.org/1999/02/22-rdf-syntax-ns#');
+
+/**
+ * RSS 0.90 Namespace
+ */
+define('SIMPLEPIE_NAMESPACE_RSS_090', 'http://my.netscape.com/rdf/simple/0.9/');
+
+/**
+ * RSS 1.0 Namespace
+ */
+define('SIMPLEPIE_NAMESPACE_RSS_10', 'http://purl.org/rss/1.0/');
+
+/**
+ * RSS 1.0 Content Module Namespace
+ */
+define('SIMPLEPIE_NAMESPACE_RSS_10_MODULES_CONTENT', 'http://purl.org/rss/1.0/modules/content/');
+
+/**
+ * DC 1.0 Namespace
+ */
+define('SIMPLEPIE_NAMESPACE_DC_10', 'http://purl.org/dc/elements/1.0/');
+
+/**
+ * DC 1.1 Namespace
+ */
+define('SIMPLEPIE_NAMESPACE_DC_11', 'http://purl.org/dc/elements/1.1/');
+
+/**
+ * W3C Basic Geo (WGS84 lat/long) Vocabulary Namespace
+ */
+define('SIMPLEPIE_NAMESPACE_W3C_BASIC_GEO', 'http://www.w3.org/2003/01/geo/wgs84_pos#');
+
+/**
+ * GeoRSS Namespace
+ */
+define('SIMPLEPIE_NAMESPACE_GEORSS', 'http://www.georss.org/georss');
+
+/**
+ * Media RSS Namespace
+ */
+define('SIMPLEPIE_NAMESPACE_MEDIARSS', 'http://search.yahoo.com/mrss/');
+
+/**
+ * iTunes RSS Namespace
+ */
+define('SIMPLEPIE_NAMESPACE_ITUNES', 'http://www.itunes.com/dtds/podcast-1.0.dtd');
+
+/**
+ * XHTML Namespace
+ */
+define('SIMPLEPIE_NAMESPACE_XHTML', 'http://www.w3.org/1999/xhtml');
+
+/**
+ * IANA Link Relations Registry
+ */
+define('SIMPLEPIE_IANA_LINK_RELATIONS_REGISTRY', 'http://www.iana.org/assignments/relation/');
+
+/**
+ * Whether we're running on PHP5
+ */
+define('SIMPLEPIE_PHP5', version_compare(PHP_VERSION, '5.0.0', '>='));
+
+/**
+ * SimplePie
+ *
+ * @package SimplePie
+ * @version "Razzleberry"
+ * @copyright 2004-2007 Ryan Parman, Geoffrey Sneddon
+ * @author Ryan Parman
+ * @author Geoffrey Sneddon
+ * @todo Option for type of fetching (cache, not modified header, fetch, etc.)
+ */
+class SimplePie
+{
+ /**
+ * @var array Raw data
+ * @access private
+ */
+ var $data = array();
+
+ /**
+ * @var mixed Error string
+ * @access private
+ */
+ var $error;
+
+ /**
+ * @var object Instance of SimplePie_Sanitize (or other class)
+ * @see SimplePie::set_sanitize_class()
+ * @access private
+ */
+ var $sanitize;
+
+ /**
+ * @var string SimplePie Useragent
+ * @see SimplePie::set_useragent()
+ * @access private
+ */
+ var $useragent = SIMPLEPIE_USERAGENT;
+
+ /**
+ * @var string Feed URL
+ * @see SimplePie::set_feed_url()
+ * @access private
+ */
+ var $feed_url;
+
+ /**
+ * @var object Instance of SimplePie_File to use as a feed
+ * @see SimplePie::set_file()
+ * @access private
+ */
+ var $file;
+
+ /**
+ * @var string Raw feed data
+ * @see SimplePie::set_raw_data()
+ * @access private
+ */
+ var $raw_data;
+
+ /**
+ * @var int Timeout for fetching remote files
+ * @see SimplePie::set_timeout()
+ * @access private
+ */
+ var $timeout = 10;
+
+ /**
+ * @var bool Forces fsockopen() to be used for remote files instead
+ * of cURL, even if a new enough version is installed
+ * @see SimplePie::force_fsockopen()
+ * @access private
+ */
+ var $force_fsockopen = false;
+
+ /**
+ * @var bool Enable/Disable XML dump
+ * @see SimplePie::enable_xml_dump()
+ * @access private
+ */
+ var $xml_dump = false;
+
+ /**
+ * @var bool Enable/Disable Caching
+ * @see SimplePie::enable_cache()
+ * @access private
+ */
+ var $cache = true;
+
+ /**
+ * @var int Cache duration (in seconds)
+ * @see SimplePie::set_cache_duration()
+ * @access private
+ */
+ var $cache_duration = 3600;
+
+ /**
+ * @var int Auto-discovery cache duration (in seconds)
+ * @see SimplePie::set_autodiscovery_cache_duration()
+ * @access private
+ */
+ var $autodiscovery_cache_duration = 604800; // 7 Days.
+
+ /**
+ * @var string Cache location (relative to executing script)
+ * @see SimplePie::set_cache_location()
+ * @access private
+ */
+ var $cache_location = './cache';
+
+ /**
+ * @var string Function that creates the cache filename
+ * @see SimplePie::set_cache_name_function()
+ * @access private
+ */
+ var $cache_name_function = 'md5';
+
+ /**
+ * @var bool Reorder feed by date descending
+ * @see SimplePie::enable_order_by_date()
+ * @access private
+ */
+ var $order_by_date = true;
+
+ /**
+ * @var mixed Force input encoding to be set to the follow value
+ * (false, or anything type-cast to false, disables this feature)
+ * @see SimplePie::set_input_encoding()
+ * @access private
+ */
+ var $input_encoding = false;
+
+ /**
+ * @var int Feed Autodiscovery Level
+ * @see SimplePie::set_autodiscovery_level()
+ * @access private
+ */
+ var $autodiscovery = SIMPLEPIE_LOCATOR_ALL;
+
+ /**
+ * @var string Class used for caching feeds
+ * @see SimplePie::set_cache_class()
+ * @access private
+ */
+ var $cache_class = 'SimplePie_Cache';
+
+ /**
+ * @var string Class used for locating feeds
+ * @see SimplePie::set_locator_class()
+ * @access private
+ */
+ var $locator_class = 'SimplePie_Locator';
+
+ /**
+ * @var string Class used for parsing feeds
+ * @see SimplePie::set_parser_class()
+ * @access private
+ */
+ var $parser_class = 'SimplePie_Parser';
+
+ /**
+ * @var string Class used for fetching feeds
+ * @see SimplePie::set_file_class()
+ * @access private
+ */
+ var $file_class = 'SimplePie_File';
+
+ /**
+ * @var string Class used for items
+ * @see SimplePie::set_item_class()
+ * @access private
+ */
+ var $item_class = 'SimplePie_Item';
+
+ /**
+ * @var string Class used for authors
+ * @see SimplePie::set_author_class()
+ * @access private
+ */
+ var $author_class = 'SimplePie_Author';
+
+ /**
+ * @var string Class used for categories
+ * @see SimplePie::set_category_class()
+ * @access private
+ */
+ var $category_class = 'SimplePie_Category';
+
+ /**
+ * @var string Class used for enclosures
+ * @see SimplePie::set_enclosures_class()
+ * @access private
+ */
+ var $enclosure_class = 'SimplePie_Enclosure';
+
+ /**
+ * @var string Class used for Media RSS <media:text> captions
+ * @see SimplePie::set_caption_class()
+ * @access private
+ */
+ var $caption_class = 'SimplePie_Caption';
+
+ /**
+ * @var string Class used for Media RSS <media:copyright>
+ * @see SimplePie::set_copyright_class()
+ * @access private
+ */
+ var $copyright_class = 'SimplePie_Copyright';
+
+ /**
+ * @var string Class used for Media RSS <media:credit>
+ * @see SimplePie::set_credit_class()
+ * @access private
+ */
+ var $credit_class = 'SimplePie_Credit';
+
+ /**
+ * @var string Class used for Media RSS <media:rating>
+ * @see SimplePie::set_rating_class()
+ * @access private
+ */
+ var $rating_class = 'SimplePie_Rating';
+
+ /**
+ * @var string Class used for Media RSS <media:restriction>
+ * @see SimplePie::set_restriction_class()
+ * @access private
+ */
+ var $restriction_class = 'SimplePie_Restriction';
+
+ /**
+ * @var mixed Set javascript query string parameter (false, or
+ * anything type-cast to false, disables this feature)
+ * @see SimplePie::set_javascript()
+ * @access private
+ */
+ var $javascript = 'js';
+
+ /**
+ * @var int Maximum number of feeds to check with autodiscovery
+ * @see SimplePie::set_max_checked_feeds()
+ * @access private
+ */
+ var $max_checked_feeds = 10;
+
+ /**
+ * @var string Web-accessible path to the handler_favicon.php file.
+ * @see SimplePie::set_favicon_handler()
+ * @access private
+ */
+ var $favicon_handler = '';
+
+ /**
+ * @var string Web-accessible path to the handler_image.php file.
+ * @see SimplePie::set_image_handler()
+ * @access private
+ */
+ var $image_handler = '';
+
+ /**
+ * @var array Stores the URLs when multiple feeds are being initialized.
+ * @see SimplePie::set_feed_url()
+ * @access private
+ */
+ var $multifeed_url = array();
+
+ /**
+ * @var array Stores SimplePie objects when multiple feeds initialized.
+ * @access private
+ */
+ var $multifeed_objects = array();
+
+ /**
+ * @var array Stores the get_object_vars() array for use with multifeeds.
+ * @see SimplePie::set_feed_url()
+ * @access private
+ */
+ var $config_settings = null;
+
+ /**
+ * @var array Stores the default attributes to be stripped by strip_attributes().
+ * @see SimplePie::strip_attributes()
+ * @access private
+ */
+ var $strip_attributes = array('bgsound', 'class', 'expr', 'id', 'style', 'onclick', 'onerror', 'onfinish', 'onmouseover', 'onmouseout', 'onfocus', 'onblur', 'lowsrc', 'dynsrc');
+
+ /**
+ * @var array Stores the default tags to be stripped by strip_htmltags().
+ * @see SimplePie::strip_htmltags()
+ * @access private
+ */
+ var $strip_htmltags = array('base', 'blink', 'body', 'doctype', 'embed', 'font', 'form', 'frame', 'frameset', 'html', 'iframe', 'input', 'marquee', 'meta', 'noscript', 'object', 'param', 'script', 'style');
+
+ /**
+ * The SimplePie class contains feed level data and options
+ *
+ * There are two ways that you can create a new SimplePie object. The first
+ * is by passing a feed URL as a parameter to the SimplePie constructor
+ * (as well as optionally setting the cache location and cache expiry). This
+ * will initialise the whole feed with all of the default settings, and you
+ * can begin accessing methods and properties immediately.
+ *
+ * The second way is to create the SimplePie object with no parameters
+ * at all. This will enable you to set configuration options. After setting
+ * them, you must initialise the feed using $feed->init(). At that point the
+ * object's methods and properties will be available to you. This format is
+ * what is used throughout this documentation.
+ *
+ * @access public
+ * @since 1.0 Preview Release
+ * @param string $feed_url This is the URL you want to parse.
+ * @param string $cache_location This is where you want the cache to be stored.
+ * @param int $cache_duration This is the number of seconds that you want to store the cache file for.
+ */
+ function SimplePie($feed_url = null, $cache_location = null, $cache_duration = null)
+ {
+ // Other objects, instances created here so we can set options on them
+ $this->sanitize =& new SimplePie_Sanitize;
+
+ // Set options if they're passed to the constructor
+ if ($cache_location !== null)
+ {
+ $this->set_cache_location($cache_location);
+ }
+
+ if ($cache_duration !== null)
+ {
+ $this->set_cache_duration($cache_duration);
+ }
+
+ // Only init the script if we're passed a feed URL
+ if ($feed_url !== null)
+ {
+ $this->set_feed_url($feed_url);
+ $this->init();
+ }
+ }
+
+ /**
+ * Used for converting object to a string
+ */
+ function __toString()
+ {
+ return md5(serialize($this->data));
+ }
+
+ /**
+ * This is the URL of the feed you want to parse.
+ *
+ * This allows you to enter the URL of the feed you want to parse, or the
+ * website you want to try to use auto-discovery on. This takes priority
+ * over any set raw data.
+ *
+ * You can set multiple feeds to mash together by passing an array instead
+ * of a string for the $url. Remember that with each additional feed comes
+ * additional processing and resources.
+ *
+ * @access public
+ * @since 1.0 Preview Release
+ * @param mixed $url This is the URL (or array of URLs) that you want to parse.
+ * @see SimplePie::set_raw_data()
+ */
+ function set_feed_url($url)
+ {
+ if (is_array($url))
+ {
+ $this->multifeed_url = array();
+ foreach ($url as $value)
+ {
+ $this->multifeed_url[] = SimplePie_Misc::fix_protocol($value, 1);
+ }
+ }
+ else
+ {
+ $this->feed_url = SimplePie_Misc::fix_protocol($url, 1);
+ }
+ }
+
+ /**
+ * Provides an instance of SimplePie_File to use as a feed
+ *
+ * @access public
+ * @param object &$file Instance of SimplePie_File (or subclass)
+ * @return bool True on success, false on failure
+ */
+ function set_file(&$file)
+ {
+ if (SimplePie_Misc::is_a($file, 'SimplePie_File'))
+ {
+ $this->feed_url = $file->url;
+ $this->file =& $file;
+ return true;
+ }
+ return false;
+ }
+
+ /**
+ * Allows you to use a string of RSS/Atom data instead of a remote feed.
+ *
+ * If you have a feed available as a string in PHP, you can tell SimplePie
+ * to parse that data string instead of a remote feed. Any set feed URL
+ * takes precedence.
+ *
+ * @access public
+ * @since 1.0 Beta 3
+ * @param string $data RSS or Atom data as a string.
+ * @see SimplePie::set_feed_url()
+ */
+ function set_raw_data($data)
+ {
+ $this->raw_data = trim($data);
+ }
+
+ /**
+ * Allows you to override the default timeout for fetching remote feeds.
+ *
+ * This allows you to change the maximum time the feed's server to respond
+ * and send the feed back.
+ *
+ * @access public
+ * @since 1.0 Beta 3
+ * @param int $timeout The maximum number of seconds to spend waiting to retrieve a feed.
+ */
+ function set_timeout($timeout = 10)
+ {
+ $this->timeout = (int) $timeout;
+ }
+
+ /**
+ * Forces SimplePie to use fsockopen() instead of the preferred cURL
+ * functions.
+ *
+ * @access public
+ * @since 1.0 Beta 3
+ * @param bool $enable Force fsockopen() to be used
+ */
+ function force_fsockopen($enable = false)
+ {
+ $this->force_fsockopen = (bool) $enable;
+ }
+
+ /**
+ * Outputs the raw XML content of the feed, after it has gone through
+ * SimplePie's filters.
+ *
+ * Used only for debugging, this function will output the XML content as
+ * text/xml. When SimplePie reads in a feed, it does a bit of cleaning up
+ * before trying to parse it. Many parts of the feed are re-written in
+ * memory, and in the end, you have a parsable feed. XML dump shows you the
+ * actual XML that SimplePie tries to parse, which may or may not be very
+ * different from the original feed.
+ *
+ * @access public
+ * @since 1.0 Preview Release
+ * @param bool $enable Enable XML dump
+ */
+ function enable_xml_dump($enable = false)
+ {
+ $this->xml_dump = (bool) $enable;
+ }
+
+ /**
+ * Enables/disables caching in SimplePie.
+ *
+ * This option allows you to disable caching all-together in SimplePie.
+ * However, disabling the cache can lead to longer load times.
+ *
+ * @access public
+ * @since 1.0 Preview Release
+ * @param bool $enable Enable caching
+ */
+ function enable_cache($enable = true)
+ {
+ $this->cache = (bool) $enable;
+ }
+
+ /**
+ * Set the length of time (in seconds) that the contents of a feed
+ * will be cached.
+ *
+ * @access public
+ * @param int $seconds The feed content cache duration.
+ */
+ function set_cache_duration($seconds = 3600)
+ {
+ $this->cache_duration = (int) $seconds;
+ }
+
+ /**
+ * Set the length of time (in seconds) that the autodiscovered feed
+ * URL will be cached.
+ *
+ * @access public
+ * @param int $seconds The autodiscovered feed URL cache duration.
+ */
+ function set_autodiscovery_cache_duration($seconds = 604800)
+ {
+ $this->autodiscovery_cache_duration = (int) $seconds;
+ }
+
+ /**
+ * Set the file system location where the cached files should be stored.
+ *
+ * @access public
+ * @param string $location The file system location.
+ */
+ function set_cache_location($location = './cache')
+ {
+ $this->cache_location = (string) $location;
+ }
+
+ /**
+ * Determines whether feed items should be sorted into reverse chronological order.
+ *
+ * @access public
+ * @param bool $enable Sort as reverse chronological order.
+ */
+ function enable_order_by_date($enable = true)
+ {
+ $this->order_by_date = (bool) $enable;
+ }
+
+ /**
+ * Allows you to override the character encoding reported by the feed.
+ *
+ * @access public
+ * @param string $encoding Character encoding.
+ */
+ function set_input_encoding($encoding = false)
+ {
+ if ($encoding)
+ {
+ $this->input_encoding = (string) $encoding;
+ }
+ else
+ {
+ $this->input_encoding = false;
+ }
+ }
+
+ /**
+ * Set how much feed autodiscovery to do
+ *
+ * @access public
+ * @see SIMPLEPIE_LOCATOR_NONE
+ * @see SIMPLEPIE_LOCATOR_AUTODISCOVERY
+ * @see SIMPLEPIE_LOCATOR_LOCAL_EXTENSION
+ * @see SIMPLEPIE_LOCATOR_LOCAL_BODY
+ * @see SIMPLEPIE_LOCATOR_REMOTE_EXTENSION
+ * @see SIMPLEPIE_LOCATOR_REMOTE_BODY
+ * @see SIMPLEPIE_LOCATOR_ALL
+ * @param int $level Feed Autodiscovery Level (level can be a
+ * combination of the above constants, see bitwise OR operator)
+ */
+ function set_autodiscovery_level($level = SIMPLEPIE_LOCATOR_ALL)
+ {
+ $this->autodiscovery = (int) $level;
+ }
+
+ /**
+ * Allows you to change which class SimplePie uses for caching.
+ * Useful when you are overloading or extending SimplePie's default classes.
+ *
+ * @access public
+ * @param string $class Name of custom class.
+ * @link http://php.net/manual/en/keyword.extends.php PHP4 extends documentation
+ * @link http://php.net/manual/en/language.oop5.basic.php#language.oop5.basic.extends PHP5 extends documentation
+ */
+ function set_cache_class($class = 'SimplePie_Cache')
+ {
+ if (SimplePie_Misc::is_subclass_of($class, 'SimplePie_Cache'))
+ {
+ $this->cache_class = $class;
+ return true;
+ }
+ return false;
+ }
+
+ /**
+ * Allows you to change which class SimplePie uses for auto-discovery.
+ * Useful when you are overloading or extending SimplePie's default classes.
+ *
+ * @access public
+ * @param string $class Name of custom class.
+ * @link http://php.net/manual/en/keyword.extends.php PHP4 extends documentation
+ * @link http://php.net/manual/en/language.oop5.basic.php#language.oop5.basic.extends PHP5 extends documentation
+ */
+ function set_locator_class($class = 'SimplePie_Locator')
+ {
+ if (SimplePie_Misc::is_subclass_of($class, 'SimplePie_Locator'))
+ {
+ $this->locator_class = $class;
+ return true;
+ }
+ return false;
+ }
+
+ /**
+ * Allows you to change which class SimplePie uses for XML parsing.
+ * Useful when you are overloading or extending SimplePie's default classes.
+ *
+ * @access public
+ * @param string $class Name of custom class.
+ * @link http://php.net/manual/en/keyword.extends.php PHP4 extends documentation
+ * @link http://php.net/manual/en/language.oop5.basic.php#language.oop5.basic.extends PHP5 extends documentation
+ */
+ function set_parser_class($class = 'SimplePie_Parser')
+ {
+ if (SimplePie_Misc::is_subclass_of($class, 'SimplePie_Parser'))
+ {
+ $this->parser_class = $class;
+ return true;
+ }
+ return false;
+ }
+
+ /**
+ * Allows you to change which class SimplePie uses for remote file fetching.
+ * Useful when you are overloading or extending SimplePie's default classes.
+ *
+ * @access public
+ * @param string $class Name of custom class.
+ * @link http://php.net/manual/en/keyword.extends.php PHP4 extends documentation
+ * @link http://php.net/manual/en/language.oop5.basic.php#language.oop5.basic.extends PHP5 extends documentation
+ */
+ function set_file_class($class = 'SimplePie_File')
+ {
+ if (SimplePie_Misc::is_subclass_of($class, 'SimplePie_File'))
+ {
+ $this->file_class = $class;
+ return true;
+ }
+ return false;
+ }
+
+ /**
+ * Allows you to change which class SimplePie uses for data sanitization.
+ * Useful when you are overloading or extending SimplePie's default classes.
+ *
+ * @access public
+ * @param string $class Name of custom class.
+ * @link http://php.net/manual/en/keyword.extends.php PHP4 extends documentation
+ * @link http://php.net/manual/en/language.oop5.basic.php#language.oop5.basic.extends PHP5 extends documentation
+ */
+ function set_sanitize_class($class = 'SimplePie_Sanitize')
+ {
+ if (SimplePie_Misc::is_subclass_of($class, 'SimplePie_Sanitize'))
+ {
+ $this->sanitize =& new $class;
+ return true;
+ }
+ return false;
+ }
+
+ /**
+ * Allows you to change which class SimplePie uses for handling feed items.
+ * Useful when you are overloading or extending SimplePie's default classes.
+ *
+ * @access public
+ * @param string $class Name of custom class.
+ * @link http://php.net/manual/en/keyword.extends.php PHP4 extends documentation
+ * @link http://php.net/manual/en/language.oop5.basic.php#language.oop5.basic.extends PHP5 extends documentation
+ */
+ function set_item_class($class = 'SimplePie_Item')
+ {
+ if (SimplePie_Misc::is_subclass_of($class, 'SimplePie_Item'))
+ {
+ $this->item_class = $class;
+ return true;
+ }
+ return false;
+ }
+
+ /**
+ * Allows you to change which class SimplePie uses for handling author data.
+ * Useful when you are overloading or extending SimplePie's default classes.
+ *
+ * @access public
+ * @param string $class Name of custom class.
+ * @link http://php.net/manual/en/keyword.extends.php PHP4 extends documentation
+ * @link http://php.net/manual/en/language.oop5.basic.php#language.oop5.basic.extends PHP5 extends documentation
+ */
+ function set_author_class($class = 'SimplePie_Author')
+ {
+ if (SimplePie_Misc::is_subclass_of($class, 'SimplePie_Author'))
+ {
+ $this->author_class = $class;
+ return true;
+ }
+ return false;
+ }
+
+ /**
+ * Allows you to change which class SimplePie uses for handling category data.
+ * Useful when you are overloading or extending SimplePie's default classes.
+ *
+ * @access public
+ * @param string $class Name of custom class.
+ * @link http://php.net/manual/en/keyword.extends.php PHP4 extends documentation
+ * @link http://php.net/manual/en/language.oop5.basic.php#language.oop5.basic.extends PHP5 extends documentation
+ */
+ function set_category_class($class = 'SimplePie_Category')
+ {
+ if (SimplePie_Misc::is_subclass_of($class, 'SimplePie_Category'))
+ {
+ $this->category_class = $class;
+ return true;
+ }
+ return false;
+ }
+
+ /**
+ * Allows you to change which class SimplePie uses for feed enclosures.
+ * Useful when you are overloading or extending SimplePie's default classes.
+ *
+ * @access public
+ * @param string $class Name of custom class.
+ * @link http://php.net/manual/en/keyword.extends.php PHP4 extends documentation
+ * @link http://php.net/manual/en/language.oop5.basic.php#language.oop5.basic.extends PHP5 extends documentation
+ */
+ function set_enclosure_class($class = 'SimplePie_Enclosure')
+ {
+ if (SimplePie_Misc::is_subclass_of($class, 'SimplePie_Enclosure'))
+ {
+ $this->enclosure_class = $class;
+ return true;
+ }
+ return false;
+ }
+
+ /**
+ * Allows you to change which class SimplePie uses for <media:text> captions
+ * Useful when you are overloading or extending SimplePie's default classes.
+ *
+ * @access public
+ * @param string $class Name of custom class.
+ * @link http://php.net/manual/en/keyword.extends.php PHP4 extends documentation
+ * @link http://php.net/manual/en/language.oop5.basic.php#language.oop5.basic.extends PHP5 extends documentation
+ */
+ function set_caption_class($class = 'SimplePie_Caption')
+ {
+ if (SimplePie_Misc::is_subclass_of($class, 'SimplePie_Caption'))
+ {
+ $this->caption_class = $class;
+ return true;
+ }
+ return false;
+ }
+
+ /**
+ * Allows you to change which class SimplePie uses for <media:copyright>
+ * Useful when you are overloading or extending SimplePie's default classes.
+ *
+ * @access public
+ * @param string $class Name of custom class.
+ * @link http://php.net/manual/en/keyword.extends.php PHP4 extends documentation
+ * @link http://php.net/manual/en/language.oop5.basic.php#language.oop5.basic.extends PHP5 extends documentation
+ */
+ function set_copyright_class($class = 'SimplePie_Copyright')
+ {
+ if (SimplePie_Misc::is_subclass_of($class, 'SimplePie_Copyright'))
+ {
+ $this->copyright_class = $class;
+ return true;
+ }
+ return false;
+ }
+
+ /**
+ * Allows you to change which class SimplePie uses for <media:credit>
+ * Useful when you are overloading or extending SimplePie's default classes.
+ *
+ * @access public
+ * @param string $class Name of custom class.
+ * @link http://php.net/manual/en/keyword.extends.php PHP4 extends documentation
+ * @link http://php.net/manual/en/language.oop5.basic.php#language.oop5.basic.extends PHP5 extends documentation
+ */
+ function set_credit_class($class = 'SimplePie_Credit')
+ {
+ if (SimplePie_Misc::is_subclass_of($class, 'SimplePie_Credit'))
+ {
+ $this->credit_class = $class;
+ return true;
+ }
+ return false;
+ }
+
+ /**
+ * Allows you to change which class SimplePie uses for <media:rating>
+ * Useful when you are overloading or extending SimplePie's default classes.
+ *
+ * @access public
+ * @param string $class Name of custom class.
+ * @link http://php.net/manual/en/keyword.extends.php PHP4 extends documentation
+ * @link http://php.net/manual/en/language.oop5.basic.php#language.oop5.basic.extends PHP5 extends documentation
+ */
+ function set_rating_class($class = 'SimplePie_Rating')
+ {
+ if (SimplePie_Misc::is_subclass_of($class, 'SimplePie_Rating'))
+ {
+ $this->rating_class = $class;
+ return true;
+ }
+ return false;
+ }
+
+ /**
+ * Allows you to change which class SimplePie uses for <media:restriction>
+ * Useful when you are overloading or extending SimplePie's default classes.
+ *
+ * @access public
+ * @param string $class Name of custom class.
+ * @link http://php.net/manual/en/keyword.extends.php PHP4 extends documentation
+ * @link http://php.net/manual/en/language.oop5.basic.php#language.oop5.basic.extends PHP5 extends documentation
+ */
+ function set_restriction_class($class = 'SimplePie_Restriction')
+ {
+ if (SimplePie_Misc::is_subclass_of($class, 'SimplePie_Restriction'))
+ {
+ $this->restriction_class = $class;
+ return true;
+ }
+ return false;
+ }
+
+ /**
+ * Allows you to override the default user agent string.
+ *
+ * @access public
+ * @param string $ua New user agent string.
+ */
+ function set_useragent($ua = SIMPLEPIE_USERAGENT)
+ {
+ $this->useragent = (string) $ua;
+ }
+
+ /**
+ * Set callback function to create cache filename with
+ *
+ * @access public
+ * @param mixed $function Callback function
+ */
+ function set_cache_name_function($function = 'md5')
+ {
+ if (is_callable($function))
+ {
+ $this->cache_name_function = $function;
+ }
+ }
+
+ /**
+ * Set javascript query string parameter
+ *
+ * @access public
+ * @param mixed $get Javascript query string parameter
+ */
+ function set_javascript($get = 'js')
+ {
+ if ($get)
+ {
+ $this->javascript = (string) $get;
+ }
+ else
+ {
+ $this->javascript = false;
+ }
+ }
+
+ /**
+ * Set options to make SP as fast as possible. Forgoes a
+ * substantial amount of data sanitization in favor of speed.
+ *
+ * @access public
+ * @param bool $set Whether to set them or not
+ */
+ function set_stupidly_fast($set = false)
+ {
+ if ($set)
+ {
+ $this->enable_order_by_date(false);
+ $this->remove_div(false);
+ $this->strip_comments(false);
+ $this->strip_htmltags(false);
+ $this->strip_attributes(false);
+ $this->set_image_handler(false);
+ }
+ }
+
+ /**
+ * Set maximum number of feeds to check with autodiscovery
+ *
+ * @access public
+ * @param int $max Maximum number of feeds to check
+ */
+ function set_max_checked_feeds($max = 10)
+ {
+ $this->max_checked_feeds = (int) $max;
+ }
+
+ function remove_div($enable = true)
+ {
+ $this->sanitize->remove_div($enable);
+ }
+
+ function strip_htmltags($tags = '', $encode = null)
+ {
+ if ($tags === '')
+ {
+ $tags = $this->strip_htmltags;
+ }
+ $this->sanitize->strip_htmltags($tags);
+ if ($encode !== null)
+ {
+ $this->sanitize->encode_instead_of_strip($tags);
+ }
+ }
+
+ function encode_instead_of_strip($enable = true)
+ {
+ $this->sanitize->encode_instead_of_strip($enable);
+ }
+
+ function strip_attributes($attribs = '')
+ {
+ if ($attribs === '')
+ {
+ $attribs = $this->strip_attributes;
+ }
+ $this->sanitize->strip_attributes($attribs);
+ }
+
+ function set_output_encoding($encoding = 'UTF-8')
+ {
+ $this->sanitize->set_output_encoding($encoding);
+ }
+
+ function strip_comments($strip = false)
+ {
+ $this->sanitize->strip_comments($strip);
+ }
+
+ /**
+ * Set element/attribute key/value pairs of HTML attributes
+ * containing URLs that need to be resolved relative to the feed
+ *
+ * @access public
+ * @since 1.0
+ * @param array $element_attribute Element/attribute key/value pairs
+ */
+ function set_url_replacements($element_attribute = array('a' => 'href', 'area' => 'href', 'blockquote' => 'cite', 'del' => 'cite', 'form' => 'action', 'img' => array('longdesc', 'src'), 'input' => 'src', 'ins' => 'cite', 'q' => 'cite'))
+ {
+ $this->sanitize->set_url_replacements($element_attribute);
+ }
+
+ /**
+ * Set the handler to enable the display of cached favicons.
+ *
+ * @access public
+ * @param str $page Web-accessible path to the handler_favicon.php file.
+ * @param str $qs The query string that the value should be passed to.
+ */
+ function set_favicon_handler($page = false, $qs = 'i')
+ {
+ if ($page != false)
+ {
+ $this->favicon_handler = $page . '?' . $qs . '=';
+ }
+ else
+ {
+ $this->favicon_handler = '';
+ }
+ }
+
+ /**
+ * Set the handler to enable the display of cached images.
+ *
+ * @access public
+ * @param str $page Web-accessible path to the handler_image.php file.
+ * @param str $qs The query string that the value should be passed to.
+ */
+ function set_image_handler($page = false, $qs = 'i')
+ {
+ if ($page != false)
+ {
+ $this->sanitize->set_image_handler($page . '?' . $qs . '=');
+ }
+ else
+ {
+ $this->image_handler = '';
+ }
+ }
+
+ function init()
+ {
+ if ((function_exists('version_compare') && version_compare(PHP_VERSION, '4.1.0', '<')) || !extension_loaded('xml') || !extension_loaded('pcre'))
+ {
+ return false;
+ }
+ if (isset($_GET[$this->javascript]))
+ {
+ if (function_exists('ob_gzhandler'))
+ {
+ ob_start('ob_gzhandler');
+ }
+ header('Content-type: text/javascript; charset: UTF-8');
+ header('Cache-Control: must-revalidate');
+ header('Expires: ' . gmdate('D, d M Y H:i:s', time() + 604800) . ' GMT'); // 7 days
+ ?>
+function embed_odeo(link) {
+ document.writeln('<embed src="http://odeo.com/flash/audio_player_fullsize.swf" pluginspage="http://www.macromedia.com/go/getflashplayer" type="application/x-shockwave-flash" quality="high" width="440" height="80" wmode="transparent" allowScriptAccess="any" flashvars="valid_sample_rate=true&external_url='+link+'"></embed>');
+}
+
+function embed_quicktime(type, bgcolor, width, height, link, placeholder, loop) {
+ if (placeholder != '') {
+ document.writeln('<embed type="'+type+'" style="cursor:hand; cursor:pointer;" href="'+link+'" src="'+placeholder+'" width="'+width+'" height="'+height+'" autoplay="false" target="myself" controller="false" loop="'+loop+'" scale="aspect" bgcolor="'+bgcolor+'" pluginspage="http://www.apple.com/quicktime/download/"></embed>');
+ }
+ else {
+ document.writeln('<embed type="'+type+'" style="cursor:hand; cursor:pointer;" src="'+link+'" width="'+width+'" height="'+height+'" autoplay="false" target="myself" controller="true" loop="'+loop+'" scale="aspect" bgcolor="'+bgcolor+'" pluginspage="http://www.apple.com/quicktime/download/"></embed>');
+ }
+}
+
+function embed_flash(bgcolor, width, height, link, loop, type) {
+ document.writeln('<embed src="'+link+'" pluginspage="http://www.macromedia.com/go/getflashplayer" type="'+type+'" quality="high" width="'+width+'" height="'+height+'" bgcolor="'+bgcolor+'" loop="'+loop+'"></embed>');
+}
+
+function embed_flv(width, height, link, placeholder, loop, player) {
+ document.writeln('<embed src="'+player+'" pluginspage="http://www.macromedia.com/go/getflashplayer" type="application/x-shockwave-flash" quality="high" width="'+width+'" height="'+height+'" wmode="transparent" flashvars="file='+link+'&autostart=false&repeat='+loop+'&showdigits=true&showfsbutton=false"></embed>');
+}
+
+function embed_wmedia(width, height, link) {
+ document.writeln('<embed type="application/x-mplayer2" src="'+link+'" autosize="1" width="'+width+'" height="'+height+'" showcontrols="1" showstatusbar="0" showdisplay="0" autostart="0"></embed>');
+}
+ <?php
+ exit;
+ }
+
+ // Pass whatever was set with config options over to the sanitizer.
+ $this->sanitize->pass_cache_data($this->cache, $this->cache_location, $this->cache_name_function, $this->cache_class);
+ $this->sanitize->pass_file_data($this->file_class, $this->timeout, $this->useragent, $this->force_fsockopen);
+
+ if ($this->feed_url !== null || $this->raw_data !== null)
+ {
+ $this->data = array();
+ $this->multifeed_objects = array();
+ $cache = false;
+
+ if ($this->feed_url !== null)
+ {
+ $parsed_feed_url = SimplePie_Misc::parse_url($this->feed_url);
+ // Decide whether to enable caching
+ if ($this->cache && $parsed_feed_url['scheme'] !== '')
+ {
+ $cache =& new $this->cache_class($this->cache_location, call_user_func($this->cache_name_function, $this->feed_url), 'spc');
+ }
+ // If it's enabled and we don't want an XML dump, use the cache
+ if ($cache && !$this->xml_dump)
+ {
+ // Load the Cache
+ $this->data = $cache->load();
+ if (!empty($this->data))
+ {
+ // If the cache is for an outdated build of SimplePie
+ if (!isset($this->data['build']) || $this->data['build'] != SIMPLEPIE_BUILD)
+ {
+ $cache->unlink();
+ $this->data = array();
+ }
+ // If we've hit a collision just rerun it with caching disabled
+ elseif (isset($this->data['url']) && $this->data['url'] != $this->feed_url)
+ {
+ $cache = false;
+ $this->data = array();
+ }
+ // If we've got a non feed_url stored (if the page isn't actually a feed, or is a redirect) use that URL.
+ elseif (isset($this->data['feed_url']))
+ {
+ // If the autodiscovery cache is still valid use it.
+ if ($cache->mtime() + $this->autodiscovery_cache_duration > time())
+ {
+ // Do not need to do feed autodiscovery yet.
+ if ($this->data['feed_url'] == $this->data['url'])
+ {
+ $cache->unlink();
+ $this->data = array();
+ }
+ else
+ {
+ $this->set_feed_url($this->data['feed_url']);
+ return $this->init();
+ }
+ }
+ }
+ // Check if the cache has been updated
+ elseif ($cache->mtime() + $this->cache_duration < time())
+ {
+ // If we have last-modified and/or etag set
+ if (isset($this->data['headers']['last-modified']) || isset($this->data['headers']['etag']))
+ {
+ $headers = array();
+ if (isset($this->data['headers']['last-modified']))
+ {
+ $headers['if-modified-since'] = $this->data['headers']['last-modified'];
+ }
+ if (isset($this->data['headers']['etag']))
+ {
+ $headers['if-none-match'] = $this->data['headers']['etag'];
+ }
+ $file =& new $this->file_class($this->feed_url, $this->timeout/10, 5, $headers, $this->useragent, $this->force_fsockopen);
+ if ($file->success)
+ {
+ if ($file->status_code == 304)
+ {
+ $cache->touch();
+ return true;
+ }
+ else
+ {
+ $headers = $file->headers;
+ }
+ }
+ else
+ {
+ unset($file);
+ }
+ }
+ }
+ // If the cache is still valid, just return true
+ else
+ {
+ return true;
+ }
+ }
+ // If the cache is empty, delete it
+ else
+ {
+ $cache->unlink();
+ $this->data = array();
+ }
+ }
+ // If we don't already have the file (it'll only exist if we've opened it to check if the cache has been modified), open it.
+ if (!isset($file))
+ {
+ if (SimplePie_Misc::is_a($this->file, 'SimplePie_File') && $this->file->url == $this->feed_url)
+ {
+ $file =& $this->file;
+ }
+ else
+ {
+ $file =& new $this->file_class($this->feed_url, $this->timeout, 5, null, $this->useragent, $this->force_fsockopen);
+ }
+ }
+ // If the file connection has an error, set SimplePie::error to that and quit
+ if (!$file->success)
+ {
+ $this->error = $file->error;
+ if (!empty($this->data))
+ {
+ return true;
+ }
+ else
+ {
+ return false;
+ }
+ }
+
+ // Check if the supplied URL is a feed, if it isn't, look for it.
+ $locate =& new $this->locator_class($file, $this->timeout, $this->useragent, $this->file_class, $this->max_checked_feeds);
+ if (!$locate->is_feed($file))
+ {
+ // We need to unset this so that if SimplePie::set_file() has been called that object is untouched
+ unset($file);
+ if ($file = $locate->find($this->autodiscovery))
+ {
+ if ($cache)
+ {
+ if (!$cache->save(array('url' => $this->feed_url, 'feed_url' => $file->url, 'build' => SIMPLEPIE_BUILD)))
+ {
+ trigger_error("$cache->name is not writeable", E_USER_WARNING);
+ }
+ $cache =& new $this->cache_class($this->cache_location, call_user_func($this->cache_name_function, $file->url), 'spc');
+ }
+ $this->feed_url = $file->url;
+ }
+ else
+ {
+ $this->error = "A feed could not be found at $this->feed_url";
+ SimplePie_Misc::error($this->error, E_USER_NOTICE, __FILE__, __LINE__);
+ return false;
+ }
+ }
+ $locate = null;
+
+ $headers = $file->headers;
+ $data = trim($file->body);
+ unset($file);
+ }
+ else
+ {
+ $data = $this->raw_data;
+ }
+
+ // First check to see if input has been overridden.
+ if ($this->input_encoding !== false)
+ {
+ $encoding = $this->input_encoding;
+ }
+ // Second try HTTP headers
+ elseif (isset($headers['content-type']) && preg_match('/;[\x09\x20]*charset=([^;]*)/i', $headers['content-type'], $charset))
+ {
+ $encoding = $charset[1];
+ }
+ // Then prolog, if at the very start of the document
+ elseif (preg_match("/^<\?xml[\x20\x9\xD\xA]+version([\x20\x9\xD\xA]+)?=([\x20\x9\xD\xA]+)?(\"1.0\"|'1.0'|\"1.1\"|'1.1')[\x20\x9\xD\xA]+encoding([\x20\x9\xD\xA]+)?=([\x20\x9\xD\xA]+)?(\"[A-Za-z][A-Za-z0-9._\-]*\"|'[A-Za-z][A-Za-z0-9._\-]*')([\x20\x9\xD\xA]+standalone([\x20\x9\xD\xA]+)?=([\x20\x9\xD\xA]+)?(\"(yes|no)\"|'(yes|no)'))?([\x20\x9\xD\xA]+)?\?>/", $data, $prolog))
+ {
+ $encoding = substr($prolog[6], 1, -1);
+ }
+ // UTF-32 Big Endian BOM
+ elseif (strpos($data, "\x0\x0\xFE\xFF") === 0)
+ {
+ $encoding = 'UTF-32be';
+ }
+ // UTF-32 Little Endian BOM
+ elseif (strpos($data, "\xFF\xFE\x0\x0") === 0)
+ {
+ $encoding = 'UTF-32';
+ }
+ // UTF-16 Big Endian BOM
+ elseif (strpos($data, "\xFE\xFF") === 0)
+ {
+ $encoding = 'UTF-16be';
+ }
+ // UTF-16 Little Endian BOM
+ elseif (strpos($data, "\xFF\xFE") === 0)
+ {
+ $encoding = 'UTF-16le';
+ }
+ // UTF-8 BOM
+ elseif (strpos($data, "\xEF\xBB\xBF") === 0)
+ {
+ $encoding = 'UTF-8';
+ }
+ // Fallback to the default (US-ASCII for text/xml, ISO-8859-1 for text/* MIME types, UTF-8 otherwise)
+ elseif (isset($headers['content-type']) && strtolower(SimplePie_Misc::parse_mime($headers['content-type'])) == 'text/xml')
+ {
+ $encoding = 'US-ASCII';
+ }
+ elseif (isset($headers['content-type']) && SimplePie_Misc::stripos(SimplePie_Misc::parse_mime($headers['content-type']), 'text/') === 0)
+ {
+ $encoding = 'ISO-8859-1';
+ }
+ else
+ {
+ $encoding = 'UTF-8';
+ }
+
+ // Change the encoding to UTF-8 (as we always use UTF-8 internally)
+ if ($encoding != 'UTF-8')
+ {
+ $data = SimplePie_Misc::change_encoding($data, $encoding, 'UTF-8');
+ }
+
+ // Strip illegal characters
+ $data = SimplePie_Misc::utf8_bad_replace($data);
+
+ $parser =& new $this->parser_class();
+ $parser->pre_process($data, 'UTF-8');
+ // If we want the XML, just output that and quit
+ if ($this->xml_dump)
+ {
+ header('Content-type: text/xml; charset=UTF-8');
+ echo $data;
+ exit;
+ }
+ // If it's parsed fine
+ elseif ($parser->parse($data))
+ {
+ unset($data);
+ $this->data = $parser->get_data();
+ if (isset($this->data['child']))
+ {
+ if (isset($headers))
+ {
+ $this->data['headers'] = $headers;
+ }
+ $this->data['build'] = SIMPLEPIE_BUILD;
+
+ // Cache the file if caching is enabled
+ if ($cache && !$cache->save($this->data))
+ {
+ trigger_error("$cache->name is not writeable", E_USER_WARNING);
+ }
+ return true;
+ }
+ else
+ {
+ $this->error = "A feed could not be found at $this->feed_url";
+ SimplePie_Misc::error($this->error, E_USER_NOTICE, __FILE__, __LINE__);
+ return false;
+ }
+ }
+ // If we have an error, just set SimplePie::error to it and quit
+ else
+ {
+ $this->error = sprintf('XML error: %s at line %d, column %d', $parser->get_error_string(), $parser->get_current_line(), $parser->get_current_column());
+ SimplePie_Misc::error($this->error, E_USER_NOTICE, __FILE__, __LINE__);
+ return false;
+ }
+ }
+ elseif (!empty($this->multifeed_url))
+ {
+ $i = 0;
+ $success = 0;
+ $this->multifeed_objects = array();
+ foreach ($this->multifeed_url as $url)
+ {
+ if (SIMPLEPIE_PHP5)
+ {
+ // This keyword needs to defy coding standards for PHP4 compatibility
+ $this->multifeed_objects[$i] = clone($this);
+ }
+ else
+ {
+ $this->multifeed_objects[$i] = $this;
+ }
+ $this->multifeed_objects[$i]->set_feed_url($url);
+ $success |= $this->multifeed_objects[$i]->init();
+ $i++;
+ }
+ return (bool) $success;
+ }
+ else
+ {
+ return false;
+ }
+ }
+
+ /**
+ * Return the error message for the occured error
+ *
+ * @access public
+ * @return string Error message
+ */
+ function error()
+ {
+ return $this->error;
+ }
+
+ function get_encoding()
+ {
+ return $this->sanitize->output_encoding;
+ }
+
+ function handle_content_type($mime = 'text/html')
+ {
+ if (!headers_sent())
+ {
+ $header = "Content-type: $mime;";
+ if ($this->get_encoding())
+ {
+ $header .= ' charset=' . $this->get_encoding();
+ }
+ else
+ {
+ $header .= ' charset=UTF-8';
+ }
+ header($header);
+ }
+ }
+
+ function get_type()
+ {
+ if (!isset($this->data['type']))
+ {
+ $this->data['type'] = SIMPLEPIE_TYPE_ALL;
+ if (isset($this->data['child'][SIMPLEPIE_NAMESPACE_ATOM_10]['feed']))
+ {
+ $this->data['type'] &= SIMPLEPIE_TYPE_ATOM_10;
+ }
+ elseif (isset($this->data['child'][SIMPLEPIE_NAMESPACE_ATOM_03]['feed']))
+ {
+ $this->data['type'] &= SIMPLEPIE_TYPE_ATOM_03;
+ }
+ elseif (isset($this->data['child'][SIMPLEPIE_NAMESPACE_RDF]['RDF']))
+ {
+ if (isset($this->data['child'][SIMPLEPIE_NAMESPACE_RDF]['RDF'][0]['child'][SIMPLEPIE_NAMESPACE_RSS_10]['channel'])
+ || isset($this->data['child'][SIMPLEPIE_NAMESPACE_RDF]['RDF'][0]['child'][SIMPLEPIE_NAMESPACE_RSS_10]['image'])
+ || isset($this->data['child'][SIMPLEPIE_NAMESPACE_RDF]['RDF'][0]['child'][SIMPLEPIE_NAMESPACE_RSS_10]['item'])
+ || isset($this->data['child'][SIMPLEPIE_NAMESPACE_RDF]['RDF'][0]['child'][SIMPLEPIE_NAMESPACE_RSS_10]['textinput']))
+ {
+ $this->data['type'] &= SIMPLEPIE_TYPE_RSS_10;
+ }
+ if (isset($this->data['child'][SIMPLEPIE_NAMESPACE_RDF]['RDF'][0]['child'][SIMPLEPIE_NAMESPACE_RSS_090]['channel'])
+ || isset($this->data['child'][SIMPLEPIE_NAMESPACE_RDF]['RDF'][0]['child'][SIMPLEPIE_NAMESPACE_RSS_090]['image'])
+ || isset($this->data['child'][SIMPLEPIE_NAMESPACE_RDF]['RDF'][0]['child'][SIMPLEPIE_NAMESPACE_RSS_090]['item'])
+ || isset($this->data['child'][SIMPLEPIE_NAMESPACE_RDF]['RDF'][0]['child'][SIMPLEPIE_NAMESPACE_RSS_090]['textinput']))
+ {
+ $this->data['type'] &= SIMPLEPIE_TYPE_RSS_090;
+ }
+ }
+ elseif (isset($this->data['child']['']['rss']))
+ {
+ $this->data['type'] &= SIMPLEPIE_TYPE_RSS_ALL;
+ if (isset($this->data['child']['']['rss'][0]['attribs']['']['version']))
+ {
+ switch (trim($this->data['child']['']['rss'][0]['attribs']['']['version']))
+ {
+ case '0.91':
+ $this->data['type'] &= SIMPLEPIE_TYPE_RSS_091;
+ if (isset($this->data['child']['']['rss'][0]['child']['']['skiphours']['hour'][0]['data']))
+ {
+ switch (trim($this->data['child']['']['rss'][0]['child']['']['skiphours']['hour'][0]['data']))
+ {
+ case '0':
+ $this->data['type'] &= SIMPLEPIE_TYPE_RSS_091_NETSCAPE;
+ break;
+
+ case '24':
+ $this->data['type'] &= SIMPLEPIE_TYPE_RSS_091_USERLAND;
+ break;
+ }
+ }
+ break;
+
+ case '0.92':
+ $this->data['type'] &= SIMPLEPIE_TYPE_RSS_092;
+ break;
+
+ case '0.93':
+ $this->data['type'] &= SIMPLEPIE_TYPE_RSS_093;
+ break;
+
+ case '0.94':
+ $this->data['type'] &= SIMPLEPIE_TYPE_RSS_094;
+ break;
+
+ case '2.0':
+ $this->data['type'] &= SIMPLEPIE_TYPE_RSS_20;
+ break;
+ }
+ }
+ }
+ else
+ {
+ $this->data['type'] = SIMPLEPIE_TYPE_NONE;
+ }
+ }
+ return $this->data['type'];
+ }
+
+ /**
+ * Returns the URL for the favicon of the feed's website.
+ *
+ * @access public
+ * @since 1.0
+ */
+ function get_favicon()
+ {
+ if ($return = $this->get_channel_tags(SIMPLEPIE_NAMESPACE_ATOM_10, 'icon'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_IRI, $this->get_base($return[0]));
+ }
+ elseif (($url = $this->get_link()) !== null && preg_match('/^http(s)?:\/\//i', $url))
+ {
+ $favicon = SimplePie_Misc::absolutize_url('/favicon.ico', $url);
+
+ if ($this->cache && $this->favicon_handler)
+ {
+ $cache =& new $this->cache_class($this->cache_location, call_user_func($this->cache_name_function, $favicon), 'spi');
+
+ if ($cache->load())
+ {
+ return $this->sanitize($this->favicon_handler . rawurlencode($favicon), SIMPLEPIE_CONSTRUCT_IRI);
+ }
+ else
+ {
+ $file =& new $this->file_class($favicon, $this->timeout / 10, 5, array('X-FORWARDED-FOR' => $_SERVER['REMOTE_ADDR']), $this->useragent, $this->force_fsockopen);
+
+ if ($file->success && ($file->status_code == 200 || ($file->status_code > 206 && $file->status_code < 300)) && strlen($file->body) > 0)
+ {
+ if ($cache->save(array('headers' => $file->headers, 'body' => $file->body)))
+ {
+ return $this->sanitize($this->favicon_handler . rawurlencode($favicon), SIMPLEPIE_CONSTRUCT_IRI);
+ }
+ else
+ {
+ trigger_error("$cache->name is not writeable", E_USER_WARNING);
+ return $this->sanitize($favicon, SIMPLEPIE_CONSTRUCT_IRI);
+ }
+ }
+ }
+ }
+ else
+ {
+ return $this->sanitize($favicon, SIMPLEPIE_CONSTRUCT_IRI);
+ }
+ }
+ return false;
+ }
+
+ /**
+ * @todo If we have a perm redirect we should return the new URL
+ * @todo When we make the above change, let's support <itunes:new-feed-url> as well
+ * @todo Also, |atom:link|@rel=self
+ */
+ function subscribe_url()
+ {
+ if ($this->feed_url !== null)
+ {
+ return $this->sanitize($this->feed_url, SIMPLEPIE_CONSTRUCT_IRI);
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function subscribe_feed()
+ {
+ if ($this->feed_url !== null)
+ {
+ return $this->sanitize(SimplePie_Misc::fix_protocol($this->feed_url, 2), SIMPLEPIE_CONSTRUCT_IRI);
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function subscribe_outlook()
+ {
+ if ($this->feed_url !== null)
+ {
+ return 'outlook' . $this->sanitize(SimplePie_Misc::fix_protocol($this->feed_url, 2), SIMPLEPIE_CONSTRUCT_IRI);
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function subscribe_podcast()
+ {
+ if ($this->feed_url !== null)
+ {
+ return $this->sanitize(SimplePie_Misc::fix_protocol($this->feed_url, 3), SIMPLEPIE_CONSTRUCT_IRI);
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function subscribe_itunes()
+ {
+ if ($this->feed_url !== null)
+ {
+ return $this->sanitize(SimplePie_Misc::fix_protocol($this->feed_url, 4), SIMPLEPIE_CONSTRUCT_IRI);
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ /**
+ * Creates the subscribe_* methods' return data
+ *
+ * @access private
+ * @param string $feed_url String to prefix to the feed URL
+ * @param string $site_url String to prefix to the site URL (and
+ * suffix to the feed URL)
+ * @return mixed URL if feed exists, false otherwise
+ */
+ function subscribe_service($feed_url, $site_url = null)
+ {
+ if ($this->subscribe_url())
+ {
+ $return = $this->sanitize($feed_url, SIMPLEPIE_CONSTRUCT_IRI) . rawurlencode($this->subscribe_url());
+ if ($site_url !== null && $this->get_link() !== null)
+ {
+ $return .= $this->sanitize($site_url, SIMPLEPIE_CONSTRUCT_IRI) . rawurlencode($this->get_link());
+ }
+ return $return;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function subscribe_aol()
+ {
+ return $this->subscribe_service('http://feeds.my.aol.com/add.jsp?url=');
+ }
+
+ function subscribe_bloglines()
+ {
+ return urldecode($this->subscribe_service('http://www.bloglines.com/sub/'));
+ }
+
+ function subscribe_eskobo()
+ {
+ return $this->subscribe_service('http://www.eskobo.com/?AddToMyPage=');
+ }
+
+ function subscribe_feedfeeds()
+ {
+ return $this->subscribe_service('http://www.feedfeeds.com/add?feed=');
+ }
+
+ function subscribe_feedster()
+ {
+ return $this->subscribe_service('http://www.feedster.com/myfeedster.php?action=addrss&confirm=no&rssurl=');
+ }
+
+ function subscribe_google()
+ {
+ return $this->subscribe_service('http://fusion.google.com/add?feedurl=');
+ }
+
+ function subscribe_gritwire()
+ {
+ return $this->subscribe_service('http://my.gritwire.com/feeds/addExternalFeed.aspx?FeedUrl=');
+ }
+
+ function subscribe_msn()
+ {
+ return $this->subscribe_service('http://my.msn.com/addtomymsn.armx?id=rss&ut=', '&ru=');
+ }
+
+ function subscribe_netvibes()
+ {
+ return $this->subscribe_service('http://www.netvibes.com/subscribe.php?url=');
+ }
+
+ function subscribe_newsburst()
+ {
+ return $this->subscribe_service('http://www.newsburst.com/Source/?add=');
+ }
+
+ function subscribe_newsgator()
+ {
+ return $this->subscribe_service('http://www.newsgator.com/ngs/subscriber/subext.aspx?url=');
+ }
+
+ function subscribe_odeo()
+ {
+ return $this->subscribe_service('http://www.odeo.com/listen/subscribe?feed=');
+ }
+
+ function subscribe_podnova()
+ {
+ return $this->subscribe_service('http://www.podnova.com/index_your_podcasts.srf?action=add&url=');
+ }
+
+ function subscribe_rojo()
+ {
+ return $this->subscribe_service('http://www.rojo.com/add-subscription?resource=');
+ }
+
+ function subscribe_yahoo()
+ {
+ return $this->subscribe_service('http://add.my.yahoo.com/rss?url=');
+ }
+
+ function get_feed_tags($namespace, $tag)
+ {
+ $type = $this->get_type();
+ if ($type & SIMPLEPIE_TYPE_ATOM_10)
+ {
+ if (isset($this->data['child'][SIMPLEPIE_NAMESPACE_ATOM_10]['feed'][0]['child'][$namespace][$tag]))
+ {
+ return $this->data['child'][SIMPLEPIE_NAMESPACE_ATOM_10]['feed'][0]['child'][$namespace][$tag];
+ }
+ }
+ if ($type & SIMPLEPIE_TYPE_ATOM_03)
+ {
+ if (isset($this->data['child'][SIMPLEPIE_NAMESPACE_ATOM_03]['feed'][0]['child'][$namespace][$tag]))
+ {
+ return $this->data['child'][SIMPLEPIE_NAMESPACE_ATOM_03]['feed'][0]['child'][$namespace][$tag];
+ }
+ }
+ if ($type & SIMPLEPIE_TYPE_RSS_RDF)
+ {
+ if (isset($this->data['child'][SIMPLEPIE_NAMESPACE_RDF]['RDF'][0]['child'][$namespace][$tag]))
+ {
+ return $this->data['child'][SIMPLEPIE_NAMESPACE_RDF]['RDF'][0]['child'][$namespace][$tag];
+ }
+ }
+ if ($type & SIMPLEPIE_TYPE_RSS_SYNDICATION)
+ {
+ if (isset($this->data['child']['']['rss'][0]['child'][$namespace][$tag]))
+ {
+ return $this->data['child']['']['rss'][0]['child'][$namespace][$tag];
+ }
+ }
+ return null;
+ }
+
+ function get_channel_tags($namespace, $tag)
+ {
+ $type = $this->get_type();
+ if ($type & SIMPLEPIE_TYPE_ATOM_ALL)
+ {
+ if ($return = $this->get_feed_tags($namespace, $tag))
+ {
+ return $return;
+ }
+ }
+ if ($type & SIMPLEPIE_TYPE_RSS_10)
+ {
+ if ($channel = $this->get_feed_tags(SIMPLEPIE_NAMESPACE_RSS_10, 'channel'))
+ {
+ if (isset($channel[0]['child'][$namespace][$tag]))
+ {
+ return $channel[0]['child'][$namespace][$tag];
+ }
+ }
+ }
+ if ($type & SIMPLEPIE_TYPE_RSS_090)
+ {
+ if ($channel = $this->get_feed_tags(SIMPLEPIE_NAMESPACE_RSS_090, 'channel'))
+ {
+ if (isset($channel[0]['child'][$namespace][$tag]))
+ {
+ return $channel[0]['child'][$namespace][$tag];
+ }
+ }
+ }
+ if ($type & SIMPLEPIE_TYPE_RSS_SYNDICATION)
+ {
+ if ($channel = $this->get_feed_tags('', 'channel'))
+ {
+ if (isset($channel[0]['child'][$namespace][$tag]))
+ {
+ return $channel[0]['child'][$namespace][$tag];
+ }
+ }
+ }
+ return null;
+ }
+
+ function get_image_tags($namespace, $tag)
+ {
+ $type = $this->get_type();
+ if ($type & SIMPLEPIE_TYPE_RSS_10)
+ {
+ if ($image = $this->get_feed_tags(SIMPLEPIE_NAMESPACE_RSS_10, 'image'))
+ {
+ if (isset($image[0]['child'][$namespace][$tag]))
+ {
+ return $image[0]['child'][$namespace][$tag];
+ }
+ }
+ }
+ if ($type & SIMPLEPIE_TYPE_RSS_090)
+ {
+ if ($image = $this->get_feed_tags(SIMPLEPIE_NAMESPACE_RSS_090, 'image'))
+ {
+ if (isset($image[0]['child'][$namespace][$tag]))
+ {
+ return $image[0]['child'][$namespace][$tag];
+ }
+ }
+ }
+ if ($type & SIMPLEPIE_TYPE_RSS_SYNDICATION)
+ {
+ if ($image = $this->get_channel_tags('', 'image'))
+ {
+ if (isset($image[0]['child'][$namespace][$tag]))
+ {
+ return $image[0]['child'][$namespace][$tag];
+ }
+ }
+ }
+ return null;
+ }
+
+ function get_base($element = array())
+ {
+ if (!($this->get_type() & SIMPLEPIE_TYPE_RSS_SYNDICATION) && !empty($element['xml_base_explicit']) && isset($element['xml_base']))
+ {
+ return $element['xml_base'];
+ }
+ elseif ($this->get_link() !== null)
+ {
+ return $this->get_link();
+ }
+ elseif (isset($this->data['headers']['content-location']))
+ {
+ return SimplePie_Misc::absolutize_url($this->data['headers']['content-location'], $this->subscribe_url());
+ }
+ else
+ {
+ return $this->subscribe_url();
+ }
+ }
+
+ function sanitize($data, $type, $base = '')
+ {
+ return $this->sanitize->sanitize($data, $type, $base);
+ }
+
+ function get_title()
+ {
+ if ($return = $this->get_channel_tags(SIMPLEPIE_NAMESPACE_ATOM_10, 'title'))
+ {
+ return $this->sanitize($return[0]['data'], SimplePie_Misc::atom_10_construct_type($return[0]['attribs']), $this->get_base($return[0]));
+ }
+ elseif ($return = $this->get_channel_tags(SIMPLEPIE_NAMESPACE_ATOM_03, 'title'))
+ {
+ return $this->sanitize($return[0]['data'], SimplePie_Misc::atom_03_construct_type($return[0]['attribs']), $this->get_base($return[0]));
+ }
+ elseif ($return = $this->get_channel_tags(SIMPLEPIE_NAMESPACE_RSS_10, 'title'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_MAYBE_HTML, $this->get_base($return[0]));
+ }
+ elseif ($return = $this->get_channel_tags(SIMPLEPIE_NAMESPACE_RSS_090, 'title'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_MAYBE_HTML, $this->get_base($return[0]));
+ }
+ elseif ($return = $this->get_channel_tags('', 'title'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_MAYBE_HTML, $this->get_base($return[0]));
+ }
+ elseif ($return = $this->get_channel_tags(SIMPLEPIE_NAMESPACE_DC_11, 'title'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ elseif ($return = $this->get_channel_tags(SIMPLEPIE_NAMESPACE_DC_10, 'title'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_link($key = 0, $rel = 'alternate')
+ {
+ $links = $this->get_links($rel);
+ if (isset($links[$key]))
+ {
+ return $links[$key];
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ /**
+ * Added for parity between the parent-level and the item/entry-level.
+ */
+ function get_permalink()
+ {
+ return $this->get_link(0);
+ }
+
+ function get_links($rel = 'alternate')
+ {
+ if (!isset($this->data['links']))
+ {
+ $this->data['links'] = array();
+ if ($links = $this->get_channel_tags(SIMPLEPIE_NAMESPACE_ATOM_10, 'link'))
+ {
+ foreach ($links as $link)
+ {
+ if (isset($link['attribs']['']['href']))
+ {
+ $link_rel = (isset($link['attribs']['']['rel'])) ? $link['attribs']['']['rel'] : 'alternate';
+ $this->data['links'][$link_rel][] = $this->sanitize($link['attribs']['']['href'], SIMPLEPIE_CONSTRUCT_IRI, $this->get_base($link));
+ }
+ }
+ }
+ if ($links = $this->get_channel_tags(SIMPLEPIE_NAMESPACE_ATOM_03, 'link'))
+ {
+ foreach ($links as $link)
+ {
+ if (isset($link['attribs']['']['href']))
+ {
+ $link_rel = (isset($link['attribs']['']['rel'])) ? $link['attribs']['']['rel'] : 'alternate';
+ $this->data['links'][$link_rel][] = $this->sanitize($link['attribs']['']['href'], SIMPLEPIE_CONSTRUCT_IRI, $this->get_base($link));
+
+ }
+ }
+ }
+ if ($links = $this->get_channel_tags(SIMPLEPIE_NAMESPACE_RSS_10, 'link'))
+ {
+ $this->data['links']['alternate'][] = $this->sanitize($links[0]['data'], SIMPLEPIE_CONSTRUCT_IRI, $this->get_base($links[0]));
+ }
+ if ($links = $this->get_channel_tags(SIMPLEPIE_NAMESPACE_RSS_090, 'link'))
+ {
+ $this->data['links']['alternate'][] = $this->sanitize($links[0]['data'], SIMPLEPIE_CONSTRUCT_IRI, $this->get_base($links[0]));
+ }
+ if ($links = $this->get_channel_tags('', 'link'))
+ {
+ $this->data['links']['alternate'][] = $this->sanitize($links[0]['data'], SIMPLEPIE_CONSTRUCT_IRI, $this->get_base($links[0]));
+ }
+
+ $keys = array_keys($this->data['links']);
+ foreach ($keys as $key)
+ {
+ if (SimplePie_Misc::is_isegment_nz_nc($key))
+ {
+ if (isset($this->data['links'][SIMPLEPIE_IANA_LINK_RELATIONS_REGISTRY . $key]))
+ {
+ $this->data['links'][SIMPLEPIE_IANA_LINK_RELATIONS_REGISTRY . $key] = array_merge($this->data['links'][$key], $this->data['links'][SIMPLEPIE_IANA_LINK_RELATIONS_REGISTRY . $key]);
+ $this->data['links'][$key] =& $this->data['links'][SIMPLEPIE_IANA_LINK_RELATIONS_REGISTRY . $key];
+ }
+ else
+ {
+ $this->data['links'][SIMPLEPIE_IANA_LINK_RELATIONS_REGISTRY . $key] =& $this->data['links'][$key];
+ }
+ }
+ elseif (substr($key, 0, 41) == SIMPLEPIE_IANA_LINK_RELATIONS_REGISTRY)
+ {
+ $this->data['links'][substr($key, 41)] =& $this->data['links'][$key];
+ }
+ $this->data['links'][$key] = array_unique($this->data['links'][$key]);
+ }
+ }
+
+ if (isset($this->data['links'][$rel]))
+ {
+ return $this->data['links'][$rel];
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_description()
+ {
+ if ($return = $this->get_channel_tags(SIMPLEPIE_NAMESPACE_ATOM_10, 'subtitle'))
+ {
+ return $this->sanitize($return[0]['data'], SimplePie_Misc::atom_10_construct_type($return[0]['attribs']), $this->get_base($return[0]));
+ }
+ elseif ($return = $this->get_channel_tags(SIMPLEPIE_NAMESPACE_ATOM_03, 'tagline'))
+ {
+ return $this->sanitize($return[0]['data'], SimplePie_Misc::atom_03_construct_type($return[0]['attribs']), $this->get_base($return[0]));
+ }
+ elseif ($return = $this->get_channel_tags(SIMPLEPIE_NAMESPACE_RSS_10, 'description'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_MAYBE_HTML, $this->get_base($return[0]));
+ }
+ elseif ($return = $this->get_channel_tags(SIMPLEPIE_NAMESPACE_RSS_090, 'description'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_MAYBE_HTML, $this->get_base($return[0]));
+ }
+ elseif ($return = $this->get_channel_tags('', 'description'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_MAYBE_HTML, $this->get_base($return[0]));
+ }
+ elseif ($return = $this->get_channel_tags(SIMPLEPIE_NAMESPACE_DC_11, 'description'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ elseif ($return = $this->get_channel_tags(SIMPLEPIE_NAMESPACE_DC_10, 'description'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ elseif ($return = $this->get_channel_tags(SIMPLEPIE_NAMESPACE_ITUNES, 'summary'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_HTML, $this->get_base($return[0]));
+ }
+ elseif ($return = $this->get_channel_tags(SIMPLEPIE_NAMESPACE_ITUNES, 'subtitle'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_HTML, $this->get_base($return[0]));
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_copyright()
+ {
+ if ($return = $this->get_channel_tags(SIMPLEPIE_NAMESPACE_ATOM_10, 'rights'))
+ {
+ return $this->sanitize($return[0]['data'], SimplePie_Misc::atom_10_construct_type($return[0]['attribs']), $this->get_base($return[0]));
+ }
+ elseif ($return = $this->get_channel_tags('', 'copyright'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ elseif ($return = $this->get_channel_tags(SIMPLEPIE_NAMESPACE_DC_11, 'rights'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ elseif ($return = $this->get_channel_tags(SIMPLEPIE_NAMESPACE_DC_10, 'rights'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_language()
+ {
+ if ($return = $this->get_channel_tags('', 'language'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ elseif ($return = $this->get_channel_tags(SIMPLEPIE_NAMESPACE_DC_11, 'language'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ elseif ($return = $this->get_channel_tags(SIMPLEPIE_NAMESPACE_DC_10, 'language'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ elseif (isset($this->data['child'][SIMPLEPIE_NAMESPACE_ATOM_10]['feed'][0]['xml_lang']))
+ {
+ return $this->sanitize($this->data['child'][SIMPLEPIE_NAMESPACE_ATOM_10]['feed'][0]['xml_lang'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ elseif (isset($this->data['child'][SIMPLEPIE_NAMESPACE_ATOM_03]['feed'][0]['xml_lang']))
+ {
+ return $this->sanitize($this->data['child'][SIMPLEPIE_NAMESPACE_ATOM_03]['feed'][0]['xml_lang'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ elseif (isset($this->data['child'][SIMPLEPIE_NAMESPACE_RDF]['RDF'][0]['xml_lang']))
+ {
+ return $this->sanitize($this->data['child'][SIMPLEPIE_NAMESPACE_RDF]['RDF'][0]['xml_lang'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ elseif (isset($this->data['headers']['content-language']))
+ {
+ return $this->sanitize($this->data['headers']['content-language'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_latitude()
+ {
+ if ($return = $this->get_channel_tags(SIMPLEPIE_NAMESPACE_W3C_BASIC_GEO, 'lat'))
+ {
+ return (float) $return[0]['data'];
+ }
+ elseif (($return = $this->get_channel_tags(SIMPLEPIE_NAMESPACE_GEORSS, 'point')) && preg_match('/^((?:-)?[0-9]+(?:\.[0-9]+)) ((?:-)?[0-9]+(?:\.[0-9]+))$/', $return[0]['data'], $match))
+ {
+ return (float) $match[1];
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_longitude()
+ {
+ if ($return = $this->get_channel_tags(SIMPLEPIE_NAMESPACE_W3C_BASIC_GEO, 'long'))
+ {
+ return (float) $return[0]['data'];
+ }
+ elseif ($return = $this->get_channel_tags(SIMPLEPIE_NAMESPACE_W3C_BASIC_GEO, 'lon'))
+ {
+ return (float) $return[0]['data'];
+ }
+ elseif (($return = $this->get_channel_tags(SIMPLEPIE_NAMESPACE_GEORSS, 'point')) && preg_match('/^((?:-)?[0-9]+(?:\.[0-9]+)) ((?:-)?[0-9]+(?:\.[0-9]+))$/', $return[0]['data'], $match))
+ {
+ return (float) $match[2];
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_image_title()
+ {
+ if ($return = $this->get_image_tags(SIMPLEPIE_NAMESPACE_RSS_10, 'title'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ elseif ($return = $this->get_image_tags(SIMPLEPIE_NAMESPACE_RSS_090, 'title'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ elseif ($return = $this->get_image_tags('', 'title'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ elseif ($return = $this->get_image_tags(SIMPLEPIE_NAMESPACE_DC_11, 'title'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ elseif ($return = $this->get_image_tags(SIMPLEPIE_NAMESPACE_DC_10, 'title'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_image_url()
+ {
+ if ($return = $this->get_channel_tags(SIMPLEPIE_NAMESPACE_ITUNES, 'image'))
+ {
+ return $this->sanitize($return[0]['attribs']['']['href'], SIMPLEPIE_CONSTRUCT_IRI);
+ }
+ elseif ($return = $this->get_channel_tags(SIMPLEPIE_NAMESPACE_ATOM_10, 'logo'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_IRI, $this->get_base($return[0]));
+ }
+ elseif ($return = $this->get_channel_tags(SIMPLEPIE_NAMESPACE_ATOM_10, 'icon'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_IRI, $this->get_base($return[0]));
+ }
+ elseif ($return = $this->get_image_tags(SIMPLEPIE_NAMESPACE_RSS_10, 'url'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_IRI, $this->get_base($return[0]));
+ }
+ elseif ($return = $this->get_image_tags(SIMPLEPIE_NAMESPACE_RSS_090, 'url'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_IRI, $this->get_base($return[0]));
+ }
+ elseif ($return = $this->get_image_tags('', 'url'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_IRI, $this->get_base($return[0]));
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_image_link()
+ {
+ if ($return = $this->get_image_tags(SIMPLEPIE_NAMESPACE_RSS_10, 'link'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_IRI, $this->get_base($return[0]));
+ }
+ elseif ($return = $this->get_image_tags(SIMPLEPIE_NAMESPACE_RSS_090, 'link'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_IRI, $this->get_base($return[0]));
+ }
+ elseif ($return = $this->get_image_tags('', 'link'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_IRI, $this->get_base($return[0]));
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_image_width()
+ {
+ if ($return = $this->get_image_tags('', 'width'))
+ {
+ return round($return[0]['data']);
+ }
+ elseif ($this->get_type() & SIMPLEPIE_TYPE_RSS_SYNDICATION && $this->get_image_tags('', 'url'))
+ {
+ return 88.0;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_image_height()
+ {
+ if ($return = $this->get_image_tags('', 'height'))
+ {
+ return round($return[0]['data']);
+ }
+ elseif ($this->get_type() & SIMPLEPIE_TYPE_RSS_SYNDICATION && $this->get_image_tags('', 'url'))
+ {
+ return 31.0;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_item_quantity($max = 0)
+ {
+ $qty = count($this->get_items());
+ if ($max == 0)
+ {
+ return $qty;
+ }
+ else
+ {
+ return ($qty > $max) ? $max : $qty;
+ }
+ }
+
+ function get_item($key = 0)
+ {
+ $items = $this->get_items();
+ if (isset($items[$key]))
+ {
+ return $items[$key];
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_items($start = 0, $end = 0)
+ {
+ if (!empty($this->multifeed_objects))
+ {
+ return SimplePie::merge_items($this->multifeed_objects, $start, $end);
+ }
+ elseif (!isset($this->data['items']))
+ {
+ if ($items = $this->get_feed_tags(SIMPLEPIE_NAMESPACE_ATOM_10, 'entry'))
+ {
+ $keys = array_keys($items);
+ foreach ($keys as $key)
+ {
+ $this->data['items'][] =& new $this->item_class($this, $items[$key]);
+ }
+ }
+ if ($items = $this->get_feed_tags(SIMPLEPIE_NAMESPACE_ATOM_03, 'entry'))
+ {
+ $keys = array_keys($items);
+ foreach ($keys as $key)
+ {
+ $this->data['items'][] =& new $this->item_class($this, $items[$key]);
+ }
+ }
+ if ($items = $this->get_feed_tags(SIMPLEPIE_NAMESPACE_RSS_10, 'item'))
+ {
+ $keys = array_keys($items);
+ foreach ($keys as $key)
+ {
+ $this->data['items'][] =& new $this->item_class($this, $items[$key]);
+ }
+ }
+ if ($items = $this->get_feed_tags(SIMPLEPIE_NAMESPACE_RSS_090, 'item'))
+ {
+ $keys = array_keys($items);
+ foreach ($keys as $key)
+ {
+ $this->data['items'][] =& new $this->item_class($this, $items[$key]);
+ }
+ }
+ if ($items = $this->get_channel_tags('', 'item'))
+ {
+ $keys = array_keys($items);
+ foreach ($keys as $key)
+ {
+ $this->data['items'][] =& new $this->item_class($this, $items[$key]);
+ }
+ }
+ }
+
+ if (!empty($this->data['items']))
+ {
+ // If we want to order it by date, check if all items have a date, and then sort it
+ if ($this->order_by_date)
+ {
+ if (!isset($this->data['ordered_items']))
+ {
+ $do_sort = true;
+ foreach ($this->data['items'] as $item)
+ {
+ if (!$item->get_date('U'))
+ {
+ $do_sort = false;
+ break;
+ }
+ }
+ $item = null;
+ $this->data['ordered_items'] = $this->data['items'];
+ if ($do_sort)
+ {
+ usort($this->data['ordered_items'], array(&$this, 'sort_items'));
+ }
+ }
+ $items = $this->data['ordered_items'];
+ }
+ else
+ {
+ $items = $this->data['items'];
+ }
+
+ // Slice the data as desired
+ if ($end == 0)
+ {
+ return array_slice($items, $start);
+ }
+ else
+ {
+ return array_slice($items, $start, $end);
+ }
+ }
+ else
+ {
+ return array();
+ }
+ }
+
+ function sort_items($a, $b)
+ {
+ return $a->get_date('U') <= $b->get_date('U');
+ }
+
+ function merge_items($urls, $start = 0, $end = 0)
+ {
+ if (is_array($urls) && sizeof($urls) > 0)
+ {
+ $items = array();
+ foreach ($urls as $arg)
+ {
+ if (SimplePie_Misc::is_a($arg, 'SimplePie'))
+ {
+ $items = array_merge($items, $arg->get_items());
+ }
+ else
+ {
+ trigger_error('Arguments must be SimplePie objects', E_USER_WARNING);
+ }
+ }
+
+ $do_sort = true;
+ foreach ($items as $item)
+ {
+ if (!$item->get_date('U'))
+ {
+ $do_sort = false;
+ break;
+ }
+ }
+ $item = null;
+ if ($do_sort)
+ {
+ usort($items, array('SimplePie', 'sort_items'));
+ }
+
+ if ($end == 0)
+ {
+ return array_slice($items, $start);
+ }
+ else
+ {
+ return array_slice($items, $start, $end);
+ }
+ }
+ else
+ {
+ trigger_error('Cannot merge zero SimplePie objects', E_USER_WARNING);
+ return array();
+ }
+ }
+}
+
+class SimplePie_Item
+{
+ var $feed;
+ var $data = array();
+
+ function SimplePie_Item($feed, $data)
+ {
+ $this->feed = $feed;
+ $this->data = $data;
+ }
+
+ function __toString()
+ {
+ return md5(serialize($this->data));
+ }
+
+ function get_item_tags($namespace, $tag)
+ {
+ if (isset($this->data['child'][$namespace][$tag]))
+ {
+ return $this->data['child'][$namespace][$tag];
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_base($element = array())
+ {
+ return $this->feed->get_base($element);
+ }
+
+ function sanitize($data, $type, $base = '')
+ {
+ return $this->feed->sanitize($data, $type, $base);
+ }
+
+ function get_feed()
+ {
+ return $this->feed;
+ }
+
+ function get_id($hash = false)
+ {
+ if ($hash)
+ {
+ return $this->__toString();
+ }
+ elseif ($return = $this->get_item_tags(SIMPLEPIE_NAMESPACE_ATOM_10, 'id'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ elseif ($return = $this->get_item_tags(SIMPLEPIE_NAMESPACE_ATOM_03, 'id'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ elseif ($return = $this->get_item_tags('', 'guid'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ elseif ($return = $this->get_item_tags(SIMPLEPIE_NAMESPACE_DC_11, 'identifier'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ elseif ($return = $this->get_item_tags(SIMPLEPIE_NAMESPACE_DC_10, 'identifier'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ elseif (($return = $this->get_permalink()) !== null)
+ {
+ return $return;
+ }
+ elseif (($return = $this->get_title()) !== null)
+ {
+ return $return;
+ }
+ else
+ {
+ return $this->__toString();
+ }
+ }
+
+ function get_title()
+ {
+ if ($return = $this->get_item_tags(SIMPLEPIE_NAMESPACE_ATOM_10, 'title'))
+ {
+ return $this->sanitize($return[0]['data'], SimplePie_Misc::atom_10_construct_type($return[0]['attribs']), $this->get_base($return[0]));
+ }
+ elseif ($return = $this->get_item_tags(SIMPLEPIE_NAMESPACE_ATOM_03, 'title'))
+ {
+ return $this->sanitize($return[0]['data'], SimplePie_Misc::atom_03_construct_type($return[0]['attribs']), $this->get_base($return[0]));
+ }
+ elseif ($return = $this->get_item_tags(SIMPLEPIE_NAMESPACE_RSS_10, 'title'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_MAYBE_HTML, $this->get_base($return[0]));
+ }
+ elseif ($return = $this->get_item_tags(SIMPLEPIE_NAMESPACE_RSS_090, 'title'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_MAYBE_HTML, $this->get_base($return[0]));
+ }
+ elseif ($return = $this->get_item_tags('', 'title'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_MAYBE_HTML, $this->get_base($return[0]));
+ }
+ elseif ($return = $this->get_item_tags(SIMPLEPIE_NAMESPACE_DC_11, 'title'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ elseif ($return = $this->get_item_tags(SIMPLEPIE_NAMESPACE_DC_10, 'title'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_description($description_only = false)
+ {
+ if ($return = $this->get_item_tags(SIMPLEPIE_NAMESPACE_ATOM_10, 'summary'))
+ {
+ return $this->sanitize($return[0]['data'], SimplePie_Misc::atom_10_construct_type($return[0]['attribs']), $this->get_base($return[0]));
+ }
+ elseif ($return = $this->get_item_tags(SIMPLEPIE_NAMESPACE_ATOM_03, 'summary'))
+ {
+ return $this->sanitize($return[0]['data'], SimplePie_Misc::atom_03_construct_type($return[0]['attribs']), $this->get_base($return[0]));
+ }
+ elseif ($return = $this->get_item_tags(SIMPLEPIE_NAMESPACE_RSS_10, 'description'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_MAYBE_HTML, $this->get_base($return[0]));
+ }
+ elseif ($return = $this->get_item_tags('', 'description'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_HTML, $this->get_base($return[0]));
+ }
+ elseif ($return = $this->get_item_tags(SIMPLEPIE_NAMESPACE_DC_11, 'description'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ elseif ($return = $this->get_item_tags(SIMPLEPIE_NAMESPACE_DC_10, 'description'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ elseif ($return = $this->get_item_tags(SIMPLEPIE_NAMESPACE_ITUNES, 'summary'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_HTML, $this->get_base($return[0]));
+ }
+ elseif ($return = $this->get_item_tags(SIMPLEPIE_NAMESPACE_ITUNES, 'subtitle'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ elseif (!$description_only)
+ {
+ return $this->get_content(true);
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_content($content_only = false)
+ {
+ if ($return = $this->get_item_tags(SIMPLEPIE_NAMESPACE_ATOM_10, 'content'))
+ {
+ return $this->sanitize($return[0]['data'], SimplePie_Misc::atom_10_content_construct_type($return[0]['attribs']), $this->get_base($return[0]));
+ }
+ elseif ($return = $this->get_item_tags(SIMPLEPIE_NAMESPACE_ATOM_03, 'content'))
+ {
+ return $this->sanitize($return[0]['data'], SimplePie_Misc::atom_03_construct_type($return[0]['attribs']), $this->get_base($return[0]));
+ }
+ elseif ($return = $this->get_item_tags(SIMPLEPIE_NAMESPACE_RSS_10_MODULES_CONTENT, 'encoded'))
+ {
+ return $this->sanitize($return[0]['data'], SIMPLEPIE_CONSTRUCT_HTML, $this->get_base($return[0]));
+ }
+ elseif (!$content_only)
+ {
+ return $this->get_description(true);
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_category($key = 0)
+ {
+ $categories = $this->get_categories();
+ if (isset($categories[$key]))
+ {
+ return $categories[$key];
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_categories()
+ {
+ $categories = array();
+
+ foreach ((array) $this->get_item_tags(SIMPLEPIE_NAMESPACE_ATOM_10, 'category') as $category)
+ {
+ $term = null;
+ $scheme = null;
+ $label = null;
+ if (isset($category['attribs']['']['term']))
+ {
+ $term = $this->sanitize($category['attribs']['']['term'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($category['attribs']['']['scheme']))
+ {
+ $scheme = $this->sanitize($category['attribs']['']['scheme'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($category['attribs']['']['label']))
+ {
+ $label = $this->sanitize($category['attribs']['']['label'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $categories[] =& new $this->feed->category_class($term, $scheme, $label);
+ }
+ foreach ((array) $this->get_item_tags('', 'category') as $category)
+ {
+ $categories[] =& new $this->feed->category_class($this->sanitize($category['data'], SIMPLEPIE_CONSTRUCT_TEXT), null, null);
+ }
+ foreach ((array) $this->get_item_tags(SIMPLEPIE_NAMESPACE_DC_11, 'subject') as $category)
+ {
+ $categories[] =& new $this->feed->category_class($this->sanitize($category['data'], SIMPLEPIE_CONSTRUCT_TEXT), null, null);
+ }
+ foreach ((array) $this->get_item_tags(SIMPLEPIE_NAMESPACE_DC_10, 'subject') as $category)
+ {
+ $categories[] =& new $this->feed->category_class($this->sanitize($category['data'], SIMPLEPIE_CONSTRUCT_TEXT), null, null);
+ }
+
+ if (!empty($categories))
+ {
+ return SimplePie_Misc::array_unique($categories);
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_author($key = 0)
+ {
+ $authors = $this->get_authors();
+ if (isset($authors[$key]))
+ {
+ return $authors[$key];
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ /**
+ * @todo Atom inheritance (item author, source author, feed author)
+ */
+ function get_authors()
+ {
+ $authors = array();
+ foreach ((array) $this->get_item_tags(SIMPLEPIE_NAMESPACE_ATOM_10, 'author') as $author)
+ {
+ $name = null;
+ $uri = null;
+ $email = null;
+ if (isset($author['child'][SIMPLEPIE_NAMESPACE_ATOM_10]['name'][0]['data']))
+ {
+ $name = $this->sanitize($author['child'][SIMPLEPIE_NAMESPACE_ATOM_10]['name'][0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($author['child'][SIMPLEPIE_NAMESPACE_ATOM_10]['uri'][0]['data']))
+ {
+ $uri = $this->sanitize($author['child'][SIMPLEPIE_NAMESPACE_ATOM_10]['uri'][0]['data'], SIMPLEPIE_CONSTRUCT_IRI, $this->get_base($author['child'][SIMPLEPIE_NAMESPACE_ATOM_10]['uri'][0]));
+ }
+ if (isset($author['child'][SIMPLEPIE_NAMESPACE_ATOM_10]['email'][0]['data']))
+ {
+ $email = $this->sanitize($author['child'][SIMPLEPIE_NAMESPACE_ATOM_10]['email'][0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if ($name !== null || $email !== null || $uri !== null)
+ {
+ $authors[] =& new $this->feed->author_class($name, $uri, $email);
+ }
+ }
+ if ($author = $this->get_item_tags(SIMPLEPIE_NAMESPACE_ATOM_03, 'author'))
+ {
+ $name = null;
+ $url = null;
+ $email = null;
+ if (isset($author[0]['child'][SIMPLEPIE_NAMESPACE_ATOM_03]['name'][0]['data']))
+ {
+ $name = $this->sanitize($author[0]['child'][SIMPLEPIE_NAMESPACE_ATOM_03]['name'][0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($author[0]['child'][SIMPLEPIE_NAMESPACE_ATOM_03]['url'][0]['data']))
+ {
+ $uri = $this->sanitize($author[0]['child'][SIMPLEPIE_NAMESPACE_ATOM_03]['url'][0]['data'], SIMPLEPIE_CONSTRUCT_IRI, $this->get_base($author[0]['child'][SIMPLEPIE_NAMESPACE_ATOM_03]['url'][0]));
+ }
+ if (isset($author[0]['child'][SIMPLEPIE_NAMESPACE_ATOM_03]['email'][0]['data']))
+ {
+ $email = $this->sanitize($author[0]['child'][SIMPLEPIE_NAMESPACE_ATOM_03]['email'][0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if ($name !== null || $email !== null || $uri !== null)
+ {
+ $authors[] =& new $this->feed->author_class($name, $url, $email);
+ }
+ }
+ if ($author = $this->get_item_tags('', 'author'))
+ {
+ $authors[] =& new $this->feed->author_class(null, null, $this->sanitize($author[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT));
+ }
+ foreach ((array) $this->get_item_tags(SIMPLEPIE_NAMESPACE_DC_11, 'creator') as $author)
+ {
+ $authors[] =& new $this->feed->author_class($this->sanitize($author['data'], SIMPLEPIE_CONSTRUCT_TEXT), null, null);
+ }
+ foreach ((array) $this->get_item_tags(SIMPLEPIE_NAMESPACE_DC_10, 'creator') as $author)
+ {
+ $authors[] =& new $this->feed->author_class($this->sanitize($author['data'], SIMPLEPIE_CONSTRUCT_TEXT), null, null);
+ }
+ foreach ((array) $this->get_item_tags(SIMPLEPIE_NAMESPACE_ITUNES, 'author') as $author)
+ {
+ $authors[] =& new $this->feed->author_class($this->sanitize($author['data'], SIMPLEPIE_CONSTRUCT_TEXT), null, null);
+ }
+
+ if (!empty($authors))
+ {
+ return SimplePie_Misc::array_unique($authors);
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_date($date_format = 'j F Y, g:i a')
+ {
+ if (!isset($this->data['date']))
+ {
+ if ($return = $this->get_item_tags(SIMPLEPIE_NAMESPACE_ATOM_10, 'published'))
+ {
+ $this->data['date']['raw'] = $return[0]['data'];
+ }
+ elseif ($return = $this->get_item_tags(SIMPLEPIE_NAMESPACE_ATOM_10, 'updated'))
+ {
+ $this->data['date']['raw'] = $return[0]['data'];
+ }
+ elseif ($return = $this->get_item_tags(SIMPLEPIE_NAMESPACE_ATOM_03, 'issued'))
+ {
+ $this->data['date']['raw'] = $return[0]['data'];
+ }
+ elseif ($return = $this->get_item_tags(SIMPLEPIE_NAMESPACE_ATOM_03, 'created'))
+ {
+ $this->data['date']['raw'] = $return[0]['data'];
+ }
+ elseif ($return = $this->get_item_tags(SIMPLEPIE_NAMESPACE_ATOM_03, 'modified'))
+ {
+ $this->data['date']['raw'] = $return[0]['data'];
+ }
+ elseif ($return = $this->get_item_tags('', 'pubDate'))
+ {
+ $this->data['date']['raw'] = $return[0]['data'];
+ }
+ elseif ($return = $this->get_item_tags(SIMPLEPIE_NAMESPACE_DC_11, 'date'))
+ {
+ $this->data['date']['raw'] = $return[0]['data'];
+ }
+ elseif ($return = $this->get_item_tags(SIMPLEPIE_NAMESPACE_DC_10, 'date'))
+ {
+ $this->data['date']['raw'] = $return[0]['data'];
+ }
+
+ if (!empty($this->data['date']['raw']))
+ {
+ $this->data['date']['parsed'] = SimplePie_Misc::parse_date($this->data['date']['raw']);
+ }
+ else
+ {
+ $this->data['date'] = null;
+ }
+ }
+ if ($this->data['date'])
+ {
+ $date_format = (string) $date_format;
+ switch ($date_format)
+ {
+ case '':
+ return $this->sanitize($this->data['date']['raw'], SIMPLEPIE_CONSTRUCT_TEXT);
+
+ case 'U':
+ return $this->data['date']['parsed'];
+
+ default:
+ return date($date_format, $this->data['date']['parsed']);
+ }
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_local_date($date_format = '%c')
+ {
+ if (!$date_format)
+ {
+ return $this->sanitize($this->get_date(''), SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ elseif (($date = $this->get_date('U')) !== null)
+ {
+ return strftime($date_format, $date);
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_permalink()
+ {
+ $link = $this->get_link();
+ $enclosure = $this->get_enclosure(0);
+ if ($link !== null)
+ {
+ return $link;
+ }
+ elseif ($enclosure !== null)
+ {
+ return $enclosure->get_link();
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_link($key = 0, $rel = 'alternate')
+ {
+ $links = $this->get_links($rel);
+ if ($links[$key] !== null)
+ {
+ return $links[$key];
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_links($rel = 'alternate')
+ {
+ if (!isset($this->data['links']))
+ {
+ $this->data['links'] = array();
+ foreach ((array) $this->get_item_tags(SIMPLEPIE_NAMESPACE_ATOM_10, 'link') as $link)
+ {
+ if (isset($link['attribs']['']['href']))
+ {
+ $link_rel = (isset($link['attribs']['']['rel'])) ? $link['attribs']['']['rel'] : 'alternate';
+ $this->data['links'][$link_rel][] = $this->sanitize($link['attribs']['']['href'], SIMPLEPIE_CONSTRUCT_IRI, $this->get_base($link));
+
+ }
+ }
+ foreach ((array) $this->get_item_tags(SIMPLEPIE_NAMESPACE_ATOM_03, 'link') as $link)
+ {
+ if (isset($link['attribs']['']['href']))
+ {
+ $link_rel = (isset($link['attribs']['']['rel'])) ? $link['attribs']['']['rel'] : 'alternate';
+ $this->data['links'][$link_rel][] = $this->sanitize($link['attribs']['']['href'], SIMPLEPIE_CONSTRUCT_IRI, $this->get_base($link));
+ }
+ }
+ if ($links = $this->get_item_tags(SIMPLEPIE_NAMESPACE_RSS_10, 'link'))
+ {
+ $this->data['links']['alternate'][] = $this->sanitize($links[0]['data'], SIMPLEPIE_CONSTRUCT_IRI, $this->get_base($links[0]));
+ }
+ if ($links = $this->get_item_tags(SIMPLEPIE_NAMESPACE_RSS_090, 'link'))
+ {
+ $this->data['links']['alternate'][] = $this->sanitize($links[0]['data'], SIMPLEPIE_CONSTRUCT_IRI, $this->get_base($links[0]));
+ }
+ if ($links = $this->get_item_tags('', 'link'))
+ {
+ $this->data['links']['alternate'][] = $this->sanitize($links[0]['data'], SIMPLEPIE_CONSTRUCT_IRI, $this->get_base($links[0]));
+ }
+ if ($links = $this->get_item_tags('', 'guid'))
+ {
+ if (!isset($links[0]['attribs']['']['isPermaLink']) || strtolower(trim($links[0]['attribs']['']['isPermaLink'])) == 'true')
+ {
+ $this->data['links']['alternate'][] = $this->sanitize($links[0]['data'], SIMPLEPIE_CONSTRUCT_IRI, $this->get_base($links[0]));
+ }
+ }
+
+ $keys = array_keys($this->data['links']);
+ foreach ($keys as $key)
+ {
+ if (SimplePie_Misc::is_isegment_nz_nc($key))
+ {
+ if (isset($this->data['links'][SIMPLEPIE_IANA_LINK_RELATIONS_REGISTRY . $key]))
+ {
+ $this->data['links'][SIMPLEPIE_IANA_LINK_RELATIONS_REGISTRY . $key] = array_merge($this->data['links'][$key], $this->data['links'][SIMPLEPIE_IANA_LINK_RELATIONS_REGISTRY . $key]);
+ $this->data['links'][$key] =& $this->data['links'][SIMPLEPIE_IANA_LINK_RELATIONS_REGISTRY . $key];
+ }
+ else
+ {
+ $this->data['links'][SIMPLEPIE_IANA_LINK_RELATIONS_REGISTRY . $key] =& $this->data['links'][$key];
+ }
+ }
+ elseif (substr($key, 0, 41) == SIMPLEPIE_IANA_LINK_RELATIONS_REGISTRY)
+ {
+ $this->data['links'][substr($key, 41)] =& $this->data['links'][$key];
+ }
+ $this->data['links'][$key] = array_unique($this->data['links'][$key]);
+ }
+ }
+ if (isset($this->data['links'][$rel]))
+ {
+ return $this->data['links'][$rel];
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ /**
+ * @todo Add ability to prefer one type of content over another (in a media group).
+ */
+ function get_enclosure($key = 0, $prefer = null)
+ {
+ $enclosures = $this->get_enclosures();
+ if (isset($enclosures[$key]))
+ {
+ return $enclosures[$key];
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ /**
+ * Grabs all available enclosures (podcasts, etc.)
+ *
+ * Supports the <enclosure> RSS tag, as well as Media RSS and iTunes RSS.
+ *
+ * At this point, we're pretty much assuming that all enclosures for an item are the same content. Anything else is too complicated to properly support.
+ *
+ * @todo Add support for end-user defined sorting of enclosures by type/handler (so we can prefer the faster-loading FLV over MP4).
+ * @todo Add support for itunes: tags. These should be relatively simple compared to media:.
+ * @todo If an element exists at a level, but it's value is empty, we should fall back to the value from the parent (if it exists).
+ */
+ function get_enclosures()
+ {
+ if (!isset($this->data['enclosures']))
+ {
+ $this->data['enclosures'] = array();
+
+ // Elements
+ $captions_parent = null;
+ $categories_parent = null;
+ $copyrights_parent = null;
+ $credits_parent = null;
+ $description_parent = null;
+ $duration_parent = null;
+ $hashes_parent = null;
+ $keywords_parent = null;
+ $player_parent = null;
+ $ratings_parent = null;
+ $restrictions_parent = null;
+ $thumbnails_parent = null;
+ $title_parent = null;
+
+ // Let's do the channel and item-level ones first, and just re-use them if we need to.
+ $parent = $this->get_feed();
+
+ // CAPTIONS
+ if ($captions = $this->get_item_tags(SIMPLEPIE_NAMESPACE_MEDIARSS, 'text'))
+ {
+ foreach ($captions as $caption)
+ {
+ $caption_type = null;
+ $caption_lang = null;
+ $caption_startTime = null;
+ $caption_endTime = null;
+ $caption_text = null;
+ if (isset($caption['attribs']['']['type']))
+ {
+ $caption_type = $this->sanitize($caption['attribs']['']['type'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($caption['attribs']['']['lang']))
+ {
+ $caption_lang = $this->sanitize($caption['attribs']['']['lang'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($caption['attribs']['']['start']))
+ {
+ $caption_startTime = $this->sanitize($caption['attribs']['']['start'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($caption['attribs']['']['end']))
+ {
+ $caption_endTime = $this->sanitize($caption['attribs']['']['end'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($caption['data']))
+ {
+ $caption_text = $this->sanitize($caption['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $captions_parent[] =& new $this->feed->caption_class($caption_type, $caption_lang, $caption_startTime, $caption_endTime, $caption_text);
+ }
+ }
+ elseif ($captions = $parent->get_channel_tags(SIMPLEPIE_NAMESPACE_MEDIARSS, 'text'))
+ {
+ foreach ($captions as $caption)
+ {
+ $caption_type = null;
+ $caption_lang = null;
+ $caption_startTime = null;
+ $caption_endTime = null;
+ $caption_text = null;
+ if (isset($caption['attribs']['']['type']))
+ {
+ $caption_type = $this->sanitize($caption['attribs']['']['type'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($caption['attribs']['']['lang']))
+ {
+ $caption_lang = $this->sanitize($caption['attribs']['']['lang'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($caption['attribs']['']['start']))
+ {
+ $caption_startTime = $this->sanitize($caption['attribs']['']['start'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($caption['attribs']['']['end']))
+ {
+ $caption_endTime = $this->sanitize($caption['attribs']['']['end'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($caption['data']))
+ {
+ $caption_text = $this->sanitize($caption['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $captions_parent[] =& new $this->feed->caption_class($caption_type, $caption_lang, $caption_startTime, $caption_endTime, $caption_text);
+ }
+ }
+ if (is_array($captions_parent))
+ {
+ $captions_parent = array_values(SimplePie_Misc::array_unique($captions_parent));
+ }
+
+ // CATEGORIES
+ foreach ((array) $this->get_item_tags(SIMPLEPIE_NAMESPACE_MEDIARSS, 'category') as $category)
+ {
+ $term = null;
+ $scheme = null;
+ $label = null;
+ if (isset($category['data']))
+ {
+ $term = $this->sanitize($category['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($category['attribs']['']['scheme']))
+ {
+ $scheme = $this->sanitize($category['attribs']['']['scheme'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ else
+ {
+ $scheme = 'http://search.yahoo.com/mrss/category_schema';
+ }
+ if (isset($category['attribs']['']['label']))
+ {
+ $label = $this->sanitize($category['attribs']['']['label'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $categories_parent[] =& new $this->feed->category_class($term, $scheme, $label);
+ }
+ foreach ((array) $parent->get_channel_tags(SIMPLEPIE_NAMESPACE_MEDIARSS, 'category') as $category)
+ {
+ $term = null;
+ $scheme = null;
+ $label = null;
+ if (isset($category['data']))
+ {
+ $term = $this->sanitize($category['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($category['attribs']['']['scheme']))
+ {
+ $scheme = $this->sanitize($category['attribs']['']['scheme'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ else
+ {
+ $scheme = 'http://search.yahoo.com/mrss/category_schema';
+ }
+ if (isset($category['attribs']['']['label']))
+ {
+ $label = $this->sanitize($category['attribs']['']['label'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $categories_parent[] =& new $this->feed->category_class($term, $scheme, $label);
+ }
+ foreach ((array) $parent->get_channel_tags(SIMPLEPIE_NAMESPACE_ITUNES, 'category') as $category)
+ {
+ $term = null;
+ $scheme = 'http://www.itunes.com/dtds/podcast-1.0.dtd';
+ $label = null;
+ if (isset($category['attribs']['']['text']))
+ {
+ $label = $this->sanitize($category['attribs']['']['text'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $categories_parent[] =& new $this->feed->category_class($term, $scheme, $label);
+
+ if (isset($category['child'][SIMPLEPIE_NAMESPACE_ITUNES]['category']))
+ {
+ foreach ((array) $category['child'][SIMPLEPIE_NAMESPACE_ITUNES]['category'] as $subcategory)
+ {
+ if (isset($subcategory['attribs']['']['text']))
+ {
+ $label = $this->sanitize($subcategory['attribs']['']['text'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $categories_parent[] =& new $this->feed->category_class($term, $scheme, $label);
+ }
+ }
+ }
+ if (is_array($categories_parent))
+ {
+ $categories_parent = array_values(SimplePie_Misc::array_unique($categories_parent));
+ }
+
+ // COPYRIGHT
+ if ($copyright = $this->get_item_tags(SIMPLEPIE_NAMESPACE_MEDIARSS, 'copyright'))
+ {
+ $copyright_url = null;
+ $copyright_label = null;
+ if (isset($copyright[0]['attribs']['']['url']))
+ {
+ $copyright_url = $this->sanitize($copyright[0]['attribs']['']['url'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($copyright[0]['data']))
+ {
+ $copyright_label = $this->sanitize($copyright[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $copyrights_parent =& new $this->feed->copyright_class($copyright_url, $copyright_label);
+ }
+ elseif ($copyright = $parent->get_channel_tags(SIMPLEPIE_NAMESPACE_MEDIARSS, 'copyright'))
+ {
+ $copyright_url = null;
+ $copyright_label = null;
+ if (isset($copyright[0]['attribs']['']['url']))
+ {
+ $copyright_url = $this->sanitize($copyright[0]['attribs']['']['url'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($copyright[0]['data']))
+ {
+ $copyright_label = $this->sanitize($copyright[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $copyrights_parent =& new $this->feed->copyright_class($copyright_url, $copyright_label);
+ }
+
+ // CREDITS
+ if ($credits = $this->get_item_tags(SIMPLEPIE_NAMESPACE_MEDIARSS, 'credit'))
+ {
+ foreach ($credits as $credit)
+ {
+ $credit_role = null;
+ $credit_scheme = null;
+ $credit_name = null;
+ if (isset($credit['attribs']['']['role']))
+ {
+ $credit_role = $this->sanitize($credit['attribs']['']['role'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($credit['attribs']['']['scheme']))
+ {
+ $credit_scheme = $this->sanitize($credit['attribs']['']['scheme'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ else
+ {
+ $credit_scheme = 'urn:ebu';
+ }
+ if (isset($credit['data']))
+ {
+ $credit_name = $this->sanitize($credit['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $credits_parent[] =& new $this->feed->credit_class($credit_role, $credit_scheme, $credit_name);
+ }
+ }
+ elseif ($credits = $parent->get_channel_tags(SIMPLEPIE_NAMESPACE_MEDIARSS, 'credit'))
+ {
+ foreach ($credits as $credit)
+ {
+ $credit_role = null;
+ $credit_scheme = null;
+ $credit_name = null;
+ if (isset($credit['attribs']['']['role']))
+ {
+ $credit_role = $this->sanitize($credit['attribs']['']['role'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($credit['attribs']['']['scheme']))
+ {
+ $credit_scheme = $this->sanitize($credit['attribs']['']['scheme'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ else
+ {
+ $credit_scheme = 'urn:ebu';
+ }
+ if (isset($credit['data']))
+ {
+ $credit_name = $this->sanitize($credit['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $credits_parent[] =& new $this->feed->credit_class($credit_role, $credit_scheme, $credit_name);
+ }
+ }
+ if (is_array($credits_parent))
+ {
+ $credits_parent = array_values(SimplePie_Misc::array_unique($credits_parent));
+ }
+
+ // DESCRIPTION
+ if ($description_parent = $this->get_item_tags(SIMPLEPIE_NAMESPACE_MEDIARSS, 'description'))
+ {
+ if (isset($description_parent[0]['data']))
+ {
+ $description_parent = $this->sanitize($description_parent[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ }
+ elseif ($description_parent = $parent->get_channel_tags(SIMPLEPIE_NAMESPACE_MEDIARSS, 'description'))
+ {
+ if (isset($description_parent[0]['data']))
+ {
+ $description_parent = $this->sanitize($description_parent[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ }
+
+ // DURATION
+ if ($duration_parent = $this->get_item_tags(SIMPLEPIE_NAMESPACE_ITUNES, 'duration'))
+ {
+ $seconds = null;
+ $minutes = null;
+ $hours = null;
+ if (isset($duration_parent[0]['data']))
+ {
+ $temp = explode(':', $this->sanitize($duration_parent[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT));
+ if (sizeof($temp) > 0)
+ {
+ (int) $seconds = array_pop($temp);
+ }
+ if (sizeof($temp) > 0)
+ {
+ (int) $minutes = array_pop($temp);
+ $seconds += $minutes * 60;
+ }
+ if (sizeof($temp) > 0)
+ {
+ (int) $hours = array_pop($temp);
+ $seconds += $hours * 3600;
+ }
+ unset($temp);
+ $duration_parent = $seconds;
+ }
+ }
+
+ // HASHES
+ if ($hashes_iterator = $this->get_item_tags(SIMPLEPIE_NAMESPACE_MEDIARSS, 'hash'))
+ {
+ foreach ($hashes_iterator as $hash)
+ {
+ $value = null;
+ $algo = null;
+ if (isset($hash['data']))
+ {
+ $value = $this->sanitize($hash['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($hash['attribs']['']['algo']))
+ {
+ $algo = $this->sanitize($hash['attribs']['']['algo'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ else
+ {
+ $algo = 'md5';
+ }
+ $hashes_parent[] = $algo.':'.$value;
+ }
+ }
+ elseif ($hashes_iterator = $parent->get_channel_tags(SIMPLEPIE_NAMESPACE_MEDIARSS, 'hash'))
+ {
+ foreach ($hashes_iterator as $hash)
+ {
+ $value = null;
+ $algo = null;
+ if (isset($hash['data']))
+ {
+ $value = $this->sanitize($hash['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($hash['attribs']['']['algo']))
+ {
+ $algo = $this->sanitize($hash['attribs']['']['algo'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ else
+ {
+ $algo = 'md5';
+ }
+ $hashes_parent[] = $algo.':'.$value;
+ }
+ }
+ if (is_array($hashes_parent))
+ {
+ $hashes_parent = array_values(SimplePie_Misc::array_unique($hashes_parent));
+ }
+
+ // KEYWORDS
+ if ($keywords = $this->get_item_tags(SIMPLEPIE_NAMESPACE_MEDIARSS, 'keywords'))
+ {
+ if (isset($keywords[0]['data']))
+ {
+ $temp = explode(',', $this->sanitize($keywords[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT));
+ foreach ($temp as $word)
+ {
+ $keywords_parent[] = trim($word);
+ }
+ }
+ unset($temp);
+ }
+ elseif ($keywords = $this->get_item_tags(SIMPLEPIE_NAMESPACE_ITUNES, 'keywords'))
+ {
+ if (isset($keywords[0]['data']))
+ {
+ $temp = explode(',', $this->sanitize($keywords[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT));
+ foreach ($temp as $word)
+ {
+ $keywords_parent[] = trim($word);
+ }
+ }
+ unset($temp);
+ }
+ elseif ($keywords = $parent->get_channel_tags(SIMPLEPIE_NAMESPACE_MEDIARSS, 'keywords'))
+ {
+ if (isset($keywords[0]['data']))
+ {
+ $temp = explode(',', $this->sanitize($keywords[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT));
+ foreach ($temp as $word)
+ {
+ $keywords_parent[] = trim($word);
+ }
+ }
+ unset($temp);
+ }
+ elseif ($keywords = $parent->get_channel_tags(SIMPLEPIE_NAMESPACE_ITUNES, 'keywords'))
+ {
+ if (isset($keywords[0]['data']))
+ {
+ $temp = explode(',', $this->sanitize($keywords[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT));
+ foreach ($temp as $word)
+ {
+ $keywords_parent[] = trim($word);
+ }
+ }
+ unset($temp);
+ }
+ if (is_array($keywords_parent))
+ {
+ $keywords_parent = array_values(SimplePie_Misc::array_unique($keywords_parent));
+ }
+
+ // PLAYER
+ if ($player_parent = $this->get_item_tags(SIMPLEPIE_NAMESPACE_MEDIARSS, 'player'))
+ {
+ if (isset($player_parent[0]['attribs']['']['url']))
+ {
+ $player_parent = $this->sanitize($player_parent[0]['attribs']['']['url'], SIMPLEPIE_CONSTRUCT_IRI);
+ }
+ }
+ elseif ($player_parent = $parent->get_channel_tags(SIMPLEPIE_NAMESPACE_MEDIARSS, 'player'))
+ {
+ if (isset($player_parent[0]['attribs']['']['url']))
+ {
+ $player_parent = $this->sanitize($player_parent[0]['attribs']['']['url'], SIMPLEPIE_CONSTRUCT_IRI);
+ }
+ }
+
+ // RATINGS
+ if ($ratings = $this->get_item_tags(SIMPLEPIE_NAMESPACE_MEDIARSS, 'rating'))
+ {
+ foreach ($ratings as $rating)
+ {
+ $rating_scheme = null;
+ $rating_value = null;
+ if (isset($rating['attribs']['']['scheme']))
+ {
+ $rating_scheme = $this->sanitize($rating['attribs']['']['scheme'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ else
+ {
+ $rating_scheme = 'urn:simple';
+ }
+ if (isset($rating['data']))
+ {
+ $rating_value = $this->sanitize($rating['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $ratings_parent[] =& new $this->feed->rating_class($rating_scheme, $rating_value);
+ }
+ }
+ elseif ($ratings = $this->get_item_tags(SIMPLEPIE_NAMESPACE_ITUNES, 'explicit'))
+ {
+ foreach ($ratings as $rating)
+ {
+ $rating_scheme = 'urn:itunes';
+ $rating_value = null;
+ if (isset($rating['data']))
+ {
+ $rating_value = $this->sanitize($rating['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $ratings_parent[] =& new $this->feed->rating_class($rating_scheme, $rating_value);
+ }
+ }
+ elseif ($ratings = $parent->get_channel_tags(SIMPLEPIE_NAMESPACE_MEDIARSS, 'rating'))
+ {
+ foreach ($ratings as $rating)
+ {
+ $rating_scheme = null;
+ $rating_value = null;
+ if (isset($rating['attribs']['']['scheme']))
+ {
+ $rating_scheme = $this->sanitize($rating['attribs']['']['scheme'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ else
+ {
+ $rating_scheme = 'urn:simple';
+ }
+ if (isset($rating['data']))
+ {
+ $rating_value = $this->sanitize($rating['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $ratings_parent[] =& new $this->feed->rating_class($rating_scheme, $rating_value);
+ }
+ }
+ elseif ($ratings = $parent->get_channel_tags(SIMPLEPIE_NAMESPACE_ITUNES, 'explicit'))
+ {
+ foreach ($ratings as $rating)
+ {
+ $rating_scheme = 'urn:itunes';
+ $rating_value = null;
+ if (isset($rating['data']))
+ {
+ $rating_value = $this->sanitize($rating['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $ratings_parent[] =& new $this->feed->rating_class($rating_scheme, $rating_value);
+ }
+ }
+ if (is_array($ratings_parent))
+ {
+ $ratings_parent = array_values(SimplePie_Misc::array_unique($ratings_parent));
+ }
+
+ // RESTRICTIONS
+ if ($restrictions = $this->get_item_tags(SIMPLEPIE_NAMESPACE_MEDIARSS, 'restriction'))
+ {
+ foreach ($restrictions as $restriction)
+ {
+ $restriction_relationship = null;
+ $restriction_type = null;
+ $restriction_value = null;
+ if (isset($restriction['attribs']['']['relationship']))
+ {
+ $restriction_relationship = $this->sanitize($restriction['attribs']['']['relationship'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($restriction['attribs']['']['type']))
+ {
+ $restriction_type = $this->sanitize($restriction['attribs']['']['type'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($restriction['data']))
+ {
+ $restriction_value = $this->sanitize($restriction['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $restrictions_parent[] =& new $this->feed->restriction_class($restriction_relationship, $restriction_type, $restriction_value);
+ }
+ }
+ elseif ($restrictions = $this->get_item_tags(SIMPLEPIE_NAMESPACE_ITUNES, 'block'))
+ {
+ foreach ($restrictions as $restriction)
+ {
+ $restriction_relationship = 'allow';
+ $restriction_type = null;
+ $restriction_value = 'itunes';
+ if (isset($restriction['data']) && strtolower($restriction['data']) == 'yes')
+ {
+ $restriction_relationship = 'deny';
+ }
+ $restrictions_parent[] =& new $this->feed->restriction_class($restriction_relationship, $restriction_type, $restriction_value);
+ }
+ }
+ elseif ($restrictions = $parent->get_channel_tags(SIMPLEPIE_NAMESPACE_MEDIARSS, 'restriction'))
+ {
+ foreach ($restrictions as $restriction)
+ {
+ $restriction_relationship = null;
+ $restriction_type = null;
+ $restriction_value = null;
+ if (isset($restriction['attribs']['']['relationship']))
+ {
+ $restriction_relationship = $this->sanitize($restriction['attribs']['']['relationship'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($restriction['attribs']['']['type']))
+ {
+ $restriction_type = $this->sanitize($restriction['attribs']['']['type'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($restriction['data']))
+ {
+ $restriction_value = $this->sanitize($restriction['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $restrictions_parent[] =& new $this->feed->restriction_class($restriction_relationship, $restriction_type, $restriction_value);
+ }
+ }
+ elseif ($restrictions = $parent->get_channel_tags(SIMPLEPIE_NAMESPACE_ITUNES, 'block'))
+ {
+ foreach ($restrictions as $restriction)
+ {
+ $restriction_relationship = 'allow';
+ $restriction_type = null;
+ $restriction_value = 'itunes';
+ if (isset($restriction['data']) && strtolower($restriction['data']) == 'yes')
+ {
+ $restriction_relationship = 'deny';
+ }
+ $restrictions_parent[] =& new $this->feed->restriction_class($restriction_relationship, $restriction_type, $restriction_value);
+ }
+ }
+ if (is_array($restrictions_parent))
+ {
+ $restrictions_parent = array_values(SimplePie_Misc::array_unique($restrictions_parent));
+ }
+
+ // THUMBNAILS
+ if ($thumbnails = $this->get_item_tags(SIMPLEPIE_NAMESPACE_MEDIARSS, 'thumbnail'))
+ {
+ foreach ($thumbnails as $thumbnail)
+ {
+ if (isset($thumbnail['attribs']['']['url']))
+ {
+ $thumbnails_parent[] = $this->sanitize($thumbnail['attribs']['']['url'], SIMPLEPIE_CONSTRUCT_IRI);
+ }
+ }
+ }
+ elseif ($thumbnails = $parent->get_channel_tags(SIMPLEPIE_NAMESPACE_MEDIARSS, 'thumbnail'))
+ {
+ foreach ($thumbnails as $thumbnail)
+ {
+ if (isset($thumbnail['attribs']['']['url']))
+ {
+ $thumbnails_parent[] = $this->sanitize($thumbnail['attribs']['']['url'], SIMPLEPIE_CONSTRUCT_IRI);
+ }
+ }
+ }
+
+ // TITLES
+ if ($title_parent = $this->get_item_tags(SIMPLEPIE_NAMESPACE_MEDIARSS, 'title'))
+ {
+ if (isset($title_parent[0]['data']))
+ {
+ $title_parent = $this->sanitize($title_parent[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ }
+ elseif ($title_parent = $parent->get_channel_tags(SIMPLEPIE_NAMESPACE_MEDIARSS, 'title'))
+ {
+ if (isset($title_parent[0]['data']))
+ {
+ $title_parent = $this->sanitize($title_parent[0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ }
+
+ // Clear the memory
+ unset($parent);
+
+ // If we have media:group tags, loop through them.
+ foreach ((array) $this->get_item_tags(SIMPLEPIE_NAMESPACE_MEDIARSS, 'group') as $group)
+ {
+ // If we have media:content tags, loop through them.
+ foreach ((array) $group['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['content'] as $content)
+ {
+ if (isset($content['attribs']['']['url']))
+ {
+ // Attributes
+ $bitrate = null;
+ $channels = null;
+ $duration = null;
+ $expression = null;
+ $framerate = null;
+ $height = null;
+ $javascript = null;
+ $lang = null;
+ $length = null;
+ $medium = null;
+ $samplingrate = null;
+ $type = null;
+ $url = null;
+ $width = null;
+
+ // Elements
+ $captions = null;
+ $categories = null;
+ $copyrights = null;
+ $credits = null;
+ $description = null;
+ $hashes = null;
+ $keywords = null;
+ $player = null;
+ $ratings = null;
+ $restrictions = null;
+ $thumbnails = null;
+ $title = null;
+
+ // Start checking the attributes of media:content
+ if (isset($content['attribs']['']['bitrate']))
+ {
+ $bitrate = $this->sanitize($content['attribs']['']['bitrate'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($content['attribs']['']['channels']))
+ {
+ $channels = $this->sanitize($content['attribs']['']['channels'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($content['attribs']['']['duration']))
+ {
+ $duration = $this->sanitize($content['attribs']['']['duration'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ else
+ {
+ $duration = $duration_parent;
+ }
+ if (isset($content['attribs']['']['expression']))
+ {
+ $expression = $this->sanitize($content['attribs']['']['expression'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($content['attribs']['']['framerate']))
+ {
+ $framerate = $this->sanitize($content['attribs']['']['framerate'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($content['attribs']['']['height']))
+ {
+ $height = $this->sanitize($content['attribs']['']['height'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($content['attribs']['']['lang']))
+ {
+ $lang = $this->sanitize($content['attribs']['']['lang'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($content['attribs']['']['fileSize']))
+ {
+ $length = ceil($content['attribs']['']['fileSize']);
+ }
+ if (isset($content['attribs']['']['medium']))
+ {
+ $medium = $this->sanitize($content['attribs']['']['medium'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($content['attribs']['']['samplingrate']))
+ {
+ $samplingrate = $this->sanitize($content['attribs']['']['samplingrate'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($content['attribs']['']['type']))
+ {
+ $type = $this->sanitize($content['attribs']['']['type'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($content['attribs']['']['width']))
+ {
+ $width = $this->sanitize($content['attribs']['']['width'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $url = $this->sanitize($content['attribs']['']['url'], SIMPLEPIE_CONSTRUCT_IRI);
+
+ // Checking the other optional media: elements. Priority: media:content, media:group, item, channel
+
+ // CAPTIONS
+ if (isset($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['text']))
+ {
+ foreach ($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['text'] as $caption)
+ {
+ $caption_type = null;
+ $caption_lang = null;
+ $caption_startTime = null;
+ $caption_endTime = null;
+ $caption_text = null;
+ if (isset($caption['attribs']['']['type']))
+ {
+ $caption_type = $this->sanitize($caption['attribs']['']['type'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($caption['attribs']['']['lang']))
+ {
+ $caption_lang = $this->sanitize($caption['attribs']['']['lang'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($caption['attribs']['']['start']))
+ {
+ $caption_startTime = $this->sanitize($caption['attribs']['']['start'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($caption['attribs']['']['end']))
+ {
+ $caption_endTime = $this->sanitize($caption['attribs']['']['end'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($caption['data']))
+ {
+ $caption_text = $this->sanitize($caption['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $captions[] =& new $this->feed->caption_class($caption_type, $caption_lang, $caption_startTime, $caption_endTime, $caption_text);
+ }
+ if (is_array($captions))
+ {
+ $captions = array_values(SimplePie_Misc::array_unique($captions));
+ }
+ }
+ elseif (isset($group['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['text']))
+ {
+ foreach ($group['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['text'] as $caption)
+ {
+ $caption_type = null;
+ $caption_lang = null;
+ $caption_startTime = null;
+ $caption_endTime = null;
+ $caption_text = null;
+ if (isset($caption['attribs']['']['type']))
+ {
+ $caption_type = $this->sanitize($caption['attribs']['']['type'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($caption['attribs']['']['lang']))
+ {
+ $caption_lang = $this->sanitize($caption['attribs']['']['lang'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($caption['attribs']['']['start']))
+ {
+ $caption_startTime = $this->sanitize($caption['attribs']['']['start'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($caption['attribs']['']['end']))
+ {
+ $caption_endTime = $this->sanitize($caption['attribs']['']['end'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($caption['data']))
+ {
+ $caption_text = $this->sanitize($caption['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $captions[] =& new $this->feed->caption_class($caption_type, $caption_lang, $caption_startTime, $caption_endTime, $caption_text);
+ }
+ if (is_array($captions))
+ {
+ $captions = array_values(SimplePie_Misc::array_unique($captions));
+ }
+ }
+ else
+ {
+ $captions = $captions_parent;
+ }
+
+ // CATEGORIES
+ if (isset($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['category']))
+ {
+ foreach ((array) $content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['category'] as $category)
+ {
+ $term = null;
+ $scheme = null;
+ $label = null;
+ if (isset($category['data']))
+ {
+ $term = $this->sanitize($category['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($category['attribs']['']['scheme']))
+ {
+ $scheme = $this->sanitize($category['attribs']['']['scheme'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ else
+ {
+ $scheme = 'http://search.yahoo.com/mrss/category_schema';
+ }
+ if (isset($category['attribs']['']['label']))
+ {
+ $label = $this->sanitize($category['attribs']['']['label'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $categories[] =& new $this->feed->category_class($term, $scheme, $label);
+ }
+ }
+ if (isset($group['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['category']))
+ {
+ foreach ((array) $group['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['category'] as $category)
+ {
+ $term = null;
+ $scheme = null;
+ $label = null;
+ if (isset($category['data']))
+ {
+ $term = $this->sanitize($category['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($category['attribs']['']['scheme']))
+ {
+ $scheme = $this->sanitize($category['attribs']['']['scheme'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ else
+ {
+ $scheme = 'http://search.yahoo.com/mrss/category_schema';
+ }
+ if (isset($category['attribs']['']['label']))
+ {
+ $label = $this->sanitize($category['attribs']['']['label'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $categories[] =& new $this->feed->category_class($term, $scheme, $label);
+ }
+ }
+ if (is_array($categories) && is_array($categories_parent))
+ {
+ $categories = array_values(SimplePie_Misc::array_unique(array_merge($categories, $categories_parent)));
+ }
+ elseif (is_array($categories))
+ {
+ $categories = array_values(SimplePie_Misc::array_unique($categories));
+ }
+ elseif (is_array($categories_parent))
+ {
+ $categories = array_values(SimplePie_Misc::array_unique($categories_parent));
+ }
+
+ // COPYRIGHTS
+ if (isset($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['copyright']))
+ {
+ $copyright_url = null;
+ $copyright_label = null;
+ if (isset($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['copyright'][0]['attribs']['']['url']))
+ {
+ $copyright_url = $this->sanitize($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['copyright'][0]['attribs']['']['url'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['copyright'][0]['data']))
+ {
+ $copyright_label = $this->sanitize($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['copyright'][0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $copyrights =& new $this->feed->copyright_class($copyright_url, $copyright_label);
+ }
+ elseif (isset($group['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['copyright']))
+ {
+ $copyright_url = null;
+ $copyright_label = null;
+ if (isset($group['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['copyright'][0]['attribs']['']['url']))
+ {
+ $copyright_url = $this->sanitize($group['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['copyright'][0]['attribs']['']['url'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($group['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['copyright'][0]['data']))
+ {
+ $copyright_label = $this->sanitize($group['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['copyright'][0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $copyrights =& new $this->feed->copyright_class($copyright_url, $copyright_label);
+ }
+ else
+ {
+ $copyrights = $copyrights_parent;
+ }
+
+ // CREDITS
+ if (isset($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['credit']))
+ {
+ foreach ($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['credit'] as $credit)
+ {
+ $credit_role = null;
+ $credit_scheme = null;
+ $credit_name = null;
+ if (isset($credit['attribs']['']['role']))
+ {
+ $credit_role = $this->sanitize($credit['attribs']['']['role'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($credit['attribs']['']['scheme']))
+ {
+ $credit_scheme = $this->sanitize($credit['attribs']['']['scheme'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ else
+ {
+ $credit_scheme = 'urn:ebu';
+ }
+ if (isset($credit['data']))
+ {
+ $credit_name = $this->sanitize($credit['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $credits[] =& new $this->feed->credit_class($credit_role, $credit_scheme, $credit_name);
+ }
+ if (is_array($credits))
+ {
+ $credits = array_values(SimplePie_Misc::array_unique($credits));
+ }
+ }
+ elseif (isset($group['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['credit']))
+ {
+ foreach ($group['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['credit'] as $credit)
+ {
+ $credit_role = null;
+ $credit_scheme = null;
+ $credit_name = null;
+ if (isset($credit['attribs']['']['role']))
+ {
+ $credit_role = $this->sanitize($credit['attribs']['']['role'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($credit['attribs']['']['scheme']))
+ {
+ $credit_scheme = $this->sanitize($credit['attribs']['']['scheme'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ else
+ {
+ $credit_scheme = 'urn:ebu';
+ }
+ if (isset($credit['data']))
+ {
+ $credit_name = $this->sanitize($credit['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $credits[] =& new $this->feed->credit_class($credit_role, $credit_scheme, $credit_name);
+ }
+ if (is_array($credits))
+ {
+ $credits = array_values(SimplePie_Misc::array_unique($credits));
+ }
+ }
+ else
+ {
+ $credits = $credits_parent;
+ }
+
+ // DESCRIPTION
+ if (isset($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['description']))
+ {
+ $description = $this->sanitize($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['description'][0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ elseif (isset($group['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['description']))
+ {
+ $description = $this->sanitize($group['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['description'][0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ else
+ {
+ $description = $description_parent;
+ }
+
+ // HASHES
+ if (isset($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['hash']))
+ {
+ foreach ($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['hash'] as $hash)
+ {
+ $value = null;
+ $algo = null;
+ if (isset($hash['data']))
+ {
+ $value = $this->sanitize($hash['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($hash['attribs']['']['algo']))
+ {
+ $algo = $this->sanitize($hash['attribs']['']['algo'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ else
+ {
+ $algo = 'md5';
+ }
+ $hashes[] = $algo.':'.$value;
+ }
+ if (is_array($hashes))
+ {
+ $hashes = array_values(SimplePie_Misc::array_unique($hashes));
+ }
+ }
+ elseif (isset($group['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['hash']))
+ {
+ foreach ($group['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['hash'] as $hash)
+ {
+ $value = null;
+ $algo = null;
+ if (isset($hash['data']))
+ {
+ $value = $this->sanitize($hash['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($hash['attribs']['']['algo']))
+ {
+ $algo = $this->sanitize($hash['attribs']['']['algo'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ else
+ {
+ $algo = 'md5';
+ }
+ $hashes[] = $algo.':'.$value;
+ }
+ if (is_array($hashes))
+ {
+ $hashes = array_values(SimplePie_Misc::array_unique($hashes));
+ }
+ }
+ else
+ {
+ $hashes = $hashes_parent;
+ }
+
+ // KEYWORDS
+ if (isset($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['keywords']))
+ {
+ if (isset($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['keywords'][0]['data']))
+ {
+ $temp = explode(',', $this->sanitize($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['keywords'][0]['data'], SIMPLEPIE_CONSTRUCT_TEXT));
+ foreach ($temp as $word)
+ {
+ $keywords[] = trim($word);
+ }
+ unset($temp);
+ }
+ if (is_array($keywords))
+ {
+ $keywords = array_values(SimplePie_Misc::array_unique($keywords));
+ }
+ }
+ elseif (isset($group['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['keywords']))
+ {
+ if (isset($group['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['keywords'][0]['data']))
+ {
+ $temp = explode(',', $this->sanitize($group['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['keywords'][0]['data'], SIMPLEPIE_CONSTRUCT_TEXT));
+ foreach ($temp as $word)
+ {
+ $keywords[] = trim($word);
+ }
+ unset($temp);
+ }
+ if (is_array($keywords))
+ {
+ $keywords = array_values(SimplePie_Misc::array_unique($keywords));
+ }
+ }
+ else
+ {
+ $keywords = $keywords_parent;
+ }
+
+ // PLAYER
+ if (isset($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['player']))
+ {
+ $player = $this->sanitize($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['player'][0]['attribs']['']['url'], SIMPLEPIE_CONSTRUCT_IRI);
+ }
+ elseif (isset($group['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['player']))
+ {
+ $player = $this->sanitize($group['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['player'][0]['attribs']['']['url'], SIMPLEPIE_CONSTRUCT_IRI);
+ }
+ else
+ {
+ $player = $player_parent;
+ }
+
+ // RATINGS
+ if (isset($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['rating']))
+ {
+ foreach ($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['rating'] as $rating)
+ {
+ $rating_scheme = null;
+ $rating_value = null;
+ if (isset($rating['attribs']['']['scheme']))
+ {
+ $rating_scheme = $this->sanitize($rating['attribs']['']['scheme'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ else
+ {
+ $rating_scheme = 'urn:simple';
+ }
+ if (isset($rating['data']))
+ {
+ $rating_value = $this->sanitize($rating['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $ratings[] =& new $this->feed->rating_class($rating_scheme, $rating_value);
+ }
+ if (is_array($ratings))
+ {
+ $ratings = array_values(SimplePie_Misc::array_unique($ratings));
+ }
+ }
+ elseif (isset($group['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['rating']))
+ {
+ foreach ($group['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['rating'] as $rating)
+ {
+ $rating_scheme = null;
+ $rating_value = null;
+ if (isset($rating['attribs']['']['scheme']))
+ {
+ $rating_scheme = $this->sanitize($rating['attribs']['']['scheme'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ else
+ {
+ $rating_scheme = 'urn:simple';
+ }
+ if (isset($rating['data']))
+ {
+ $rating_value = $this->sanitize($rating['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $ratings[] =& new $this->feed->rating_class($rating_scheme, $rating_value);
+ }
+ if (is_array($ratings))
+ {
+ $ratings = array_values(SimplePie_Misc::array_unique($ratings));
+ }
+ }
+ else
+ {
+ $ratings = $ratings_parent;
+ }
+
+ // RESTRICTIONS
+ if (isset($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['restriction']))
+ {
+ foreach ($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['restriction'] as $restriction)
+ {
+ $restriction_relationship = null;
+ $restriction_type = null;
+ $restriction_value = null;
+ if (isset($restriction['attribs']['']['relationship']))
+ {
+ $restriction_relationship = $this->sanitize($restriction['attribs']['']['relationship'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($restriction['attribs']['']['type']))
+ {
+ $restriction_type = $this->sanitize($restriction['attribs']['']['type'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($restriction['data']))
+ {
+ $restriction_value = $this->sanitize($restriction['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $restrictions[] =& new $this->feed->restriction_class($restriction_relationship, $restriction_type, $restriction_value);
+ }
+ if (is_array($restrictions))
+ {
+ $restrictions = array_values(SimplePie_Misc::array_unique($restrictions));
+ }
+ }
+ elseif (isset($group['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['restriction']))
+ {
+ foreach ($group['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['restriction'] as $restriction)
+ {
+ $restriction_relationship = null;
+ $restriction_type = null;
+ $restriction_value = null;
+ if (isset($restriction['attribs']['']['relationship']))
+ {
+ $restriction_relationship = $this->sanitize($restriction['attribs']['']['relationship'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($restriction['attribs']['']['type']))
+ {
+ $restriction_type = $this->sanitize($restriction['attribs']['']['type'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($restriction['data']))
+ {
+ $restriction_value = $this->sanitize($restriction['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $restrictions[] =& new $this->feed->restriction_class($restriction_relationship, $restriction_type, $restriction_value);
+ }
+ if (is_array($restrictions))
+ {
+ $restrictions = array_values(SimplePie_Misc::array_unique($restrictions));
+ }
+ }
+ else
+ {
+ $restrictions = $restrictions_parent;
+ }
+
+ // THUMBNAILS
+ if (isset($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['thumbnail']))
+ {
+ foreach ($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['thumbnail'] as $thumbnail)
+ {
+ $thumbnails[] = $this->sanitize($thumbnail['attribs']['']['url'], SIMPLEPIE_CONSTRUCT_IRI);
+ }
+ if (is_array($thumbnails))
+ {
+ $thumbnails = array_values(SimplePie_Misc::array_unique($thumbnails));
+ }
+ }
+ elseif (isset($group['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['thumbnail']))
+ {
+ foreach ($group['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['thumbnail'] as $thumbnail)
+ {
+ $thumbnails[] = $this->sanitize($thumbnail['attribs']['']['url'], SIMPLEPIE_CONSTRUCT_IRI);
+ }
+ if (is_array($thumbnails))
+ {
+ $thumbnails = array_values(SimplePie_Misc::array_unique($thumbnails));
+ }
+ }
+ else
+ {
+ $thumbnails = $thumbnails_parent;
+ }
+
+ // TITLES
+ if (isset($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['title']))
+ {
+ $title = $this->sanitize($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['title'][0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ elseif (isset($group['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['title']))
+ {
+ $title = $this->sanitize($group['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['title'][0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ else
+ {
+ $title = $title_parent;
+ }
+
+ $this->data['enclosures'][] =& new $this->feed->enclosure_class($url, $type, $length, $this->feed->javascript, $bitrate, $captions, $categories, $channels, $copyrights, $credits, $description, $duration, $expression, $framerate, $hashes, $height, $keywords, $lang, $medium, $player, $ratings, $restrictions, $samplingrate, $thumbnails, $title, $width);
+ }
+ }
+ }
+
+ // If we have standalone media:content tags, loop through them.
+ if (isset($this->data['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['content']))
+ {
+ foreach ((array) $this->data['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['content'] as $content)
+ {
+ if (isset($content['attribs']['']['url']))
+ {
+ // Attributes
+ $bitrate = null;
+ $channels = null;
+ $duration = null;
+ $expression = null;
+ $framerate = null;
+ $height = null;
+ $javascript = null;
+ $lang = null;
+ $length = null;
+ $medium = null;
+ $samplingrate = null;
+ $type = null;
+ $url = null;
+ $width = null;
+
+ // Elements
+ $captions = null;
+ $categories = null;
+ $copyrights = null;
+ $credits = null;
+ $description = null;
+ $hashes = null;
+ $keywords = null;
+ $player = null;
+ $ratings = null;
+ $restrictions = null;
+ $thumbnails = null;
+ $title = null;
+
+ // Start checking the attributes of media:content
+ if (isset($content['attribs']['']['bitrate']))
+ {
+ $bitrate = $this->sanitize($content['attribs']['']['bitrate'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($content['attribs']['']['channels']))
+ {
+ $channels = $this->sanitize($content['attribs']['']['channels'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($content['attribs']['']['duration']))
+ {
+ $duration = $this->sanitize($content['attribs']['']['duration'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ else
+ {
+ $duration = $duration_parent;
+ }
+ if (isset($content['attribs']['']['expression']))
+ {
+ $expression = $this->sanitize($content['attribs']['']['expression'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($content['attribs']['']['framerate']))
+ {
+ $framerate = $this->sanitize($content['attribs']['']['framerate'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($content['attribs']['']['height']))
+ {
+ $height = $this->sanitize($content['attribs']['']['height'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($content['attribs']['']['lang']))
+ {
+ $lang = $this->sanitize($content['attribs']['']['lang'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($content['attribs']['']['fileSize']))
+ {
+ $length = ceil($content['attribs']['']['fileSize']);
+ }
+ if (isset($content['attribs']['']['medium']))
+ {
+ $medium = $this->sanitize($content['attribs']['']['medium'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($content['attribs']['']['samplingrate']))
+ {
+ $samplingrate = $this->sanitize($content['attribs']['']['samplingrate'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($content['attribs']['']['type']))
+ {
+ $type = $this->sanitize($content['attribs']['']['type'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($content['attribs']['']['width']))
+ {
+ $width = $this->sanitize($content['attribs']['']['width'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $url = $this->sanitize($content['attribs']['']['url'], SIMPLEPIE_CONSTRUCT_IRI);
+
+ // Checking the other optional media: elements. Priority: media:content, media:group, item, channel
+
+ // CAPTIONS
+ if (isset($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['text']))
+ {
+ foreach ($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['text'] as $caption)
+ {
+ $caption_type = null;
+ $caption_lang = null;
+ $caption_startTime = null;
+ $caption_endTime = null;
+ $caption_text = null;
+ if (isset($caption['attribs']['']['type']))
+ {
+ $caption_type = $this->sanitize($caption['attribs']['']['type'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($caption['attribs']['']['lang']))
+ {
+ $caption_lang = $this->sanitize($caption['attribs']['']['lang'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($caption['attribs']['']['start']))
+ {
+ $caption_startTime = $this->sanitize($caption['attribs']['']['start'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($caption['attribs']['']['end']))
+ {
+ $caption_endTime = $this->sanitize($caption['attribs']['']['end'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($caption['data']))
+ {
+ $caption_text = $this->sanitize($caption['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $captions[] =& new $this->feed->caption_class($caption_type, $caption_lang, $caption_startTime, $caption_endTime, $caption_text);
+ }
+ if (is_array($captions))
+ {
+ $captions = array_values(SimplePie_Misc::array_unique($captions));
+ }
+ }
+ else
+ {
+ $captions = $captions_parent;
+ }
+
+ // CATEGORIES
+ if (isset($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['category']))
+ {
+ foreach ((array) $content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['category'] as $category)
+ {
+ $term = null;
+ $scheme = null;
+ $label = null;
+ if (isset($category['data']))
+ {
+ $term = $this->sanitize($category['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($category['attribs']['']['scheme']))
+ {
+ $scheme = $this->sanitize($category['attribs']['']['scheme'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ else
+ {
+ $scheme = 'http://search.yahoo.com/mrss/category_schema';
+ }
+ if (isset($category['attribs']['']['label']))
+ {
+ $label = $this->sanitize($category['attribs']['']['label'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $categories[] =& new $this->feed->category_class($term, $scheme, $label);
+ }
+ }
+ if (is_array($categories) && is_array($categories_parent))
+ {
+ $categories = array_values(SimplePie_Misc::array_unique(array_merge($categories, $categories_parent)));
+ }
+ elseif (is_array($categories))
+ {
+ $categories = array_values(SimplePie_Misc::array_unique($categories));
+ }
+ elseif (is_array($categories_parent))
+ {
+ $categories = array_values(SimplePie_Misc::array_unique($categories_parent));
+ }
+ else
+ {
+ $categories = null;
+ }
+
+ // COPYRIGHTS
+ if (isset($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['copyright']))
+ {
+ $copyright_url = null;
+ $copyright_label = null;
+ if (isset($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['copyright'][0]['attribs']['']['url']))
+ {
+ $copyright_url = $this->sanitize($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['copyright'][0]['attribs']['']['url'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['copyright'][0]['data']))
+ {
+ $copyright_label = $this->sanitize($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['copyright'][0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $copyrights =& new $this->feed->copyright_class($copyright_url, $copyright_label);
+ }
+ else
+ {
+ $copyrights = $copyrights_parent;
+ }
+
+ // CREDITS
+ if (isset($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['credit']))
+ {
+ foreach ($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['credit'] as $credit)
+ {
+ $credit_role = null;
+ $credit_scheme = null;
+ $credit_name = null;
+ if (isset($credit['attribs']['']['role']))
+ {
+ $credit_role = $this->sanitize($credit['attribs']['']['role'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($credit['attribs']['']['scheme']))
+ {
+ $credit_scheme = $this->sanitize($credit['attribs']['']['scheme'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ else
+ {
+ $credit_scheme = 'urn:ebu';
+ }
+ if (isset($credit['data']))
+ {
+ $credit_name = $this->sanitize($credit['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $credits[] =& new $this->feed->credit_class($credit_role, $credit_scheme, $credit_name);
+ }
+ if (is_array($credits))
+ {
+ $credits = array_values(SimplePie_Misc::array_unique($credits));
+ }
+ }
+ else
+ {
+ $credits = $credits_parent;
+ }
+
+ // DESCRIPTION
+ if (isset($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['description']))
+ {
+ $description = $this->sanitize($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['description'][0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ else
+ {
+ $description = $description_parent;
+ }
+
+ // HASHES
+ if (isset($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['hash']))
+ {
+ foreach ($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['hash'] as $hash)
+ {
+ $value = null;
+ $algo = null;
+ if (isset($hash['data']))
+ {
+ $value = $this->sanitize($hash['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($hash['attribs']['']['algo']))
+ {
+ $algo = $this->sanitize($hash['attribs']['']['algo'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ else
+ {
+ $algo = 'md5';
+ }
+ $hashes[] = $algo.':'.$value;
+ }
+ if (is_array($hashes))
+ {
+ $hashes = array_values(SimplePie_Misc::array_unique($hashes));
+ }
+ }
+ else
+ {
+ $hashes = $hashes_parent;
+ }
+
+ // KEYWORDS
+ if (isset($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['keywords']))
+ {
+ if (isset($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['keywords'][0]['data']))
+ {
+ $temp = explode(',', $this->sanitize($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['keywords'][0]['data'], SIMPLEPIE_CONSTRUCT_TEXT));
+ foreach ($temp as $word)
+ {
+ $keywords[] = trim($word);
+ }
+ unset($temp);
+ }
+ if (is_array($keywords))
+ {
+ $keywords = array_values(SimplePie_Misc::array_unique($keywords));
+ }
+ }
+ else
+ {
+ $keywords = $keywords_parent;
+ }
+
+ // PLAYER
+ if (isset($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['player']))
+ {
+ $player = $this->sanitize($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['player'][0]['attribs']['']['url'], SIMPLEPIE_CONSTRUCT_IRI);
+ }
+ else
+ {
+ $player = $player_parent;
+ }
+
+ // RATINGS
+ if (isset($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['rating']))
+ {
+ foreach ($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['rating'] as $rating)
+ {
+ $rating_scheme = null;
+ $rating_value = null;
+ if (isset($rating['attribs']['']['scheme']))
+ {
+ $rating_scheme = $this->sanitize($rating['attribs']['']['scheme'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ else
+ {
+ $rating_scheme = 'urn:simple';
+ }
+ if (isset($rating['data']))
+ {
+ $rating_value = $this->sanitize($rating['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $ratings[] =& new $this->feed->rating_class($rating_scheme, $rating_value);
+ }
+ if (is_array($ratings))
+ {
+ $ratings = array_values(SimplePie_Misc::array_unique($ratings));
+ }
+ }
+ else
+ {
+ $ratings = $ratings_parent;
+ }
+
+ // RESTRICTIONS
+ if (isset($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['restriction']))
+ {
+ foreach ($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['restriction'] as $restriction)
+ {
+ $restriction_relationship = null;
+ $restriction_type = null;
+ $restriction_value = null;
+ if (isset($restriction['attribs']['']['relationship']))
+ {
+ $restriction_relationship = $this->sanitize($restriction['attribs']['']['relationship'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($restriction['attribs']['']['type']))
+ {
+ $restriction_type = $this->sanitize($restriction['attribs']['']['type'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($restriction['data']))
+ {
+ $restriction_value = $this->sanitize($restriction['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ $restrictions[] =& new $this->feed->restriction_class($restriction_relationship, $restriction_type, $restriction_value);
+ }
+ if (is_array($restrictions))
+ {
+ $restrictions = array_values(SimplePie_Misc::array_unique($restrictions));
+ }
+ }
+ else
+ {
+ $restrictions = $restrictions_parent;
+ }
+
+ // THUMBNAILS
+ if (isset($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['thumbnail']))
+ {
+ foreach ($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['thumbnail'] as $thumbnail)
+ {
+ $thumbnails[] = $this->sanitize($thumbnail['attribs']['']['url'], SIMPLEPIE_CONSTRUCT_IRI);
+ }
+ if (is_array($thumbnails))
+ {
+ $thumbnails = array_values(SimplePie_Misc::array_unique($thumbnails));
+ }
+ }
+ else
+ {
+ $thumbnails = $thumbnails_parent;
+ }
+
+ // TITLES
+ if (isset($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['title']))
+ {
+ $title = $this->sanitize($content['child'][SIMPLEPIE_NAMESPACE_MEDIARSS]['title'][0]['data'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ else
+ {
+ $title = $title_parent;
+ }
+
+ $this->data['enclosures'][] =& new $this->feed->enclosure_class($url, $type, $length, $this->feed->javascript, $bitrate, $captions, $categories, $channels, $copyrights, $credits, $description, $duration, $expression, $framerate, $hashes, $height, $keywords, $lang, $medium, $player, $ratings, $restrictions, $samplingrate, $thumbnails, $title, $width);
+ }
+ }
+ }
+
+ foreach ((array) $this->get_item_tags(SIMPLEPIE_NAMESPACE_ATOM_10, 'link') as $link)
+ {
+ if (isset($link['attribs']['']['href']) && !empty($link['attribs']['']['rel']) && $link['attribs']['']['rel'] == 'enclosure')
+ {
+ // Attributes
+ $bitrate = null;
+ $channels = null;
+ $duration = null;
+ $expression = null;
+ $framerate = null;
+ $height = null;
+ $javascript = null;
+ $lang = null;
+ $length = null;
+ $medium = null;
+ $samplingrate = null;
+ $type = null;
+ $url = null;
+ $width = null;
+
+ $url = $this->sanitize($link['attribs']['']['href'], SIMPLEPIE_CONSTRUCT_IRI, $this->get_base($link));
+ if (isset($link['attribs']['']['type']))
+ {
+ $type = $this->sanitize($link['attribs']['']['type'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($link['attribs']['']['length']))
+ {
+ $length = ceil($link['attribs']['']['length']);
+ }
+
+ // Since we don't have group or content for these, we'll just pass the '*_parent' variables directly to the constructor
+ $this->data['enclosures'][] =& new $this->feed->enclosure_class($url, $type, $length, $this->feed->javascript, $bitrate, $captions_parent, $categories_parent, $channels, $copyrights_parent, $credits_parent, $description_parent, $duration_parent, $expression, $framerate, $hashes_parent, $height, $keywords_parent, $lang, $medium, $player_parent, $ratings_parent, $restrictions_parent, $samplingrate, $thumbnails_parent, $title_parent, $width);
+ }
+ }
+
+ foreach ((array) $this->get_item_tags(SIMPLEPIE_NAMESPACE_ATOM_03, 'link') as $link)
+ {
+ if (isset($link['attribs']['']['href']) && !empty($link['attribs']['']['rel']) && $link['attribs']['']['rel'] == 'enclosure')
+ {
+ // Attributes
+ $bitrate = null;
+ $channels = null;
+ $duration = null;
+ $expression = null;
+ $framerate = null;
+ $height = null;
+ $javascript = null;
+ $lang = null;
+ $length = null;
+ $medium = null;
+ $samplingrate = null;
+ $type = null;
+ $url = null;
+ $width = null;
+
+ $url = $this->sanitize($link['attribs']['']['href'], SIMPLEPIE_CONSTRUCT_IRI, $this->get_base($link));
+ if (isset($link['attribs']['']['type']))
+ {
+ $type = $this->sanitize($link['attribs']['']['type'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($link['attribs']['']['length']))
+ {
+ $length = ceil($link['attribs']['']['length']);
+ }
+
+ // Since we don't have group or content for these, we'll just pass the '*_parent' variables directly to the constructor
+ $this->data['enclosures'][] =& new $this->feed->enclosure_class($url, $type, $length, $this->feed->javascript, $bitrate, $captions_parent, $categories_parent, $channels, $copyrights_parent, $credits_parent, $description_parent, $duration_parent, $expression, $framerate, $hashes_parent, $height, $keywords_parent, $lang, $medium, $player_parent, $ratings_parent, $restrictions_parent, $samplingrate, $thumbnails_parent, $title_parent, $width);
+ }
+ }
+
+ if ($enclosure = $this->get_item_tags('', 'enclosure'))
+ {
+ if (isset($enclosure[0]['attribs']['']['url']))
+ {
+ // Attributes
+ $bitrate = null;
+ $channels = null;
+ $duration = null;
+ $expression = null;
+ $framerate = null;
+ $height = null;
+ $javascript = null;
+ $lang = null;
+ $length = null;
+ $medium = null;
+ $samplingrate = null;
+ $type = null;
+ $url = null;
+ $width = null;
+
+ $url = $this->sanitize($enclosure[0]['attribs']['']['url'], SIMPLEPIE_CONSTRUCT_IRI, $this->get_base($enclosure[0]));
+ if (isset($enclosure[0]['attribs']['']['type']))
+ {
+ $type = $this->sanitize($enclosure[0]['attribs']['']['type'], SIMPLEPIE_CONSTRUCT_TEXT);
+ }
+ if (isset($enclosure[0]['attribs']['']['length']))
+ {
+ $length = ceil($enclosure[0]['attribs']['']['length']);
+ }
+
+ // Since we don't have group or content for these, we'll just pass the '*_parent' variables directly to the constructor
+ $this->data['enclosures'][] =& new $this->feed->enclosure_class($url, $type, $length, $this->feed->javascript, $bitrate, $captions_parent, $categories_parent, $channels, $copyrights_parent, $credits_parent, $description_parent, $duration_parent, $expression, $framerate, $hashes_parent, $height, $keywords_parent, $lang, $medium, $player_parent, $ratings_parent, $restrictions_parent, $samplingrate, $thumbnails_parent, $title_parent, $width);
+ }
+ }
+ $this->data['enclosures'] = array_values(SimplePie_Misc::array_unique($this->data['enclosures']));
+ }
+ if (!empty($this->data['enclosures']))
+ {
+ return $this->data['enclosures'];
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_latitude()
+ {
+ if ($return = $this->get_item_tags(SIMPLEPIE_NAMESPACE_W3C_BASIC_GEO, 'lat'))
+ {
+ return (float) $return[0]['data'];
+ }
+ elseif (($return = $this->get_item_tags(SIMPLEPIE_NAMESPACE_GEORSS, 'point')) && preg_match('/^((?:-)?[0-9]+(?:\.[0-9]+)) ((?:-)?[0-9]+(?:\.[0-9]+))$/', $return[0]['data'], $match))
+ {
+ return (float) $match[1];
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_longitude()
+ {
+ if ($return = $this->get_item_tags(SIMPLEPIE_NAMESPACE_W3C_BASIC_GEO, 'long'))
+ {
+ return (float) $return[0]['data'];
+ }
+ elseif ($return = $this->get_item_tags(SIMPLEPIE_NAMESPACE_W3C_BASIC_GEO, 'lon'))
+ {
+ return (float) $return[0]['data'];
+ }
+ elseif (($return = $this->get_item_tags(SIMPLEPIE_NAMESPACE_GEORSS, 'point')) && preg_match('/^((?:-)?[0-9]+(?:\.[0-9]+)) ((?:-)?[0-9]+(?:\.[0-9]+))$/', $return[0]['data'], $match))
+ {
+ return (float) $match[2];
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ /**
+ * Creates the add_to_* methods' return data
+ *
+ * @access private
+ * @param string $item_url String to prefix to the item permalink
+ * @param string $title_url String to prefix to the item title
+ * (and suffix to the item permalink)
+ * @return mixed URL if feed exists, false otherwise
+ */
+ function add_to_service($item_url, $title_url = null)
+ {
+ if ($this->get_permalink() !== null)
+ {
+ $return = $this->sanitize($item_url, SIMPLEPIE_CONSTRUCT_IRI) . rawurlencode($this->get_permalink());
+ if ($title_url !== null && $this->get_title() !== null)
+ {
+ $return .= $this->sanitize($title_url, SIMPLEPIE_CONSTRUCT_IRI) . rawurlencode($this->get_title());
+ }
+ return $return;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function add_to_blinklist()
+ {
+ return $this->add_to_service('http://www.blinklist.com/index.php?Action=Blink/addblink.php&Description=&Url=', '&Title=');
+ }
+
+ function add_to_blogmarks()
+ {
+ return $this->add_to_service('http://blogmarks.net/my/new.php?mini=1&simple=1&url=', '&title=');
+ }
+
+ function add_to_delicious()
+ {
+ return $this->add_to_service('http://del.icio.us/post/?v=3&url=', '&title=');
+ }
+
+ function add_to_digg()
+ {
+ return $this->add_to_service('http://digg.com/submit?phase=2&URL=');
+ }
+
+ function add_to_furl()
+ {
+ return $this->add_to_service('http://www.furl.net/storeIt.jsp?u=', '&t=');
+ }
+
+ function add_to_magnolia()
+ {
+ return $this->add_to_service('http://ma.gnolia.com/bookmarklet/add?url=', '&title=');
+ }
+
+ function add_to_myweb20()
+ {
+ return $this->add_to_service('http://myweb2.search.yahoo.com/myresults/bookmarklet?u=', '&t=');
+ }
+
+ function add_to_newsvine()
+ {
+ return $this->add_to_service('http://www.newsvine.com/_wine/save?u=', '&h=');
+ }
+
+ function add_to_reddit()
+ {
+ return $this->add_to_service('http://reddit.com/submit?url=', '&title=');
+ }
+
+ function add_to_segnalo()
+ {
+ return $this->add_to_service('http://segnalo.com/post.html.php?url=', '&title=');
+ }
+
+ function add_to_simpy()
+ {
+ return $this->add_to_service('http://www.simpy.com/simpy/LinkAdd.do?href=', '&title=');
+ }
+
+ function add_to_spurl()
+ {
+ return $this->add_to_service('http://www.spurl.net/spurl.php?v=3&url=', '&title=');
+ }
+
+ function add_to_wists()
+ {
+ return $this->add_to_service('http://wists.com/r.php?c=&r=', '&title=');
+ }
+
+ function search_technorati()
+ {
+ return $this->add_to_service('http://www.technorati.com/search/');
+ }
+}
+
+class SimplePie_Author
+{
+ var $name;
+ var $link;
+ var $email;
+
+ // Constructor, used to input the data
+ function SimplePie_Author($name = null, $link = null, $email = null)
+ {
+ $this->name = $name;
+ $this->link = $link;
+ $this->email = $email;
+ }
+
+ function __toString()
+ {
+ // There is no $this->data here
+ return md5(serialize($this));
+ }
+
+ function get_name()
+ {
+ if ($this->name !== null)
+ {
+ return $this->name;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_link()
+ {
+ if ($this->link !== null)
+ {
+ return $this->link;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_email()
+ {
+ if ($this->email !== null)
+ {
+ return $this->email;
+ }
+ else
+ {
+ return null;
+ }
+ }
+}
+
+class SimplePie_Category
+{
+ var $term;
+ var $scheme;
+ var $label;
+
+ // Constructor, used to input the data
+ function SimplePie_Category($term = null, $scheme = null, $label = null)
+ {
+ $this->term = $term;
+ $this->scheme = $scheme;
+ $this->label = $label;
+ }
+
+ function __toString()
+ {
+ // There is no $this->data here
+ return md5(serialize($this));
+ }
+
+ function get_term()
+ {
+ if ($this->term !== null)
+ {
+ return $this->term;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_scheme()
+ {
+ if ($this->scheme !== null)
+ {
+ return $this->scheme;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_label()
+ {
+ if ($this->label !== null)
+ {
+ return $this->label;
+ }
+ else
+ {
+ return $this->get_term();
+ }
+ }
+}
+
+class SimplePie_Enclosure
+{
+ var $bitrate;
+ var $captions;
+ var $categories;
+ var $channels;
+ var $copyright;
+ var $credits;
+ var $description;
+ var $duration;
+ var $expression;
+ var $framerate;
+ var $handler;
+ var $hashes;
+ var $height;
+ var $javascript;
+ var $keywords;
+ var $lang;
+ var $length;
+ var $link;
+ var $medium;
+ var $player;
+ var $ratings;
+ var $restrictions;
+ var $samplingrate;
+ var $thumbnails;
+ var $title;
+ var $type;
+ var $width;
+
+ // Constructor, used to input the data
+ function SimplePie_Enclosure($link = null, $type = null, $length = null, $javascript = null, $bitrate = null, $captions = null, $categories = null, $channels = null, $copyright = null, $credits = null, $description = null, $duration = null, $expression = null, $framerate = null, $hashes = null, $height = null, $keywords = null, $lang = null, $medium = null, $player = null, $ratings = null, $restrictions = null, $samplingrate = null, $thumbnails = null, $title = null, $width = null)
+ {
+ $this->bitrate = $bitrate;
+ $this->captions = $captions;
+ $this->categories = $categories;
+ $this->channels = $channels;
+ $this->copyright = $copyright;
+ $this->credits = $credits;
+ $this->description = $description;
+ $this->duration = $duration;
+ $this->expression = $expression;
+ $this->framerate = $framerate;
+ $this->hashes = $hashes;
+ $this->height = $height;
+ $this->javascript = $javascript;
+ $this->keywords = $keywords;
+ $this->lang = $lang;
+ $this->length = $length;
+ $this->link = $link;
+ $this->medium = $medium;
+ $this->player = $player;
+ $this->ratings = $ratings;
+ $this->restrictions = $restrictions;
+ $this->samplingrate = $samplingrate;
+ $this->thumbnails = $thumbnails;
+ $this->title = $title;
+ $this->type = $type;
+ $this->width = $width;
+ if (class_exists('idna_convert'))
+ {
+ $idn =& new idna_convert;
+ $parsed = SimplePie_Misc::parse_url($link);
+ $this->link = SimplePie_Misc::compress_parse_url($parsed['scheme'], $idn->encode($parsed['authority']), $parsed['path'], $parsed['query'], $parsed['fragment']);
+ }
+ $this->handler = $this->get_handler(); // Needs to load last
+ }
+
+ function __toString()
+ {
+ // There is no $this->data here
+ return md5(serialize($this));
+ }
+
+ function get_bitrate()
+ {
+ if ($this->bitrate !== null)
+ {
+ return $this->bitrate;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_caption($key = 0)
+ {
+ $captions = $this->get_captions();
+ if (isset($captions[$key]))
+ {
+ return $captions[$key];
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_captions()
+ {
+ if ($this->captions !== null)
+ {
+ return $this->captions;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_category($key = 0)
+ {
+ $categories = $this->get_categories();
+ if (isset($categories[$key]))
+ {
+ return $categories[$key];
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_categories()
+ {
+ if ($this->categories !== null)
+ {
+ return $this->categories;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_channels()
+ {
+ if ($this->channels !== null)
+ {
+ return $this->channels;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_copyright()
+ {
+ if ($this->copyright !== null)
+ {
+ return $this->copyright;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_credit($key = 0)
+ {
+ $credits = $this->get_credits();
+ if (isset($credits[$key]))
+ {
+ return $credits[$key];
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_credits()
+ {
+ if ($this->credits !== null)
+ {
+ return $this->credits;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_description()
+ {
+ if ($this->description !== null)
+ {
+ return $this->description;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_duration($convert = false)
+ {
+ if ($this->duration !== null)
+ {
+ if ($convert)
+ {
+ $time = SimplePie_Misc::time_hms($this->duration);
+ return $time;
+ }
+ else
+ {
+ return $this->duration;
+ }
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_expression()
+ {
+ if ($this->expression !== null)
+ {
+ return $this->expression;
+ }
+ else
+ {
+ return 'full';
+ }
+ }
+
+ function get_extension()
+ {
+ if ($this->link !== null)
+ {
+ $url = SimplePie_Misc::parse_url($this->link);
+ if ($url['path'] !== '')
+ {
+ return pathinfo($url['path'], PATHINFO_EXTENSION);
+ }
+ }
+ return null;
+ }
+
+ function get_framerate()
+ {
+ if ($this->framerate !== null)
+ {
+ return $this->framerate;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_handler()
+ {
+ return $this->get_real_type(true);
+ }
+
+ function get_hash($key = 0)
+ {
+ $hashes = $this->get_hashes();
+ if (isset($hashes[$key]))
+ {
+ return $hashes[$key];
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_hashes()
+ {
+ if ($this->hashes !== null)
+ {
+ return $this->hashes;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_height()
+ {
+ if ($this->height !== null)
+ {
+ return $this->height;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_language()
+ {
+ if ($this->lang !== null)
+ {
+ return $this->lang;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_keyword($key = 0)
+ {
+ $keywords = $this->get_keywords();
+ if (isset($keywords[$key]))
+ {
+ return $keywords[$key];
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_keywords()
+ {
+ if ($this->keywords !== null)
+ {
+ return $this->keywords;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_length()
+ {
+ if ($this->length !== null)
+ {
+ return $this->length;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_link()
+ {
+ if ($this->link !== null)
+ {
+ return urldecode($this->link);
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_medium()
+ {
+ if ($this->medium !== null)
+ {
+ return $this->medium;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_player()
+ {
+ if ($this->player !== null)
+ {
+ return $this->player;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_rating($key = 0)
+ {
+ $ratings = $this->get_ratings();
+ if (isset($ratings[$key]))
+ {
+ return $ratings[$key];
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_ratings()
+ {
+ if ($this->ratings !== null)
+ {
+ return $this->ratings;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_restriction($key = 0)
+ {
+ $restrictions = $this->get_restrictions();
+ if (isset($restrictions[$key]))
+ {
+ return $restrictions[$key];
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_restrictions()
+ {
+ if ($this->restrictions !== null)
+ {
+ return $this->restrictions;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_sampling_rate()
+ {
+ if ($this->samplingrate !== null)
+ {
+ return $this->samplingrate;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_size()
+ {
+ $length = $this->get_length();
+ if ($length !== null)
+ {
+ return round($length/1048576, 2);
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_thumbnail($key = 0)
+ {
+ $thumbnails = $this->get_thumbnails();
+ if (isset($thumbnails[$key]))
+ {
+ return $thumbnails[$key];
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_thumbnails()
+ {
+ if ($this->thumbnails !== null)
+ {
+ return $this->thumbnails;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_title()
+ {
+ if ($this->title !== null)
+ {
+ return $this->title;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_type()
+ {
+ if ($this->type !== null)
+ {
+ return $this->type;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_width()
+ {
+ if ($this->width !== null)
+ {
+ return $this->width;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function native_embed($options='')
+ {
+ return $this->embed($options, true);
+ }
+
+ /**
+ * @todo If the dimensions for media:content are defined, use them when width/height are set to 'auto'.
+ */
+ function embed($options = '', $native = false)
+ {
+ // Set up defaults
+ $audio = '';
+ $video = '';
+ $alt = '';
+ $altclass = '';
+ $loop = 'false';
+ $width = 'auto';
+ $height = 'auto';
+ $bgcolor = '#ffffff';
+ $mediaplayer = '';
+ $widescreen = false;
+ $handler = $this->get_handler();
+ $type = $this->get_real_type();
+
+ // Process options and reassign values as necessary
+ if (is_array($options))
+ {
+ extract($options);
+ }
+ else
+ {
+ $options = explode(',', $options);
+ foreach($options as $option)
+ {
+ $opt = explode(':', $option, 2);
+ if (isset($opt[0], $opt[1]))
+ {
+ $opt[0] = trim($opt[0]);
+ $opt[1] = trim($opt[1]);
+ switch ($opt[0])
+ {
+ case 'audio':
+ $audio = $opt[1];
+ break;
+
+ case 'video':
+ $video = $opt[1];
+ break;
+
+ case 'alt':
+ $alt = $opt[1];
+ break;
+
+ case 'altclass':
+ $altclass = $opt[1];
+ break;
+
+ case 'loop':
+ $loop = $opt[1];
+ break;
+
+ case 'width':
+ $width = $opt[1];
+ break;
+
+ case 'height':
+ $height = $opt[1];
+ break;
+
+ case 'bgcolor':
+ $bgcolor = $opt[1];
+ break;
+
+ case 'mediaplayer':
+ $mediaplayer = $opt[1];
+ break;
+
+ case 'widescreen':
+ $widescreen = $opt[1];
+ break;
+ }
+ }
+ }
+ }
+
+ $mime = explode('/', $type, 2);
+ $mime = $mime[0];
+
+ // Process values for 'auto'
+ if ($width == 'auto')
+ {
+ if ($mime == 'video')
+ {
+ if ($height == 'auto')
+ {
+ $width = 480;
+ }
+ elseif ($widescreen)
+ {
+ $width = round((intval($height)/9)*16);
+ }
+ else
+ {
+ $width = round((intval($height)/3)*4);
+ }
+ }
+ else
+ {
+ $width = '100%';
+ }
+ }
+
+ if ($height == 'auto')
+ {
+ if ($mime == 'audio')
+ {
+ $height = 0;
+ }
+ elseif ($mime == 'video')
+ {
+ if ($width == 'auto')
+ {
+ if ($widescreen)
+ {
+ $height = 270;
+ }
+ else
+ {
+ $height = 360;
+ }
+ }
+ elseif ($widescreen)
+ {
+ $height = round((intval($width)/16)*9);
+ }
+ else
+ {
+ $height = round((intval($width)/4)*3);
+ }
+ }
+ else
+ {
+ $height = 376;
+ }
+ }
+ elseif ($mime == 'audio')
+ {
+ $height = 0;
+ }
+
+ // Set proper placeholder value
+ if ($mime == 'audio')
+ {
+ $placeholder = $audio;
+ }
+ elseif ($mime == 'video')
+ {
+ $placeholder = $video;
+ }
+
+ $embed = '';
+
+ // Make sure the JS library is included
+ if (!$native)
+ {
+ static $javascript_outputted = null;
+ if (!$javascript_outputted && $this->javascript)
+ {
+ $embed .= '<script type="text/javascript" src="?' . htmlspecialchars($this->javascript) . '"></script>';
+ $javascript_outputted = true;
+ }
+ }
+
+ // Odeo Feed MP3's
+ if ($handler == 'odeo')
+ {
+ if ($native)
+ {
+ $embed .= '<embed src="http://odeo.com/flash/audio_player_fullsize.swf" pluginspage="http://adobe.com/go/getflashplayer" type="application/x-shockwave-flash" quality="high" width="440" height="80" wmode="transparent" allowScriptAccess="any" flashvars="valid_sample_rate=true&external_url=' . $this->get_link() . '"></embed>';
+ }
+ else
+ {
+ $embed .= '<script type="text/javascript">embed_odeo("' . $this->get_link() . '");</script>';
+ }
+ }
+
+ // Flash
+ elseif ($handler == 'flash')
+ {
+ if ($native)
+ {
+ $embed .= "<embed src=\"" . $this->get_link() . "\" pluginspage=\"http://adobe.com/go/getflashplayer\" type=\"$type\" quality=\"high\" width=\"$width\" height=\"$height\" bgcolor=\"$bgcolor\" loop=\"$loop\"></embed>";
+ }
+ else
+ {
+ $embed .= "<script type='text/javascript'>embed_flash('$bgcolor', '$width', '$height', '" . $this->get_link() . "', '$loop', '$type');</script>";
+ }
+ }
+
+ // Flash Media Player file types.
+ // Preferred handler for MP3 file types.
+ elseif ($handler == 'fmedia' || ($handler == 'mp3' && $mediaplayer != ''))
+ {
+ $height += 20;
+ if ($native)
+ {
+ $embed .= "<embed src=\"$mediaplayer\" pluginspage=\"http://adobe.com/go/getflashplayer\" type=\"application/x-shockwave-flash\" quality=\"high\" width=\"$width\" height=\"$height\" wmode=\"transparent\" flashvars=\"file=" . rawurlencode($this->get_link().'?file_extension=.'.$this->get_extension()) . "&autostart=false&repeat=$loop&showdigits=true&showfsbutton=false\"></embed>";
+ }
+ else
+ {
+ $embed .= "<script type='text/javascript'>embed_flv('$width', '$height', '" . rawurlencode($this->get_link().'?file_extension=.'.$this->get_extension()) . "', '$placeholder', '$loop', '$mediaplayer');</script>";
+ }
+ }
+
+ // QuickTime 7 file types. Need to test with QuickTime 6.
+ // Only handle MP3's if the Flash Media Player is not present.
+ elseif ($handler == 'quicktime' || ($handler == 'mp3' && $mediaplayer == ''))
+ {
+ $height += 16;
+ if ($native)
+ {
+ if ($placeholder != ""){
+ $embed .= "<embed type=\"$type\" style=\"cursor:hand; cursor:pointer;\" href=\"" . $this->get_link() . "\" src=\"$placeholder\" width=\"$width\" height=\"$height\" autoplay=\"false\" target=\"myself\" controller=\"false\" loop=\"$loop\" scale=\"aspect\" bgcolor=\"$bgcolor\" pluginspage=\"http://apple.com/quicktime/download/\"></embed>";
+ }
+ else {
+ $embed .= "<embed type=\"$type\" style=\"cursor:hand; cursor:pointer;\" src=\"" . $this->get_link() . "\" width=\"$width\" height=\"$height\" autoplay=\"false\" target=\"myself\" controller=\"true\" loop=\"$loop\" scale=\"aspect\" bgcolor=\"$bgcolor\" pluginspage=\"http://apple.com/quicktime/download/\"></embed>";
+ }
+ }
+ else
+ {
+ $embed .= "<script type='text/javascript'>embed_quicktime('$type', '$bgcolor', '$width', '$height', '" . $this->get_link() . "', '$placeholder', '$loop');</script>";
+ }
+ }
+
+ // Windows Media
+ elseif ($handler == 'wmedia')
+ {
+ $height += 45;
+ if ($native)
+ {
+ $embed .= "<embed type=\"application/x-mplayer2\" src=\"" . $this->get_link() . "\" autosize=\"1\" width=\"$width\" height=\"$height\" showcontrols=\"1\" showstatusbar=\"0\" showdisplay=\"0\" autostart=\"0\"></embed>";
+ }
+ else
+ {
+ $embed .= "<script type='text/javascript'>embed_wmedia('$width', '$height', '" . $this->get_link() . "');</script>";
+ }
+ }
+
+ // Everything else
+ else $embed .= '<a href="' . $this->get_link() . '" class="' . $altclass . '">' . $alt . '</a>';
+
+ return $embed;
+ }
+
+ function get_real_type($find_handler = false)
+ {
+ // If it's Odeo, let's get it out of the way.
+ if (substr(strtolower($this->get_link()), 0, 15) == 'http://odeo.com')
+ {
+ return 'odeo';
+ }
+
+ // Mime-types by handler.
+ $types_flash = array('application/x-shockwave-flash', 'application/futuresplash'); // Flash
+ $types_fmedia = array('video/flv', 'video/x-flv'); // Flash Media Player
+ $types_quicktime = array('audio/3gpp', 'audio/3gpp2', 'audio/aac', 'audio/x-aac', 'audio/aiff', 'audio/x-aiff', 'audio/mid', 'audio/midi', 'audio/x-midi', 'audio/mp4', 'audio/m4a', 'audio/x-m4a', 'audio/wav', 'audio/x-wav', 'video/3gpp', 'video/3gpp2', 'video/m4v', 'video/x-m4v', 'video/mp4', 'video/mpeg', 'video/x-mpeg', 'video/quicktime', 'video/sd-video'); // QuickTime
+ $types_wmedia = array('application/asx', 'application/x-mplayer2', 'audio/x-ms-wma', 'audio/x-ms-wax', 'video/x-ms-asf-plugin', 'video/x-ms-asf', 'video/x-ms-wm', 'video/x-ms-wmv', 'video/x-ms-wvx'); // Windows Media
+ $types_mp3 = array('audio/mp3', 'audio/x-mp3', 'audio/mpeg', 'audio/x-mpeg'); // MP3
+
+ if ($this->get_type() !== null)
+ {
+ $type = strtolower($this->type);
+ }
+ else
+ {
+ $type = null;
+ }
+
+ // If we encounter an unsupported mime-type, check the file extension and guess intelligently.
+ if (!in_array($type, array_merge($types_flash, $types_fmedia, $types_quicktime, $types_wmedia, $types_mp3)))
+ {
+ switch (strtolower($this->get_extension()))
+ {
+ // Audio mime-types
+ case 'aac':
+ case 'adts':
+ $type = 'audio/acc';
+ break;
+
+ case 'aif':
+ case 'aifc':
+ case 'aiff':
+ case 'cdda':
+ $type = 'audio/aiff';
+ break;
+
+ case 'bwf':
+ $type = 'audio/wav';
+ break;
+
+ case 'kar':
+ case 'mid':
+ case 'midi':
+ case 'smf':
+ $type = 'audio/midi';
+ break;
+
+ case 'm4a':
+ $type = 'audio/x-m4a';
+ break;
+
+ case 'mp3':
+ case 'swa':
+ $type = 'audio/mp3';
+ break;
+
+ case 'wav':
+ $type = 'audio/wav';
+ break;
+
+ case 'wax':
+ $type = 'audio/x-ms-wax';
+ break;
+
+ case 'wma':
+ $type = 'audio/x-ms-wma';
+ break;
+
+ // Video mime-types
+ case '3gp':
+ case '3gpp':
+ $type = 'video/3gpp';
+ break;
+
+ case '3g2':
+ case '3gp2':
+ $type = 'video/3gpp2';
+ break;
+
+ case 'asf':
+ $type = 'video/x-ms-asf';
+ break;
+
+ case 'flv':
+ $type = 'video/x-flv';
+ break;
+
+ case 'm1a':
+ case 'm1s':
+ case 'm1v':
+ case 'm15':
+ case 'm75':
+ case 'mp2':
+ case 'mpa':
+ case 'mpeg':
+ case 'mpg':
+ case 'mpm':
+ case 'mpv':
+ $type = 'video/mpeg';
+ break;
+
+ case 'm4v':
+ $type = 'video/x-m4v';
+ break;
+
+ case 'mov':
+ case 'qt':
+ $type = 'video/quicktime';
+ break;
+
+ case 'mp4':
+ case 'mpg4':
+ $type = 'video/mp4';
+ break;
+
+ case 'sdv':
+ $type = 'video/sd-video';
+ break;
+
+ case 'wm':
+ $type = 'video/x-ms-wm';
+ break;
+
+ case 'wmv':
+ $type = 'video/x-ms-wmv';
+ break;
+
+ case 'wvx':
+ $type = 'video/x-ms-wvx';
+ break;
+
+ // Flash mime-types
+ case 'spl':
+ $type = 'application/futuresplash';
+ break;
+
+ case 'swf':
+ $type = 'application/x-shockwave-flash';
+ break;
+ }
+ }
+
+ if ($find_handler)
+ {
+ if (in_array($type, $types_flash))
+ {
+ return 'flash';
+ }
+ elseif (in_array($type, $types_fmedia))
+ {
+ return 'fmedia';
+ }
+ elseif (in_array($type, $types_quicktime))
+ {
+ return 'quicktime';
+ }
+ elseif (in_array($type, $types_wmedia))
+ {
+ return 'wmedia';
+ }
+ elseif (in_array($type, $types_mp3))
+ {
+ return 'mp3';
+ }
+ else
+ {
+ return null;
+ }
+ }
+ else
+ {
+ return $type;
+ }
+ }
+}
+
+class SimplePie_Caption
+{
+ var $type;
+ var $lang;
+ var $startTime;
+ var $endTime;
+ var $text;
+
+ // Constructor, used to input the data
+ function SimplePie_Caption($type = null, $lang = null, $startTime = null, $endTime = null, $text = null)
+ {
+ $this->type = $type;
+ $this->lang = $lang;
+ $this->startTime = $startTime;
+ $this->endTime = $endTime;
+ $this->text = $text;
+ }
+
+ function __toString()
+ {
+ // There is no $this->data here
+ return md5(serialize($this));
+ }
+
+ function get_endtime()
+ {
+ if ($this->endTime !== null)
+ {
+ return $this->endTime;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_language()
+ {
+ if ($this->language !== null)
+ {
+ return $this->language;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_starttime()
+ {
+ if ($this->startTime !== null)
+ {
+ return $this->startTime;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_text()
+ {
+ if ($this->text !== null)
+ {
+ return $this->text;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_type()
+ {
+ if ($this->type !== null)
+ {
+ return $this->type;
+ }
+ else
+ {
+ return null;
+ }
+ }
+}
+
+class SimplePie_Credit
+{
+ var $role;
+ var $scheme;
+ var $name;
+
+ // Constructor, used to input the data
+ function SimplePie_Credit($role = null, $scheme = null, $name = null)
+ {
+ $this->role = $role;
+ $this->scheme = $scheme;
+ $this->name = $name;
+ }
+
+ function __toString()
+ {
+ // There is no $this->data here
+ return md5(serialize($this));
+ }
+
+ function get_role()
+ {
+ if ($this->role !== null)
+ {
+ return $this->role;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_scheme()
+ {
+ if ($this->scheme !== null)
+ {
+ return $this->scheme;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_name()
+ {
+ if ($this->name !== null)
+ {
+ return $this->name;
+ }
+ else
+ {
+ return null;
+ }
+ }
+}
+
+class SimplePie_Copyright
+{
+ var $url;
+ var $label;
+
+ // Constructor, used to input the data
+ function SimplePie_Copyright($url = null, $label = null)
+ {
+ $this->url = $url;
+ $this->label = $label;
+ }
+
+ function __toString()
+ {
+ // There is no $this->data here
+ return md5(serialize($this));
+ }
+
+ function get_url()
+ {
+ if ($this->url !== null)
+ {
+ return $this->url;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_attribution()
+ {
+ if ($this->label !== null)
+ {
+ return $this->label;
+ }
+ else
+ {
+ return null;
+ }
+ }
+}
+
+class SimplePie_Rating
+{
+ var $scheme;
+ var $value;
+
+ // Constructor, used to input the data
+ function SimplePie_Rating($scheme = null, $value = null)
+ {
+ $this->scheme = $scheme;
+ $this->value = $value;
+ }
+
+ function __toString()
+ {
+ // There is no $this->data here
+ return md5(serialize($this));
+ }
+
+ function get_scheme()
+ {
+ if ($this->scheme !== null)
+ {
+ return $this->scheme;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_value()
+ {
+ if ($this->value !== null)
+ {
+ return $this->value;
+ }
+ else
+ {
+ return null;
+ }
+ }
+}
+
+class SimplePie_Restriction
+{
+ var $relationship;
+ var $type;
+ var $value;
+
+ // Constructor, used to input the data
+ function SimplePie_Restriction($relationship = null, $type = null, $value = null)
+ {
+ $this->relationship = $relationship;
+ $this->type = $type;
+ $this->value = $value;
+ }
+
+ function __toString()
+ {
+ // There is no $this->data here
+ return md5(serialize($this));
+ }
+
+ function get_relationship()
+ {
+ if ($this->relationship !== null)
+ {
+ return $this->relationship;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_type()
+ {
+ if ($this->type !== null)
+ {
+ return $this->type;
+ }
+ else
+ {
+ return null;
+ }
+ }
+
+ function get_value()
+ {
+ if ($this->value !== null)
+ {
+ return $this->value;
+ }
+ else
+ {
+ return null;
+ }
+ }
+}
+
+/**
+ * @todo Move to properly supporting RFC2616 (HTTP/1.1)
+ */
+class SimplePie_File
+{
+ var $url;
+ var $useragent;
+ var $success = true;
+ var $headers = array();
+ var $body;
+ var $status_code;
+ var $redirects = 0;
+ var $error;
+ var $method;
+
+ function SimplePie_File($url, $timeout = 10, $redirects = 5, $headers = null, $useragent = null, $force_fsockopen = false)
+ {
+ if (class_exists('idna_convert'))
+ {
+ $idn =& new idna_convert;
+ $parsed = SimplePie_Misc::parse_url($url);
+ $url = SimplePie_Misc::compress_parse_url($parsed['scheme'], $idn->encode($parsed['authority']), $parsed['path'], $parsed['query'], $parsed['fragment']);
+ }
+ $this->url = $url;
+ $this->useragent = $useragent;
+ if (preg_match('/^http(s)?:\/\//i', $url))
+ {
+ if ($useragent === null)
+ {
+ $useragent = ini_get('user_agent');
+ $this->useragent = $useragent;
+ }
+ if (!is_array($headers))
+ {
+ $headers = array();
+ }
+ if (!$force_fsockopen && extension_loaded('curl'))
+ {
+ $this->method = 'curl';
+ $fp = curl_init();
+ $headers2 = array();
+ foreach ($headers as $key => $value)
+ {
+ $headers2[] = "$key: $value";
+ }
+ if (version_compare(SimplePie_Misc::get_curl_version(), '7.10.5', '>='))
+ {
+ curl_setopt($fp, CURLOPT_ENCODING, '');
+ }
+ curl_setopt($fp, CURLOPT_URL, $url);
+ curl_setopt($fp, CURLOPT_HEADER, 1);
+ curl_setopt($fp, CURLOPT_RETURNTRANSFER, 1);
+ curl_setopt($fp, CURLOPT_TIMEOUT, $timeout);
+ curl_setopt($fp, CURLOPT_CONNECTTIMEOUT, $timeout);
+ curl_setopt($fp, CURLOPT_REFERER, $url);
+ curl_setopt($fp, CURLOPT_USERAGENT, $useragent);
+ curl_setopt($fp, CURLOPT_HTTPHEADER, $headers2);
+ if (!ini_get('open_basedir') && !ini_get('safe_mode') && version_compare(SimplePie_Misc::get_curl_version(), '7.15.2', '>='))
+ {
+ curl_setopt($fp, CURLOPT_FOLLOWLOCATION, 1);
+ curl_setopt($fp, CURLOPT_MAXREDIRS, $redirects);
+ }
+
+ $this->headers = curl_exec($fp);
+ if (curl_errno($fp) == 23 || curl_errno($fp) == 61)
+ {
+ curl_setopt($fp, CURLOPT_ENCODING, 'none');
+ $this->headers = curl_exec($fp);
+ }
+ if (curl_errno($fp))
+ {
+ $this->error = 'cURL error ' . curl_errno($fp) . ': ' . curl_error($fp);
+ $this->success = false;
+ }
+ else
+ {
+ $info = curl_getinfo($fp);
+ curl_close($fp);
+ $this->headers = explode("\r\n\r\n", $this->headers, $info['redirect_count'] + 1);
+ $this->headers = array_pop($this->headers);
+ $parser =& new SimplePie_HTTP_Parser($this->headers);
+ if ($parser->parse())
+ {
+ $this->headers = $parser->headers;
+ $this->body = $parser->body;
+ $this->status_code = $parser->status_code;
+ if (($this->status_code == 300 || $this->status_code == 301 || $this->status_code == 302 || $this->status_code == 303 || $this->status_code == 307 || $this->status_code > 307 && $this->status_code < 400) && isset($this->headers['location']) && $this->redirects < $redirects)
+ {
+ $this->redirects++;
+ if (isset($this->headers['content-location']))
+ {
+ $location = SimplePie_Misc::absolutize_url($this->headers['location'], SimplePie_Misc::absolutize_url($this->headers['content-location'], $url));
+ }
+ else
+ {
+ $location = SimplePie_Misc::absolutize_url($this->headers['location'], $url);
+ }
+ return $this->SimplePie_File($location, $timeout, $redirects, $headers, $useragent, $force_fsockopen);
+ }
+ }
+ }
+ }
+ else
+ {
+ $this->method = 'fsockopen';
+ $url_parts = parse_url($url);
+ if (isset($url_parts['scheme']) && strtolower($url_parts['scheme']) == 'https')
+ {
+ $url_parts['host'] = "ssl://$url_parts[host]";
+ $url_parts['port'] = 443;
+ }
+ if (!isset($url_parts['port']))
+ {
+ $url_parts['port'] = 80;
+ }
+ $fp = fsockopen($url_parts['host'], $url_parts['port'], $errno, $errstr, $timeout);
+ if (!$fp)
+ {
+ $this->error = 'fsockopen error: ' . $errstr;
+ $this->success = false;
+ }
+ else
+ {
+ if (function_exists('stream_set_timeout'))
+ {
+ stream_set_timeout($fp, $timeout);
+ }
+ else
+ {
+ socket_set_timeout($fp, $timeout);
+ }
+ if (isset($url_parts['path']))
+ {
+ if (isset($url_parts['query']))
+ {
+ $get = "$url_parts[path]?$url_parts[query]";
+ }
+ else
+ {
+ $get = $url_parts['path'];
+ }
+ }
+ else
+ {
+ $get = '/';
+ }
+ $out = "GET $get HTTP/1.0\r\n";
+ $out .= "Host: $url_parts[host]\r\n";
+ $out .= "User-Agent: $useragent\r\n";
+ if (function_exists('gzinflate'))
+ {
+ $out .= "Accept-Encoding: gzip,deflate\r\n";
+ }
+
+ if (isset($url_parts['user']) && isset($url_parts['pass']))
+ {
+ $out .= "Authorization: Basic " . base64_encode("$url_parts[user]:$url_parts[pass]") . "\r\n";
+ }
+ foreach ($headers as $key => $value)
+ {
+ $out .= "$key: $value\r\n";
+ }
+ $out .= "Connection: Close\r\n\r\n";
+ fwrite($fp, $out);
+
+ if (function_exists('stream_get_meta_data'))
+ {
+ $info = stream_get_meta_data($fp);
+ }
+ else
+ {
+ $info = socket_get_status($fp);
+ }
+
+ $this->headers = '';
+ while (!$info['eof'] && !$info['timed_out'])
+ {
+ $this->headers .= fread($fp, 1160);
+ if (function_exists('stream_get_meta_data'))
+ {
+ $info = stream_get_meta_data($fp);
+ }
+ else
+ {
+ $info = socket_get_status($fp);
+ }
+ }
+ if (!$info['timed_out'])
+ {
+ $parser =& new SimplePie_HTTP_Parser($this->headers);
+ if ($parser->parse())
+ {
+ $this->headers = $parser->headers;
+ $this->body = $parser->body;
+ $this->status_code = $parser->status_code;
+ if (($this->status_code == 300 || $this->status_code == 301 || $this->status_code == 302 || $this->status_code == 303 || $this->status_code == 307 || $this->status_code > 307 && $this->status_code < 400) && isset($this->headers['location']) && $this->redirects < $redirects)
+ {
+ $this->redirects++;
+ if (isset($this->headers['content-location']))
+ {
+ $location = SimplePie_Misc::absolutize_url($this->headers['location'], SimplePie_Misc::absolutize_url($this->headers['content-location'], $url));
+ }
+ else
+ {
+ $location = SimplePie_Misc::absolutize_url($this->headers['location'], $url);
+ }
+ return $this->SimplePie_File($location, $timeout, $redirects, $headers, $useragent, $force_fsockopen);
+ }
+ if (isset($this->headers['content-encoding']) && ($this->headers['content-encoding'] == 'gzip' || $this->headers['content-encoding'] == 'deflate'))
+ {
+ if (substr($this->body, 0, 8) == "\x1f\x8b\x08\x00\x00\x00\x00\x00")
+ {
+ $this->body = substr($this->body, 10);
+ }
+ $this->body = gzinflate($this->body);
+ }
+ }
+ }
+ else
+ {
+ $this->error = 'fsocket timed out';
+ $this->success = false;
+ }
+ fclose($fp);
+ }
+ }
+ }
+ elseif (function_exists('file_get_contents'))
+ {
+ $this->method = 'file_get_contents';
+ if (!$this->body = file_get_contents($url))
+ {
+ $this->error = 'file_get_contents could not read the file';
+ $this->success = false;
+ }
+ }
+ else
+ {
+ $this->method = 'fopen';
+ if (($fp = fopen($url, 'rb')) === false)
+ {
+ $this->error = 'failed to open stream: No such file or directory';
+ $this->success = false;
+ }
+ else
+ {
+ $this->body = '';
+ while (!feof($fp))
+ {
+ $this->body .= fread($fp, 8192);
+ }
+ fclose($fp);
+ }
+ }
+ }
+}
+
+/**
+ * HTTP Response Parser
+ *
+ * @package SimplePie
+ * @todo Support HTTP Requests
+ */
+class SimplePie_HTTP_Parser
+{
+ /**
+ * HTTP Version
+ *
+ * @access public
+ * @var string
+ */
+ var $http_version = '';
+
+ /**
+ * Status code
+ *
+ * @access public
+ * @var string
+ */
+ var $status_code = '';
+
+ /**
+ * Reason phrase
+ *
+ * @access public
+ * @var string
+ */
+ var $reason = '';
+
+ /**
+ * Key/value pairs of the headers
+ *
+ * @access public
+ * @var array
+ */
+ var $headers = array();
+
+ /**
+ * Body of the response
+ *
+ * @access public
+ * @var string
+ */
+ var $body = '';
+
+ /**
+ * Current state of the state machine
+ *
+ * @access private
+ * @var string
+ */
+ var $state = 'start';
+
+ /**
+ * Input data
+ *
+ * @access private
+ * @var string
+ */
+ var $data = '';
+
+ /**
+ * Input data length (to avoid calling strlen() everytime this is needed)
+ *
+ * @access private
+ * @var int
+ */
+ var $data_length = 0;
+
+ /**
+ * Current position of the pointer
+ *
+ * @access private
+ * @var int
+ */
+ var $position = 0;
+
+ /**
+ * Name of the hedaer currently being parsed
+ *
+ * @access private
+ * @var string
+ */
+ var $name = '';
+
+ /**
+ * Value of the hedaer currently being parsed
+ *
+ * @access private
+ * @var string
+ */
+ var $value = '';
+
+ /**
+ * Create an instance of the class with the input data
+ *
+ * @access public
+ * @param string $data Input data
+ */
+ function SimplePie_HTTP_Parser($data)
+ {
+ $this->data = $data;
+ $this->data_length = strlen($this->data);
+ }
+
+ /**
+ * Parse the input data
+ *
+ * @access public
+ * @return bool true on success, false on failure
+ */
+ function parse()
+ {
+ while ($this->state && $this->state != 'emit' && $this->has_data())
+ {
+ $state = $this->state;
+ $this->$state();
+ }
+ $this->data = '';
+ if ($this->state == 'emit')
+ {
+ return true;
+ }
+ else
+ {
+ $this->http_version = '';
+ $this->status_code = '';
+ $this->headers = array();
+ $this->body = '';
+ return false;
+ }
+ }
+
+ /**
+ * Check whether there is data beyond the pointer
+ *
+ * @access private
+ * @return bool true if there is further data, false if not
+ */
+ function has_data()
+ {
+ return (bool) ($this->position < $this->data_length);
+ }
+
+ /**
+ * See if the next character is LWS
+ *
+ * @access private
+ * @return bool true if the next character is LWS, false if not
+ */
+ function is_linear_whitespace()
+ {
+ return (bool) (strspn($this->data, "\x09\x20", $this->position, 1)
+ || (substr($this->data, $this->position, 2) == "\r\n" && strspn($this->data, "\x09\x20", $this->position + 2, 1))
+ || (strspn($this->data, "\r\n", $this->position, 1) && strspn($this->data, "\x09\x20", $this->position + 1, 1)));
+ }
+
+ /**
+ * The starting state of the state machine, see if the data is a response or request
+ *
+ * @access private
+ */
+ function start()
+ {
+ $this->state = 'http_version_response';
+ }
+
+ /**
+ * Parse an HTTP-version string
+ *
+ * @access private
+ */
+ function http_version()
+ {
+ if (preg_match('/^HTTP\/([0-9]+\.[0-9]+)/i', substr($this->data, $this->position, strcspn($this->data, "\r\n", $this->position)), $match))
+ {
+ $this->position += strlen($match[0]);
+ $this->http_version = $match[1];
+ return true;
+ }
+ else
+ {
+ return false;
+ }
+ }
+
+ /**
+ * Parse LWS, replacing consecutive characters with a single space
+ *
+ * @access private
+ */
+ function linear_whitespace()
+ {
+ do
+ {
+ if (substr($this->data, $this->position, 2) == "\r\n")
+ {
+ $this->position += 2;
+ }
+ elseif (strspn($this->data, "\r\n", $this->position, 1))
+ {
+ $this->position++;
+ }
+ $this->position += strspn($this->data, "\x09\x20", $this->position);
+ } while ($this->is_linear_whitespace());
+ $this->value .= "\x20";
+ }
+
+ /**
+ * Parse an HTTP-version string within a response
+ *
+ * @access private
+ */
+ function http_version_response()
+ {
+ if ($this->http_version() && $this->data[$this->position] == "\x20")
+ {
+ $this->state = 'status_code';
+ $this->position++;
+ }
+ else
+ {
+ $this->state = false;
+ }
+ }
+
+ /**
+ * Parse a status code
+ *
+ * @access private
+ */
+ function status_code()
+ {
+ if (strspn($this->data, '1234567890', $this->position, 3) == 3)
+ {
+ $this->status_code = substr($this->data, $this->position, 3);
+ $this->state = 'reason_phrase';
+ $this->position += 3;
+ }
+ else
+ {
+ $this->state = false;
+ }
+ }
+
+ /**
+ * Skip over the reason phrase (it has no normative value, and you can send absolutely anything here)
+ *
+ * @access private
+ */
+ function reason_phrase()
+ {
+ $len = strcspn($this->data, "\r\n", $this->position);
+ $this->reason = substr($this->data, $this->position, $len);
+ $this->position += $len;
+ if ($this->has_data())
+ {
+ if (substr($this->data, $this->position, 2) == "\r\n")
+ {
+ $this->position += 2;
+ }
+ elseif (strspn($this->data, "\r\n", $this->position, 1))
+ {
+ $this->position++;
+ }
+ $this->state = 'name';
+ }
+ }
+
+ /**
+ * Parse a header name
+ *
+ * @access private
+ */
+ function name()
+ {
+ $len = strcspn($this->data, ':', $this->position);
+ $this->name = substr($this->data, $this->position, $len);
+ $this->position += $len;
+
+ if ($this->has_data() && $this->data[$this->position] == ':')
+ {
+ $this->state = 'value_next';
+ $this->position++;
+ }
+ else
+ {
+ $this->state = false;
+ }
+ }
+
+ /**
+ * See what state to move the state machine to while within non-quoted header values
+ *
+ * @access private
+ */
+ function value_next()
+ {
+ if ($this->is_linear_whitespace())
+ {
+ $this->state = 'value_linear_whitespace';
+ }
+ elseif ($this->data[$this->position] == '"')
+ {
+ $this->state = 'value_quote_next';
+ $this->position++;
+ }
+ elseif (substr($this->data, $this->position, 2) == "\r\n")
+ {
+ $this->state = 'end_crlf';
+ $this->position += 2;
+ }
+ elseif (strspn($this->data, "\r\n", $this->position, 1))
+ {
+ $this->state = 'end_crlf';
+ $this->position++;
+ }
+ else
+ {
+ $this->state = 'value_no_quote';
+ }
+ }
+
+ /**
+ * Parse a header value while outside quotes
+ *
+ * @access private
+ */
+ function value_no_quote()
+ {
+ $len = strcspn($this->data, "\x09\x20\r\n\"", $this->position);
+ $this->value .= substr($this->data, $this->position, $len);
+ $this->state = 'value_next';
+ $this->position += $len;
+ }
+
+ /**
+ * Parse LWS outside quotes
+ *
+ * @access private
+ */
+ function value_linear_whitespace()
+ {
+ $this->linear_whitespace();
+ $this->state = 'value_next';
+ }
+
+ /**
+ * See what state to move the state machine to while within quoted header values
+ *
+ * @access private
+ */
+ function value_quote_next()
+ {
+ if ($this->is_linear_whitespace())
+ {
+ $this->state = 'value_linear_whitespace_quote';
+ }
+ else
+ {
+ switch ($this->data[$this->position])
+ {
+ case '"':
+ $this->state = 'value_next';
+ $this->position++;
+ break;
+
+ case '\\':
+ $this->state = 'value_quote_char';
+ $this->position++;
+ break;
+
+ default:
+ $this->state = 'value_quote';
+ break;
+ }
+ }
+ }
+
+ /**
+ * Parse a header value while within quotes
+ *
+ * @access private
+ */
+ function value_quote()
+ {
+ $len = strcspn($this->data, "\x09\x20\r\n\"\\", $this->position);
+ $this->value .= substr($this->data, $this->position, $len);
+ $this->position += $len;
+ $this->state = 'value_quote_next';
+ }
+
+ /**
+ * Parse an escaped character within quotes
+ *
+ * @access private
+ */
+ function value_quote_char()
+ {
+ $this->value .= $this->data[$this->position];
+ $this->state = 'value_quote_next';
+ $this->position++;
+ }
+
+ /**
+ * Parse LWS within quotes
+ *
+ * @access private
+ */
+ function value_linear_whitespace_quote()
+ {
+ $this->linear_whitespace();
+ $this->state = 'value_quote_next';
+ }
+
+ /**
+ * Parse a CRLF, and see whether we have a further header, or whether we are followed by the body
+ *
+ * @access private
+ */
+ function end_crlf()
+ {
+ $this->name = strtolower($this->name);
+ $this->value = trim($this->value, "\x20");
+ if (isset($this->headers[$this->name]))
+ {
+ $this->headers[$this->name] .= ', ' . $this->value;
+ }
+ else
+ {
+ $this->headers[$this->name] = $this->value;
+ }
+
+ if (substr($this->data, $this->position, 2) == "\r\n")
+ {
+ $this->body = substr($this->data, $this->position + 2);
+ $this->state = 'emit';
+ }
+ elseif (strspn($this->data, "\r\n", $this->position, 1))
+ {
+ $this->body = substr($this->data, $this->position + 1);
+ $this->state = 'emit';
+ }
+ else
+ {
+ $this->name = '';
+ $this->value = '';
+ $this->state = 'name';
+ }
+ }
+}
+
+class SimplePie_Cache
+{
+ var $location;
+ var $filename;
+ var $extension;
+ var $name;
+
+ function SimplePie_Cache($location, $filename, $extension)
+ {
+ $this->location = $location;
+ $this->filename = rawurlencode($filename);
+ $this->extension = rawurlencode($extension);
+ $this->name = "$location/$this->filename.$this->extension";
+ }
+
+ function save($data)
+ {
+ if (file_exists($this->name) && is_writeable($this->name) || file_exists($this->location) && is_writeable($this->location))
+ {
+ if (function_exists('file_put_contents'))
+ {
+ return (bool) file_put_contents($this->name, serialize($data));
+ }
+ else
+ {
+ $fp = fopen($this->name, 'wb');
+ if ($fp)
+ {
+ fwrite($fp, serialize($data));
+ fclose($fp);
+ return true;
+ }
+ }
+ }
+ return false;
+ }
+
+ function load()
+ {
+ if (file_exists($this->name) && is_readable($this->name))
+ {
+ if (function_exists('file_get_contents'))
+ {
+ return unserialize(file_get_contents($this->name));
+ }
+ elseif (($fp = fopen($this->name, 'rb')) !== false)
+ {
+ $data = '';
+ while (!feof($fp))
+ {
+ $data .= fread($fp, 8192);
+ }
+ fclose($fp);
+ return unserialize($data);
+ }
+ }
+ return false;
+ }
+
+ function mtime()
+ {
+ if (file_exists($this->name))
+ {
+ return filemtime($this->name);
+ }
+ return false;
+ }
+
+ function touch()
+ {
+ if (file_exists($this->name))
+ {
+ return touch($this->name);
+ }
+ return false;
+ }
+
+ function unlink()
+ {
+ if (file_exists($this->name))
+ {
+ return unlink($this->name);
+ }
+ return false;
+ }
+}
+
+class SimplePie_Misc
+{
+ function time_hms($seconds)
+ {
+ $time = '';
+
+ $hours = floor($seconds / 3600);
+ $remainder = $seconds % 3600;
+ if ($hours > 0)
+ {
+ $time .= $hours.':';
+ }
+
+ $minutes = floor($remainder / 60);
+ $seconds = $remainder % 60;
+ if ($minutes < 10 && $hours > 0)
+ {
+ $minutes = '0' . $minutes;
+ }
+ if ($seconds < 10)
+ {
+ $seconds = '0' . $seconds;
+ }
+
+ $time .= $minutes.':';
+ $time .= $seconds;
+
+ return $time;
+ }
+
+ function absolutize_url($relative, $base)
+ {
+ if ($relative !== '')
+ {
+ $relative = SimplePie_Misc::parse_url($relative);
+ if ($relative['scheme'] !== '')
+ {
+ $target = $relative;
+ }
+ elseif ($base !== '')
+ {
+ $base = SimplePie_Misc::parse_url($base);
+ $target = SimplePie_Misc::parse_url('');
+ if ($relative['authority'] !== '')
+ {
+ $target = $relative;
+ $target['scheme'] = $base['scheme'];
+ }
+ else
+ {
+ $target['scheme'] = $base['scheme'];
+ $target['authority'] = $base['authority'];
+ if ($relative['path'] !== '')
+ {
+ if (strpos($relative['path'], '/') === 0)
+ {
+ $target['path'] = $relative['path'];
+ }
+ elseif (($target['path'] = dirname("$base[path].")) == '/')
+ {
+ $target['path'] .= $relative['path'];
+ }
+ else
+ {
+ $target['path'] .= '/' . $relative['path'];
+ }
+ if ($relative['query'] !== '')
+ {
+ $target['query'] = $relative['query'];
+ }
+ }
+ else
+ {
+ if ($base['path'] !== '')
+ {
+ $target['path'] = $base['path'];
+ }
+ else
+ {
+ $target['path'] = '/';
+ }
+ if ($relative['query'] !== '')
+ {
+ $target['query'] = $relative['query'];
+ }
+ elseif ($base['query'] !== '')
+ {
+ $target['query'] = $base['query'];
+ }
+ }
+ }
+ if ($relative['fragment'] !== '')
+ {
+ $target['fragment'] = $relative['fragment'];
+ }
+ }
+ else
+ {
+ // No base URL, just return the relative URL
+ $target = $relative;
+ }
+ $return = SimplePie_Misc::compress_parse_url($target['scheme'], $target['authority'], $target['path'], $target['query'], $target['fragment']);
+ }
+ else
+ {
+ $return = $base;
+ }
+ $return = SimplePie_Misc::normalize_url($return);
+ return $return;
+ }
+
+ function remove_dot_segments($input)
+ {
+ $output = '';
+ while (strpos($input, './') !== false || strpos($input, '/.') !== false || $input == '.' || $input == '..')
+ {
+ // A: If the input buffer begins with a prefix of "../" or "./", then remove that prefix from the input buffer; otherwise,
+ if (strpos($input, '../') === 0)
+ {
+ $input = substr($input, 3);
+ }
+ elseif (strpos($input, './') === 0)
+ {
+ $input = substr($input, 2);
+ }
+ // B: if the input buffer begins with a prefix of "/./" or "/.", where "." is a complete path segment, then replace that prefix with "/" in the input buffer; otherwise,
+ elseif (strpos($input, '/./') === 0)
+ {
+ $input = substr_replace($input, '/', 0, 3);
+ }
+ elseif ($input == '/.')
+ {
+ $input = '/';
+ }
+ // C: if the input buffer begins with a prefix of "/../" or "/..", where ".." is a complete path segment, then replace that prefix with "/" in the input buffer and remove the last segment and its preceding "/" (if any) from the output buffer; otherwise,
+ elseif (strpos($input, '/../') === 0)
+ {
+ $input = substr_replace($input, '/', 0, 4);
+ $output = substr_replace($output, '', strrpos($output, '/'));
+ }
+ elseif ($input == '/..')
+ {
+ $input = '/';
+ $output = substr_replace($output, '', strrpos($output, '/'));
+ }
+ // D: if the input buffer consists only of "." or "..", then remove that from the input buffer; otherwise,
+ elseif ($input == '.' || $input == '..')
+ {
+ $input = '';
+ }
+ // E: move the first path segment in the input buffer to the end of the output buffer, including the initial "/" character (if any) and any subsequent characters up to, but not including, the next "/" character or the end of the input buffer
+ elseif (($pos = strpos($input, '/', 1)) !== false)
+ {
+ $output .= substr($input, 0, $pos);
+ $input = substr_replace($input, '', 0, $pos);
+ }
+ else
+ {
+ $output .= $input;
+ $input = '';
+ }
+ }
+ return $output . $input;
+ }
+
+ function get_element($realname, $string)
+ {
+ $return = array();
+ $name = preg_quote($realname, '/');
+ if (preg_match_all("/<($name)" . SIMPLEPIE_PCRE_HTML_ATTRIBUTE . "(>(.*)<\/$name>|(\/)?>)/siU", $string, $matches, PREG_SET_ORDER | PREG_OFFSET_CAPTURE))
+ {
+ for ($i = 0, $total_matches = count($matches); $i < $total_matches; $i++)
+ {
+ $return[$i]['tag'] = $realname;
+ $return[$i]['full'] = $matches[$i][0][0];
+ $return[$i]['offset'] = $matches[$i][0][1];
+ if (strlen($matches[$i][3][0]) <= 2)
+ {
+ $return[$i]['self_closing'] = true;
+ }
+ else
+ {
+ $return[$i]['self_closing'] = false;
+ $return[$i]['content'] = $matches[$i][4][0];
+ }
+ $return[$i]['attribs'] = array();
+ if (isset($matches[$i][2][0]) && preg_match_all('/((?:[^\s:]+:)?[^\s:]+)(?:\s*=\s*(?:"([^"]*)"|\'([^\']*)\'|([a-z0-9\-._:]*)))?\s/U', ' ' . $matches[$i][2][0] . ' ', $attribs, PREG_SET_ORDER))
+ {
+ for ($j = 0, $total_attribs = count($attribs); $j < $total_attribs; $j++)
+ {
+ if (count($attribs[$j]) == 2)
+ {
+ $attribs[$j][2] = $attribs[$j][1];
+ }
+ $return[$i]['attribs'][strtolower($attribs[$j][1])]['data'] = SimplePie_Misc::entities_decode(end($attribs[$j]), 'UTF-8');
+ }
+ }
+ }
+ }
+ return $return;
+ }
+
+ function element_implode($element)
+ {
+ $full = "<$element[tag]";
+ foreach ($element['attribs'] as $key => $value)
+ {
+ $key = strtolower($key);
+ $full .= " $key=\"" . htmlspecialchars($value['data']) . '"';
+ }
+ if ($element['self_closing'])
+ {
+ $full .= ' />';
+ }
+ else
+ {
+ $full .= ">$element[content]</$element[tag]>";
+ }
+ return $full;
+ }
+
+ function error($message, $level, $file, $line)
+ {
+ switch ($level)
+ {
+ case E_USER_ERROR:
+ $note = 'PHP Error';
+ break;
+ case E_USER_WARNING:
+ $note = 'PHP Warning';
+ break;
+ case E_USER_NOTICE:
+ $note = 'PHP Notice';
+ break;
+ default:
+ $note = 'Unknown Error';
+ break;
+ }
+ error_log("$note: $message in $file on line $line", 0);
+ return $message;
+ }
+
+ /**
+ * If a file has been cached, retrieve and display it.
+ *
+ * This is most useful for caching images (get_favicon(), etc.),
+ * however it works for all cached files. This WILL NOT display ANY
+ * file/image/page/whatever, but rather only display what has already
+ * been cached by SimplePie.
+ *
+ * @access public
+ * @see SimplePie::get_favicon()
+ * @param str $identifier_url URL that is used to identify the content.
+ * This may or may not be the actual URL of the live content.
+ * @param str $cache_location Location of SimplePie's cache. Defaults
+ * to './cache'.
+ * @param str $cache_extension The file extension that the file was
+ * cached with. Defaults to 'spc'.
+ * @param str $cache_class Name of the cache-handling class being used
+ * in SimplePie. Defaults to 'SimplePie_Cache', and should be left
+ * as-is unless you've overloaded the class.
+ * @param str $cache_name_function Function that converts the filename
+ * for saving. Defaults to 'md5'.
+ */
+ function display_cached_file($identifier_url, $cache_location = './cache', $cache_extension = 'spc', $cache_class = 'SimplePie_Cache', $cache_name_function = 'md5')
+ {
+ $cache =& new $cache_class($cache_location, call_user_func($cache_name_function, $identifier_url), $cache_extension);
+
+ if ($file = $cache->load())
+ {
+ header('Content-type:' . $file['headers']['content-type']);
+ header('Expires: ' . gmdate('D, d M Y H:i:s', time() + 604800) . ' GMT'); // 7 days
+ echo $file['body'];
+ exit;
+ }
+
+ die('Cached file for ' . $identifier_url . ' cannot be found.');
+ }
+
+ function fix_protocol($url, $http = 1)
+ {
+ $url = SimplePie_Misc::normalize_url($url);
+ $parsed = SimplePie_Misc::parse_url($url);
+ if ($parsed['scheme'] !== '' && $parsed['scheme'] != 'http' && $parsed['scheme'] != 'https')
+ {
+ return SimplePie_Misc::fix_protocol(SimplePie_Misc::compress_parse_url('http', $parsed['authority'], $parsed['path'], $parsed['query'], $parsed['fragment']), $http);
+ }
+
+ if ($parsed['scheme'] === '' && $parsed['authority'] === '' && !file_exists($url))
+ {
+ return SimplePie_Misc::fix_protocol(SimplePie_Misc::compress_parse_url('http', $parsed['path'], '', $parsed['query'], $parsed['fragment']), $http);
+ }
+
+ if ($http == 2 && $parsed['scheme'] !== '')
+ {
+ return "feed:$url";
+ }
+ elseif ($http == 3 && strtolower($parsed['scheme']) == 'http')
+ {
+ return substr_replace($url, 'podcast', 0, 4);
+ }
+ elseif ($http == 4 && strtolower($parsed['scheme']) == 'http')
+ {
+ return substr_replace($url, 'itpc', 0, 4);
+ }
+ else
+ {
+ return $url;
+ }
+ }
+
+ function parse_url($url)
+ {
+ static $cache = array();
+ if (isset($cache[$url]))
+ {
+ return $cache[$url];
+ }
+ elseif (preg_match('/^(([^:\/?#]+):)?(\/\/([^\/?#]*))?([^?#]*)(\?([^#]*))?(#(.*))?$/', $url, $match))
+ {
+ for ($i = count($match); $i <= 9; $i++)
+ {
+ $match[$i] = '';
+ }
+ return $cache[$url] = array('scheme' => $match[2], 'authority' => $match[4], 'path' => $match[5], 'query' => $match[7], 'fragment' => $match[9]);
+ }
+ else
+ {
+ return $cache[$url] = array('scheme' => '', 'authority' => '', 'path' => '', 'query' => '', 'fragment' => '');
+ }
+ }
+
+ function compress_parse_url($scheme = '', $authority = '', $path = '', $query = '', $fragment = '')
+ {
+ $return = '';
+ if ($scheme !== '')
+ {
+ $return .= "$scheme:";
+ }
+ if ($authority !== '')
+ {
+ $return .= "//$authority";
+ }
+ if ($path !== '')
+ {
+ $return .= $path;
+ }
+ if ($query !== '')
+ {
+ $return .= "?$query";
+ }
+ if ($fragment !== '')
+ {
+ $return .= "#$fragment";
+ }
+ return $return;
+ }
+
+ function normalize_url($url)
+ {
+ $url = preg_replace_callback('/%([0-9A-Fa-f]{2})/', array('SimplePie_Misc', 'percent_encoding_normalization'), $url);
+ $url = SimplePie_Misc::parse_url($url);
+ $url['scheme'] = strtolower($url['scheme']);
+ if ($url['authority'] !== '')
+ {
+ $url['authority'] = strtolower($url['authority']);
+ $url['path'] = SimplePie_Misc::remove_dot_segments($url['path']);
+ }
+ return SimplePie_Misc::compress_parse_url($url['scheme'], $url['authority'], $url['path'], $url['query'], $url['fragment']);
+ }
+
+ function percent_encoding_normalization($match)
+ {
+ $integer = hexdec($match[1]);
+ if ($integer >= 0x41 && $integer <= 0x5A || $integer >= 0x61 && $integer <= 0x7A || $integer >= 0x30 && $integer <= 0x39 || $integer == 0x2D || $integer == 0x2E || $integer == 0x5F || $integer == 0x7E)
+ {
+ return chr($integer);
+ }
+ else
+ {
+ return strtoupper($match[0]);
+ }
+ }
+
+ /**
+ * Remove bad UTF-8 bytes
+ *
+ * PCRE Pattern to locate bad bytes in a UTF-8 string comes from W3C
+ * FAQ: Multilingual Forms (modified to include full ASCII range)
+ *
+ * @author Geoffrey Sneddon
+ * @see http://www.w3.org/International/questions/qa-forms-utf-8
+ * @param string $str String to remove bad UTF-8 bytes from
+ * @return string UTF-8 string
+ */
+ function utf8_bad_replace($str)
+ {
+ if (function_exists('iconv'))
+ {
+ $out = iconv('UTF-8', 'UTF-8//IGNORE', $str);
+ if($out !== false) return $out;
+ }
+ if (function_exists('mb_convert_encoding'))
+ {
+ return mb_convert_encoding($str, 'UTF-8', 'UTF-8');
+ }
+ elseif (preg_match_all('/([\x00-\x7F]|[\xC2-\xDF][\x80-\xBF]|\xE0[\xA0-\xBF][\x80-\xBF]|[\xE1-\xEC\xEE\xEF][\x80-\xBF]{2}|\xED[\x80-\x9F][\x80-\xBF]|\xF0[\x90-\xBF][\x80-\xBF]{2}|[\xF1-\xF3][\x80-\xBF]{3}|\xF4[\x80-\x8F][\x80-\xBF]{2})/', $str, $matches))
+ {
+ return implode("\xEF\xBF\xBD", $matches[0]);
+ }
+ elseif ($str !== '')
+ {
+ return "\xEF\xBF\xBD";
+ }
+ else
+ {
+ return '';
+ }
+ }
+
+ function change_encoding($data, $input, $output)
+ {
+ $input = SimplePie_Misc::encoding($input);
+ $output = SimplePie_Misc::encoding($output);
+
+ if (function_exists('iconv') && ($return = @iconv($input, "$output//IGNORE", $data)))
+ {
+ return $return;
+ }
+ elseif (function_exists('iconv') && ($return = @iconv($input, $output, $data)))
+ {
+ return $return;
+ }
+ elseif (function_exists('mb_convert_encoding') && ($return = @mb_convert_encoding($data, $output, $input)))
+ {
+ return $return;
+ }
+ elseif ($input == 'ISO-8859-1' && $output == 'UTF-8')
+ {
+ return utf8_encode($data);
+ }
+ elseif ($input == 'UTF-8' && $output == 'ISO-8859-1')
+ {
+ return utf8_decode($data);
+ }
+ return $data;
+ }
+
+ function encoding($encoding)
+ {
+ // Character sets are case-insensitive (though we'll return them in the form given in their registration)
+ switch (strtoupper($encoding))
+ {
+ case 'ANSI_X3.4-1968':
+ case 'ISO-IR-6':
+ case 'ANSI_X3.4-1986':
+ case 'ISO_646.IRV:1991':
+ case 'ASCII':
+ case 'ISO646-US':
+ case 'US-ASCII':
+ case 'US':
+ case 'IBM367':
+ case 'CP367':
+ case 'CSASCII':
+ return 'US-ASCII';
+
+ case 'ISO_8859-1:1987':
+ case 'ISO-IR-100':
+ case 'ISO_8859-1':
+ case 'ISO-8859-1':
+ case 'LATIN1':
+ case 'L1':
+ case 'IBM819':
+ case 'CP819':
+ case 'CSISOLATIN1':
+ return 'ISO-8859-1';
+
+ case 'ISO_8859-2:1987':
+ case 'ISO-IR-101':
+ case 'ISO_8859-2':
+ case 'ISO-8859-2':
+ case 'LATIN2':
+ case 'L2':
+ case 'CSISOLATIN2':
+ return 'ISO-8859-2';
+
+ case 'ISO_8859-3:1988':
+ case 'ISO-IR-109':
+ case 'ISO_8859-3':
+ case 'ISO-8859-3':
+ case 'LATIN3':
+ case 'L3':
+ case 'CSISOLATIN3':
+ return 'ISO-8859-3';
+
+ case 'ISO_8859-4:1988':
+ case 'ISO-IR-110':
+ case 'ISO_8859-4':
+ case 'ISO-8859-4':
+ case 'LATIN4':
+ case 'L4':
+ case 'CSISOLATIN4':
+ return 'ISO-8859-4';
+
+ case 'ISO_8859-5:1988':
+ case 'ISO-IR-144':
+ case 'ISO_8859-5':
+ case 'ISO-8859-5':
+ case 'CYRILLIC':
+ case 'CSISOLATINCYRILLIC':
+ return 'ISO-8859-5';
+
+ case 'ISO_8859-6:1987':
+ case 'ISO-IR-127':
+ case 'ISO_8859-6':
+ case 'ISO-8859-6':
+ case 'ECMA-114':
+ case 'ASMO-708':
+ case 'ARABIC':
+ case 'CSISOLATINARABIC':
+ return 'ISO-8859-6';
+
+ case 'ISO_8859-7:1987':
+ case 'ISO-IR-126':
+ case 'ISO_8859-7':
+ case 'ISO-8859-7':
+ case 'ELOT_928':
+ case 'ECMA-118':
+ case 'GREEK':
+ case 'GREEK8':
+ case 'CSISOLATINGREEK':
+ return 'ISO-8859-7';
+
+ case 'ISO_8859-8:1988':
+ case 'ISO-IR-138':
+ case 'ISO_8859-8':
+ case 'ISO-8859-8':
+ case 'HEBREW':
+ case 'CSISOLATINHEBREW':
+ return 'ISO-8859-8';
+
+ case 'ISO_8859-9:1989':
+ case 'ISO-IR-148':
+ case 'ISO_8859-9':
+ case 'ISO-8859-9':
+ case 'LATIN5':
+ case 'L5':
+ case 'CSISOLATIN5':
+ return 'ISO-8859-9';
+
+ case 'ISO-8859-10':
+ case 'ISO-IR-157':
+ case 'L6':
+ case 'ISO_8859-10:1992':
+ case 'CSISOLATIN6':
+ case 'LATIN6':
+ return 'ISO-8859-10';
+
+ case 'ISO_6937-2-ADD':
+ case 'ISO-IR-142':
+ case 'CSISOTEXTCOMM':
+ return 'ISO_6937-2-add';
+
+ case 'JIS_X0201':
+ case 'X0201':
+ case 'CSHALFWIDTHKATAKANA':
+ return 'JIS_X0201';
+
+ case 'JIS_ENCODING':
+ case 'CSJISENCODING':
+ return 'JIS_Encoding';
+
+ case 'SHIFT_JIS':
+ case 'MS_KANJI':
+ case 'CSSHIFTJIS':
+ return 'Shift_JIS';
+
+ case 'EXTENDED_UNIX_CODE_PACKED_FORMAT_FOR_JAPANESE':
+ case 'CSEUCPKDFMTJAPANESE':
+ case 'EUC-JP':
+ return 'EUC-JP';
+
+ case 'EXTENDED_UNIX_CODE_FIXED_WIDTH_FOR_JAPANESE':
+ case 'CSEUCFIXWIDJAPANESE':
+ return 'Extended_UNIX_Code_Fixed_Width_for_Japanese';
+
+ case 'BS_4730':
+ case 'ISO-IR-4':
+ case 'ISO646-GB':
+ case 'GB':
+ case 'UK':
+ case 'CSISO4UNITEDKINGDOM':
+ return 'BS_4730';
+
+ case 'SEN_850200_C':
+ case 'ISO-IR-11':
+ case 'ISO646-SE2':
+ case 'SE2':
+ case 'CSISO11SWEDISHFORNAMES':
+ return 'SEN_850200_C';
+
+ case 'IT':
+ case 'ISO-IR-15':
+ case 'ISO646-IT':
+ case 'CSISO15ITALIAN':
+ return 'IT';
+
+ case 'ES':
+ case 'ISO-IR-17':
+ case 'ISO646-ES':
+ case 'CSISO17SPANISH':
+ return 'ES';
+
+ case 'DIN_66003':
+ case 'ISO-IR-21':
+ case 'DE':
+ case 'ISO646-DE':
+ case 'CSISO21GERMAN':
+ return 'DIN_66003';
+
+ case 'NS_4551-1':
+ case 'ISO-IR-60':
+ case 'ISO646-NO':
+ case 'NO':
+ case 'CSISO60DANISHNORWEGIAN':
+ case 'CSISO60NORWEGIAN1':
+ return 'NS_4551-1';
+
+ case 'NF_Z_62-010':
+ case 'ISO-IR-69':
+ case 'ISO646-FR':
+ case 'FR':
+ case 'CSISO69FRENCH':
+ return 'NF_Z_62-010';
+
+ case 'ISO-10646-UTF-1':
+ case 'CSISO10646UTF1':
+ return 'ISO-10646-UTF-1';
+
+ case 'ISO_646.BASIC:1983':
+ case 'REF':
+ case 'CSISO646BASIC1983':
+ return 'ISO_646.basic:1983';
+
+ case 'INVARIANT':
+ case 'CSINVARIANT':
+ return 'INVARIANT';
+
+ case 'ISO_646.IRV:1983':
+ case 'ISO-IR-2':
+ case 'IRV':
+ case 'CSISO2INTLREFVERSION':
+ return 'ISO_646.irv:1983';
+
+ case 'NATS-SEFI':
+ case 'ISO-IR-8-1':
+ case 'CSNATSSEFI':
+ return 'NATS-SEFI';
+
+ case 'NATS-SEFI-ADD':
+ case 'ISO-IR-8-2':
+ case 'CSNATSSEFIADD':
+ return 'NATS-SEFI-ADD';
+
+ case 'NATS-DANO':
+ case 'ISO-IR-9-1':
+ case 'CSNATSDANO':
+ return 'NATS-DANO';
+
+ case 'NATS-DANO-ADD':
+ case 'ISO-IR-9-2':
+ case 'CSNATSDANOADD':
+ return 'NATS-DANO-ADD';
+
+ case 'SEN_850200_B':
+ case 'ISO-IR-10':
+ case 'FI':
+ case 'ISO646-FI':
+ case 'ISO646-SE':
+ case 'SE':
+ case 'CSISO10SWEDISH':
+ return 'SEN_850200_B';
+
+ case 'KS_C_5601-1987':
+ case 'ISO-IR-149':
+ case 'KS_C_5601-1989':
+ case 'KSC_5601':
+ case 'KOREAN':
+ case 'CSKSC56011987':
+ return 'KS_C_5601-1987';
+
+ case 'ISO-2022-KR':
+ case 'CSISO2022KR':
+ return 'ISO-2022-KR';
+
+ case 'EUC-KR':
+ case 'CSEUCKR':
+ return 'EUC-KR';
+
+ case 'ISO-2022-JP':
+ case 'CSISO2022JP':
+ return 'ISO-2022-JP';
+
+ case 'ISO-2022-JP-2':
+ case 'CSISO2022JP2':
+ return 'ISO-2022-JP-2';
+
+ case 'JIS_C6220-1969-JP':
+ case 'JIS_C6220-1969':
+ case 'ISO-IR-13':
+ case 'KATAKANA':
+ case 'X0201-7':
+ case 'CSISO13JISC6220JP':
+ return 'JIS_C6220-1969-jp';
+
+ case 'JIS_C6220-1969-RO':
+ case 'ISO-IR-14':
+ case 'JP':
+ case 'ISO646-JP':
+ case 'CSISO14JISC6220RO':
+ return 'JIS_C6220-1969-ro';
+
+ case 'PT':
+ case 'ISO-IR-16':
+ case 'ISO646-PT':
+ case 'CSISO16PORTUGUESE':
+ return 'PT';
+
+ case 'GREEK7-OLD':
+ case 'ISO-IR-18':
+ case 'CSISO18GREEK7OLD':
+ return 'greek7-old';
+
+ case 'LATIN-GREEK':
+ case 'ISO-IR-19':
+ case 'CSISO19LATINGREEK':
+ return 'latin-greek';
+
+ case 'NF_Z_62-010_(1973)':
+ case 'ISO-IR-25':
+ case 'ISO646-FR1':
+ case 'CSISO25FRENCH':
+ return 'NF_Z_62-010_(1973)';
+
+ case 'LATIN-GREEK-1':
+ case 'ISO-IR-27':
+ case 'CSISO27LATINGREEK1':
+ return 'Latin-greek-1';
+
+ case 'ISO_5427':
+ case 'ISO-IR-37':
+ case 'CSISO5427CYRILLIC':
+ return 'ISO_5427';
+
+ case 'JIS_C6226-1978':
+ case 'ISO-IR-42':
+ case 'CSISO42JISC62261978':
+ return 'JIS_C6226-1978';
+
+ case 'BS_VIEWDATA':
+ case 'ISO-IR-47':
+ case 'CSISO47BSVIEWDATA':
+ return 'BS_viewdata';
+
+ case 'INIS':
+ case 'ISO-IR-49':
+ case 'CSISO49INIS':
+ return 'INIS';
+
+ case 'INIS-8':
+ case 'ISO-IR-50':
+ case 'CSISO50INIS8':
+ return 'INIS-8';
+
+ case 'INIS-CYRILLIC':
+ case 'ISO-IR-51':
+ case 'CSISO51INISCYRILLIC':
+ return 'INIS-cyrillic';
+
+ case 'ISO_5427:1981':
+ case 'ISO-IR-54':
+ case 'ISO5427CYRILLIC1981':
+ return 'ISO_5427:1981';
+
+ case 'ISO_5428:1980':
+ case 'ISO-IR-55':
+ case 'CSISO5428GREEK':
+ return 'ISO_5428:1980';
+
+ case 'GB_1988-80':
+ case 'ISO-IR-57':
+ case 'CN':
+ case 'ISO646-CN':
+ case 'CSISO57GB1988':
+ return 'GB_1988-80';
+
+ case 'GB_2312-80':
+ case 'ISO-IR-58':
+ case 'CHINESE':
+ case 'CSISO58GB231280':
+ return 'GB_2312-80';
+
+ case 'NS_4551-2':
+ case 'ISO646-NO2':
+ case 'ISO-IR-61':
+ case 'NO2':
+ case 'CSISO61NORWEGIAN2':
+ return 'NS_4551-2';
+
+ case 'VIDEOTEX-SUPPL':
+ case 'ISO-IR-70':
+ case 'CSISO70VIDEOTEXSUPP1':
+ return 'videotex-suppl';
+
+ case 'PT2':
+ case 'ISO-IR-84':
+ case 'ISO646-PT2':
+ case 'CSISO84PORTUGUESE2':
+ return 'PT2';
+
+ case 'ES2':
+ case 'ISO-IR-85':
+ case 'ISO646-ES2':
+ case 'CSISO85SPANISH2':
+ return 'ES2';
+
+ case 'MSZ_7795.3':
+ case 'ISO-IR-86':
+ case 'ISO646-HU':
+ case 'HU':
+ case 'CSISO86HUNGARIAN':
+ return 'MSZ_7795.3';
+
+ case 'JIS_C6226-1983':
+ case 'ISO-IR-87':
+ case 'X0208':
+ case 'JIS_X0208-1983':
+ case 'CSISO87JISX0208':
+ return 'JIS_C6226-1983';
+
+ case 'GREEK7':
+ case 'ISO-IR-88':
+ case 'CSISO88GREEK7':
+ return 'greek7';
+
+ case 'ASMO_449':
+ case 'ISO_9036':
+ case 'ARABIC7':
+ case 'ISO-IR-89':
+ case 'CSISO89ASMO449':
+ return 'ASMO_449';
+
+ case 'ISO-IR-90':
+ case 'CSISO90':
+ return 'iso-ir-90';
+
+ case 'JIS_C6229-1984-A':
+ case 'ISO-IR-91':
+ case 'JP-OCR-A':
+ case 'CSISO91JISC62291984A':
+ return 'JIS_C6229-1984-a';
+
+ case 'JIS_C6229-1984-B':
+ case 'ISO-IR-92':
+ case 'ISO646-JP-OCR-B':
+ case 'JP-OCR-B':
+ case 'CSISO92JISC62991984B':
+ return 'JIS_C6229-1984-b';
+
+ case 'JIS_C6229-1984-B-ADD':
+ case 'ISO-IR-93':
+ case 'JP-OCR-B-ADD':
+ case 'CSISO93JIS62291984BADD':
+ return 'JIS_C6229-1984-b-add';
+
+ case 'JIS_C6229-1984-HAND':
+ case 'ISO-IR-94':
+ case 'JP-OCR-HAND':
+ case 'CSISO94JIS62291984HAND':
+ return 'JIS_C6229-1984-hand';
+
+ case 'JIS_C6229-1984-HAND-ADD':
+ case 'ISO-IR-95':
+ case 'JP-OCR-HAND-ADD':
+ case 'CSISO95JIS62291984HANDADD':
+ return 'JIS_C6229-1984-hand-add';
+
+ case 'JIS_C6229-1984-KANA':
+ case 'ISO-IR-96':
+ case 'CSISO96JISC62291984KANA':
+ return 'JIS_C6229-1984-kana';
+
+ case 'ISO_2033-1983':
+ case 'ISO-IR-98':
+ case 'E13B':
+ case 'CSISO2033':
+ return 'ISO_2033-1983';
+
+ case 'ANSI_X3.110-1983':
+ case 'ISO-IR-99':
+ case 'CSA_T500-1983':
+ case 'NAPLPS':
+ case 'CSISO99NAPLPS':
+ return 'ANSI_X3.110-1983';
+
+ case 'T.61-7BIT':
+ case 'ISO-IR-102':
+ case 'CSISO102T617BIT':
+ return 'T.61-7bit';
+
+ case 'T.61-8BIT':
+ case 'T.61':
+ case 'ISO-IR-103':
+ case 'CSISO103T618BIT':
+ return 'T.61-8bit';
+
+ case 'ECMA-CYRILLIC':
+ case 'ISO-IR-111':
+ case 'KOI8-E':
+ case 'CSISO111ECMACYRILLIC':
+ return 'ECMA-cyrillic';
+
+ case 'CSA_Z243.4-1985-1':
+ case 'ISO-IR-121':
+ case 'ISO646-CA':
+ case 'CSA7-1':
+ case 'CA':
+ case 'CSISO121CANADIAN1':
+ return 'CSA_Z243.4-1985-1';
+
+ case 'CSA_Z243.4-1985-2':
+ case 'ISO-IR-122':
+ case 'ISO646-CA2':
+ case 'CSA7-2':
+ case 'CSISO122CANADIAN2':
+ return 'CSA_Z243.4-1985-2';
+
+ case 'CSA_Z243.4-1985-GR':
+ case 'ISO-IR-123':
+ case 'CSISO123CSAZ24341985GR':
+ return 'CSA_Z243.4-1985-gr';
+
+ case 'ISO_8859-6-E':
+ case 'CSISO88596E':
+ case 'ISO-8859-6-E':
+ return 'ISO-8859-6-E';
+
+ case 'ISO_8859-6-I':
+ case 'CSISO88596I':
+ case 'ISO-8859-6-I':
+ return 'ISO-8859-6-I';
+
+ case 'T.101-G2':
+ case 'ISO-IR-128':
+ case 'CSISO128T101G2':
+ return 'T.101-G2';
+
+ case 'ISO_8859-8-E':
+ case 'CSISO88598E':
+ case 'ISO-8859-8-E':
+ return 'ISO-8859-8-E';
+
+ case 'ISO_8859-8-I':
+ case 'CSISO88598I':
+ case 'ISO-8859-8-I':
+ return 'ISO-8859-8-I';
+
+ case 'CSN_369103':
+ case 'ISO-IR-139':
+ case 'CSISO139CSN369103':
+ return 'CSN_369103';
+
+ case 'JUS_I.B1.002':
+ case 'ISO-IR-141':
+ case 'ISO646-YU':
+ case 'JS':
+ case 'YU':
+ case 'CSISO141JUSIB1002':
+ return 'JUS_I.B1.002';
+
+ case 'IEC_P27-1':
+ case 'ISO-IR-143':
+ case 'CSISO143IECP271':
+ return 'IEC_P27-1';
+
+ case 'JUS_I.B1.003-SERB':
+ case 'ISO-IR-146':
+ case 'SERBIAN':
+ case 'CSISO146SERBIAN':
+ return 'JUS_I.B1.003-serb';
+
+ case 'JUS_I.B1.003-MAC':
+ case 'MACEDONIAN':
+ case 'ISO-IR-147':
+ case 'CSISO147MACEDONIAN':
+ return 'JUS_I.B1.003-mac';
+
+ case 'GREEK-CCITT':
+ case 'ISO-IR-150':
+ case 'CSISO150':
+ case 'CSISO150GREEKCCITT':
+ return 'greek-ccitt';
+
+ case 'NC_NC00-10:81':
+ case 'CUBA':
+ case 'ISO-IR-151':
+ case 'ISO646-CU':
+ case 'CSISO151CUBA':
+ return 'NC_NC00-10:81';
+
+ case 'ISO_6937-2-25':
+ case 'ISO-IR-152':
+ case 'CSISO6937ADD':
+ return 'ISO_6937-2-25';
+
+ case 'GOST_19768-74':
+ case 'ST_SEV_358-88':
+ case 'ISO-IR-153':
+ case 'CSISO153GOST1976874':
+ return 'GOST_19768-74';
+
+ case 'ISO_8859-SUPP':
+ case 'ISO-IR-154':
+ case 'LATIN1-2-5':
+ case 'CSISO8859SUPP':
+ return 'ISO_8859-supp';
+
+ case 'ISO_10367-BOX':
+ case 'ISO-IR-155':
+ case 'CSISO10367BOX':
+ return 'ISO_10367-box';
+
+ case 'LATIN-LAP':
+ case 'LAP':
+ case 'ISO-IR-158':
+ case 'CSISO158LAP':
+ return 'latin-lap';
+
+ case 'JIS_X0212-1990':
+ case 'X0212':
+ case 'ISO-IR-159':
+ case 'CSISO159JISX02121990':
+ return 'JIS_X0212-1990';
+
+ case 'DS_2089':
+ case 'DS2089':
+ case 'ISO646-DK':
+ case 'DK':
+ case 'CSISO646DANISH':
+ return 'DS_2089';
+
+ case 'US-DK':
+ case 'CSUSDK':
+ return 'us-dk';
+
+ case 'DK-US':
+ case 'CSDKUS':
+ return 'dk-us';
+
+ case 'KSC5636':
+ case 'ISO646-KR':
+ case 'CSKSC5636':
+ return 'KSC5636';
+
+ case 'UNICODE-1-1-UTF-7':
+ case 'CSUNICODE11UTF7':
+ return 'UNICODE-1-1-UTF-7';
+
+ case 'ISO-2022-CN':
+ return 'ISO-2022-CN';
+
+ case 'ISO-2022-CN-EXT':
+ return 'ISO-2022-CN-EXT';
+
+ case 'UTF-8':
+ return 'UTF-8';
+
+ case 'ISO-8859-13':
+ return 'ISO-8859-13';
+
+ case 'ISO-8859-14':
+ case 'ISO-IR-199':
+ case 'ISO_8859-14:1998':
+ case 'ISO_8859-14':
+ case 'LATIN8':
+ case 'ISO-CELTIC':
+ case 'L8':
+ return 'ISO-8859-14';
+
+ case 'ISO-8859-15':
+ case 'ISO_8859-15':
+ case 'LATIN-9':
+ return 'ISO-8859-15';
+
+ case 'ISO-8859-16':
+ case 'ISO-IR-226':
+ case 'ISO_8859-16:2001':
+ case 'ISO_8859-16':
+ case 'LATIN10':
+ case 'L10':
+ return 'ISO-8859-16';
+
+ case 'GBK':
+ case 'CP936':
+ case 'MS936':
+ case 'WINDOWS-936':
+ return 'GBK';
+
+ case 'GB18030':
+ return 'GB18030';
+
+ case 'OSD_EBCDIC_DF04_15':
+ return 'OSD_EBCDIC_DF04_15';
+
+ case 'OSD_EBCDIC_DF03_IRV':
+ return 'OSD_EBCDIC_DF03_IRV';
+
+ case 'OSD_EBCDIC_DF04_1':
+ return 'OSD_EBCDIC_DF04_1';
+
+ case 'ISO-11548-1':
+ case 'ISO_11548-1':
+ case 'ISO_TR_11548-1':
+ case 'CSISO115481':
+ return 'ISO-11548-1';
+
+ case 'KZ-1048':
+ case 'STRK1048-2002':
+ case 'RK1048':
+ case 'CSKZ1048':
+ return 'KZ-1048';
+
+ case 'ISO-10646-UCS-2':
+ case 'CSUNICODE':
+ return 'ISO-10646-UCS-2';
+
+ case 'ISO-10646-UCS-4':
+ case 'CSUCS4':
+ return 'ISO-10646-UCS-4';
+
+ case 'ISO-10646-UCS-BASIC':
+ case 'CSUNICODEASCII':
+ return 'ISO-10646-UCS-Basic';
+
+ case 'ISO-10646-UNICODE-LATIN1':
+ case 'CSUNICODELATIN1':
+ case 'ISO-10646':
+ return 'ISO-10646-Unicode-Latin1';
+
+ case 'ISO-10646-J-1':
+ return 'ISO-10646-J-1';
+
+ case 'ISO-UNICODE-IBM-1261':
+ case 'CSUNICODEIBM1261':
+ return 'ISO-Unicode-IBM-1261';
+
+ case 'ISO-UNICODE-IBM-1268':
+ case 'CSUNICODEIBM1268':
+ return 'ISO-Unicode-IBM-1268';
+
+ case 'ISO-UNICODE-IBM-1276':
+ case 'CSUNICODEIBM1276':
+ return 'ISO-Unicode-IBM-1276';
+
+ case 'ISO-UNICODE-IBM-1264':
+ case 'CSUNICODEIBM1264':
+ return 'ISO-Unicode-IBM-1264';
+
+ case 'ISO-UNICODE-IBM-1265':
+ case 'CSUNICODEIBM1265':
+ return 'ISO-Unicode-IBM-1265';
+
+ case 'UNICODE-1-1':
+ case 'CSUNICODE11':
+ return 'UNICODE-1-1';
+
+ case 'SCSU':
+ return 'SCSU';
+
+ case 'UTF-7':
+ return 'UTF-7';
+
+ case 'UTF-16BE':
+ return 'UTF-16BE';
+
+ case 'UTF-16LE':
+ return 'UTF-16LE';
+
+ case 'UTF-16':
+ return 'UTF-16';
+
+ case 'CESU-8':
+ case 'CSCESU-8':
+ return 'CESU-8';
+
+ case 'UTF-32':
+ return 'UTF-32';
+
+ case 'UTF-32BE':
+ return 'UTF-32BE';
+
+ case 'UTF-32LE':
+ return 'UTF-32LE';
+
+ case 'BOCU-1':
+ case 'CSBOCU-1':
+ return 'BOCU-1';
+
+ case 'ISO-8859-1-WINDOWS-3.0-LATIN-1':
+ case 'CSWINDOWS30LATIN1':
+ return 'ISO-8859-1-Windows-3.0-Latin-1';
+
+ case 'ISO-8859-1-WINDOWS-3.1-LATIN-1':
+ case 'CSWINDOWS31LATIN1':
+ return 'ISO-8859-1-Windows-3.1-Latin-1';
+
+ case 'ISO-8859-2-WINDOWS-LATIN-2':
+ case 'CSWINDOWS31LATIN2':
+ return 'ISO-8859-2-Windows-Latin-2';
+
+ case 'ISO-8859-9-WINDOWS-LATIN-5':
+ case 'CSWINDOWS31LATIN5':
+ return 'ISO-8859-9-Windows-Latin-5';
+
+ case 'HP-ROMAN8':
+ case 'ROMAN8':
+ case 'R8':
+ case 'CSHPROMAN8':
+ return 'hp-roman8';
+
+ case 'ADOBE-STANDARD-ENCODING':
+ case 'CSADOBESTANDARDENCODING':
+ return 'Adobe-Standard-Encoding';
+
+ case 'VENTURA-US':
+ case 'CSVENTURAUS':
+ return 'Ventura-US';
+
+ case 'VENTURA-INTERNATIONAL':
+ case 'CSVENTURAINTERNATIONAL':
+ return 'Ventura-International';
+
+ case 'DEC-MCS':
+ case 'DEC':
+ case 'CSDECMCS':
+ return 'DEC-MCS';
+
+ case 'IBM850':
+ case 'CP850':
+ case '850':
+ case 'CSPC850MULTILINGUAL':
+ return 'IBM850';
+
+ case 'PC8-DANISH-NORWEGIAN':
+ case 'CSPC8DANISHNORWEGIAN':
+ return 'PC8-Danish-Norwegian';
+
+ case 'IBM862':
+ case 'CP862':
+ case '862':
+ case 'CSPC862LATINHEBREW':
+ return 'IBM862';
+
+ case 'PC8-TURKISH':
+ case 'CSPC8TURKISH':
+ return 'PC8-Turkish';
+
+ case 'IBM-SYMBOLS':
+ case 'CSIBMSYMBOLS':
+ return 'IBM-Symbols';
+
+ case 'IBM-THAI':
+ case 'CSIBMTHAI':
+ return 'IBM-Thai';
+
+ case 'HP-LEGAL':
+ case 'CSHPLEGAL':
+ return 'HP-Legal';
+
+ case 'HP-PI-FONT':
+ case 'CSHPPIFONT':
+ return 'HP-Pi-font';
+
+ case 'HP-MATH8':
+ case 'CSHPMATH8':
+ return 'HP-Math8';
+
+ case 'ADOBE-SYMBOL-ENCODING':
+ case 'CSHPPSMATH':
+ return 'Adobe-Symbol-Encoding';
+
+ case 'HP-DESKTOP':
+ case 'CSHPDESKTOP':
+ return 'HP-DeskTop';
+
+ case 'VENTURA-MATH':
+ case 'CSVENTURAMATH':
+ return 'Ventura-Math';
+
+ case 'MICROSOFT-PUBLISHING':
+ case 'CSMICROSOFTPUBLISHING':
+ return 'Microsoft-Publishing';
+
+ case 'WINDOWS-31J':
+ case 'CSWINDOWS31J':
+ return 'Windows-31J';
+
+ case 'GB2312':
+ case 'CSGB2312':
+ return 'GB2312';
+
+ case 'BIG5':
+ case 'CSBIG5':
+ return 'Big5';
+
+ case 'MACINTOSH':
+ case 'MAC':
+ case 'CSMACINTOSH':
+ return 'macintosh';
+
+ case 'IBM037':
+ case 'CP037':
+ case 'EBCDIC-CP-US':
+ case 'EBCDIC-CP-CA':
+ case 'EBCDIC-CP-WT':
+ case 'EBCDIC-CP-NL':
+ case 'CSIBM037':
+ return 'IBM037';
+
+ case 'IBM038':
+ case 'EBCDIC-INT':
+ case 'CP038':
+ case 'CSIBM038':
+ return 'IBM038';
+
+ case 'IBM273':
+ case 'CP273':
+ case 'CSIBM273':
+ return 'IBM273';
+
+ case 'IBM274':
+ case 'EBCDIC-BE':
+ case 'CP274':
+ case 'CSIBM274':
+ return 'IBM274';
+
+ case 'IBM275':
+ case 'EBCDIC-BR':
+ case 'CP275':
+ case 'CSIBM275':
+ return 'IBM275';
+
+ case 'IBM277':
+ case 'EBCDIC-CP-DK':
+ case 'EBCDIC-CP-NO':
+ case 'CSIBM277':
+ return 'IBM277';
+
+ case 'IBM278':
+ case 'CP278':
+ case 'EBCDIC-CP-FI':
+ case 'EBCDIC-CP-SE':
+ case 'CSIBM278':
+ return 'IBM278';
+
+ case 'IBM280':
+ case 'CP280':
+ case 'EBCDIC-CP-IT':
+ case 'CSIBM280':
+ return 'IBM280';
+
+ case 'IBM281':
+ case 'EBCDIC-JP-E':
+ case 'CP281':
+ case 'CSIBM281':
+ return 'IBM281';
+
+ case 'IBM284':
+ case 'CP284':
+ case 'EBCDIC-CP-ES':
+ case 'CSIBM284':
+ return 'IBM284';
+
+ case 'IBM285':
+ case 'CP285':
+ case 'EBCDIC-CP-GB':
+ case 'CSIBM285':
+ return 'IBM285';
+
+ case 'IBM290':
+ case 'CP290':
+ case 'EBCDIC-JP-KANA':
+ case 'CSIBM290':
+ return 'IBM290';
+
+ case 'IBM297':
+ case 'CP297':
+ case 'EBCDIC-CP-FR':
+ case 'CSIBM297':
+ return 'IBM297';
+
+ case 'IBM420':
+ case 'CP420':
+ case 'EBCDIC-CP-AR1':
+ case 'CSIBM420':
+ return 'IBM420';
+
+ case 'IBM423':
+ case 'CP423':
+ case 'EBCDIC-CP-GR':
+ case 'CSIBM423':
+ return 'IBM423';
+
+ case 'IBM424':
+ case 'CP424':
+ case 'EBCDIC-CP-HE':
+ case 'CSIBM424':
+ return 'IBM424';
+
+ case 'IBM437':
+ case 'CP437':
+ case '437':
+ case 'CSPC8CODEPAGE437':
+ return 'IBM437';
+
+ case 'IBM500':
+ case 'CP500':
+ case 'EBCDIC-CP-BE':
+ case 'EBCDIC-CP-CH':
+ case 'CSIBM500':
+ return 'IBM500';
+
+ case 'IBM851':
+ case 'CP851':
+ case '851':
+ case 'CSIBM851':
+ return 'IBM851';
+
+ case 'IBM852':
+ case 'CP852':
+ case '852':
+ case 'CSPCP852':
+ return 'IBM852';
+
+ case 'IBM855':
+ case 'CP855':
+ case '855':
+ case 'CSIBM855':
+ return 'IBM855';
+
+ case 'IBM857':
+ case 'CP857':
+ case '857':
+ case 'CSIBM857':
+ return 'IBM857';
+
+ case 'IBM860':
+ case 'CP860':
+ case '860':
+ case 'CSIBM860':
+ return 'IBM860';
+
+ case 'IBM861':
+ case 'CP861':
+ case '861':
+ case 'CP-IS':
+ case 'CSIBM861':
+ return 'IBM861';
+
+ case 'IBM863':
+ case 'CP863':
+ case '863':
+ case 'CSIBM863':
+ return 'IBM863';
+
+ case 'IBM864':
+ case 'CP864':
+ case 'CSIBM864':
+ return 'IBM864';
+
+ case 'IBM865':
+ case 'CP865':
+ case '865':
+ case 'CSIBM865':
+ return 'IBM865';
+
+ case 'IBM868':
+ case 'CP868':
+ case 'CP-AR':
+ case 'CSIBM868':
+ return 'IBM868';
+
+ case 'IBM869':
+ case 'CP869':
+ case '869':
+ case 'CP-GR':
+ case 'CSIBM869':
+ return 'IBM869';
+
+ case 'IBM870':
+ case 'CP870':
+ case 'EBCDIC-CP-ROECE':
+ case 'EBCDIC-CP-YU':
+ case 'CSIBM870':
+ return 'IBM870';
+
+ case 'IBM871':
+ case 'CP871':
+ case 'EBCDIC-CP-IS':
+ case 'CSIBM871':
+ return 'IBM871';
+
+ case 'IBM880':
+ case 'CP880':
+ case 'EBCDIC-CYRILLIC':
+ case 'CSIBM880':
+ return 'IBM880';
+
+ case 'IBM891':
+ case 'CP891':
+ case 'CSIBM891':
+ return 'IBM891';
+
+ case 'IBM903':
+ case 'CP903':
+ case 'CSIBM903':
+ return 'IBM903';
+
+ case 'IBM904':
+ case 'CP904':
+ case '904':
+ case 'CSIBBM904':
+ return 'IBM904';
+
+ case 'IBM905':
+ case 'CP905':
+ case 'EBCDIC-CP-TR':
+ case 'CSIBM905':
+ return 'IBM905';
+
+ case 'IBM918':
+ case 'CP918':
+ case 'EBCDIC-CP-AR2':
+ case 'CSIBM918':
+ return 'IBM918';
+
+ case 'IBM1026':
+ case 'CP1026':
+ case 'CSIBM1026':
+ return 'IBM1026';
+
+ case 'EBCDIC-AT-DE':
+ case 'CSIBMEBCDICATDE':
+ return 'EBCDIC-AT-DE';
+
+ case 'EBCDIC-AT-DE-A':
+ case 'CSEBCDICATDEA':
+ return 'EBCDIC-AT-DE-A';
+
+ case 'EBCDIC-CA-FR':
+ case 'CSEBCDICCAFR':
+ return 'EBCDIC-CA-FR';
+
+ case 'EBCDIC-DK-NO':
+ case 'CSEBCDICDKNO':
+ return 'EBCDIC-DK-NO';
+
+ case 'EBCDIC-DK-NO-A':
+ case 'CSEBCDICDKNOA':
+ return 'EBCDIC-DK-NO-A';
+
+ case 'EBCDIC-FI-SE':
+ case 'CSEBCDICFISE':
+ return 'EBCDIC-FI-SE';
+
+ case 'EBCDIC-FI-SE-A':
+ case 'CSEBCDICFISEA':
+ return 'EBCDIC-FI-SE-A';
+
+ case 'EBCDIC-FR':
+ case 'CSEBCDICFR':
+ return 'EBCDIC-FR';
+
+ case 'EBCDIC-IT':
+ case 'CSEBCDICIT':
+ return 'EBCDIC-IT';
+
+ case 'EBCDIC-PT':
+ case 'CSEBCDICPT':
+ return 'EBCDIC-PT';
+
+ case 'EBCDIC-ES':
+ case 'CSEBCDICES':
+ return 'EBCDIC-ES';
+
+ case 'EBCDIC-ES-A':
+ case 'CSEBCDICESA':
+ return 'EBCDIC-ES-A';
+
+ case 'EBCDIC-ES-S':
+ case 'CSEBCDICESS':
+ return 'EBCDIC-ES-S';
+
+ case 'EBCDIC-UK':
+ case 'CSEBCDICUK':
+ return 'EBCDIC-UK';
+
+ case 'EBCDIC-US':
+ case 'CSEBCDICUS':
+ return 'EBCDIC-US';
+
+ case 'UNKNOWN-8BIT':
+ case 'CSUNKNOWN8BIT':
+ return 'UNKNOWN-8BIT';
+
+ case 'MNEMONIC':
+ case 'CSMNEMONIC':
+ return 'MNEMONIC';
+
+ case 'MNEM':
+ case 'CSMNEM':
+ return 'MNEM';
+
+ case 'VISCII':
+ case 'CSVISCII':
+ return 'VISCII';
+
+ case 'VIQR':
+ case 'CSVIQR':
+ return 'VIQR';
+
+ case 'KOI8-R':
+ case 'CSKOI8R':
+ return 'KOI8-R';
+
+ case 'HZ-GB-2312':
+ return 'HZ-GB-2312';
+
+ case 'IBM866':
+ case 'CP866':
+ case '866':
+ case 'CSIBM866':
+ return 'IBM866';
+
+ case 'IBM775':
+ case 'CP775':
+ case 'CSPC775BALTIC':
+ return 'IBM775';
+
+ case 'KOI8-U':
+ return 'KOI8-U';
+
+ case 'IBM00858':
+ case 'CCSID00858':
+ case 'CP00858':
+ case 'PC-MULTILINGUAL-850+EURO':
+ return 'IBM00858';
+
+ case 'IBM00924':
+ case 'CCSID00924':
+ case 'CP00924':
+ case 'EBCDIC-LATIN9--EURO':
+ return 'IBM00924';
+
+ case 'IBM01140':
+ case 'CCSID01140':
+ case 'CP01140':
+ case 'EBCDIC-US-37+EURO':
+ return 'IBM01140';
+
+ case 'IBM01141':
+ case 'CCSID01141':
+ case 'CP01141':
+ case 'EBCDIC-DE-273+EURO':
+ return 'IBM01141';
+
+ case 'IBM01142':
+ case 'CCSID01142':
+ case 'CP01142':
+ case 'EBCDIC-DK-277+EURO':
+ case 'EBCDIC-NO-277+EURO':
+ return 'IBM01142';
+
+ case 'IBM01143':
+ case 'CCSID01143':
+ case 'CP01143':
+ case 'EBCDIC-FI-278+EURO':
+ case 'EBCDIC-SE-278+EURO':
+ return 'IBM01143';
+
+ case 'IBM01144':
+ case 'CCSID01144':
+ case 'CP01144':
+ case 'EBCDIC-IT-280+EURO':
+ return 'IBM01144';
+
+ case 'IBM01145':
+ case 'CCSID01145':
+ case 'CP01145':
+ case 'EBCDIC-ES-284+EURO':
+ return 'IBM01145';
+
+ case 'IBM01146':
+ case 'CCSID01146':
+ case 'CP01146':
+ case 'EBCDIC-GB-285+EURO':
+ return 'IBM01146';
+
+ case 'IBM01147':
+ case 'CCSID01147':
+ case 'CP01147':
+ case 'EBCDIC-FR-297+EURO':
+ return 'IBM01147';
+
+ case 'IBM01148':
+ case 'CCSID01148':
+ case 'CP01148':
+ case 'EBCDIC-INTERNATIONAL-500+EURO':
+ return 'IBM01148';
+
+ case 'IBM01149':
+ case 'CCSID01149':
+ case 'CP01149':
+ case 'EBCDIC-IS-871+EURO':
+ return 'IBM01149';
+
+ case 'BIG5-HKSCS':
+ return 'Big5-HKSCS';
+
+ case 'IBM1047':
+ case 'IBM-1047':
+ return 'IBM1047';
+
+ case 'PTCP154':
+ case 'CSPTCP154':
+ case 'PT154':
+ case 'CP154':
+ case 'CYRILLIC-ASIAN':
+ return 'PTCP154';
+
+ case 'AMIGA-1251':
+ case 'AMI1251':
+ case 'AMIGA1251':
+ case 'AMI-1251':
+ return 'Amiga-1251';
+
+ case 'KOI7-SWITCHED':
+ return 'KOI7-switched';
+
+ case 'BRF':
+ case 'CSBRF':
+ return 'BRF';
+
+ case 'TSCII':
+ case 'CSTSCII':
+ return 'TSCII';
+
+ case 'WINDOWS-1250':
+ return 'windows-1250';
+
+ case 'WINDOWS-1251':
+ return 'windows-1251';
+
+ case 'WINDOWS-1252':
+ return 'windows-1252';
+
+ case 'WINDOWS-1253':
+ return 'windows-1253';
+
+ case 'WINDOWS-1254':
+ return 'windows-1254';
+
+ case 'WINDOWS-1255':
+ return 'windows-1255';
+
+ case 'WINDOWS-1256':
+ return 'windows-1256';
+
+ case 'WINDOWS-1257':
+ return 'windows-1257';
+
+ case 'WINDOWS-1258':
+ return 'windows-1258';
+
+ default:
+ return (string) $encoding;
+ }
+ }
+
+ function get_curl_version()
+ {
+ if (is_array($curl = curl_version()))
+ {
+ $curl = $curl['version'];
+ }
+ elseif (substr($curl, 0, 5) == 'curl/')
+ {
+ $curl = substr($curl, 5, strcspn($curl, "\x09\x0A\x0B\x0C\x0D", 5));
+ }
+ elseif (substr($curl, 0, 8) == 'libcurl/')
+ {
+ $curl = substr($curl, 8, strcspn($curl, "\x09\x0A\x0B\x0C\x0D", 8));
+ }
+ else
+ {
+ $curl = 0;
+ }
+ return $curl;
+ }
+
+ function is_subclass_of($class1, $class2)
+ {
+ if (func_num_args() != 2)
+ {
+ trigger_error('Wrong parameter count for SimplePie_Misc::is_subclass_of()', E_USER_WARNING);
+ }
+ elseif (version_compare(PHP_VERSION, '5.0.3', '>=') || is_object($class1))
+ {
+ return is_subclass_of($class1, $class2);
+ }
+ elseif (is_string($class1) && is_string($class2))
+ {
+ if (class_exists($class1))
+ {
+ if (class_exists($class2))
+ {
+ $class2 = strtolower($class2);
+ while ($class1 = strtolower(get_parent_class($class1)))
+ {
+ if ($class1 == $class2)
+ {
+ return true;
+ }
+ }
+ }
+ }
+ else
+ {
+ trigger_error('Unknown class passed as parameter', E_USER_WARNNG);
+ }
+ }
+ return false;
+ }
+
+ /**
+ * Strip HTML comments
+ *
+ * @access public
+ * @param string $data Data to strip comments from
+ * @return string Comment stripped string
+ */
+ function strip_comments($data)
+ {
+ $output = '';
+ while (($start = strpos($data, '<!--')) !== false)
+ {
+ $output .= substr($data, 0, $start);
+ if (($end = strpos($data, '-->', $start)) !== false)
+ {
+ $data = substr_replace($data, '', 0, $end + 3);
+ }
+ else
+ {
+ $data = '';
+ }
+ }
+ return $output . $data;
+ }
+
+ function parse_date($dt, $rfc822_tz = true)
+ {
+ static $cache = array();
+ if (!isset($cache[$dt][$rfc822_tz]))
+ {
+ $dt = SimplePie_Misc::uncomment_rfc822($dt);
+ /*
+ Capturing subpatterns:
+ 1: RFC 822 date
+ 2: RFC 822 day
+ 3: RFC 822 month
+ 4: RFC 822 year
+ 5: ISO 8601 date
+ 6: ISO 8601 century
+ 7: ISO 8601 year
+ 8: ISO 8601 month
+ 9: ISO 8601 day
+ 10: ISO 8601 ordinal day
+ 11: ISO 8601 month
+ 12: ISO 8601 day
+ 13: ISO 8601 week
+ 14: ISO 8601 day of week
+ 15: Time
+ 16: Hour
+ 17: Hour Decimal
+ 18: Minute
+ 19: Minute Decimal
+ 20: Second
+ 21: Second Decimal
+ 22: Timezone
+ 23: Diff 卤
+ 24: Hour
+ 25: Hour Decimal
+ 26: Minute
+ 27: Minute Decimal
+ 28: Alphabetic Timezone
+ */
+ if (preg_match('/^(?:(?:(?:Mon|Tue|Wed|Thu|Fri|Sat|Sun)[,\s]+)?(([0-9]{1,2})\s*(Jan|Feb|Mar|Apr|May|Jun|Jul|Aug|Sep|Oct|Nov|Dec)\s*([0-9]{4}|[0-9]{2}))|(([0-9]{2})(?:([0-9]{2})(?:(?:-|\s)*(?:([0-9]{2})([0-9]{2})|([0-9]{3})|([0-9]{2})(?:(?:-|\s)*([0-9]{2}))?|W([0-9]{2})(?:(?:-|\s)*([0-9]))?))?)?))((?:T|\s)+([0-9]{2})(?:(?:,|\.)([0-9]*)|(?:\:|\s)*([0-9]{2})(?:(?:,|\.)([0-9]*)|(?:\:|\s)*([0-9]{2})(?:(?:,|\.)([0-9]*))?)?)?(?:\s)*((?:(\+|-)([0-9]{2})(?:(?:,|\.)([0-9]*)|(?:\:|\s)*(?:([0-9]{2})(?:(?:,|\.)([0-9]*))?))?)|(UTC|GMT|EST|CST|MST|PST|EDT|CDT|MDT|PDT|UT|[A-IK-Z]))?)?$/i', $dt, $match))
+ {
+ // Fill all matches
+ for ($i = count($match); $i <= 28; $i++)
+ {
+ $match[$i] = '';
+ }
+
+ // Set blank vars
+ $year = 1970;
+ $month = 1;
+ $day = 1;
+ $hour = 0;
+ $minute = 0;
+ $second = 0;
+ $timezone = false;
+
+ // RFC 822
+ if ($match[1] !== '')
+ {
+ if (strlen($match[4]) == 2)
+ {
+ $year = ($match[4] < 70) ? "20$match[4]" : "19$match[4]";
+ }
+ else
+ {
+ $year = $match[4];
+ }
+ switch (strtolower($match[3]))
+ {
+ case 'jan':
+ $month = 1;
+ break;
+
+ case 'feb':
+ $month = 2;
+ break;
+
+ case 'mar':
+ $month = 3;
+ break;
+
+ case 'apr':
+ $month = 4;
+ break;
+
+ case 'may':
+ $month = 5;
+ break;
+
+ case 'jun':
+ $month = 6;
+ break;
+
+ case 'jul':
+ $month = 7;
+ break;
+
+ case 'aug':
+ $month = 8;
+ break;
+
+ case 'sep':
+ $month = 9;
+ break;
+
+ case 'oct':
+ $month = 10;
+ break;
+
+ case 'nov':
+ $month = 11;
+ break;
+
+ case 'dec':
+ $month = 12;
+ break;
+ }
+ $day = $match[2];
+ }
+ // ISO 8601
+ else
+ {
+ // Year
+ if ($match[7] !== '')
+ {
+ $year = "$match[6]$match[7]";
+
+ // Two Digit Month/Day
+ if ($match[11] !== '')
+ {
+ $month = $match[11];
+ if ($match[12] !== '')
+ {
+ $day = $match[12];
+ }
+ }
+
+ // Four Digit Month/Day
+ elseif ($match[8] !== '')
+ {
+ $month = $match[8];
+ $day = $match[9];
+ }
+
+ // Ordinal Day
+ elseif ($match[10] !== '')
+ {
+ $day = $match[10];
+ }
+
+ // Week Date
+ elseif ($match[13] !== '')
+ {
+ // Week Day
+ if ($match[14] !== '')
+ {
+ $day = $match[14];
+ }
+
+ $first_day_of_year = date('w', mktime(0, 0, 0, 1, 1, $year));
+ if ($first_day_of_year == 0)
+ {
+ $first_day_of_year = 7;
+ }
+
+ $day = 7 * ($match[13] - 1) + $day - ($first_day_of_year - 1);
+ }
+ }
+ else
+ {
+ $year = "$match[6]00";
+ }
+ }
+ // Time
+ if ($match[15] !== '')
+ {
+ $time = 0;
+ $time += ($match[16] + ('.' . $match[17])) * 3600;
+ $time += ($match[18] + ('.' . $match[19])) * 60;
+ $time += $match[20] + ('.' . $match[21]);
+ $hour = floor($time / 3600);
+ $time -= $hour * 3600;
+ $minute = floor($time / 60);
+ $time -= $minute * 60;
+ $second = round($time);
+
+ // Timezone
+ if ($match[22] !== '')
+ {
+ // Alphabetic Timezone
+ if ($match[28] !== '')
+ {
+ // Military
+ if (strlen($match[28]) == 1)
+ {
+ if ($match[28] == 'Z' || $match[28] == 'z' || !$rfc822_tz)
+ {
+ $timezone = 0;
+ }
+ else
+ {
+ $timezone = ord(strtoupper($match[28]));
+
+ if ($timezone > 74)
+ {
+ $timezone--;
+ }
+
+ if ($timezone <= 76)
+ {
+ $timezone = -($timezone - 64);
+ }
+ else
+ {
+ $timezone -= 76;
+ }
+
+ $timezone *= 3600;
+ }
+ }
+ // Code
+ else
+ {
+ switch (strtoupper($match[28]))
+ {
+ case 'UT':
+ case 'UTC':
+ case 'GMT':
+ $timezone = 0;
+ break;
+
+ case 'EST':
+ $timezone = -18000;
+ break;
+
+ case 'CST':
+ $timezone = -21600;
+ break;
+
+ case 'MST':
+ $timezone = -25200;
+ break;
+
+ case 'PST':
+ $timezone = -28800;
+ break;
+
+ case 'EDT':
+ $timezone = -14400;
+ break;
+
+ case 'CDT':
+ $timezone = -18000;
+ break;
+
+ case 'MDT':
+ $timezone = -21600;
+ break;
+
+ case 'PDT':
+ $timezone = -25200;
+ break;
+ }
+ }
+ }
+ // Timezone difference from UTC
+ else
+ {
+ $timezone = 0;
+ $timezone += ($match[24] + ('.' . $match[25])) * 3600;
+ $timezone += ($match[26] + ('.' . $match[27])) * 60;
+ $timezone = (int) round($timezone);
+
+ if ($match[23] == '-')
+ {
+ $timezone = -$timezone;
+ }
+ }
+ }
+ }
+ if ($timezone === false)
+ {
+ $cache[$dt][$rfc822_tz] = mktime($hour, $minute, $second, $month, $day, $year);
+ }
+ else
+ {
+ $cache[$dt][$rfc822_tz] = gmmktime($hour, $minute, $second, $month, $day, $year) - $timezone;
+ }
+ }
+ elseif (($time = strtotime($dt)) > 0)
+ {
+ $cache[$dt][$rfc822_tz] = $time;
+ }
+ else
+ {
+ $cache[$dt][$rfc822_tz] = false;
+ }
+ }
+ return $cache[$dt][$rfc822_tz];
+ }
+
+ /**
+ * Decode HTML entities
+ *
+ * @static
+ * @access public
+ * @param string $data Input data
+ * @return string Output data
+ */
+ function entities_decode($data)
+ {
+ $decoder = new SimplePie_Decode_HTML_Entities($data);
+ return $decoder->parse();
+ }
+
+ /**
+ * Remove RFC822 comments
+ *
+ * @author Tomas V.V.Cox <cox@idecnet.com>
+ * @author Pierre-Alain Joye <pajoye@php.net>
+ * @author Amir Mohammad Saied <amir@php.net>
+ * @copyright 1997-2006 Pierre-Alain Joye,Tomas V.V.Cox,Amir Mohammad Saied
+ * @license http://www.opensource.org/licenses/bsd-license.php New BSD License
+ * @version CVS: $Id: Validate.php,v 1.104 2006/11/17 16:32:06 amir Exp $
+ * @link http://pear.php.net/package/Validate
+ * @access public
+ * @param string $data Data to strip comments from
+ * @return string Comment stripped string
+ */
+ function uncomment_rfc822($data)
+ {
+ if ((version_compare(PHP_VERSION, '4.4.6', '>=') && version_compare(PHP_VERSION, '5', '<')) || version_compare(PHP_VERSION, '5.2.2', '>='))
+ {
+ return $data;
+ }
+ else
+ {
+ return preg_replace('/((?:(?:\\\\"|[^("])*(?:"(?:[^"\\\\\r]|\\\\.)*"\s*)?)*)((?<!\\\\)\((?:(?2)|.)*?(?<!\\\\)\))/', '$1', $data);
+ }
+ }
+
+ function parse_mime($mime)
+ {
+ if (($pos = strpos($mime, ';')) === false)
+ {
+ return trim($mime);
+ }
+ else
+ {
+ return trim(substr($mime, 0, $pos));
+ }
+ }
+
+ function htmlspecialchars_decode($string, $quote_style)
+ {
+ if (function_exists('htmlspecialchars_decode'))
+ {
+ return htmlspecialchars_decode($string, $quote_style);
+ }
+ else
+ {
+ return strtr($string, array_flip(get_html_translation_table(HTML_SPECIALCHARS, $quote_style)));
+ }
+ }
+
+ function atom_03_construct_type($attribs)
+ {
+ if (isset($attribs['']['mode']) && strtolower(trim($attribs['']['mode']) == 'base64'))
+ {
+ $mode = SIMPLEPIE_CONSTRUCT_BASE64;
+ }
+ else
+ {
+ $mode = SIMPLEPIE_CONSTRUCT_NONE;
+ }
+ if (isset($attribs['']['type']))
+ {
+ switch (strtolower(trim($attribs['']['type'])))
+ {
+ case 'text':
+ case 'text/plain':
+ return SIMPLEPIE_CONSTRUCT_TEXT | $mode;
+
+ case 'html':
+ case 'text/html':
+ return SIMPLEPIE_CONSTRUCT_HTML | $mode;
+
+ case 'xhtml':
+ case 'application/xhtml+xml':
+ return SIMPLEPIE_CONSTRUCT_XHTML | $mode;
+
+ default:
+ return SIMPLEPIE_CONSTRUCT_NONE | $mode;
+ }
+ }
+ else
+ {
+ return SIMPLEPIE_CONSTRUCT_TEXT | $mode;
+ }
+ }
+
+ function atom_10_construct_type($attribs)
+ {
+ if (isset($attribs['']['type']))
+ {
+ switch (strtolower(trim($attribs['']['type'])))
+ {
+ case 'text':
+ return SIMPLEPIE_CONSTRUCT_TEXT;
+
+ case 'html':
+ return SIMPLEPIE_CONSTRUCT_HTML;
+
+ case 'xhtml':
+ return SIMPLEPIE_CONSTRUCT_XHTML;
+
+ default:
+ return SIMPLEPIE_CONSTRUCT_NONE;
+ }
+ }
+ return SIMPLEPIE_CONSTRUCT_TEXT;
+ }
+
+ function atom_10_content_construct_type($attribs)
+ {
+ if (isset($attribs['']['type']))
+ {
+ $type = strtolower(trim($attribs['']['type']));
+ switch ($type)
+ {
+ case 'text':
+ return SIMPLEPIE_CONSTRUCT_TEXT;
+
+ case 'html':
+ return SIMPLEPIE_CONSTRUCT_HTML;
+
+ case 'xhtml':
+ return SIMPLEPIE_CONSTRUCT_XHTML;
+ }
+ if (in_array(substr($type, -4), array('+xml', '/xml')) || substr($type, 0, 5) == 'text/')
+ {
+ return SIMPLEPIE_CONSTRUCT_NONE;
+ }
+ else
+ {
+ return SIMPLEPIE_CONSTRUCT_BASE64;
+ }
+ }
+ else
+ {
+ return SIMPLEPIE_CONSTRUCT_TEXT;
+ }
+ }
+
+ function is_isegment_nz_nc($string)
+ {
+ return (bool) preg_match('/^([A-Za-z0-9\-._~\x{A0}-\x{D7FF}\x{F900}-\x{FDCF}\x{FDF0}-\x{FFEF}\x{10000}-\x{1FFFD}\x{20000}-\x{2FFFD}\x{30000}-\x{3FFFD}\x{40000}-\x{4FFFD}\x{50000}-\x{5FFFD}\x{60000}-\x{6FFFD}\x{70000}-\x{7FFFD}\x{80000}-\x{8FFFD}\x{90000}-\x{9FFFD}\x{A0000}-\x{AFFFD}\x{B0000}-\x{BFFFD}\x{C0000}-\x{CFFFD}\x{D0000}-\x{DFFFD}\x{E1000}-\x{EFFFD}!$&\'()*+,;=@]|(%[0-9ABCDEF]{2}))+$/u', $string);
+ }
+
+ function space_seperated_tokens($string)
+ {
+ $space_characters = "\x20\x09\x0A\x0B\x0C\x0D";
+ $string_length = strlen($string);
+
+ $position = strspn($string, $space_characters);
+ $tokens = array();
+
+ while ($position < $string_length)
+ {
+ $len = strcspn($string, $space_characters, $position);
+ $tokens[] = substr($string, $position, $len);
+ $position += $len;
+ $position += strspn($string, $space_characters, $position);
+ }
+
+ return $tokens;
+ }
+
+ function array_unique($array)
+ {
+ if (version_compare(PHP_VERSION, '5.2', '>='))
+ {
+ return array_unique($array);
+ }
+ else
+ {
+ $array = (array) $array;
+ $new_array = array();
+ $new_array_strings = array();
+ foreach ($array as $key => $value)
+ {
+ if (is_object($value))
+ {
+ if (method_exists($value, '__toString'))
+ {
+ $cmp = $value->__toString();
+ }
+ else
+ {
+ trigger_error('Object of class ' . get_class($value) . ' could not be converted to string', E_USER_ERROR);
+ }
+ }
+ elseif (is_array($value))
+ {
+ $cmp = (string) reset($value);
+ }
+ else
+ {
+ $cmp = (string) $value;
+ }
+ if (!in_array($cmp, $new_array_strings))
+ {
+ $new_array[$key] = $value;
+ $new_array_strings[] = $cmp;
+ }
+ }
+ return $new_array;
+ }
+ }
+
+ /**
+ * Converts a unicode codepoint to a UTF-8 character
+ *
+ * @static
+ * @access public
+ * @param int $codepoint Unicode codepoint
+ * @return string UTF-8 character
+ */
+ function codepoint_to_utf8($codepoint)
+ {
+ static $cache = array();
+ $codepoint = (int) $codepoint;
+ if (isset($cache[$codepoint]))
+ {
+ return $cache[$codepoint];
+ }
+ elseif ($codepoint < 0)
+ {
+ return $cache[$codepoint] = false;
+ }
+ else if ($codepoint <= 0x7f)
+ {
+ return $cache[$codepoint] = chr($codepoint);
+ }
+ else if ($codepoint <= 0x7ff)
+ {
+ return $cache[$codepoint] = chr(0xc0 | ($codepoint >> 6)) . chr(0x80 | ($codepoint & 0x3f));
+ }
+ else if ($codepoint <= 0xffff)
+ {
+ return $cache[$codepoint] = chr(0xe0 | ($codepoint >> 12)) . chr(0x80 | (($codepoint >> 6) & 0x3f)) . chr(0x80 | ($codepoint & 0x3f));
+ }
+ else if ($codepoint <= 0x10ffff)
+ {
+ return $cache[$codepoint] = chr(0xf0 | ($codepoint >> 18)) . chr(0x80 | (($codepoint >> 12) & 0x3f)) . chr(0x80 | (($codepoint >> 6) & 0x3f)) . chr(0x80 | ($codepoint & 0x3f));
+ }
+ else
+ {
+ // U+FFFD REPLACEMENT CHARACTER
+ return $cache[$codepoint] = "\xEF\xBF\xBD";
+ }
+ }
+
+ /**
+ * Re-implementation of PHP 4.2.0's is_a()
+ *
+ * @static
+ * @access public
+ * @param object $object The tested object
+ * @param string $class_name The class name
+ * @return bool Returns true if the object is of this class or has this class as one of its parents, false otherwise
+ */
+ function is_a($object, $class_name)
+ {
+ if (function_exists('is_a'))
+ {
+ return is_a($object, $class_name);
+ }
+ elseif (!is_object($object))
+ {
+ return false;
+ }
+ elseif (get_class($object) == strtolower($class_name))
+ {
+ return true;
+ }
+ else
+ {
+ return is_subclass_of($object, $class_name);
+ }
+ }
+
+ /**
+ * Re-implementation of PHP 5's stripos()
+ *
+ * Returns the numeric position of the first occurrence of needle in the
+ * haystack string.
+ *
+ * @static
+ * @access string
+ * @param object $haystack
+ * @param string $needle Note that the needle may be a string of one or more
+ * characters. If needle is not a string, it is converted to an integer
+ * and applied as the ordinal value of a character.
+ * @param int $offset The optional offset parameter allows you to specify which
+ * character in haystack to start searching. The position returned is still
+ * relative to the beginning of haystack.
+ * @return bool If needle is not found, stripos() will return boolean false.
+ */
+ function stripos($haystack, $needle, $offset = 0)
+ {
+ if (function_exists('stripos'))
+ {
+ return stripos($haystack, $needle, $offset);
+ }
+ else
+ {
+ if (is_string($needle))
+ {
+ $needle = strtolower($needle);
+ }
+ elseif (is_int($needle) || is_bool($needle) || is_double($needle))
+ {
+ $needle = strtolower(chr($needle));
+ }
+ else
+ {
+ trigger_error('needle is not a string or an integer', E_USER_WARNING);
+ return false;
+ }
+
+ return strpos(strtolower($haystack), $needle, $offset);
+ }
+ }
+}
+
+/**
+ * Decode HTML Entities
+ *
+ * This implements HTML5 as of revision 967 (2007-06-28)
+ *
+ * @package SimplePie
+ */
+class SimplePie_Decode_HTML_Entities
+{
+ /**
+ * Data to be parsed
+ *
+ * @access private
+ * @var string
+ */
+ var $data = '';
+
+ /**
+ * Currently consumed bytes
+ *
+ * @access private
+ * @var string
+ */
+ var $consumed = '';
+
+ /**
+ * Position of the current byte being parsed
+ *
+ * @access private
+ * @var int
+ */
+ var $position = 0;
+
+ /**
+ * Create an instance of the class with the input data
+ *
+ * @access public
+ * @param string $data Input data
+ */
+ function SimplePie_Decode_HTML_Entities($data)
+ {
+ $this->data = $data;
+ }
+
+ /**
+ * Parse the input data
+ *
+ * @access public
+ * @return string Output data
+ */
+ function parse()
+ {
+ while (($this->position = strpos($this->data, '&', $this->position)) !== false)
+ {
+ $this->consume();
+ $this->entity();
+ $this->consumed = '';
+ }
+ return $this->data;
+ }
+
+ /**
+ * Consume the next byte
+ *
+ * @access private
+ * @return mixed The next byte, or false, if there is no more data
+ */
+ function consume()
+ {
+ if (isset($this->data[$this->position]))
+ {
+ $this->consumed .= $this->data[$this->position];
+ return $this->data[$this->position++];
+ }
+ else
+ {
+ $this->consumed = false;
+ return false;
+ }
+ }
+
+ /**
+ * Consume a range of characters
+ *
+ * @access private
+ * @param string $chars Characters to consume
+ * @return mixed A series of characters that match the range, or false
+ */
+ function consume_range($chars)
+ {
+ if ($len = strspn($this->data, $chars, $this->position))
+ {
+ $data = substr($this->data, $this->position, $len);
+ $this->consumed .= $data;
+ $this->position += $len;
+ return $data;
+ }
+ else
+ {
+ $this->consumed = false;
+ return false;
+ }
+ }
+
+ /**
+ * Unconsume one byte
+ *
+ * @access private
+ */
+ function unconsume()
+ {
+ $this->consumed = substr($this->consumed, 0, -1);
+ $this->position--;
+ }
+
+ /**
+ * Decode an entity
+ *
+ * @access private
+ */
+ function entity()
+ {
+ switch ($this->consume())
+ {
+ case "\x09":
+ case "\x0A":
+ case "\x0B":
+ case "\x0B":
+ case "\x0C":
+ case "\x20":
+ case "\x3C":
+ case "\x26":
+ case false:
+ break;
+
+ case "\x23":
+ switch ($this->consume())
+ {
+ case "\x78":
+ case "\x58":
+ $range = '0123456789ABCDEFabcdef';
+ $hex = true;
+ break;
+
+ default:
+ $range = '0123456789';
+ $hex = false;
+ $this->unconsume();
+ break;
+ }
+
+ if ($codepoint = $this->consume_range($range))
+ {
+ static $windows_1252_specials = array(0x0D => "\x0A", 0x80 => "\xE2\x82\xAC", 0x81 => "\xEF\xBF\xBD", 0x82 => "\xE2\x80\x9A", 0x83 => "\xC6\x92", 0x84 => "\xE2\x80\x9E", 0x85 => "\xE2\x80\xA6", 0x86 => "\xE2\x80\xA0", 0x87 => "\xE2\x80\xA1", 0x88 => "\xCB\x86", 0x89 => "\xE2\x80\xB0", 0x8A => "\xC5\xA0", 0x8B => "\xE2\x80\xB9", 0x8C => "\xC5\x92", 0x8D => "\xEF\xBF\xBD", 0x8E => "\xC5\xBD", 0x8F => "\xEF\xBF\xBD", 0x90 => "\xEF\xBF\xBD", 0x91 => "\xE2\x80\x98", 0x92 => "\xE2\x80\x99", 0x93 => "\xE2\x80\x9C", 0x94 => "\xE2\x80\x9D", 0x95 => "\xE2\x80\xA2", 0x96 => "\xE2\x80\x93", 0x97 => "\xE2\x80\x94", 0x98 => "\xCB\x9C", 0x99 => "\xE2\x84\xA2", 0x9A => "\xC5\xA1", 0x9B => "\xE2\x80\xBA", 0x9C => "\xC5\x93", 0x9D => "\xEF\xBF\xBD", 0x9E => "\xC5\xBE", 0x9F => "\xC5\xB8");
+
+ if ($hex)
+ {
+ $codepoint = hexdec($codepoint);
+ }
+ else
+ {
+ $codepoint = intval($codepoint);
+ }
+
+ if (isset($windows_1252_specials[$codepoint]))
+ {
+ $replacement = $windows_1252_specials[$codepoint];
+ }
+ else
+ {
+ $replacement = SimplePie_Misc::codepoint_to_utf8($codepoint);
+ }
+
+ if ($this->consume() != ';')
+ {
+ $this->unconsume();
+ }
+
+ $consumed_length = strlen($this->consumed);
+ $this->data = substr_replace($this->data, $replacement, $this->position - $consumed_length, $consumed_length);
+ $this->position += strlen($replacement) - $consumed_length;
+ }
+ break;
+
+ default:
+ static $entities = array('Aacute' => "\xC3\x81", 'aacute' => "\xC3\xA1", 'Aacute;' => "\xC3\x81", 'aacute;' => "\xC3\xA1", 'Acirc' => "\xC3\x82", 'acirc' => "\xC3\xA2", 'Acirc;' => "\xC3\x82", 'acirc;' => "\xC3\xA2", 'acute' => "\xC2\xB4", 'acute;' => "\xC2\xB4", 'AElig' => "\xC3\x86", 'aelig' => "\xC3\xA6", 'AElig;' => "\xC3\x86", 'aelig;' => "\xC3\xA6", 'Agrave' => "\xC3\x80", 'agrave' => "\xC3\xA0", 'Agrave;' => "\xC3\x80", 'agrave;' => "\xC3\xA0", 'alefsym;' => "\xE2\x84\xB5", 'Alpha;' => "\xCE\x91", 'alpha;' => "\xCE\xB1", 'AMP' => "\x26", 'amp' => "\x26", 'AMP;' => "\x26", 'amp;' => "\x26", 'and;' => "\xE2\x88\xA7", 'ang;' => "\xE2\x88\xA0", 'apos;' => "\x27", 'Aring' => "\xC3\x85", 'aring' => "\xC3\xA5", 'Aring;' => "\xC3\x85", 'aring;' => "\xC3\xA5", 'asymp;' => "\xE2\x89\x88", 'Atilde' => "\xC3\x83", 'atilde' => "\xC3\xA3", 'Atilde;' => "\xC3\x83", 'atilde;' => "\xC3\xA3", 'Auml' => "\xC3\x84", 'auml' => "\xC3\xA4", 'Auml;' => "\xC3\x84", 'auml;' => "\xC3\xA4", 'bdquo;' => "\xE2\x80\x9E", 'Beta;' => "\xCE\x92", 'beta;' => "\xCE\xB2", 'brvbar' => "\xC2\xA6", 'brvbar;' => "\xC2\xA6", 'bull;' => "\xE2\x80\xA2", 'cap;' => "\xE2\x88\xA9", 'Ccedil' => "\xC3\x87", 'ccedil' => "\xC3\xA7", 'Ccedil;' => "\xC3\x87", 'ccedil;' => "\xC3\xA7", 'cedil' => "\xC2\xB8", 'cedil;' => "\xC2\xB8", 'cent' => "\xC2\xA2", 'cent;' => "\xC2\xA2", 'Chi;' => "\xCE\xA7", 'chi;' => "\xCF\x87", 'circ;' => "\xCB\x86", 'clubs;' => "\xE2\x99\xA3", 'cong;' => "\xE2\x89\x85", 'COPY' => "\xC2\xA9", 'copy' => "\xC2\xA9", 'COPY;' => "\xC2\xA9", 'copy;' => "\xC2\xA9", 'crarr;' => "\xE2\x86\xB5", 'cup;' => "\xE2\x88\xAA", 'curren' => "\xC2\xA4", 'curren;' => "\xC2\xA4", 'Dagger;' => "\xE2\x80\xA1", 'dagger;' => "\xE2\x80\xA0", 'dArr;' => "\xE2\x87\x93", 'darr;' => "\xE2\x86\x93", 'deg' => "\xC2\xB0", 'deg;' => "\xC2\xB0", 'Delta;' => "\xCE\x94", 'delta;' => "\xCE\xB4", 'diams;' => "\xE2\x99\xA6", 'divide' => "\xC3\xB7", 'divide;' => "\xC3\xB7", 'Eacute' => "\xC3\x89", 'eacute' => "\xC3\xA9", 'Eacute;' => "\xC3\x89", 'eacute;' => "\xC3\xA9", 'Ecirc' => "\xC3\x8A", 'ecirc' => "\xC3\xAA", 'Ecirc;' => "\xC3\x8A", 'ecirc;' => "\xC3\xAA", 'Egrave' => "\xC3\x88", 'egrave' => "\xC3\xA8", 'Egrave;' => "\xC3\x88", 'egrave;' => "\xC3\xA8", 'empty;' => "\xE2\x88\x85", 'emsp;' => "\xE2\x80\x83", 'ensp;' => "\xE2\x80\x82", 'Epsilon;' => "\xCE\x95", 'epsilon;' => "\xCE\xB5", 'equiv;' => "\xE2\x89\xA1", 'Eta;' => "\xCE\x97", 'eta;' => "\xCE\xB7", 'ETH' => "\xC3\x90", 'eth' => "\xC3\xB0", 'ETH;' => "\xC3\x90", 'eth;' => "\xC3\xB0", 'Euml' => "\xC3\x8B", 'euml' => "\xC3\xAB", 'Euml;' => "\xC3\x8B", 'euml;' => "\xC3\xAB", 'euro;' => "\xE2\x82\xAC", 'exist;' => "\xE2\x88\x83", 'fnof;' => "\xC6\x92", 'forall;' => "\xE2\x88\x80", 'frac12' => "\xC2\xBD", 'frac12;' => "\xC2\xBD", 'frac14' => "\xC2\xBC", 'frac14;' => "\xC2\xBC", 'frac34' => "\xC2\xBE", 'frac34;' => "\xC2\xBE", 'frasl;' => "\xE2\x81\x84", 'Gamma;' => "\xCE\x93", 'gamma;' => "\xCE\xB3", 'ge;' => "\xE2\x89\xA5", 'GT' => "\x3E", 'gt' => "\x3E", 'GT;' => "\x3E", 'gt;' => "\x3E", 'hArr;' => "\xE2\x87\x94", 'harr;' => "\xE2\x86\x94", 'hearts;' => "\xE2\x99\xA5", 'hellip;' => "\xE2\x80\xA6", 'Iacute' => "\xC3\x8D", 'iacute' => "\xC3\xAD", 'Iacute;' => "\xC3\x8D", 'iacute;' => "\xC3\xAD", 'Icirc' => "\xC3\x8E", 'icirc' => "\xC3\xAE", 'Icirc;' => "\xC3\x8E", 'icirc;' => "\xC3\xAE", 'iexcl' => "\xC2\xA1", 'iexcl;' => "\xC2\xA1", 'Igrave' => "\xC3\x8C", 'igrave' => "\xC3\xAC", 'Igrave;' => "\xC3\x8C", 'igrave;' => "\xC3\xAC", 'image;' => "\xE2\x84\x91", 'infin;' => "\xE2\x88\x9E", 'int;' => "\xE2\x88\xAB", 'Iota;' => "\xCE\x99", 'iota;' => "\xCE\xB9", 'iquest' => "\xC2\xBF", 'iquest;' => "\xC2\xBF", 'isin;' => "\xE2\x88\x88", 'Iuml' => "\xC3\x8F", 'iuml' => "\xC3\xAF", 'Iuml;' => "\xC3\x8F", 'iuml;' => "\xC3\xAF", 'Kappa;' => "\xCE\x9A", 'kappa;' => "\xCE\xBA", 'Lambda;' => "\xCE\x9B", 'lambda;' => "\xCE\xBB", 'lang;' => "\xE3\x80\x88", 'laquo' => "\xC2\xAB", 'laquo;' => "\xC2\xAB", 'lArr;' => "\xE2\x87\x90", 'larr;' => "\xE2\x86\x90", 'lceil;' => "\xE2\x8C\x88", 'ldquo;' => "\xE2\x80\x9C", 'le;' => "\xE2\x89\xA4", 'lfloor;' => "\xE2\x8C\x8A", 'lowast;' => "\xE2\x88\x97", 'loz;' => "\xE2\x97\x8A", 'lrm;' => "\xE2\x80\x8E", 'lsaquo;' => "\xE2\x80\xB9", 'lsquo;' => "\xE2\x80\x98", 'LT' => "\x3C", 'lt' => "\x3C", 'LT;' => "\x3C", 'lt;' => "\x3C", 'macr' => "\xC2\xAF", 'macr;' => "\xC2\xAF", 'mdash;' => "\xE2\x80\x94", 'micro' => "\xC2\xB5", 'micro;' => "\xC2\xB5", 'middot' => "\xC2\xB7", 'middot;' => "\xC2\xB7", 'minus;' => "\xE2\x88\x92", 'Mu;' => "\xCE\x9C", 'mu;' => "\xCE\xBC", 'nabla;' => "\xE2\x88\x87", 'nbsp' => "\xC2\xA0", 'nbsp;' => "\xC2\xA0", 'ndash;' => "\xE2\x80\x93", 'ne;' => "\xE2\x89\xA0", 'ni;' => "\xE2\x88\x8B", 'not' => "\xC2\xAC", 'not;' => "\xC2\xAC", 'notin;' => "\xE2\x88\x89", 'nsub;' => "\xE2\x8A\x84", 'Ntilde' => "\xC3\x91", 'ntilde' => "\xC3\xB1", 'Ntilde;' => "\xC3\x91", 'ntilde;' => "\xC3\xB1", 'Nu;' => "\xCE\x9D", 'nu;' => "\xCE\xBD", 'Oacute' => "\xC3\x93", 'oacute' => "\xC3\xB3", 'Oacute;' => "\xC3\x93", 'oacute;' => "\xC3\xB3", 'Ocirc' => "\xC3\x94", 'ocirc' => "\xC3\xB4", 'Ocirc;' => "\xC3\x94", 'ocirc;' => "\xC3\xB4", 'OElig;' => "\xC5\x92", 'oelig;' => "\xC5\x93", 'Ograve' => "\xC3\x92", 'ograve' => "\xC3\xB2", 'Ograve;' => "\xC3\x92", 'ograve;' => "\xC3\xB2", 'oline;' => "\xE2\x80\xBE", 'Omega;' => "\xCE\xA9", 'omega;' => "\xCF\x89", 'Omicron;' => "\xCE\x9F", 'omicron;' => "\xCE\xBF", 'oplus;' => "\xE2\x8A\x95", 'or;' => "\xE2\x88\xA8", 'ordf' => "\xC2\xAA", 'ordf;' => "\xC2\xAA", 'ordm' => "\xC2\xBA", 'ordm;' => "\xC2\xBA", 'Oslash' => "\xC3\x98", 'oslash' => "\xC3\xB8", 'Oslash;' => "\xC3\x98", 'oslash;' => "\xC3\xB8", 'Otilde' => "\xC3\x95", 'otilde' => "\xC3\xB5", 'Otilde;' => "\xC3\x95", 'otilde;' => "\xC3\xB5", 'otimes;' => "\xE2\x8A\x97", 'Ouml' => "\xC3\x96", 'ouml' => "\xC3\xB6", 'Ouml;' => "\xC3\x96", 'ouml;' => "\xC3\xB6", 'para' => "\xC2\xB6", 'para;' => "\xC2\xB6", 'part;' => "\xE2\x88\x82", 'permil;' => "\xE2\x80\xB0", 'perp;' => "\xE2\x8A\xA5", 'Phi;' => "\xCE\xA6", 'phi;' => "\xCF\x86", 'Pi;' => "\xCE\xA0", 'pi;' => "\xCF\x80", 'piv;' => "\xCF\x96", 'plusmn' => "\xC2\xB1", 'plusmn;' => "\xC2\xB1", 'pound' => "\xC2\xA3", 'pound;' => "\xC2\xA3", 'Prime;' => "\xE2\x80\xB3", 'prime;' => "\xE2\x80\xB2", 'prod;' => "\xE2\x88\x8F", 'prop;' => "\xE2\x88\x9D", 'Psi;' => "\xCE\xA8", 'psi;' => "\xCF\x88", 'QUOT' => "\x22", 'quot' => "\x22", 'QUOT;' => "\x22", 'quot;' => "\x22", 'radic;' => "\xE2\x88\x9A", 'rang;' => "\xE3\x80\x89", 'raquo' => "\xC2\xBB", 'raquo;' => "\xC2\xBB", 'rArr;' => "\xE2\x87\x92", 'rarr;' => "\xE2\x86\x92", 'rceil;' => "\xE2\x8C\x89", 'rdquo;' => "\xE2\x80\x9D", 'real;' => "\xE2\x84\x9C", 'REG' => "\xC2\xAE", 'reg' => "\xC2\xAE", 'REG;' => "\xC2\xAE", 'reg;' => "\xC2\xAE", 'rfloor;' => "\xE2\x8C\x8B", 'Rho;' => "\xCE\xA1", 'rho;' => "\xCF\x81", 'rlm;' => "\xE2\x80\x8F", 'rsaquo;' => "\xE2\x80\xBA", 'rsquo;' => "\xE2\x80\x99", 'sbquo;' => "\xE2\x80\x9A", 'Scaron;' => "\xC5\xA0", 'scaron;' => "\xC5\xA1", 'sdot;' => "\xE2\x8B\x85", 'sect' => "\xC2\xA7", 'sect;' => "\xC2\xA7", 'shy' => "\xC2\xAD", 'shy;' => "\xC2\xAD", 'Sigma;' => "\xCE\xA3", 'sigma;' => "\xCF\x83", 'sigmaf;' => "\xCF\x82", 'sim;' => "\xE2\x88\xBC", 'spades;' => "\xE2\x99\xA0", 'sub;' => "\xE2\x8A\x82", 'sube;' => "\xE2\x8A\x86", 'sum;' => "\xE2\x88\x91", 'sup;' => "\xE2\x8A\x83", 'sup1' => "\xC2\xB9", 'sup1;' => "\xC2\xB9", 'sup2' => "\xC2\xB2", 'sup2;' => "\xC2\xB2", 'sup3' => "\xC2\xB3", 'sup3;' => "\xC2\xB3", 'supe;' => "\xE2\x8A\x87", 'szlig' => "\xC3\x9F", 'szlig;' => "\xC3\x9F", 'Tau;' => "\xCE\xA4", 'tau;' => "\xCF\x84", 'there4;' => "\xE2\x88\xB4", 'Theta;' => "\xCE\x98", 'theta;' => "\xCE\xB8", 'thetasym;' => "\xCF\x91", 'thinsp;' => "\xE2\x80\x89", 'THORN' => "\xC3\x9E", 'thorn' => "\xC3\xBE", 'THORN;' => "\xC3\x9E", 'thorn;' => "\xC3\xBE", 'tilde;' => "\xCB\x9C", 'times' => "\xC3\x97", 'times;' => "\xC3\x97", 'TRADE;' => "\xE2\x84\xA2", 'trade;' => "\xE2\x84\xA2", 'Uacute' => "\xC3\x9A", 'uacute' => "\xC3\xBA", 'Uacute;' => "\xC3\x9A", 'uacute;' => "\xC3\xBA", 'uArr;' => "\xE2\x87\x91", 'uarr;' => "\xE2\x86\x91", 'Ucirc' => "\xC3\x9B", 'ucirc' => "\xC3\xBB", 'Ucirc;' => "\xC3\x9B", 'ucirc;' => "\xC3\xBB", 'Ugrave' => "\xC3\x99", 'ugrave' => "\xC3\xB9", 'Ugrave;' => "\xC3\x99", 'ugrave;' => "\xC3\xB9", 'uml' => "\xC2\xA8", 'uml;' => "\xC2\xA8", 'upsih;' => "\xCF\x92", 'Upsilon;' => "\xCE\xA5", 'upsilon;' => "\xCF\x85", 'Uuml' => "\xC3\x9C", 'uuml' => "\xC3\xBC", 'Uuml;' => "\xC3\x9C", 'uuml;' => "\xC3\xBC", 'weierp;' => "\xE2\x84\x98", 'Xi;' => "\xCE\x9E", 'xi;' => "\xCE\xBE", 'Yacute' => "\xC3\x9D", 'yacute' => "\xC3\xBD", 'Yacute;' => "\xC3\x9D", 'yacute;' => "\xC3\xBD", 'yen' => "\xC2\xA5", 'yen;' => "\xC2\xA5", 'yuml' => "\xC3\xBF", 'Yuml;' => "\xC5\xB8", 'yuml;' => "\xC3\xBF", 'Zeta;' => "\xCE\x96", 'zeta;' => "\xCE\xB6", 'zwj;' => "\xE2\x80\x8D", 'zwnj;' => "\xE2\x80\x8C");
+
+ for ($i = 0, $match = null; $i < 9 && $this->consume(); $i++)
+ {
+ $consumed = substr($this->consumed, 1);
+ if (isset($entities[$consumed]))
+ {
+ $match = $consumed;
+ }
+ }
+
+ if ($match !== null)
+ {
+ $this->data = substr_replace($this->data, $entities[$match], $this->position - strlen($consumed) - 1, strlen($match) + 1);
+ $this->position += strlen($entities[$match]) - strlen($consumed) - 1;
+ }
+ break;
+ }
+ }
+}
+
+class SimplePie_Locator
+{
+ var $useragent;
+ var $timeout;
+ var $file;
+ var $local = array();
+ var $elsewhere = array();
+ var $file_class = 'SimplePie_File';
+ var $cached_entities = array();
+ var $http_base;
+ var $base;
+ var $base_location = 0;
+ var $checked_feeds = 0;
+ var $max_checked_feeds = 10;
+
+ function SimplePie_Locator(&$file, $timeout = 10, $useragent = null, $file_class = 'SimplePie_File', $max_checked_feeds = 10)
+ {
+ $this->file =& $file;
+ $this->file_class = $file_class;
+ $this->useragent = $useragent;
+ $this->timeout = $timeout;
+ $this->max_checked_feeds = $max_checked_feeds;
+ }
+
+ function find($type = SIMPLEPIE_LOCATOR_ALL)
+ {
+ if ($this->is_feed($this->file))
+ {
+ return $this->file;
+ }
+
+ if ($type & ~SIMPLEPIE_LOCATOR_NONE)
+ {
+ $this->get_base();
+ }
+
+ if ($type & SIMPLEPIE_LOCATOR_AUTODISCOVERY && $working = $this->autodiscovery())
+ {
+ return $working;
+ }
+
+ if ($type & (SIMPLEPIE_LOCATOR_LOCAL_EXTENSION | SIMPLEPIE_LOCATOR_LOCAL_BODY | SIMPLEPIE_LOCATOR_REMOTE_EXTENSION | SIMPLEPIE_LOCATOR_REMOTE_BODY) && $this->get_links())
+ {
+ if ($type & SIMPLEPIE_LOCATOR_LOCAL_EXTENSION && $working = $this->extension($this->local))
+ {
+ return $working;
+ }
+
+ if ($type & SIMPLEPIE_LOCATOR_LOCAL_BODY && $working = $this->body($this->local))
+ {
+ return $working;
+ }
+
+ if ($type & SIMPLEPIE_LOCATOR_REMOTE_EXTENSION && $working = $this->extension($this->elsewhere))
+ {
+ return $working;
+ }
+
+ if ($type & SIMPLEPIE_LOCATOR_REMOTE_BODY && $working = $this->body($this->elsewhere))
+ {
+ return $working;
+ }
+ }
+ return null;
+ }
+
+ function is_feed(&$file)
+ {
+ $body = SimplePie_Misc::strip_comments($file->body);
+ if (preg_match('/<([^\s:]+:)?(rss|RDF|feed)' . SIMPLEPIE_PCRE_XML_ATTRIBUTE . '>/i', $body))
+ {
+ return true;
+ }
+ return false;
+ }
+
+ function get_base()
+ {
+ if (isset($this->file->headers['content-location']))
+ {
+ $this->http_base = SimplePie_Misc::absolutize_url(trim($this->file->headers['content-location']), $this->file->url);
+ }
+ else
+ {
+ $this->http_base = $this->file->url;
+ }
+ $this->base = $this->http_base;
+ $elements = SimplePie_Misc::get_element('base', $this->file->body);
+ foreach ($elements as $element)
+ {
+ if ($element['attribs']['href']['data'] !== '')
+ {
+ $this->base = SimplePie_Misc::absolutize_url(trim($element['attribs']['href']['data']), $this->http_base);
+ $this->base_location = $element['offset'];
+ break;
+ }
+ }
+ }
+
+ function autodiscovery()
+ {
+ $links = array_merge(SimplePie_Misc::get_element('link', $this->file->body), SimplePie_Misc::get_element('a', $this->file->body), SimplePie_Misc::get_element('area', $this->file->body));
+ $done = array();
+ foreach ($links as $link)
+ {
+ if ($this->checked_feeds == $this->max_checked_feeds)
+ {
+ break;
+ }
+ if (isset($link['attribs']['href']['data']) && isset($link['attribs']['rel']['data']))
+ {
+ $rel = array_unique(SimplePie_Misc::space_seperated_tokens(strtolower($link['attribs']['rel']['data'])));
+
+ if ($this->base_location < $link['offset'])
+ {
+ $href = SimplePie_Misc::absolutize_url(trim($link['attribs']['href']['data']), $this->base);
+ }
+ else
+ {
+ $href = SimplePie_Misc::absolutize_url(trim($link['attribs']['href']['data']), $this->http_base);
+ }
+
+ if (!in_array($href, $done) && in_array('feed', $rel) || (in_array('alternate', $rel) && !empty($link['attribs']['type']['data']) && in_array(strtolower(SimplePie_Misc::parse_mime($link['attribs']['type']['data'])), array('application/rss+xml', 'application/atom+xml'))))
+ {
+ $this->checked_feeds++;
+ $feed =& new $this->file_class($href, $this->timeout, 5, null, $this->useragent);
+ if ($this->is_feed($feed))
+ {
+ return $feed;
+ }
+ }
+ $done[] = $href;
+ }
+ }
+ return null;
+ }
+
+ function get_links()
+ {
+ $links = SimplePie_Misc::get_element('a', $this->file->body);
+ foreach ($links as $link)
+ {
+ if (isset($link['attribs']['href']['data']))
+ {
+ $href = trim($link['attribs']['href']['data']);
+ $parsed = SimplePie_Misc::parse_url($href);
+ if ($parsed['scheme'] === '' || preg_match('/^(http(s)|feed)?$/i', $parsed['scheme']))
+ {
+ if ($this->base_location < $link['offset'])
+ {
+ $href = SimplePie_Misc::absolutize_url(trim($link['attribs']['href']['data']), $this->base);
+ }
+ else
+ {
+ $href = SimplePie_Misc::absolutize_url(trim($link['attribs']['href']['data']), $this->http_base);
+ }
+
+ $current = SimplePie_Misc::parse_url($this->file->url);
+
+ if ($parsed['authority'] === '' || $parsed['authority'] == $current['authority'])
+ {
+ $this->local[] = $href;
+ }
+ else
+ {
+ $this->elsewhere[] = $href;
+ }
+ }
+ }
+ }
+ $this->local = array_unique($this->local);
+ $this->elsewhere = array_unique($this->elsewhere);
+ if (!empty($this->local) || !empty($this->elsewhere))
+ {
+ return true;
+ }
+ return null;
+ }
+
+ function extension(&$array)
+ {
+ foreach ($array as $key => $value)
+ {
+ if ($this->checked_feeds == $this->max_checked_feeds)
+ {
+ break;
+ }
+ if (in_array(strtolower(strrchr($value, '.')), array('.rss', '.rdf', '.atom', '.xml')))
+ {
+ $this->checked_feeds++;
+ $feed =& new $this->file_class($value, $this->timeout, 5, null, $this->useragent);
+ if ($this->is_feed($feed))
+ {
+ return $feed;
+ }
+ else
+ {
+ unset($array[$key]);
+ }
+ }
+ }
+ return null;
+ }
+
+ function body(&$array)
+ {
+ foreach ($array as $key => $value)
+ {
+ if ($this->checked_feeds == $this->max_checked_feeds)
+ {
+ break;
+ }
+ if (preg_match('/(rss|rdf|atom|xml)/i', $value))
+ {
+ $this->checked_feeds++;
+ $feed =& new $this->file_class($value, $this->timeout, 5, null, $this->useragent);
+ if ($this->is_feed($feed))
+ {
+ return $feed;
+ }
+ else
+ {
+ unset($array[$key]);
+ }
+ }
+ }
+ return null;
+ }
+}
+
+class SimplePie_Parser
+{
+ var $xml;
+ var $error_code;
+ var $error_string;
+ var $current_line;
+ var $current_column;
+ var $current_byte;
+ var $separator = ' ';
+ var $feed = false;
+ var $namespace = array('');
+ var $element = array('');
+ var $xml_base = array('');
+ var $xml_base_explicit = array(false);
+ var $xml_lang = array('');
+ var $data = array();
+ var $datas = array(array());
+ var $current_xhtml_construct = -1;
+ var $encoding;
+
+ function pre_process(&$data, $encoding)
+ {
+ // Use UTF-8 if we get passed US-ASCII, as every US-ASCII character is a UTF-8 character
+ if (strtoupper($encoding) == 'US-ASCII')
+ {
+ $this->encoding = 'UTF-8';
+ }
+ else
+ {
+ $this->encoding = $encoding;
+ }
+
+ // Strip BOM:
+ // UTF-32 Big Endian BOM
+ if (strpos($data, "\x0\x0\xFE\xFF") === 0)
+ {
+ $data = substr($data, 4);
+ }
+ // UTF-32 Little Endian BOM
+ elseif (strpos($data, "\xFF\xFE\x0\x0") === 0)
+ {
+ $data = substr($data, 4);
+ }
+ // UTF-16 Big Endian BOM
+ elseif (strpos($data, "\xFE\xFF") === 0)
+ {
+ $data = substr($data, 2);
+ }
+ // UTF-16 Little Endian BOM
+ elseif (strpos($data, "\xFF\xFE") === 0)
+ {
+ $data = substr($data, 2);
+ }
+ // UTF-8 BOM
+ elseif (strpos($data, "\xEF\xBB\xBF") === 0)
+ {
+ $data = substr($data, 3);
+ }
+
+ // Make sure the XML prolog is sane and has the correct encoding
+ $data = preg_replace("/^<\?xml[\x20\x9\xD\xA]+version([\x20\x9\xD\xA]+)?=([\x20\x9\xD\xA]+)?(\"1.0\"|'1.0'|\"1.1\"|'1.1')([\x20\x9\xD\xA]+encoding([\x20\x9\xD\xA]+)?=([\x20\x9\xD\xA]+)?(\"[A-Za-z][A-Za-z0-9._\-]*\"|'[A-Za-z][A-Za-z0-9._\-]*'))?([\x20\x9\xD\xA]+standalone([\x20\x9\xD\xA]+)?=([\x20\x9\xD\xA]+)?(\"(yes|no)\"|'(yes|no)'))?([\x20\x9\xD\xA]+)?\?>/", '', $data);
+ $data = "<?xml version='1.0' encoding='$encoding'?>\n" . $data;
+ }
+
+ function parse(&$data)
+ {
+ $return = true;
+
+ // Create the parser
+ $this->xml = xml_parser_create_ns($this->encoding, $this->separator);
+ xml_parser_set_option($this->xml, XML_OPTION_SKIP_WHITE, 1);
+ xml_parser_set_option($this->xml, XML_OPTION_CASE_FOLDING, 0);
+ xml_set_object($this->xml, $this);
+ xml_set_character_data_handler($this->xml, 'cdata');
+ xml_set_element_handler($this->xml, 'tag_open', 'tag_close');
+
+ // workound for a bug in PHP/libxml2 as described on http://bugs.simplepie.org/issues/show/101
+ $data = str_replace('&lt;', '&#60;', $data);
+ $data = str_replace('&gt;', '&#62;', $data);
+ $data = str_replace('&amp;', '&#38;', $data);
+ $data = str_replace('&apos;', '&#39;', $data);
+ $data = str_replace('&quot;', '&#34;', $data);
+
+ // Parse!
+ if (!xml_parse($this->xml, $data, true))
+ {
+ $this->data = null;
+ $this->error_code = xml_get_error_code($this->xml);
+ $this->error_string = xml_error_string($this->error_code);
+ $return = false;
+ }
+ $this->current_line = xml_get_current_line_number($this->xml);
+ $this->current_column = xml_get_current_column_number($this->xml);
+ $this->current_byte = xml_get_current_byte_index($this->xml);
+ xml_parser_free($this->xml);
+ return $return;
+ }
+
+ function get_error_code()
+ {
+ return $this->error_code;
+ }
+
+ function get_error_string()
+ {
+ return $this->error_string;
+ }
+
+ function get_current_line()
+ {
+ return $this->current_line;
+ }
+
+ function get_current_column()
+ {
+ return $this->current_column;
+ }
+
+ function get_current_byte()
+ {
+ return $this->current_byte;
+ }
+
+ function get_data()
+ {
+ return $this->data;
+ }
+
+ function tag_open($parser, $tag, $attributes)
+ {
+ if ($this->feed === 0)
+ {
+ return;
+ }
+ elseif ($this->feed == false)
+ {
+ if (in_array($tag, array(
+ SIMPLEPIE_NAMESPACE_ATOM_10 . $this->separator . 'feed',
+ SIMPLEPIE_NAMESPACE_ATOM_03 . $this->separator . 'feed',
+ 'rss',
+ SIMPLEPIE_NAMESPACE_RDF . $this->separator . 'RDF'
+ )))
+ {
+ $this->feed = 1;
+ }
+ }
+ else
+ {
+ $this->feed++;
+ }
+
+ list($this->namespace[], $this->element[]) = $this->split_ns($tag);
+
+ $attribs = array();
+ foreach ($attributes as $name => $value)
+ {
+ list($attrib_namespace, $attribute) = $this->split_ns($name);
+ $attribs[$attrib_namespace][$attribute] = $value;
+ }
+
+ if (isset($attribs[SIMPLEPIE_NAMESPACE_XML]['base']))
+ {
+ $this->xml_base[] = SimplePie_Misc::absolutize_url($attribs[SIMPLEPIE_NAMESPACE_XML]['base'], end($this->xml_base));
+ $this->xml_base_explicit[] = true;
+ }
+ else
+ {
+ $this->xml_base[] = end($this->xml_base);
+ $this->xml_base_explicit[] = end($this->xml_base_explicit);
+ }
+
+ if (isset($attribs[SIMPLEPIE_NAMESPACE_XML]['lang']))
+ {
+ $this->xml_lang[] = $attribs[SIMPLEPIE_NAMESPACE_XML]['lang'];
+ }
+ else
+ {
+ $this->xml_lang[] = end($this->xml_lang);
+ }
+
+ if ($this->current_xhtml_construct >= 0)
+ {
+ $this->current_xhtml_construct++;
+ if (end($this->namespace) == SIMPLEPIE_NAMESPACE_XHTML)
+ {
+ $this->data['data'] .= '<' . end($this->element);
+ if (isset($attribs['']))
+ {
+ foreach ($attribs[''] as $name => $value)
+ {
+ $this->data['data'] .= ' ' . $name . '="' . htmlspecialchars($value, ENT_COMPAT, $this->encoding) . '"';
+ }
+ }
+ $this->data['data'] .= '>';
+ }
+ }
+ else
+ {
+ $this->datas[] =& $this->data;
+ $this->data =& $this->data['child'][end($this->namespace)][end($this->element)][];
+ $this->data = array('data' => '', 'attribs' => $attribs, 'xml_base' => end($this->xml_base), 'xml_base_explicit' => end($this->xml_base_explicit), 'xml_lang' => end($this->xml_lang));
+ if ((end($this->namespace) == SIMPLEPIE_NAMESPACE_ATOM_03 && in_array(end($this->element), array('title', 'tagline', 'copyright', 'info', 'summary', 'content')) && isset($attribs['']['mode']) && $attribs['']['mode'] == 'xml')
+ || (end($this->namespace) == SIMPLEPIE_NAMESPACE_ATOM_10 && in_array(end($this->element), array('rights', 'subtitle', 'summary', 'info', 'title', 'content')) && isset($attribs['']['type']) && $attribs['']['type'] == 'xhtml'))
+ {
+ $this->current_xhtml_construct = 0;
+ }
+ }
+ }
+
+ function cdata($parser, $cdata)
+ {
+ if ($this->current_xhtml_construct >= 0)
+ {
+ $this->data['data'] .= htmlspecialchars($cdata, ENT_QUOTES, $this->encoding);
+ }
+ elseif ($this->feed > 1)
+ {
+ $this->data['data'] .= $cdata;
+ }
+ }
+
+ function tag_close($parser, $tag)
+ {
+ if (!$this->feed)
+ {
+ return;
+ }
+
+ if ($this->current_xhtml_construct >= 0)
+ {
+ $this->current_xhtml_construct--;
+ if (end($this->namespace) == SIMPLEPIE_NAMESPACE_XHTML && !in_array(end($this->element), array('area', 'base', 'basefont', 'br', 'col', 'frame', 'hr', 'img', 'input', 'isindex', 'link', 'meta', 'param')))
+ {
+ $this->data['data'] .= '</' . end($this->element) . '>';
+ }
+ }
+ if ($this->current_xhtml_construct == -1)
+ {
+ $this->data =& $this->datas[$this->feed];
+ array_pop($this->datas);
+ }
+
+ array_pop($this->element);
+ array_pop($this->namespace);
+ array_pop($this->xml_base);
+ array_pop($this->xml_base_explicit);
+ array_pop($this->xml_lang);
+ $this->feed--;
+ }
+
+ function split_ns($string)
+ {
+ static $cache = array();
+ if (!isset($cache[$string]))
+ {
+ if ($pos = strpos($string, $this->separator))
+ {
+ static $separator_length;
+ if (!$separator_length)
+ {
+ $separator_length = strlen($this->separator);
+ }
+ $cache[$string] = array(substr($string, 0, $pos), substr($string, $pos + $separator_length));
+ }
+ else
+ {
+ $cache[$string] = array('', $string);
+ }
+ }
+ return $cache[$string];
+ }
+}
+
+/**
+ * @todo Move to using an actual HTML parser (this will allow tags to be properly stripped, and to switch between HTML and XHTML), this will also make it easier to shortern a string while preserving HTML tags
+ */
+class SimplePie_Sanitize
+{
+ // Private vars
+ var $base;
+
+ // Options
+ var $remove_div = true;
+ var $image_handler = '';
+ var $strip_htmltags = array('base', 'blink', 'body', 'doctype', 'embed', 'font', 'form', 'frame', 'frameset', 'html', 'iframe', 'input', 'marquee', 'meta', 'noscript', 'object', 'param', 'script', 'style');
+ var $encode_instead_of_strip = false;
+ var $strip_attributes = array('bgsound', 'class', 'expr', 'id', 'style', 'onclick', 'onerror', 'onfinish', 'onmouseover', 'onmouseout', 'onfocus', 'onblur', 'lowsrc', 'dynsrc');
+ var $strip_comments = false;
+ var $output_encoding = 'UTF-8';
+ var $enable_cache = true;
+ var $cache_location = './cache';
+ var $cache_name_function = 'md5';
+ var $cache_class = 'SimplePie_Cache';
+ var $file_class = 'SimplePie_File';
+ var $timeout = 10;
+ var $useragent = '';
+ var $force_fsockopen = false;
+
+ var $replace_url_attributes = array(
+ 'a' => 'href',
+ 'area' => 'href',
+ 'blockquote' => 'cite',
+ 'del' => 'cite',
+ 'form' => 'action',
+ 'img' => array('longdesc', 'src'),
+ 'input' => 'src',
+ 'ins' => 'cite',
+ 'q' => 'cite'
+ );
+
+ function remove_div($enable = true)
+ {
+ $this->remove_div = (bool) $enable;
+ }
+
+ function set_image_handler($page = false)
+ {
+ if ($page)
+ {
+ $this->image_handler = (string) $page;
+ }
+ else
+ {
+ $this->image_handler = false;
+ }
+ }
+
+ function pass_cache_data($enable_cache = true, $cache_location = './cache', $cache_name_function = 'md5', $cache_class = 'SimplePie_Cache')
+ {
+ if (isset($enable_cache))
+ {
+ $this->enable_cache = (bool) $enable_cache;
+ }
+
+ if ($cache_location)
+ {
+ $this->cache_location = (string) $cache_location;
+ }
+
+ if ($cache_name_function)
+ {
+ $this->cache_name_function = (string) $cache_name_function;
+ }
+
+ if ($cache_class)
+ {
+ $this->cache_class = (string) $cache_class;
+ }
+ }
+
+ function pass_file_data($file_class = 'SimplePie_File', $timeout = 10, $useragent = '', $force_fsockopen = false)
+ {
+ if ($file_class)
+ {
+ $this->file_class = (string) $file_class;
+ }
+
+ if ($timeout)
+ {
+ $this->timeout = (string) $timeout;
+ }
+
+ if ($useragent)
+ {
+ $this->useragent = (string) $useragent;
+ }
+
+ if ($force_fsockopen)
+ {
+ $this->force_fsockopen = (string) $force_fsockopen;
+ }
+ }
+
+ function strip_htmltags($tags = array('base', 'blink', 'body', 'doctype', 'embed', 'font', 'form', 'frame', 'frameset', 'html', 'iframe', 'input', 'marquee', 'meta', 'noscript', 'object', 'param', 'script', 'style'))
+ {
+ if ($tags)
+ {
+ if (is_array($tags))
+ {
+ $this->strip_htmltags = $tags;
+ }
+ else
+ {
+ $this->strip_htmltags = explode(',', $tags);
+ }
+ }
+ else
+ {
+ $this->strip_htmltags = false;
+ }
+ }
+
+ function encode_instead_of_strip($encode = false)
+ {
+ $this->encode_instead_of_strip = (bool) $encode;
+ }
+
+ function strip_attributes($attribs = array('bgsound', 'class', 'expr', 'id', 'style', 'onclick', 'onerror', 'onfinish', 'onmouseover', 'onmouseout', 'onfocus', 'onblur', 'lowsrc', 'dynsrc'))
+ {
+ if ($attribs)
+ {
+ if (is_array($attribs))
+ {
+ $this->strip_attributes = $attribs;
+ }
+ else
+ {
+ $this->strip_attributes = explode(',', $attribs);
+ }
+ }
+ else
+ {
+ $this->strip_attributes = false;
+ }
+ }
+
+ function strip_comments($strip = false)
+ {
+ $this->strip_comments = (bool) $strip;
+ }
+
+ function set_output_encoding($encoding = 'UTF-8')
+ {
+ $this->output_encoding = (string) $encoding;
+ }
+
+ /**
+ * Set element/attribute key/value pairs of HTML attributes
+ * containing URLs that need to be resolved relative to the feed
+ *
+ * @access public
+ * @since 1.0
+ * @param array $element_attribute Element/attribute key/value pairs
+ */
+ function set_url_replacements($element_attribute = array('a' => 'href', 'area' => 'href', 'blockquote' => 'cite', 'del' => 'cite', 'form' => 'action', 'img' => array('longdesc', 'src'), 'input' => 'src', 'ins' => 'cite', 'q' => 'cite'))
+ {
+ $this->replace_url_attributes = (array) $element_attribute;
+ }
+
+ function sanitize($data, $type, $base = '')
+ {
+ $data = trim($data);
+ if ($data !== '' || $type & SIMPLEPIE_CONSTRUCT_IRI)
+ {
+ if ($type & SIMPLEPIE_CONSTRUCT_MAYBE_HTML)
+ {
+ if (preg_match('/(&(#(x[0-9a-fA-F]+|[0-9]+)|[a-zA-Z0-9]+)|<\/(\w+)' . SIMPLEPIE_PCRE_HTML_ATTRIBUTE . '>)/', $data))
+ {
+ $type |= SIMPLEPIE_CONSTRUCT_HTML;
+ }
+ else
+ {
+ $type |= SIMPLEPIE_CONSTRUCT_TEXT;
+ }
+ }
+
+ if ($type & SIMPLEPIE_CONSTRUCT_BASE64)
+ {
+ $data = base64_decode($data);
+ }
+
+ if ($type & SIMPLEPIE_CONSTRUCT_XHTML)
+ {
+ if ($this->remove_div)
+ {
+ $data = preg_replace('/^<div' . SIMPLEPIE_PCRE_XML_ATTRIBUTE . '>/', '', $data);
+ $data = preg_replace('/<\/div>$/', '', $data);
+ }
+ else
+ {
+ $data = preg_replace('/^<div' . SIMPLEPIE_PCRE_XML_ATTRIBUTE . '>/', '<div>', $data);
+ }
+ }
+
+ if ($type & (SIMPLEPIE_CONSTRUCT_HTML | SIMPLEPIE_CONSTRUCT_XHTML))
+ {
+ // Strip comments
+ if ($this->strip_comments)
+ {
+ $data = SimplePie_Misc::strip_comments($data);
+ }
+
+ // Strip out HTML tags and attributes that might cause various security problems.
+ // Based on recommendations by Mark Pilgrim at:
+ // http://diveintomark.org/archives/2003/06/12/how_to_consume_rss_safely
+ if ($this->strip_htmltags)
+ {
+ foreach ($this->strip_htmltags as $tag)
+ {
+ $pcre = "/<($tag)" . SIMPLEPIE_PCRE_HTML_ATTRIBUTE . "(>(.*)<\/$tag" . SIMPLEPIE_PCRE_HTML_ATTRIBUTE . '>|(\/)?>)/siU';
+ while (preg_match($pcre, $data))
+ {
+ $data = preg_replace_callback($pcre, array(&$this, 'do_strip_htmltags'), $data);
+ }
+ }
+ }
+
+ if ($this->strip_attributes)
+ {
+ foreach ($this->strip_attributes as $attrib)
+ {
+ $data = preg_replace('/ '. trim($attrib) .'=("|&quot;)(\w|\s|=|-|:|;|\/|\.|\?|&|,|#|!|\(|\)|\'|&apos;|<|>|\+|{|})*("|&quot;)/i', '', $data);
+ $data = preg_replace('/ '. trim($attrib) .'=(\'|&apos;)(\w|\s|=|-|:|;|\/|\.|\?|&|,|#|!|\(|\)|"|&quot;|<|>|\+|{|})*(\'|&apos;)/i', '', $data);
+ $data = preg_replace('/ '. trim($attrib) .'=(\w|\s|=|-|:|;|\/|\.|\?|&|,|#|!|\(|\)|\+|{|})*/i', '', $data);
+ }
+ }
+
+ // Replace relative URLs
+ $this->base = $base;
+ foreach ($this->replace_url_attributes as $element => $attributes)
+ {
+ $data = $this->replace_urls($data, $element, $attributes);
+ }
+
+ // If image handling (caching, etc.) is enabled, cache and rewrite all the image tags.
+ if (isset($this->image_handler) && ((string) $this->image_handler) !== '' && $this->enable_cache)
+ {
+ $images = SimplePie_Misc::get_element('img', $data);
+ foreach ($images as $img)
+ {
+ if (isset($img['attribs']['src']['data']))
+ {
+ $image_url = $img['attribs']['src']['data'];
+ $cache =& new $this->cache_class($this->cache_location, call_user_func($this->cache_name_function, $image_url), 'spi');
+
+ if ($cache->load())
+ {
+ $img['attribs']['src']['data'] = $this->image_handler . rawurlencode($img['attribs']['src']['data']);
+ $data = str_replace($img['full'], SimplePie_Misc::element_implode($img), $data);
+ }
+ else
+ {
+ $file =& new $this->file_class($image_url, $this->timeout, 5, array('X-FORWARDED-FOR' => $_SERVER['REMOTE_ADDR']), $this->useragent, $this->force_fsockopen);
+ $headers = $file->headers;
+
+ if ($file->success && ($file->status_code == 200 || ($file->status_code > 206 && $file->status_code < 300)))
+ {
+ if (!$cache->save(array('headers' => $file->headers, 'body' => $file->body)))
+ {
+ trigger_error("$cache->name is not writeable", E_USER_WARNING);
+ }
+ $img['attribs']['src']['data'] = $this->image_handler . rawurlencode($img['attribs']['src']['data']);
+ $data = str_replace($img['full'], SimplePie_Misc::element_implode($img), $data);
+ }
+ }
+ }
+ }
+ }
+
+ // Having (possibly) taken stuff out, there may now be whitespace at the beginning/end of the data
+ $data = trim($data);
+ }
+
+ if ($type & SIMPLEPIE_CONSTRUCT_IRI)
+ {
+ $data = SimplePie_Misc::absolutize_url($data, $base);
+ }
+
+ if ($type & (SIMPLEPIE_CONSTRUCT_TEXT | SIMPLEPIE_CONSTRUCT_IRI))
+ {
+ $data = htmlspecialchars($data, ENT_COMPAT, 'UTF-8');
+ }
+
+ if ($this->output_encoding != 'UTF-8')
+ {
+ $data = SimplePie_Misc::change_encoding($data, 'UTF-8', $this->output_encoding);
+ }
+ }
+ return $data;
+ }
+
+ function replace_urls($data, $tag, $attributes)
+ {
+ if (!is_array($this->strip_htmltags) || !in_array($tag, $this->strip_htmltags))
+ {
+ $elements = SimplePie_Misc::get_element($tag, $data);
+ foreach ($elements as $element)
+ {
+ if (is_array($attributes))
+ {
+ foreach ($attributes as $attribute)
+ {
+ if (isset($element['attribs'][$attribute]['data']))
+ {
+ $element['attribs'][$attribute]['data'] = SimplePie_Misc::absolutize_url($element['attribs'][$attribute]['data'], $this->base);
+ $data = str_replace($element['full'], SimplePie_Misc::element_implode($element), $data);
+ }
+ }
+ }
+ elseif (isset($element['attribs'][$attributes]['data']))
+ {
+ $element['attribs'][$attributes]['data'] = SimplePie_Misc::absolutize_url($element['attribs'][$attributes]['data'], $this->base);
+ $data = str_replace($element['full'], SimplePie_Misc::element_implode($element), $data);
+ }
+ }
+ }
+ return $data;
+ }
+
+ function do_strip_htmltags($match)
+ {
+ if ($this->encode_instead_of_strip)
+ {
+ if (isset($match[4]) && !in_array(strtolower($match[1]), array('script', 'style')))
+ {
+ $match[1] = htmlspecialchars($match[1], ENT_COMPAT, 'UTF-8');
+ $match[2] = htmlspecialchars($match[2], ENT_COMPAT, 'UTF-8');
+ return "&lt;$match[1]$match[2]&gt;$match[3]&lt;/$match[1]&gt;";
+ }
+ else
+ {
+ return htmlspecialchars($match[0], ENT_COMPAT, 'UTF-8');
+ }
+ }
+ elseif (isset($match[4]) && !in_array(strtolower($match[1]), array('script', 'style')))
+ {
+ return $match[4];
+ }
+ else
+ {
+ return '';
+ }
+ }
+}
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/TarLib.class.php b/mod/dokuwiki/vendors/dokuwiki/inc/TarLib.class.php
new file mode 100644
index 000000000..ab802163b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/TarLib.class.php
@@ -0,0 +1,918 @@
+<?php
+/**
+ * TAR format class - Creates TAR archives
+ *
+ * This class is part or the MaxgComp suite and originally named
+ * MaxgTar class.
+ *
+ * Modified for Dokuwiki
+ *
+ * @license GPL
+ * @link http://docs.maxg.info
+ * @author Bouchon <tarlib@bouchon.org> (Maxg)
+ * @author Christopher Smith <chris@jalakai.co.uk>
+ */
+
+
+/**
+ * Those constants represent the compression method to use.
+ * COMPRESS_GZIP is used for the GZIP compression; COMPRESS_BZIP for
+ * BZIP2 and COMPRESS_NONE for no compression.
+ *
+ * On the other hand, COMPRESS_AUTO is a bit harder. It will first check
+ * if the zlib extensions are loaded.
+ * If it is, GZIP will be used. Else it will check if the bz2 extensions
+ * are loaded. If it is, BZIP2 will be used. Else no compression will be
+ * performed.
+ *
+ * You can then use getCompression() to know the compression chosen.
+ *
+ * If you selected a compression which can't be used (i.e extension not
+ * present), it will be just disabled, and won't produce any error !
+ * As a consequence, getCompression() will return COMPRESS_NONE
+ *
+ * ARCHIVE_DYNAMIC can be passed as the first argument of the constructor, to
+ * create an archive in memory instead of a file. See also: MaxgTar(),
+ * getDynamicArchive() and writeArchive()
+ *
+ * ARCHIVE_RENAMECOMP is a flag that can be multiplied by the compression method
+ * (i.e COMPRESS_AUTO * ARCHIVE_RENAMECOMP). This will add the correct extension
+ * to the archive name, which is useful with COMPRESS_AUTO, ie .bz2 if you gave
+ * COMPRESS_BZIP. See also getCompression(TRUE) which does exactly the
+ * same
+ *
+ * COMPRESS_DETECT does exactly the opposite and try to detect the
+ * compression to use to read the archive depending on its extension. (i.e if
+ * the archive ends with .tar.gz TarLib will try to decompress it with
+ * GZIP). See also setCompression()
+ *
+ * FULL_ARCHIVE is a -1 constant that means "the complete archive" when
+ * extracting. This is explained in Extract()
+ */
+define('COMPRESS_GZIP',1);
+define('COMPRESS_BZIP',2);
+define('COMPRESS_AUTO',3);
+define('COMPRESS_NONE',0);
+define('TARLIB_VERSION','1.2');
+define('FULL_ARCHIVE',-1);
+define('ARCHIVE_DYNAMIC',0);
+define('ARCHIVE_RENAMECOMP',5);
+define('COMPRESS_DETECT',-1);
+
+class TarLib
+{
+ var $_comptype;
+ var $_compzlevel;
+ var $_fp;
+ var $_memdat;
+ var $_nomf;
+ var $_result;
+ var $_initerror;
+
+ /**
+ * constructor, initialize the class
+ *
+ * The constructor initialize the variables and prepare the class for the
+ * archive, and return the object created. Note that you can use multiple
+ * instances of the MaxgTar class, if you call this function another time and
+ * store the object in an other variable.
+ *
+ * In fact, MaxgTar accepts the following arguments (all are optional) :
+ *
+ * filename can be either a file name (absolute or relative). In this
+ * case, it can be used both for reading and writing. You can also open
+ * remote archive if you add a protocole name at the beginning of the file
+ * (ie https://host.dom/archive.tar.gz), but for reading only and if the
+ * directive allow_url_fopen is enabled in PHP.INI (this can be checked with
+ * TarInfo()). If you pass a file that doesn't exist, the script
+ * will try to create it. If the archive already exists and contains files,
+ * you can use Add() to append files.But by default this parameter
+ * is ARCHIVE_DYNAMIC (write only) so the archive is created in memory and
+ * can be sent to a file [writeArchive()] or to the client
+ * [sendClient()]
+ *
+ * compression_type should be a constant that represents a type of
+ * compression, or its integer value. The different values are described in
+ * the constants.
+ *
+ * compression_level is an integer between 1 and 9 (by default) an
+ * represent the GZIP or BZIP compression level. 1 produce fast compression,
+ * and 9 produce smaller files. See the RFC 1952 for more infos.
+ */
+ function tarlib($p_filen = ARCHIVE_DYNAMIC , $p_comptype = COMPRESS_AUTO, $p_complevel = 9)
+ {
+ $this->_initerror = 0;
+ $this->_nomf = $p_filen; $flag=0;
+ if($p_comptype && $p_comptype % 5 == 0){$p_comptype /= ARCHIVE_RENAMECOMP; $flag=1;}
+
+ if($p_complevel > 0 && $p_complevel <= 9) $this->_compzlevel = $p_complevel;
+ else $p_complevel = 9;
+
+ if($p_comptype == COMPRESS_DETECT)
+ {
+ if(strtolower(substr($p_filen,-3)) == '.gz') $p_comptype = COMPRESS_GZIP;
+ elseif(strtolower(substr($p_filen,-4)) == '.bz2') $p_comptype = COMPRESS_BZIP;
+ else $p_comptype = COMPRESS_NONE;
+ }
+
+ switch($p_comptype)
+ {
+ case COMPRESS_GZIP:
+ if(!extension_loaded('zlib')) $this->_initerror = -1;
+ $this->_comptype = COMPRESS_GZIP;
+ break;
+
+ case COMPRESS_BZIP:
+ if(!extension_loaded('bz2')) $this->_inierror = -2;
+ $this->_comptype = COMPRESS_BZIP;
+ break;
+
+ case COMPRESS_AUTO:
+ if(extension_loaded('zlib'))
+ $this->_comptype = COMPRESS_GZIP;
+ elseif(extension_loaded('bz2'))
+ $this->_comptype = COMPRESS_BZIP;
+ else
+ $this->_comptype = COMPRESS_NONE;
+ break;
+
+ default:
+ $this->_comptype = COMPRESS_NONE;
+ }
+
+ if($this->_init_error < 0) $this->_comptype = COMPRESS_NONE;
+
+ if($flag) $this->_nomf.= '.'.$this->getCompression(1);
+ $this->_result = true;
+ }
+
+ /**
+ * Recycle a TAR object.
+ *
+ * This function does exactly the same as TarLib (constructor), except it
+ * returns a status code.
+ */
+ function setArchive($p_name='', $p_comp = COMPRESS_AUTO, $p_level=9)
+ {
+ $this->_CompTar();
+ $this->TarLib($p_name, $p_comp, $p_level);
+ return $this->_result;
+ }
+
+ /**
+ * Get the compression used to generate the archive
+ *
+ * This is a very useful function when you're using dynamical archives.
+ * Besides, if you let the script chose which compression to use, you'll have
+ * a problem when you'll want to send it to the client if you don't know
+ * which compression was used.
+ *
+ * There are two ways to call this function : if you call it without argument
+ * or with FALSE, it will return the compression constants, explained on the
+ * MaxgTar Constants. If you call it with GetExtension on TRUE it will
+ * return the extension without starting dot (ie "tar" or "tar.bz2" or
+ * "tar.gz")
+ *
+ * NOTE: This can be done with the flag ARCHIVE_RENAMECOMP, see the
+ * MaxgTar Constants
+ */
+ function getCompression($ext = false)
+ {
+ $exts = Array('tar','tar.gz','tar.bz2');
+ if($ext) return $exts[$this->_comptype];
+ return $this->_comptype;
+ }
+
+ /**
+ * Change the compression mode.
+ *
+ * This function will change the compression methode to read or write
+ * the archive. See the MaxgTar Constants to see which constants you can use.
+ * It may look strange, but it returns the GZIP compression level.
+ */
+ function setCompression($p_comp = COMPRESS_AUTO)
+ {
+ $this->setArchive($this->_nomf, $p_comp, $this->_compzlevel);
+ return $this->_compzlevel;
+ }
+
+ /**
+ * Returns the compressed dynamic archive.
+ *
+ * When you're working with dynamic archives, use this function to grab
+ * the final compressed archive in a string ready to be put in a SQL table or
+ * in a file.
+ */
+ function getDynamicArchive()
+ {
+ return $this->_encode($this->_memdat);
+ }
+
+ /**
+ * Write a dynamical archive into a file
+ *
+ * This function attempts to write a dynamicaly-genrated archive into
+ * TargetFile on the webserver. It returns a TarErrorStr() status
+ * code.
+ *
+ * To know the extension to add to the file if you're using AUTO_DETECT
+ * compression, you can use getCompression().
+ */
+ function writeArchive($p_archive) {
+ if(!$this->_memdat) return -7;
+ $fp = @fopen($p_archive, 'wb');
+ if(!$fp) return -6;
+
+ fwrite($fp, $this->_memdat);
+ fclose($fp);
+
+ return true;
+ }
+
+ /**
+ * Send a TAR archive to the client browser.
+ *
+ * This function will send an archive to the client, and return a status
+ * code, but can behave differently depending on the arguments you give. All
+ * arguments are optional.
+ *
+ * ClientName is used to specify the archive name to give to the browser. If
+ * you don't give one, it will send the constructor filename or return an
+ * error code in case of dynamical archive.
+ *
+ * FileName is optional and used to send a specific archive. Leave it blank
+ * to send dynamical archives or the current working archive.
+ *
+ * If SendHeaders is enabled (by default), the library will send the HTTP
+ * headers itself before it sends the contents. This headers are :
+ * Content-Type, Content-Disposition, Content-Length and Accept-Range.
+ *
+ * Please note that this function DOES NOT stops the script so don't forget
+ * to exit() to avoid your script sending other data and corrupt the archive.
+ * Another note : for AUTO_DETECT dynamical archives you can know the
+ * extension to add to the name with getCompression()
+ */
+ function sendClient($name = '', $archive = '', $headers = true)
+ {
+ if(!$name && !$this->_nomf) return -9;
+ if(!$archive && !$this->_memdat) return -10;
+ if(!$name) $name = basename($this->_nomf);
+
+ if($archive){ if(!file_exists($archive)) return -11; }
+ else $decoded = $this->getDynamicArchive();
+
+ if($headers)
+ {
+ header('Content-Type: application/x-gtar');
+ header('Content-Disposition: attachment; filename='.basename($name));
+ header('Accept-Ranges: bytes');
+ header('Content-Length: '.($archive ? filesize($archive) : strlen($decoded)));
+ }
+
+ if($archive)
+ {
+ $fp = @fopen($archive,'rb');
+ if(!$fp) return -4;
+
+ while(!feof($fp)) echo fread($fp,2048);
+ }
+ else
+ {
+ echo $decoded;
+ }
+
+ return true;
+ }
+
+ /**
+ * Extract part or totality of the archive.
+ *
+ * This function can extract files from an archive, and returns then a
+ * status codes that can be converted with TarErrorStr() into a
+ * human readable message.
+ *
+ * Only the first argument is required, What and it can be either the
+ * constant FULL_ARCHIVE or an indexed array containing each file you want to
+ * extract.
+ *
+ * To contains the target folder to extract the archive. It is optional and
+ * the default value is '.' which means the current folder. If the target
+ * folder doesn't exist, the script attempts to create it and give it
+ * permissions 0777 by default.
+ *
+ * RemovePath is very usefull when you want to extract files from a subfoler
+ * in the archive to a root folder. For instance, if you have a file in the
+ * archive called some/sub/folder/test.txt and you want to extract it to the
+ * script folder, you can call Extract with To = '.' and RemovePath =
+ * 'some/sub/folder/'
+ *
+ * FileMode is optional and its default value is 0755. It is in fact the UNIX
+ * permission in octal mode (prefixed with a 0) that will be given on each
+ * extracted file.
+ */
+ function Extract($p_what = FULL_ARCHIVE, $p_to = '.', $p_remdir='', $p_mode = 0755)
+ {
+ if(!$this->_OpenRead()) return -4;
+// if(!@is_dir($p_to)) if(!@mkdir($p_to, 0777)) return -8; --CS
+ if(!@is_dir($p_to)) if(!$this->_dirApp($p_to)) return -8; //--CS (route through correct dir fn)
+
+ $ok = $this->_extractList($p_to, $p_what, $p_remdir, $p_mode);
+ $this->_CompTar();
+
+ return $ok;
+ }
+
+ /**
+ * Create a new package with the given files
+ *
+ * This function will attempt to create a new archive with global headers
+ * then add the given files into. If the archive is a real file, the
+ * contents are written directly into the file, if it is a dynamic archive
+ * contents are only stored in memory. This function should not be used to
+ * add files to an existing archive, you should use Add() instead.
+ *
+ * The FileList actually supports three different modes :
+ *
+ * - You can pass a string containing filenames separated by pipes '|'.
+ * In this case the file are read from the webserver filesystem and the
+ * root folder is the folder where the script using the MaxgTar is called.
+ *
+ * - You can also give a unidimensional indexed array containing the
+ * filenames. The behaviour for the content reading is the same that a
+ * '|'ed string.
+ *
+ * - The more useful usage is to pass bidimensional arrays, where the
+ * first element contains the filename and the second contains the file
+ * contents. You can even add empty folders to the package if the filename
+ * has a leading '/'. Once again, have a look at the exemples to understand
+ * better.
+ *
+ * Note you can also give arrays with both dynamic contents and static files.
+ *
+ * The optional parameter RemovePath can be used to delete a part of the tree
+ * of the filename you're adding, for instance if you're adding in the root
+ * of a package a file that is stored somewhere in the server tree.
+ *
+ * On the contrary the parameter AddPath can be used to add a prefix folder
+ * to the file you store. Note also that the RemovePath is applied before the
+ * AddPath is added, so it HAS a sense to use both parameters together.
+ */
+ function Create($p_filelist,$p_add='',$p_rem='')
+ {
+ if(!$fl = $this->_fetchFilelist($p_filelist)) return -5;
+ if(!$this->_OpenWrite()) return -6;
+
+ $ok = $this->_addFileList($fl,$p_add,$p_rem);
+
+ if($ok) $this->_writeFooter();
+ else{ $this->_CompTar(); @unlink($this->_nomf); }
+
+ return $ok;
+ }
+
+ /**
+ * Add files to an existing package.
+ *
+ * This function does exactly the same than Create() exept it
+ * will append the given files at the end of the archive. Please not the is
+ * safe to call Add() on a newly created archive whereas the
+ * contrary will fail !
+ *
+ * This function returns a status code, you can use TarErrorStr() on
+ * it to get the human-readable description of the error.
+ */
+ function Add($p_filelist, $p_add = '', $p_rem = '') { if (($this->_nomf
+!= ARCHIVE_DYNAMIC && @is_file($this->_nomf)) || ($this->_nomf ==
+ARCHIVE_DYNAMIC && !$this->_memdat)) return $this->Create($p_filelist,
+$p_add, $p_rem);
+
+ if(!$fl = $this->_fetchFilelist($p_filelist)) return -5;
+ return $this->_append($fl, $p_add, $p_rem);
+ }
+
+ /**
+ * Read the contents of a TAR archive
+ *
+ * This function attempts to get the list of the files stored in the
+ * archive, and return either an error code or an indexed array of
+ * associative array containing for each file the following informations :
+ *
+ * checksum Tar Checksum of the file
+ * filename The full name of the stored file (up to 100 c.)
+ * mode UNIX permissions in DECIMAL, not octal
+ * uid The Owner ID
+ * gid The Group ID
+ * size Uncompressed filesize
+ * mtime Timestamp of last modification
+ * typeflag Empty for files, set for folders
+ * link For the links, did you guess it ?
+ * uname Owner name
+ * gname Group name
+ */
+ function ListContents()
+ {
+ if(!$this->_nomf) return -3;
+ if(!$this->_OpenRead()) return -4;
+
+ $result = Array();
+
+ while ($dat = $this->_read(512))
+ {
+ $dat = $this->_readHeader($dat);
+ if(!is_array($dat)) continue;
+
+ $this->_seek(ceil($dat['size']/512)*512,1);
+ $result[] = $dat;
+ }
+
+ return $result;
+ }
+
+ /**
+ * Convert a status code into a human readable message
+ *
+ * Some MaxgTar functions like Create(), Add() ... return numerical
+ * status code. You can pass them to this function to grab their english
+ * equivalent.
+ */
+ function TarErrorStr($i)
+ {
+ $ecodes = Array(
+ 1 => true,
+ 0 => "Undocumented error",
+ -1 => "Can't use COMPRESS_GZIP compression : ZLIB extensions are not loaded !",
+ -2 => "Can't use COMPRESS_BZIP compression : BZ2 extensions are not loaded !",
+ -3 => "You must set a archive file to read the contents !",
+ -4 => "Can't open the archive file for read !",
+ -5 => "Invalide file list !",
+ -6 => "Can't open the archive in write mode !",
+ -7 => "There is no ARCHIVE_DYNAMIC to write !",
+ -8 => "Can't create the directory to extract files !",
+ -9 => "Please pass a archive name to send if you made created an ARCHIVE_DYNAMIC !",
+ -10 => "You didn't pass an archive filename and there is no stored ARCHIVE_DYNAMIC !",
+ -11 => "Given archive doesn't exist !"
+ );
+
+ return isset($ecodes[$i]) ? $ecodes[$i] : $ecodes[0];
+ }
+
+ /**
+ * Display informations about the MaxgTar Class.
+ *
+ * This function will display vaious informations about the server
+ * MaxgTar is running on.
+ *
+ * The optional parameter DispHeaders is used to generate a full page with
+ * HTML headers (TRUE by default) or just the table with the informations
+ * (FALSE). Note that the HTML page generated is verified compatible XHTML
+ * 1.0, but not HTML 4.0 compatible.
+ */
+ function TarInfo($headers = true)
+ {
+ if($headers)
+ {
+ ?>
+<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN"
+ "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
+<html>
+
+<head>
+ <title>MaxgComp TAR</title>
+ <style type="text/css">
+ body{margin: 20px;}
+ body,td{font-size:10pt;font-family: arial;}
+ </style>
+ <meta name="Author" content="The Maxg Network, http://maxg.info" />
+ <meta http-equiv="Content-Type" content="text/html; charset=iso-8859-1" />
+</head>
+
+<body bgcolor="#EFEFEF">
+<?php
+ }
+?>
+<table border="0" align="center" width="500" cellspacing="4" cellpadding="5" style="border:1px dotted black;">
+<tr>
+ <td align="center" bgcolor="#DFDFEF" colspan="3" style="font-size:15pt;font-color:#330000;border:1px solid black;">MaxgComp TAR</td>
+</tr>
+<tr>
+ <td colspan="2" bgcolor="#EFEFFE" style="border:1px solid black;">This software was created by the Maxg Network, <a href="http://maxg.info" target="_blank" style="text-decoration:none;color:#333366;">http://maxg.info</a>
+ <br />It is distributed under the GNU <a href="http://www.gnu.org/copyleft/lesser.html" target="_blank" style="text-decoration:none;color:#333366;">Lesser General Public License</a>
+ <br />You can find the documentation of this class <a href="http://docs.maxg.info" target="_blank" style="text-decoration:none;color:#333366;">here</a></td>
+ <td width="60" bgcolor="#EFEFFE" style="border:1px solid black;" align="center"><img src="http://img.maxg.info/menu/tar.gif" border="0" alt="MaxgComp TAR" /></td>
+</tr>
+<tr>
+ <td width="50%" align="center" style="border:1px solid black;" bgcolor="#DFDFEF">MaxgComp TAR version</td>
+ <td colspan="2" align="center" bgcolor="#EFEFFE" style="border:1px solid black;"><?=TARLIB_VERSION?></td>
+</tr>
+<tr>
+ <td width="50%" align="center" style="border:1px solid black;" bgcolor="#DFDFEF">ZLIB extensions</td>
+ <td colspan="2" align="center" bgcolor="#EFEFFE" style="border:1px solid black;"><?=(extension_loaded('zlib') ? '<b>Yes</b>' : '<i>No</i>')?></td>
+</tr>
+<tr>
+ <td width="50%" align="center" style="border:1px solid black;" bgcolor="#DFDFEF">BZ2 extensions</td>
+ <td colspan="2" align="center" bgcolor="#EFEFFE" style="border:1px solid black;"><?=(extension_loaded('bz2') ? '<b>Yes</b>' : '<i>No</i>')?></td>
+</tr>
+<tr>
+ <td width="50%" align="center" style="border:1px solid black;" bgcolor="#DFDFEF">Allow URL fopen</td>
+ <td colspan="2" align="center" bgcolor="#EFEFFE" style="border:1px solid black;"><?=(ini_get('allow_url_fopen') ? '<b>Yes</b>' : '<i>No</i>')?></td>
+</tr>
+<tr>
+ <td width="50%" align="center" style="border:1px solid black;" bgcolor="#DFDFEF">Time limit</td>
+ <td colspan="2" align="center" bgcolor="#EFEFFE" style="border:1px solid black;"><?=ini_get('max_execution_time')?></td>
+</tr>
+<tr>
+ <td width="50%" align="center" style="border:1px solid black;" bgcolor="#DFDFEF">PHP Version</td>
+ <td colspan="2" align="center" bgcolor="#EFEFFE" style="border:1px solid black;"><?=phpversion()?></td>
+</tr>
+<tr>
+ <td colspan="3" align="center" bgcolor="#EFEFFE" style="border:1px solid black;">
+ <i>Special thanks to &laquo; Vincent Blavet &raquo; for his PEAR::Archive_Tar class</i>
+ </td>
+</tr>
+</table>
+<?php
+ if($headers) echo '</body></html>';
+ }
+
+ function _seek($p_flen, $tell=0)
+ {
+ if($this->_nomf === ARCHIVE_DYNAMIC)
+ $this->_memdat=substr($this->_memdat,0,($tell ? strlen($this->_memdat) : 0) + $p_flen);
+ elseif($this->_comptype == COMPRESS_GZIP)
+ @gzseek($this->_fp, ($tell ? @gztell($this->_fp) : 0)+$p_flen);
+ elseif($this->_comptype == COMPRESS_BZIP)
+ @fseek($this->_fp, ($tell ? @ftell($this->_fp) : 0)+$p_flen);
+ else
+ @fseek($this->_fp, ($tell ? @ftell($this->_fp) : 0)+$p_flen);
+ }
+
+ function _OpenRead()
+ {
+ if($this->_comptype == COMPRESS_GZIP)
+ $this->_fp = @gzopen($this->_nomf, 'rb');
+ elseif($this->_comptype == COMPRESS_BZIP)
+ $this->_fp = @bzopen($this->_nomf, 'rb');
+ else
+ $this->_fp = @fopen($this->_nomf, 'rb');
+
+ return ($this->_fp ? true : false);
+ }
+
+ function _OpenWrite($add = 'w')
+ {
+ if($this->_nomf === ARCHIVE_DYNAMIC) return true;
+
+ if($this->_comptype == COMPRESS_GZIP)
+ $this->_fp = @gzopen($this->_nomf, $add.'b'.$this->_compzlevel);
+ elseif($this->_comptype == COMPRESS_BZIP)
+ $this->_fp = @bzopen($this->_nomf, $add.'b');
+ else
+ $this->_fp = @fopen($this->_nomf, $add.'b');
+
+ return ($this->_fp ? true : false);
+ }
+
+ function _CompTar()
+ {
+ if($this->_nomf === ARCHIVE_DYNAMIC || !$this->_fp) return;
+
+ if($this->_comptype == COMPRESS_GZIP) @gzclose($this->_fp);
+ elseif($this->_comptype == COMPRESS_BZIP) @bzclose($this->_fp);
+ else @fclose($this->_fp);
+ }
+
+ function _read($p_len)
+ {
+ if($this->_comptype == COMPRESS_GZIP)
+ return @gzread($this->_fp,$p_len);
+ elseif($this->_comptype == COMPRESS_BZIP)
+ return @bzread($this->_fp,$p_len);
+ else
+ return @fread($this->_fp,$p_len);
+ }
+
+ function _write($p_data)
+ {
+ if($this->_nomf === ARCHIVE_DYNAMIC) $this->_memdat .= $p_data;
+ elseif($this->_comptype == COMPRESS_GZIP)
+ return @gzwrite($this->_fp,$p_data);
+
+ elseif($this->_comptype == COMPRESS_BZIP)
+ return @bzwrite($this->_fp,$p_data);
+
+ else
+ return @fwrite($this->_fp,$p_data);
+ }
+
+ function _encode($p_dat)
+ {
+ if($this->_comptype == COMPRESS_GZIP)
+ return gzencode($p_dat, $this->_compzlevel);
+ elseif($this->_comptype == COMPRESS_BZIP)
+ return bzcompress($p_dat, $this->_compzlevel);
+ else return $p_dat;
+ }
+
+ function _readHeader($p_dat)
+ {
+ if (!$p_dat || strlen($p_dat) != 512) return false;
+
+ for ($i=0, $chks=0; $i<148; $i++)
+ $chks += ord($p_dat[$i]);
+
+ for ($i=156,$chks+=256; $i<512; $i++)
+ $chks += ord($p_dat[$i]);
+
+ $headers = @unpack("a100filename/a8mode/a8uid/a8gid/a12size/a12mtime/a8checksum/a1typeflag/a100link/a6magic/a2version/a32uname/a32gname/a8devmajor/a8devminor", $p_dat);
+ if(!$headers) return false;
+
+ $return['checksum'] = OctDec(trim($headers['checksum']));
+ if ($return['checksum'] != $chks) return false;
+
+ $return['filename'] = trim($headers['filename']);
+ $return['mode'] = OctDec(trim($headers['mode']));
+ $return['uid'] = OctDec(trim($headers['uid']));
+ $return['gid'] = OctDec(trim($headers['gid']));
+ $return['size'] = OctDec(trim($headers['size']));
+ $return['mtime'] = OctDec(trim($headers['mtime']));
+ $return['typeflag'] = $headers['typeflag'];
+ $return['link'] = trim($headers['link']);
+ $return['uname'] = trim($headers['uname']);
+ $return['gname'] = trim($headers['gname']);
+
+ return $return;
+ }
+
+ function _fetchFilelist($p_filelist)
+ {
+ if(!$p_filelist || (is_array($p_filelist) && !@count($p_filelist))) return false;
+
+ if(is_string($p_filelist))
+ {
+ $p_filelist = explode('|',$p_filelist);
+ if(!is_array($p_filelist)) $p_filelist = Array($p_filelist);
+ }
+
+ return $p_filelist;
+ }
+
+ function _addFileList($p_fl, $p_addir, $p_remdir)
+ {
+ foreach($p_fl as $file)
+ {
+ if(($file == $this->_nomf && $this->_nomf != ARCHIVE_DYNAMIC) || !$file || (!file_exists($file) && !is_array($file)))
+ continue;
+
+ if (!$this->_addFile($file, $p_addir, $p_remdir))
+ continue;
+
+ if (@is_dir($file))
+ {
+ $d = @opendir($file);
+
+ if(!$d) continue;
+ readdir($d); readdir($d);
+
+ while($f = readdir($d))
+ {
+ if($file != ".") $tmplist[0] = "$file/$f";
+ else $tmplist[0] = $d;
+
+ $this->_addFileList($tmplist, $p_addir, $p_remdir);
+ }
+
+ closedir($d); unset($tmplist,$f);
+ }
+ }
+ return true;
+ }
+
+ function _addFile($p_fn, $p_addir = '', $p_remdir = '')
+ {
+ if(is_array($p_fn)) list($p_fn, $data) = $p_fn;
+ $sname = $p_fn;
+
+ if($p_remdir)
+ {
+ if(substr($p_remdir,-1) != '/') $p_remdir .= "/";
+
+ if(substr($sname, 0, strlen($p_remdir)) == $p_remdir)
+ $sname = substr($sname, strlen($p_remdir));
+ }
+
+ if($p_addir) $sname = $p_addir.(substr($p_addir,-1) == '/' ? '' : "/").$sname;
+
+ if(strlen($sname) > 99) return;
+
+ if(@is_dir($p_fn))
+ {
+ if(!$this->_writeFileHeader($p_fn, $sname)) return false;
+ }
+ else
+ {
+ if(!$data)
+ {
+ $fp = fopen($p_fn, 'rb');
+ if(!$fp) return false;
+ }
+
+ if(!$this->_writeFileHeader($p_fn, $sname, ($data ? strlen($data) : false))) return false;
+
+ if(!$data)
+ {
+ while(!feof($fp))
+ {
+ $packed = pack("a512", fread($fp,512));
+ $this->_write($packed);
+ }
+ fclose($fp);
+ }
+ else
+ {
+ for($s = 0; $s < strlen($data); $s += 512)
+ $this->_write(pack("a512",substr($data,$s,512)));
+ }
+ }
+
+ return true;
+ }
+
+ function _writeFileHeader($p_file, $p_sname, $p_data=false)
+ {
+ if(!$p_data)
+ {
+ if (!$p_sname) $p_sname = $p_file;
+ $p_sname = $this->_pathTrans($p_sname);
+
+ $h_info = stat($p_file);
+ $h[0] = sprintf("%6s ", DecOct($h_info[4]));
+ $h[] = sprintf("%6s ", DecOct($h_info[5]));
+ $h[] = sprintf("%6s ", DecOct(fileperms($p_file)));
+ clearstatcache();
+ $h[] = sprintf("%11s ", DecOct(filesize($p_file)));
+ $h[] = sprintf("%11s", DecOct(filemtime($p_file)));
+
+ $dir = @is_dir($p_file) ? '5' : '';
+ }
+ else
+ {
+ $dir = '';
+ $p_data = sprintf("%11s ", DecOct($p_data));
+ $time = sprintf("%11s ", DecOct(time()));
+ $h = Array(" 0 "," 0 "," 40777 ",$p_data,$time);
+ }
+
+ $data_first = pack("a100a8a8a8a12A12", $p_sname, $h[2], $h[0], $h[1], $h[3], $h[4]);
+ $data_last = pack("a1a100a6a2a32a32a8a8a155a12", $dir, '', '', '', '', '', '', '', '', "");
+
+ for ($i=0,$chks=0; $i<148; $i++)
+ $chks += ord($data_first[$i]);
+
+ for ($i=156, $chks+=256, $j=0; $i<512; $i++, $j++)
+ $chks += ord($data_last[$j]);
+
+ $this->_write($data_first);
+
+ $chks = pack("a8",sprintf("%6s ", DecOct($chks)));
+ $this->_write($chks.$data_last);
+
+ return true;
+ }
+
+ function _append($p_filelist, $p_addir="", $p_remdir="")
+ {
+ if(!$this->_fp) if(!$this->_OpenWrite('a')) return -6;
+
+ if($this->_nomf == ARCHIVE_DYNAMIC)
+ {
+ $s = strlen($this->_memdat);
+ $this->_memdat = substr($this->_memdat,0,-512);
+ }
+ else
+ {
+ $s = filesize($this->_nomf);
+ $this->_seek($s-512);
+ }
+
+ $ok = $this->_addFileList($p_filelist, $p_addir, $p_remdir);
+ $this->_writeFooter();
+
+ return $ok;
+ }
+
+ function _pathTrans($p_dir)
+ {
+ if ($p_dir)
+ {
+ $subf = explode("/", $p_dir); $r='';
+
+ for ($i=count($subf)-1; $i>=0; $i--)
+ {
+ if ($subf[$i] == ".") {}
+ else if ($subf[$i] == "..") $i--;
+ else if (!$subf[$i] && $i!=count($subf)-1 && $i) {}
+ else $r = $subf[$i].($i!=(count($subf)-1) ? "/".$r : "");
+ }
+ }
+ return $r;
+ }
+
+ function _writeFooter()
+ {
+ $this->_write(pack("a512", ""));
+ }
+
+ function _extractList($p_to, $p_files, $p_remdir, $p_mode = 0755)
+ {
+ if (!$p_to || ($p_to[0]!="/"&&substr($p_to,0,3)!="../"&&substr($p_to,1,3)!=":\\"&&substr($p_to,1,2)!=":/")) /*" // <- PHP Coder bug */
+ $p_to = "./$p_to";
+
+ if ($p_remdir && substr($p_remdir,-1)!='/') $p_remdir .= '/';
+ $p_remdirs = strlen($p_remdir);
+ while($dat = $this->_read(512))
+ {
+ $headers = $this->_readHeader($dat);
+ if(!$headers['filename']) continue;
+
+ if($p_files == -1 || $p_files[0] == -1) $extract = true;
+ else
+ {
+ $extract = false;
+
+ foreach($p_files as $f)
+ {
+ if(substr($f,-1) == "/") {
+ if((strlen($headers['filename']) > strlen($f)) && (substr($headers['filename'],0,strlen($f))==$f)) {
+ $extract = true; break;
+ }
+ }
+ elseif($f == $headers['filename']) {
+ $extract = true; break;
+ }
+ }
+ }
+
+ if ($extract)
+ {
+ $det[] = $headers;
+ if ($p_remdir && substr($headers['filename'],0,$p_remdirs)==$p_remdir)
+ $headers['filename'] = substr($headers['filename'],$p_remdirs);
+
+ if($headers['filename'].'/' == $p_remdir && $headers['typeflag']=='5') continue;
+
+ if ($p_to != "./" && $p_to != "/")
+ {
+ while($p_to{-1}=="/") $p_to = substr($p_to,0,-1);
+
+ if($headers['filename']{0} == "/")
+ $headers['filename'] = $p_to.$headers['filename'];
+ else
+ $headers['filename'] = $p_to."/".$headers['filename'];
+ }
+
+ $ok = $this->_dirApp($headers['typeflag']=="5" ? $headers['filename'] : dirname($headers['filename']));
+ if($ok < 0) return $ok;
+
+ if (!$headers['typeflag'])
+ {
+ if (!$fp = @fopen($headers['filename'], "wb")) return -6;
+ $n = floor($headers['size']/512);
+
+ for ($i=0; $i<$n; $i++) fwrite($fp, $this->_read(512),512);
+ if (($headers['size'] % 512) != 0) fwrite($fp, $this->_read(512), $headers['size'] % 512);
+
+ fclose($fp);
+ touch($headers['filename'], $headers['mtime']);
+ chmod($headers['filename'], $p_mode);
+ }
+ else
+ {
+ $this->_seek(ceil($headers['size']/512)*512,1);
+ }
+ }else $this->_seek(ceil($headers['size']/512)*512,1);
+ }
+ return $det;
+ }
+
+function _dirApp($d)
+ {
+// map to dokuwiki function (its more robust)
+ return io_mkdir_p($d);
+/*
+ $d = explode('/', $d);
+ $base = '';
+
+ foreach($d as $f)
+ {
+ if(!is_dir($base.$f))
+ {
+ $ok = @mkdir($base.$f, 0777);
+ if(!$ok) return false;
+ }
+ $base .= "$f/";
+ }
+*/
+ }
+
+}
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/ZipLib.class.php b/mod/dokuwiki/vendors/dokuwiki/inc/ZipLib.class.php
new file mode 100644
index 000000000..836e9d9e6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/ZipLib.class.php
@@ -0,0 +1,475 @@
+<?php
+
+/**
+ * @author bouchon
+ * @link http://dev.maxg.info
+ * @link http://forum.maxg.info
+ *
+ * Modified for Dokuwiki
+ * @author Christopher Smith <chris@jalakai.co.uk>
+ */
+class ZipLib
+{
+
+ var $datasec, $ctrl_dir = array();
+ var $eof_ctrl_dir = "\x50\x4b\x05\x06\x00\x00\x00\x00";
+ var $old_offset = 0; var $dirs = Array(".");
+
+ function get_List($zip_name)
+ {
+ $zip = @fopen($zip_name, 'rb');
+ if(!$zip) return(0);
+ $centd = $this->ReadCentralDir($zip,$zip_name);
+
+ @rewind($zip);
+ @fseek($zip, $centd['offset']);
+
+ for ($i=0; $i<$centd['entries']; $i++)
+ {
+ $header = $this->ReadCentralFileHeaders($zip);
+ $header['index'] = $i;$info['filename'] = $header['filename'];
+ $info['stored_filename'] = $header['stored_filename'];
+ $info['size'] = $header['size'];$info['compressed_size']=$header['compressed_size'];
+ $info['crc'] = strtoupper(dechex( $header['crc'] ));
+ $info['mtime'] = $header['mtime']; $info['comment'] = $header['comment'];
+ $info['folder'] = ($header['external']==0x41FF0010||$header['external']==16)?1:0;
+ $info['index'] = $header['index'];$info['status'] = $header['status'];
+ $ret[]=$info; unset($header);
+ }
+ return $ret;
+ }
+
+ function Add($files,$compact)
+ {
+ if(!is_array($files[0])) $files=Array($files);
+
+ for($i=0;$files[$i];$i++){
+ $fn = $files[$i];
+ if(!in_Array(dirname($fn[0]),$this->dirs))
+ $this->add_Dir(dirname($fn[0]));
+ if(basename($fn[0]))
+ $ret[basename($fn[0])]=$this->add_File($fn[1],$fn[0],$compact);
+ }
+ return $ret;
+ }
+
+ /**
+ * Zips recursively the $folder directory, from the $basedir directory
+ */
+ function Compress($folder, $basedir=null, $parent=null)
+ {
+ $full_path = $basedir."/".$parent.$folder;
+ $zip_path = $parent.$folder;
+ if ($zip_path) {
+ $zip_path .= "/";
+ $this->add_dir($zip_path);
+ }
+ $dir = new DirectoryIterator($full_path);
+ foreach($dir as $file) {
+ if(!$file->isDot()) {
+ $filename = $file->getFilename();
+ if($file->isDir()) {
+ $this->Compress($filename, $basedir, $zip_path);
+ } else {
+ $content = join('', file($full_path.'/'.$filename));
+ $this->add_File($content, $zip_path.$filename);
+ }
+ }
+ }
+ }
+
+ /**
+ * Returns the Zip file
+ */
+ function get_file()
+ {
+ $data = implode('', $this -> datasec);
+ $ctrldir = implode('', $this -> ctrl_dir);
+
+ return $data . $ctrldir . $this -> eof_ctrl_dir .
+ pack('v', sizeof($this -> ctrl_dir)).pack('v', sizeof($this -> ctrl_dir)).
+ pack('V', strlen($ctrldir)) . pack('V', strlen($data)) . "\x00\x00";
+ }
+
+ function add_dir($name)
+ {
+ $name = str_replace("\\", "/", $name);
+ $fr = "\x50\x4b\x03\x04\x0a\x00\x00\x00\x00\x00\x00\x00\x00\x00";
+
+ $fr .= pack("V",0).pack("V",0).pack("V",0).pack("v", strlen($name) );
+ $fr .= pack("v", 0 ).$name.pack("V", 0).pack("V", 0).pack("V", 0);
+ $this -> datasec[] = $fr;
+
+ $new_offset = strlen(implode("", $this->datasec));
+
+ $cdrec = "\x50\x4b\x01\x02\x00\x00\x0a\x00\x00\x00\x00\x00\x00\x00\x00\x00";
+ $cdrec .= pack("V",0).pack("V",0).pack("V",0).pack("v", strlen($name) );
+ $cdrec .= pack("v", 0 ).pack("v", 0 ).pack("v", 0 ).pack("v", 0 );
+ $ext = "\xff\xff\xff\xff";
+ $cdrec .= pack("V", 16 ).pack("V", $this -> old_offset ).$name;
+
+ $this -> ctrl_dir[] = $cdrec;
+ $this -> old_offset = $new_offset;
+ $this -> dirs[] = $name;
+ }
+
+ /**
+ * Add a file named $name from a string $data
+ */
+ function add_File($data, $name, $compact = 1)
+ {
+ $name = str_replace('\\', '/', $name);
+ $dtime = dechex($this->DosTime());
+
+ $hexdtime = '\x' . $dtime[6] . $dtime[7].'\x'.$dtime[4] . $dtime[5]
+ . '\x' . $dtime[2] . $dtime[3].'\x'.$dtime[0].$dtime[1];
+ eval('$hexdtime = "' . $hexdtime . '";');
+
+ if($compact)
+ $fr = "\x50\x4b\x03\x04\x14\x00\x00\x00\x08\x00".$hexdtime;
+ else $fr = "\x50\x4b\x03\x04\x0a\x00\x00\x00\x00\x00".$hexdtime;
+ $unc_len = strlen($data); $crc = crc32($data);
+
+ if($compact){
+ $zdata = gzcompress($data); $c_len = strlen($zdata);
+ $zdata = substr(substr($zdata, 0, strlen($zdata) - 4), 2);
+ }else{
+ $zdata = $data;
+ }
+ $c_len=strlen($zdata);
+ $fr .= pack('V', $crc).pack('V', $c_len).pack('V', $unc_len);
+ $fr .= pack('v', strlen($name)).pack('v', 0).$name.$zdata;
+
+ $fr .= pack('V', $crc).pack('V', $c_len).pack('V', $unc_len);
+
+ $this -> datasec[] = $fr;
+ $new_offset = strlen(implode('', $this->datasec));
+ if($compact)
+ $cdrec = "\x50\x4b\x01\x02\x00\x00\x14\x00\x00\x00\x08\x00";
+ else $cdrec = "\x50\x4b\x01\x02\x14\x00\x0a\x00\x00\x00\x00\x00";
+ $cdrec .= $hexdtime.pack('V', $crc).pack('V', $c_len).pack('V', $unc_len);
+ $cdrec .= pack('v', strlen($name) ).pack('v', 0 ).pack('v', 0 );
+ $cdrec .= pack('v', 0 ).pack('v', 0 ).pack('V', 32 );
+ $cdrec .= pack('V', $this -> old_offset );
+
+ $this -> old_offset = $new_offset;
+ $cdrec .= $name;
+ $this -> ctrl_dir[] = $cdrec;
+ return true;
+ }
+
+ function DosTime() {
+ $timearray = getdate();
+ if ($timearray['year'] < 1980) {
+ $timearray['year'] = 1980; $timearray['mon'] = 1;
+ $timearray['mday'] = 1; $timearray['hours'] = 0;
+ $timearray['minutes'] = 0; $timearray['seconds'] = 0;
+ }
+ return (($timearray['year'] - 1980) << 25) | ($timearray['mon'] << 21) | ($timearray['mday'] << 16) | ($timearray['hours'] << 11) |
+ ($timearray['minutes'] << 5) | ($timearray['seconds'] >> 1);
+ }
+
+ /**
+ * Extract a zip file $zn to the $to directory
+ */
+ function Extract ( $zn, $to, $index = Array(-1) )
+ {
+ if(!@is_dir($to)) $this->_mkdir($to);
+ $ok = 0; $zip = @fopen($zn,'rb');
+ if(!$zip) return(-1);
+ $cdir = $this->ReadCentralDir($zip,$zn);
+ $pos_entry = $cdir['offset'];
+
+ if(!is_array($index)){ $index = array($index); }
+ for($i=0; isset($index[$i]);$i++){
+ if(intval($index[$i])!=$index[$i]||$index[$i]>$cdir['entries'])
+ return(-1);
+ }
+
+ for ($i=0; $i<$cdir['entries']; $i++)
+ {
+ @fseek($zip, $pos_entry);
+ $header = $this->ReadCentralFileHeaders($zip);
+ $header['index'] = $i; $pos_entry = ftell($zip);
+ @rewind($zip); fseek($zip, $header['offset']);
+ if(in_array("-1",$index)||in_array($i,$index))
+ $stat[$header['filename']]=$this->ExtractFile($header, $to, $zip);
+
+ }
+ fclose($zip);
+ return $stat;
+ }
+
+ function ReadFileHeader($zip, $header)
+ {
+ $binary_data = fread($zip, 30);
+ $data = unpack('vchk/vid/vversion/vflag/vcompression/vmtime/vmdate/Vcrc/Vcompressed_size/Vsize/vfilename_len/vextra_len', $binary_data);
+
+ $header['filename'] = fread($zip, $data['filename_len']);
+ if ($data['extra_len'] != 0) {
+ $header['extra'] = fread($zip, $data['extra_len']);
+ } else { $header['extra'] = ''; }
+
+ $header['compression'] = $data['compression'];
+ foreach (array('size','compressed_size','crc') as $hd) { // On ODT files, these headers are 0. Keep the previous value.
+ if ($data[$hd] != 0) $header[$hd] = $data[$hd];
+ }
+ $header['flag'] = $data['flag'];
+ $header['mdate'] = $data['mdate'];$header['mtime'] = $data['mtime'];
+
+ if ($header['mdate'] && $header['mtime']){
+ $hour=($header['mtime']&0xF800)>>11;$minute=($header['mtime']&0x07E0)>>5;
+ $seconde=($header['mtime']&0x001F)*2;$year=(($header['mdate']&0xFE00)>>9)+1980;
+ $month=($header['mdate']&0x01E0)>>5;$day=$header['mdate']&0x001F;
+ $header['mtime'] = mktime($hour, $minute, $seconde, $month, $day, $year);
+ }else{$header['mtime'] = time();}
+
+ $header['stored_filename'] = $header['filename'];
+ $header['status'] = "ok";
+ return $header;
+ }
+
+ function ReadCentralFileHeaders($zip){
+ $binary_data = fread($zip, 46);
+ $header = unpack('vchkid/vid/vversion/vversion_extracted/vflag/vcompression/vmtime/vmdate/Vcrc/Vcompressed_size/Vsize/vfilename_len/vextra_len/vcomment_len/vdisk/vinternal/Vexternal/Voffset', $binary_data);
+
+ if ($header['filename_len'] != 0)
+ $header['filename'] = fread($zip,$header['filename_len']);
+ else $header['filename'] = '';
+
+ if ($header['extra_len'] != 0)
+ $header['extra'] = fread($zip, $header['extra_len']);
+ else $header['extra'] = '';
+
+ if ($header['comment_len'] != 0)
+ $header['comment'] = fread($zip, $header['comment_len']);
+ else $header['comment'] = '';
+
+ if ($header['mdate'] && $header['mtime'])
+ {
+ $hour = ($header['mtime'] & 0xF800) >> 11;
+ $minute = ($header['mtime'] & 0x07E0) >> 5;
+ $seconde = ($header['mtime'] & 0x001F)*2;
+ $year = (($header['mdate'] & 0xFE00) >> 9) + 1980;
+ $month = ($header['mdate'] & 0x01E0) >> 5;
+ $day = $header['mdate'] & 0x001F;
+ $header['mtime'] = mktime($hour, $minute, $seconde, $month, $day, $year);
+ } else {
+ $header['mtime'] = time();
+ }
+ $header['stored_filename'] = $header['filename'];
+ $header['status'] = 'ok';
+ if (substr($header['filename'], -1) == '/')
+ $header['external'] = 0x41FF0010;
+ return $header;
+ }
+
+ function ReadCentralDir($zip,$zip_name)
+ {
+ $size = filesize($zip_name);
+ if ($size < 277) $maximum_size = $size;
+ else $maximum_size=277;
+
+ @fseek($zip, $size-$maximum_size);
+ $pos = ftell($zip); $bytes = 0x00000000;
+
+ while ($pos < $size)
+ {
+ $byte = @fread($zip, 1);
+ $bytes=(($bytes << 8) & 0xFFFFFFFF) | Ord($byte);
+ if ($bytes == 0x504b0506){ $pos++; break; } $pos++;
+ }
+
+ $data=unpack('vdisk/vdisk_start/vdisk_entries/ventries/Vsize/Voffset/vcomment_size',
+ fread($zip, 18));
+
+ if ($data['comment_size'] != 0)
+ $centd['comment'] = fread($zip, $data['comment_size']);
+ else $centd['comment'] = ''; $centd['entries'] = $data['entries'];
+ $centd['disk_entries'] = $data['disk_entries'];
+ $centd['offset'] = $data['offset'];$centd['disk_start'] = $data['disk_start'];
+ $centd['size'] = $data['size']; $centd['disk'] = $data['disk'];
+ return $centd;
+ }
+
+ function ExtractFile($header,$to,$zip)
+ {
+ $header = $this->readfileheader($zip, $header);
+
+ if(substr($to,-1)!="/") $to.="/";
+ if(substr($header['filename'],-1)=="/")
+ {
+// @mkdir($to.$header['filename']); --CS
+ $this->_mkdir($to.$header['filename']); //-- CS
+ return +2;
+ }
+
+// $pth = explode("/",dirname($header['filename']));
+// for($i=0,$tmp="";isset($pth[$i]);$i++){
+// if(!$pth[$i]) continue;
+// if(!is_dir($to.$tmp.$pth[$i])) @mkdir($to.$pth[$i],0777);
+// $tmp.=$pth[$i]."/";
+// }
+ if (!$this->_mkdir($to.dirname($header['filename']))) return (-1); //--CS
+
+ if (!array_key_exists("external", $header) || (!($header['external']==0x41FF0010)&&!($header['external']==16)))
+ {
+ if ($header['compression']==0)
+ {
+ $fp = @fopen($to.$header['filename'], 'wb');
+ if(!$fp) return(-1);
+ $size = $header['compressed_size'];
+
+ while ($size != 0)
+ {
+ $read_size = ($size < 2048 ? $size : 2048);
+ $buffer = fread($zip, $read_size);
+ $binary_data = pack('a'.$read_size, $buffer);
+ @fwrite($fp, $binary_data, $read_size);
+ $size -= $read_size;
+ }
+ fclose($fp);
+ touch($to.$header['filename'], $header['mtime']);
+
+ }else{
+ if (!is_dir(dirname($to.$header['filename']))) $this->_mkdir(dirname($to.$header['filename'])); //-CS
+ $fp = fopen($to.$header['filename'].'.gz','wb');
+ if(!$fp) return(-1);
+ $binary_data = pack('va1a1Va1a1', 0x8b1f, Chr($header['compression']),
+ Chr(0x00), time(), Chr(0x00), Chr(3));
+
+ fwrite($fp, $binary_data, 10);
+ $size = $header['compressed_size'];
+
+ while ($size != 0)
+ {
+ $read_size = ($size < 1024 ? $size : 1024);
+ $buffer = fread($zip, $read_size);
+ $binary_data = pack('a'.$read_size, $buffer);
+ @fwrite($fp, $binary_data, $read_size);
+ $size -= $read_size;
+ }
+
+ $binary_data = pack('VV', $header['crc'], $header['size']);
+ fwrite($fp, $binary_data,8); fclose($fp);
+
+ $gzp = @gzopen($to.$header['filename'].'.gz','rb');
+ if(!$gzp){
+ @gzclose($gzp); @unlink($to.$header['filename']);
+ die("Archive is compressed whereas ZLIB is not enabled.");
+ }
+ $fp = @fopen($to.$header['filename'],'wb');
+ if(!$fp) return(-1);
+ $size = $header['size'];
+
+ while ($size != 0)
+ {
+ $read_size = ($size < 2048 ? $size : 2048);
+ $buffer = gzread($gzp, $read_size);
+ $binary_data = pack('a'.$read_size, $buffer);
+ @fwrite($fp, $binary_data, $read_size);
+ $size -= $read_size;
+ }
+ fclose($fp); gzclose($gzp);
+
+ touch($to.$header['filename'], $header['mtime']);
+ @unlink($to.$header['filename'].'.gz');
+
+ }}
+ return true;
+ }
+
+ //--CS start
+ // centralize mkdir calls and use dokuwiki io functions
+ function _mkdir($d) {
+ return io_mkdir_p($d);
+ }
+ //--CS end
+
+
+ function ExtractStr($zn, $name) {
+ $ok = 0;
+ $zip = @fopen($zn,'rb');
+ if(!$zip) return(NULL);
+ $cdir = $this->ReadCentralDir($zip,$zn);
+ $pos_entry = $cdir['offset'];
+
+ for ($i=0; $i<$cdir['entries']; $i++)
+ {
+ @fseek($zip, $pos_entry);
+ $header = $this->ReadCentralFileHeaders($zip);
+ $header['index'] = $i;
+ $pos_entry = ftell($zip);
+ @rewind($zip);
+ fseek($zip, $header['offset']);
+ if ($name == $header['stored_filename'] || $name == $header['filename']) {
+ $str = $this->ExtractStrFile($header, $zip);
+ fclose($zip);
+ return $str;
+ }
+
+ }
+ fclose($zip);
+ return null;
+ }
+
+ function ExtractStrFile($header,$zip) {
+ $hdr = $this->readfileheader($zip);
+ $binary_data = '';
+ if (!($header['external']==0x41FF0010) && !($header['external']==16))
+ {
+ if ($header['compression']==0)
+ {
+ while ($size != 0)
+ {
+ $read_size = ($size < 2048 ? $size : 2048);
+ $buffer = fread($zip, $read_size);
+ $binary_data .= pack('a'.$read_size, $buffer);
+ $size -= $read_size;
+ }
+ return $binary_data;
+ } else {
+ $size = $header['compressed_size'];
+ if ($size == 0) {
+ return '';
+ }
+ //Just in case
+ if ($size > ($this->_ret_bytes(ini_get('memory_limit'))/2)) {
+ die("Compressed file is to huge to be uncompress in memory.");
+ }
+ while ($size != 0)
+ {
+ $read_size = ($size < 2048 ? $size : 2048);
+ $buffer = fread($zip, $read_size);
+ $binary_data .= pack('a'.$read_size, $buffer);
+ $size -= $read_size;
+ }
+ $str = gzinflate($binary_data, $header['size']);
+ if ($header['crc'] == crc32($str)) {
+ return $str;
+ } else {
+ die("Crc Error");
+ }
+ }
+ }
+ return NULL;
+ }
+
+ function _ret_bytes($val) {
+ $val = trim($val);
+ $last = $val{strlen($val)-1};
+ switch($last) {
+ case 'k':
+ case 'K':
+ return (int) $val * 1024;
+ break;
+ case 'm':
+ case 'M':
+ return (int) $val * 1048576;
+ break;
+ default:
+ return $val;
+ }
+ }
+}
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/actions.php b/mod/dokuwiki/vendors/dokuwiki/inc/actions.php
new file mode 100644
index 000000000..ed3c12666
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/actions.php
@@ -0,0 +1,639 @@
+<?php
+/**
+ * DokuWiki Actions
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+
+if(!defined('DOKU_INC')) die('meh.');
+require_once(DOKU_INC.'inc/template.php');
+
+
+/**
+ * Call the needed action handlers
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @triggers ACTION_ACT_PREPROCESS
+ * @triggers ACTION_HEADERS_SEND
+ */
+function act_dispatch(){
+ global $INFO;
+ global $ACT;
+ global $ID;
+ global $QUERY;
+ global $lang;
+ global $conf;
+ global $license;
+
+ $preact = $ACT;
+
+ //error_log("dokuact:".$ACT);
+ // give plugins an opportunity to process the action
+ $evt = new Doku_Event('ACTION_ACT_PREPROCESS',$ACT);
+ if ($evt->advise_before()) {
+
+ //sanitize $ACT
+ $ACT = act_clean($ACT);
+
+ //check if searchword was given - else just show
+ $s = cleanID($QUERY);
+ if($ACT == 'search' && empty($s)){
+ $ACT = 'show';
+ }
+
+ //login stuff
+ if(in_array($ACT,array('login','logout'))){
+ $ACT = act_auth($ACT);
+ }
+
+ //check if user is asking to (un)subscribe a page
+ if($ACT == 'subscribe' || $ACT == 'unsubscribe')
+ $ACT = act_subscription($ACT);
+
+ //check if user is asking to (un)subscribe a namespace
+ if($ACT == 'subscribens' || $ACT == 'unsubscribens')
+ $ACT = act_subscriptionns($ACT);
+
+ //check permissions
+ $ACT = act_permcheck($ACT);
+
+ //register
+ $nil = array();
+ if($ACT == 'register' && $_POST['save'] && register()){
+ $ACT = 'login';
+ }
+
+ if ($ACT == 'resendpwd' && act_resendpwd()) {
+ $ACT = 'login';
+ }
+
+ //update user profile
+ if ($ACT == 'profile') {
+ if(!$_SERVER['REMOTE_USER']) {
+ $ACT = 'login';
+ } else {
+ if(updateprofile()) {
+ msg($lang['profchanged'],1);
+ $ACT = 'show';
+ }
+ }
+ }
+
+ //revert
+ if($ACT == 'revert'){
+ if(checkSecurityToken()){
+ $ACT = act_revert($ACT);
+ }else{
+ $ACT = 'show';
+ }
+ }
+
+ //save
+ if($ACT == 'save'){
+ if(checkSecurityToken()){
+ $ACT = act_save($ACT);
+ }else{
+ $ACT = 'show';
+ }
+ }
+
+ //cancel conflicting edit
+ if($ACT == 'cancel')
+ $ACT = 'show';
+
+ //draft deletion
+ if($ACT == 'draftdel')
+ $ACT = act_draftdel($ACT);
+
+ //draft saving on preview
+ if($ACT == 'preview')
+ $ACT = act_draftsave($ACT);
+
+ //edit
+ if(($ACT == 'edit' || $ACT == 'preview') && $INFO['editable']){
+ $ACT = act_edit($ACT);
+ }else{
+ unlock($ID); //try to unlock
+ }
+
+ //handle export
+ if(substr($ACT,0,7) == 'export_')
+ $ACT = act_export($ACT);
+
+ //display some infos
+ if($ACT == 'check'){
+ check();
+ $ACT = 'show';
+ }
+
+ //handle admin tasks
+ if($ACT == 'admin'){
+ // retrieve admin plugin name from $_REQUEST['page']
+ $page = get_input('dokupage');
+ if (!empty($page)) {
+ $pluginlist = plugin_list('admin');
+ if (in_array($page, $pluginlist)) {
+ // attempt to load the plugin
+ if ($plugin =& plugin_load('admin',$page) !== NULL)
+ $plugin->handle();
+ }
+ }
+ }
+
+ // check permissions again - the action may have changed
+ $ACT = act_permcheck($ACT);
+ } // end event ACTION_ACT_PREPROCESS default action
+ $evt->advise_after();
+ unset($evt);
+ if ($ACT == 'save') {
+ $user = elgg_get_logged_in_user_entity();
+ $doku = current_dokuwiki_entity();
+ $group = get_entity($doku->container_guid);
+ add_to_river('river/dokuwiki', 'wiki/'.$ACT.'/'.$QUERY, $user->getGUID(), $doku->getGUID(), $group->access_id);
+ }
+ // when action 'show', the intial not 'show' and POST, do a redirect
+ if($ACT == 'show' && $preact != 'show' && strtolower($_SERVER['REQUEST_METHOD']) == 'post'){
+ act_redirect($ID,$preact);
+ }
+
+ //call template FIXME: all needed vars available?
+ $headers[] = 'Content-Type: text/html; charset=utf-8';
+ trigger_event('ACTION_HEADERS_SEND',$headers,'act_sendheaders');
+
+ include(template('main.php'));
+ // output for the commands is now handled in inc/templates.php
+ // in function tpl_content()
+}
+
+function act_sendheaders($headers) {
+ foreach ($headers as $hdr) header($hdr);
+}
+
+/**
+ * Sanitize the action command
+ *
+ * Add all allowed commands here.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function act_clean($act){
+ global $lang;
+ global $conf;
+
+ // check if the action was given as array key
+ if(is_array($act)){
+ list($act) = array_keys($act);
+ }
+
+ //remove all bad chars
+ $act = strtolower($act);
+ $act = preg_replace('/[^1-9a-z_]+/','',$act);
+
+ if($act == 'export_html') $act = 'export_xhtml';
+ if($act == 'export_htmlbody') $act = 'export_xhtmlbody';
+
+ // check if action is disabled
+ if(!actionOK($act)){
+ msg('Command disabled: '.htmlspecialchars($act),-1);
+ return 'show';
+ }
+
+ //disable all acl related commands if ACL is disabled
+ if(!$conf['useacl'] && in_array($act,array('login','logout','register','admin',
+ 'subscribe','unsubscribe','profile','revert',
+ 'resendpwd','subscribens','unsubscribens',))){
+ msg('Command unavailable: '.htmlspecialchars($act),-1);
+ return 'show';
+ }
+
+ if(!in_array($act,array('login','logout','register','save','cancel','edit','draft',
+ 'preview','search','show','check','index','revisions',
+ 'diff','recent','backlink','admin','subscribe','revert',
+ 'unsubscribe','profile','resendpwd','recover','wordblock',
+ 'draftdel','subscribens','unsubscribens',)) && substr($act,0,7) != 'export_' ) {
+ msg('Command unknown: '.htmlspecialchars($act),-1);
+ return 'show';
+ }
+ return $act;
+}
+
+/**
+ * Run permissionchecks
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function act_permcheck($act){
+ global $INFO;
+ global $conf;
+//error_log("dokuwiki act:".$act.$INFO['perm']);
+ if(in_array($act,array('save','preview','edit','recover'))){
+ if($INFO['exists']){
+ if($act == 'edit'){
+ //the edit function will check again and do a source show
+ //when no AUTH_EDIT available
+ $permneed = AUTH_READ;
+ }else{
+ $permneed = AUTH_EDIT;
+ }
+ }else{
+ $permneed = AUTH_CREATE;
+ }
+ }elseif(in_array($act,array('login','search','recent','profile'))){
+ $permneed = AUTH_NONE;
+ }elseif($act == 'revert'){
+ $permneed = AUTH_ADMIN;
+ if($INFO['ismanager']) $permneed = AUTH_EDIT;
+ }elseif($act == 'register'){
+ $permneed = AUTH_NONE;
+ }elseif($act == 'resendpwd'){
+ $permneed = AUTH_NONE;
+ }elseif($act == 'admin'){
+ if($INFO['ismanager']){
+ //error_log("is manager");
+ // if the manager has the needed permissions for a certain admin
+ // action is checked later
+ $permneed = AUTH_READ;
+ }else{
+ //error_log("is admin");
+ $permneed = AUTH_ADMIN;
+ }
+ }else{
+ $permneed = AUTH_READ;
+ }
+ if($INFO['perm'] >= $permneed) return $act;
+
+ return 'denied';
+}
+
+/**
+ * Handle 'draftdel'
+ *
+ * Deletes the draft for the current page and user
+ */
+function act_draftdel($act){
+ global $INFO;
+ @unlink($INFO['draft']);
+ $INFO['draft'] = null;
+ return 'show';
+}
+
+/**
+ * Saves a draft on preview
+ *
+ * @todo this currently duplicates code from ajax.php :-/
+ */
+function act_draftsave($act){
+ global $INFO;
+ global $ID;
+ global $conf;
+ if($conf['usedraft'] && $_POST['wikitext']){
+ $draft = array('id' => $ID,
+ 'prefix' => $_POST['prefix'],
+ 'text' => $_POST['wikitext'],
+ 'suffix' => $_POST['suffix'],
+ 'date' => $_POST['date'],
+ 'client' => $INFO['client'],
+ );
+ $cname = getCacheName($draft['client'].$ID,'.draft');
+ if(io_saveFile($cname,serialize($draft))){
+ $INFO['draft'] = $cname;
+ }
+ }
+ return $act;
+}
+
+/**
+ * Handle 'save'
+ *
+ * Checks for spam and conflicts and saves the page.
+ * Does a redirect to show the page afterwards or
+ * returns a new action.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function act_save($act){
+ global $ID;
+ global $DATE;
+ global $PRE;
+ global $TEXT;
+ global $SUF;
+ global $SUM;
+
+ //spam check
+ if(checkwordblock())
+ return 'wordblock';
+ //conflict check //FIXME use INFO
+ if($DATE != 0 && @filemtime(wikiFN($ID)) > $DATE )
+ return 'conflict';
+
+ //save it
+ saveWikiText($ID,con($PRE,$TEXT,$SUF,1),$SUM,get_input('minor')); //use pretty mode for con
+ //unlock it
+ unlock($ID);
+
+ //delete draft
+ act_draftdel($act);
+ session_write_close();
+
+ // when done, show page
+ return 'show';
+}
+
+/**
+ * Revert to a certain revision
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function act_revert($act){
+ global $ID;
+ global $REV;
+ global $lang;
+
+ // when no revision is given, delete current one
+ // FIXME this feature is not exposed in the GUI currently
+ $text = '';
+ $sum = $lang['deleted'];
+ if($REV){
+ $text = rawWiki($ID,$REV);
+ if(!$text) return 'show'; //something went wrong
+ $sum = $lang['restored'];
+ }
+
+ // spam check
+ if(checkwordblock($Text))
+ return 'wordblock';
+
+ saveWikiText($ID,$text,$sum,false);
+ msg($sum,1);
+
+ //delete any draft
+ act_draftdel($act);
+ session_write_close();
+
+ // when done, show current page
+ $_SERVER['REQUEST_METHOD'] = 'post'; //should force a redirect
+ $REV = '';
+ return 'show';
+}
+
+/**
+ * Do a redirect after receiving post data
+ *
+ * Tries to add the section id as hash mark after section editing
+ */
+function act_redirect($id,$preact){
+ global $PRE;
+ global $TEXT;
+ global $MSG;
+
+ //are there any undisplayed messages? keep them in session for display
+ //on the next page
+ if(isset($MSG) && count($MSG)){
+ //reopen session, store data and close session again
+ @session_start();
+ $_SESSION[DOKU_COOKIE]['msg'] = $MSG;
+ session_write_close();
+ }
+
+ $opts = array(
+ 'id' => $id,
+ 'preact' => $preact
+ );
+ //get section name when coming from section edit
+ if($PRE && preg_match('/^\s*==+([^=\n]+)/',$TEXT,$match)){
+ $check = false; //Byref
+ $opts['fragment'] = sectionID($match[0], $check);
+ }
+
+ trigger_event('ACTION_SHOW_REDIRECT',$opts,'act_redirect_execute');
+}
+
+function act_redirect_execute($opts){
+ $go = wl($opts['id'],'',true);
+ if(isset($opts['fragment'])) $go .= '#'.$opts['fragment'];
+
+ //show it
+ send_redirect($go);
+}
+
+/**
+ * Handle 'login', 'logout'
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function act_auth($act){
+ global $ID;
+ global $INFO;
+
+ //already logged in?
+ if(isset($_SERVER['REMOTE_USER']) && $act=='login'){
+ return 'show';
+ }
+
+ //handle logout
+ if($act=='logout'){
+ $lockedby = checklock($ID); //page still locked?
+ if($lockedby == $_SERVER['REMOTE_USER'])
+ unlock($ID); //try to unlock
+
+ // do the logout stuff
+ auth_logoff();
+
+ // rebuild info array
+ $INFO = pageinfo();
+
+ act_redirect($ID,'login');
+ }
+
+ return $act;
+}
+
+/**
+ * Handle 'edit', 'preview'
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function act_edit($act){
+ global $ID;
+ global $INFO;
+
+ //check if locked by anyone - if not lock for my self
+ $lockedby = checklock($ID);
+ if($lockedby) return 'locked';
+
+ lock($ID);
+ return $act;
+}
+
+/**
+ * Export a wiki page for various formats
+ *
+ * Triggers ACTION_EXPORT_POSTPROCESS
+ *
+ * Event data:
+ * data['id'] -- page id
+ * data['mode'] -- requested export mode
+ * data['headers'] -- export headers
+ * data['output'] -- export output
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Michael Klier <chi@chimeric.de>
+ */
+function act_export($act){
+ global $ID;
+ global $REV;
+ global $conf;
+ global $lang;
+
+ $pre = '';
+ $post = '';
+ $output = '';
+ $headers = array();
+
+ // search engines: never cache exported docs! (Google only currently)
+ $headers['X-Robots-Tag'] = 'noindex';
+
+ $mode = substr($act,7);
+ switch($mode) {
+ case 'raw':
+ $headers['Content-Type'] = 'text/plain; charset=utf-8';
+ $headers['Content-Disposition'] = 'attachment; filename='.noNS($ID).'.txt';
+ $output = rawWiki($ID,$REV);
+ break;
+ case 'xhtml':
+ $pre .= '<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN"' . DOKU_LF;
+ $pre .= ' "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">' . DOKU_LF;
+ $pre .= '<html xmlns="http://www.w3.org/1999/xhtml" xml:lang="'.$conf['lang'].'"' . DOKU_LF;
+ $pre .= ' lang="'.$conf['lang'].'" dir="'.$lang['direction'].'">' . DOKU_LF;
+ $pre .= '<head>' . DOKU_LF;
+ $pre .= ' <meta http-equiv="Content-Type" content="text/html; charset=utf-8" />' . DOKU_LF;
+ $pre .= ' <title>'.$ID.'</title>' . DOKU_LF;
+
+ // get metaheaders
+ ob_start();
+ tpl_metaheaders();
+ $pre .= ob_get_clean();
+
+ $pre .= '</head>' . DOKU_LF;
+ $pre .= '<body>' . DOKU_LF;
+ $pre .= '<div class="dokuwiki export">' . DOKU_LF;
+
+ // get toc
+ $pre .= tpl_toc(true);
+
+ $headers['Content-Type'] = 'text/html; charset=utf-8';
+ $output = p_wiki_xhtml($ID,$REV,false);
+
+ $post .= '</div>' . DOKU_LF;
+ $post .= '</body>' . DOKU_LF;
+ $post .= '</html>' . DOKU_LF;
+ break;
+ case 'xhtmlbody':
+ $headers['Content-Type'] = 'text/html; charset=utf-8';
+ $output = p_wiki_xhtml($ID,$REV,false);
+ break;
+ default:
+ $output = p_cached_output(wikiFN($ID,$REV), $mode);
+ $headers = p_get_metadata($ID,"format $mode");
+ break;
+ }
+
+ // prepare event data
+ $data = array();
+ $data['id'] = $ID;
+ $data['mode'] = $mode;
+ $data['headers'] = $headers;
+ $data['output'] =& $output;
+
+ trigger_event('ACTION_EXPORT_POSTPROCESS', $data);
+
+ if(!empty($data['output'])){
+ if(is_array($data['headers'])) foreach($data['headers'] as $key => $val){
+ header("$key: $val");
+ }
+ print $pre.$data['output'].$post;
+ exit;
+ }
+ return 'show';
+}
+
+/**
+ * Handle page 'subscribe', 'unsubscribe'
+ *
+ * @author Steven Danz <steven-danz@kc.rr.com>
+ * @todo localize
+ */
+function act_subscription($act){
+ global $ID;
+ global $INFO;
+ global $lang;
+
+ $file=metaFN($ID,'.mlist');
+ if ($act=='subscribe' && !$INFO['subscribed']){
+ if ($INFO['userinfo']['mail']){
+ if (io_saveFile($file,$_SERVER['REMOTE_USER']."\n",true)) {
+ $INFO['subscribed'] = true;
+ msg(sprintf($lang[$act.'_success'], $INFO['userinfo']['name'], $ID),1);
+ } else {
+ msg(sprintf($lang[$act.'_error'], $INFO['userinfo']['name'], $ID),1);
+ }
+ } else {
+ msg($lang['subscribe_noaddress']);
+ }
+ } elseif ($act=='unsubscribe' && $INFO['subscribed']){
+ if (io_deleteFromFile($file,$_SERVER['REMOTE_USER']."\n")) {
+ $INFO['subscribed'] = false;
+ msg(sprintf($lang[$act.'_success'], $INFO['userinfo']['name'], $ID),1);
+ } else {
+ msg(sprintf($lang[$act.'_error'], $INFO['userinfo']['name'], $ID),1);
+ }
+ }
+
+ return 'show';
+}
+
+/**
+ * Handle namespace 'subscribe', 'unsubscribe'
+ *
+ */
+function act_subscriptionns($act){
+ global $ID;
+ global $INFO;
+ global $lang;
+
+ if(!getNS($ID)) {
+ $file = metaFN(getNS($ID),'.mlist');
+ $ns = "root";
+ } else {
+ $file = metaFN(getNS($ID),'/.mlist');
+ $ns = getNS($ID);
+ }
+
+ // reuse strings used to display the status of the subscribe action
+ $act_msg = rtrim($act, 'ns');
+
+ if ($act=='subscribens' && !$INFO['subscribedns']){
+ if ($INFO['userinfo']['mail']){
+ if (io_saveFile($file,$_SERVER['REMOTE_USER']."\n",true)) {
+ $INFO['subscribedns'] = true;
+ msg(sprintf($lang[$act_msg.'_success'], $INFO['userinfo']['name'], $ns),1);
+ } else {
+ msg(sprintf($lang[$act_msg.'_error'], $INFO['userinfo']['name'], $ns),1);
+ }
+ } else {
+ msg($lang['subscribe_noaddress']);
+ }
+ } elseif ($act=='unsubscribens' && $INFO['subscribedns']){
+ if (io_deleteFromFile($file,$_SERVER['REMOTE_USER']."\n")) {
+ $INFO['subscribedns'] = false;
+ msg(sprintf($lang[$act_msg.'_success'], $INFO['userinfo']['name'], $ns),1);
+ } else {
+ msg(sprintf($lang[$act_msg.'_error'], $INFO['userinfo']['name'], $ns),1);
+ }
+ }
+
+ return 'show';
+}
+
+//Setup VIM: ex: et ts=2 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/adLDAP.php b/mod/dokuwiki/vendors/dokuwiki/inc/adLDAP.php
new file mode 100644
index 000000000..94cd8a50d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/adLDAP.php
@@ -0,0 +1,2347 @@
+<?php
+/**
+ * PHP LDAP CLASS FOR MANIPULATING ACTIVE DIRECTORY
+ * Version 3.3.1
+ *
+ * PHP Version 5 with SSL and LDAP support
+ *
+ * Written by Scott Barnett, Richard Hyland
+ * email: scott@wiggumworld.com, adldap@richardhyland.com
+ * http://adldap.sourceforge.net/
+ *
+ * Copyright (c) 2006-2009 Scott Barnett, Richard Hyland
+ *
+ * We'd appreciate any improvements or additions to be submitted back
+ * to benefit the entire community :)
+ *
+ * This library is free software; you can redistribute it and/or
+ * modify it under the terms of the GNU Lesser General Public
+ * License as published by the Free Software Foundation; either
+ * version 2.1 of the License.
+ *
+ * This library is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
+ * Lesser General Public License for more details.
+ *
+ * @category ToolsAndUtilities
+ * @package adLDAP
+ * @author Scott Barnett, Richard Hyland
+ * @copyright (c) 2006-2009 Scott Barnett, Richard Hyland
+ * @license http://www.gnu.org/licenses/old-licenses/lgpl-2.1.html LGPLv2.1
+ * @revision $Revision: 67 $
+ * @version 3.3.1
+ * @link http://adldap.sourceforge.net/
+ */
+
+/**
+ * Define the different types of account in AD
+ */
+define ('ADLDAP_NORMAL_ACCOUNT', 805306368);
+define ('ADLDAP_WORKSTATION_TRUST', 805306369);
+define ('ADLDAP_INTERDOMAIN_TRUST', 805306370);
+define ('ADLDAP_SECURITY_GLOBAL_GROUP', 268435456);
+define ('ADLDAP_DISTRIBUTION_GROUP', 268435457);
+define ('ADLDAP_SECURITY_LOCAL_GROUP', 536870912);
+define ('ADLDAP_DISTRIBUTION_LOCAL_GROUP', 536870913);
+define ('ADLDAP_FOLDER', 'OU');
+define ('ADLDAP_CONTAINER', 'CN');
+
+/**
+* Main adLDAP class
+*
+* Can be initialised using $adldap = new adLDAP();
+*
+* Something to keep in mind is that Active Directory is a permissions
+* based directory. If you bind as a domain user, you can't fetch as
+* much information on other users as you could as a domain admin.
+*
+* Before asking questions, please read the Documentation at
+* http://adldap.sourceforge.net/wiki/doku.php?id=api
+*/
+class adLDAP {
+ /**
+ * The account suffix for your domain, can be set when the class is invoked
+ *
+ * @var string
+ */
+ protected $_account_suffix = "@mydomain.local";
+
+ /**
+ * The base dn for your domain
+ *
+ * @var string
+ */
+ protected $_base_dn = "DC=mydomain,DC=local";
+
+ /**
+ * Array of domain controllers. Specifiy multiple controllers if you
+ * would like the class to balance the LDAP queries amongst multiple servers
+ *
+ * @var array
+ */
+ protected $_domain_controllers = array ("dc01.mydomain.local");
+
+ /**
+ * Optional account with higher privileges for searching
+ * This should be set to a domain admin account
+ *
+ * @var string
+ * @var string
+ */
+ protected $_ad_username=NULL;
+ protected $_ad_password=NULL;
+
+ /**
+ * AD does not return the primary group. http://support.microsoft.com/?kbid=321360
+ * This tweak will resolve the real primary group.
+ * Setting to false will fudge "Domain Users" and is much faster. Keep in mind though that if
+ * someone's primary group is NOT domain users, this is obviously going to mess up the results
+ *
+ * @var bool
+ */
+ protected $_real_primarygroup=true;
+
+ /**
+ * Use SSL (LDAPS), your server needs to be setup, please see
+ * http://adldap.sourceforge.net/wiki/doku.php?id=ldap_over_ssl
+ *
+ * @var bool
+ */
+ protected $_use_ssl=false;
+
+ /**
+ * Use TLS
+ * If you wish to use TLS you should ensure that $_use_ssl is set to false and vice-versa
+ *
+ * @var bool
+ */
+ protected $_use_tls=false;
+
+ /**
+ * When querying group memberships, do it recursively
+ * eg. User Fred is a member of Group A, which is a member of Group B, which is a member of Group C
+ * user_ingroup("Fred","C") will returns true with this option turned on, false if turned off
+ *
+ * @var bool
+ */
+ protected $_recursive_groups=true;
+
+ // You should not need to edit anything below this line
+ //******************************************************************************************
+
+ /**
+ * Connection and bind default variables
+ *
+ * @var mixed
+ * @var mixed
+ */
+ protected $_conn;
+ protected $_bind;
+
+ /**
+ * Getters and Setters
+ */
+
+ /**
+ * Set the account suffix
+ *
+ * @param string $_account_suffix
+ * @return void
+ */
+ public function set_account_suffix($_account_suffix)
+ {
+ $this->_account_suffix = $_account_suffix;
+ }
+
+ /**
+ * Get the account suffix
+ *
+ * @return string
+ */
+ public function get_account_suffix()
+ {
+ return $this->_account_suffix;
+ }
+
+ /**
+ * Set the domain controllers array
+ *
+ * @param array $_domain_controllers
+ * @return void
+ */
+ public function set_domain_controllers(array $_domain_controllers)
+ {
+ $this->_domain_controllers = $_domain_controllers;
+ }
+
+ /**
+ * Get the list of domain controllers
+ *
+ * @return void
+ */
+ public function get_domain_controllers()
+ {
+ return $this->_domain_controllers;
+ }
+
+ /**
+ * Set the username of an account with higher priviledges
+ *
+ * @param string $_ad_username
+ * @return void
+ */
+ public function set_ad_username($_ad_username)
+ {
+ $this->_ad_username = $_ad_username;
+ }
+
+ /**
+ * Get the username of the account with higher priviledges
+ *
+ * This will throw an exception for security reasons
+ */
+ public function get_ad_username()
+ {
+ throw new adLDAPException('For security reasons you cannot access the domain administrator account details');
+ }
+
+ /**
+ * Set the password of an account with higher priviledges
+ *
+ * @param string $_ad_password
+ * @return void
+ */
+ public function set_ad_password($_ad_password)
+ {
+ $this->_ad_password = $_ad_password;
+ }
+
+ /**
+ * Get the password of the account with higher priviledges
+ *
+ * This will throw an exception for security reasons
+ */
+ public function get_ad_password()
+ {
+ throw new adLDAPException('For security reasons you cannot access the domain administrator account details');
+ }
+
+ /**
+ * Set whether to detect the true primary group
+ *
+ * @param bool $_real_primary_group
+ * @return void
+ */
+ public function set_real_primarygroup($_real_primarygroup)
+ {
+ $this->_real_primarygroup = $_real_primarygroup;
+ }
+
+ /**
+ * Get the real primary group setting
+ *
+ * @return bool
+ */
+ public function get_real_primarygroup()
+ {
+ return $this->_real_primarygroup;
+ }
+
+ /**
+ * Set whether to use SSL
+ *
+ * @param bool $_use_ssl
+ * @return void
+ */
+ public function set_use_ssl($_use_ssl)
+ {
+ $this->_use_ssl = $_use_ssl;
+ }
+
+ /**
+ * Get the SSL setting
+ *
+ * @return bool
+ */
+ public function get_use_ssl()
+ {
+ return $this->_use_ssl;
+ }
+
+ /**
+ * Set whether to use TLS
+ *
+ * @param bool $_use_tls
+ * @return void
+ */
+ public function set_use_tls($_use_tls)
+ {
+ $this->_use_tls = $_use_tls;
+ }
+
+ /**
+ * Get the TLS setting
+ *
+ * @return bool
+ */
+ public function get_use_tls()
+ {
+ return $this->_use_tls;
+ }
+
+ /**
+ * Set whether to lookup recursive groups
+ *
+ * @param bool $_recursive_groups
+ * @return void
+ */
+ public function set_recursive_groups($_recursive_groups)
+ {
+ $this->_recursive_groups = $_recursive_groups;
+ }
+
+ /**
+ * Get the recursive groups setting
+ *
+ * @return bool
+ */
+ public function get_recursive_groups()
+ {
+ return $this->_recursive_groups;
+ }
+
+ /**
+ * Default Constructor
+ *
+ * Tries to bind to the AD domain over LDAP or LDAPs
+ *
+ * @param array $options Array of options to pass to the constructor
+ * @throws Exception - if unable to bind to Domain Controller
+ * @return bool
+ */
+ function __construct($options=array()){
+ // You can specifically overide any of the default configuration options setup above
+ if (count($options)>0){
+ if (array_key_exists("account_suffix",$options)){ $this->_account_suffix=$options["account_suffix"]; }
+ if (array_key_exists("base_dn",$options)){ $this->_base_dn=$options["base_dn"]; }
+ if (array_key_exists("domain_controllers",$options)){ $this->_domain_controllers=$options["domain_controllers"]; }
+ if (array_key_exists("ad_username",$options)){ $this->_ad_username=$options["ad_username"]; }
+ if (array_key_exists("ad_password",$options)){ $this->_ad_password=$options["ad_password"]; }
+ if (array_key_exists("real_primarygroup",$options)){ $this->_real_primarygroup=$options["real_primarygroup"]; }
+ if (array_key_exists("use_ssl",$options)){ $this->_use_ssl=$options["use_ssl"]; }
+ if (array_key_exists("use_tls",$options)){ $this->_use_tls=$options["use_tls"]; }
+ if (array_key_exists("recursive_groups",$options)){ $this->_recursive_groups=$options["recursive_groups"]; }
+ }
+
+ if ($this->ldap_supported() === false) {
+ throw new adLDAPException('No LDAP support for PHP. See: http://www.php.net/ldap');
+ }
+
+ return $this->connect();
+ }
+
+ /**
+ * Default Destructor
+ *
+ * Closes the LDAP connection
+ *
+ * @return void
+ */
+ function __destruct(){ $this->close(); }
+
+ /**
+ * Connects and Binds to the Domain Controller
+ *
+ * @return bool
+ */
+ public function connect() {
+ // Connect to the AD/LDAP server as the username/password
+ $dc=$this->random_controller();
+ if ($this->_use_ssl){
+ $this->_conn = ldap_connect("ldaps://".$dc, 636);
+ } else {
+ $this->_conn = ldap_connect($dc);
+ }
+
+ // Set some ldap options for talking to AD
+ ldap_set_option($this->_conn, LDAP_OPT_PROTOCOL_VERSION, 3);
+ ldap_set_option($this->_conn, LDAP_OPT_REFERRALS, 0);
+
+ if ($this->_use_tls) {
+ ldap_start_tls($this->_conn);
+ }
+
+ // Bind as a domain admin if they've set it up
+ if ($this->_ad_username!=NULL && $this->_ad_password!=NULL){
+ $this->_bind = @ldap_bind($this->_conn,$this->_ad_username.$this->_account_suffix,$this->_ad_password);
+ if (!$this->_bind){
+ if ($this->_use_ssl && !$this->_use_tls){
+ // If you have problems troubleshooting, remove the @ character from the ldap_bind command above to get the actual error message
+ throw new adLDAPException('Bind to Active Directory failed. Either the LDAPs connection failed or the login credentials are incorrect. AD said: ' . $this->get_last_error());
+ } else {
+ throw new adLDAPException('Bind to Active Directory failed. Check the login credentials and/or server details. AD said: ' . $this->get_last_error());
+ }
+ }
+ }
+
+ if ($this->_base_dn == NULL) {
+ $this->_base_dn = $this->find_base_dn();
+ }
+
+ return (true);
+ }
+
+ /**
+ * Closes the LDAP connection
+ *
+ * @return void
+ */
+ public function close() {
+ ldap_close ($this->_conn);
+ }
+
+ /**
+ * Validate a user's login credentials
+ *
+ * @param string $username A user's AD username
+ * @param string $password A user's AD password
+ * @param bool optional $prevent_rebind
+ * @return bool
+ */
+ public function authenticate($username,$password,$prevent_rebind=false){
+ // Prevent null binding
+ if ($username===NULL || $password===NULL){ return (false); }
+ if (empty($username) || empty($password)){ return (false); }
+
+ // Bind as the user
+ $this->_bind = @ldap_bind($this->_conn,$username.$this->_account_suffix,$password);
+ if (!$this->_bind){ return (false); }
+
+ // Cnce we've checked their details, kick back into admin mode if we have it
+ if ($this->_ad_username!=NULL && !$prevent_rebind){
+ $this->_bind = @ldap_bind($this->_conn,$this->_ad_username.$this->_account_suffix,$this->_ad_password);
+ if (!$this->_bind){
+ // This should never happen in theory
+ throw new adLDAPException('Rebind to Active Directory failed. AD said: ' . $this->get_last_error());
+ }
+ }
+
+ return (true);
+ }
+
+ //*****************************************************************************************************************
+ // GROUP FUNCTIONS
+
+ /**
+ * Add a group to a group
+ *
+ * @param string $parent The parent group name
+ * @param string $child The child group name
+ * @return bool
+ */
+ public function group_add_group($parent,$child){
+
+ // Find the parent group's dn
+ $parent_group=$this->group_info($parent,array("cn"));
+ if ($parent_group[0]["dn"]===NULL){ return (false); }
+ $parent_dn=$parent_group[0]["dn"];
+
+ // Find the child group's dn
+ $child_group=$this->group_info($child,array("cn"));
+ if ($child_group[0]["dn"]===NULL){ return (false); }
+ $child_dn=$child_group[0]["dn"];
+
+ $add=array();
+ $add["member"] = $child_dn;
+
+ $result=@ldap_mod_add($this->_conn,$parent_dn,$add);
+ if ($result==false){ return (false); }
+ return (true);
+ }
+
+ /**
+ * Add a user to a group
+ *
+ * @param string $group The group to add the user to
+ * @param string $user The user to add to the group
+ * @param bool $isGUID Is the username passed a GUID or a samAccountName
+ * @return bool
+ */
+ public function group_add_user($group,$user,$isGUID=false){
+ // Adding a user is a bit fiddly, we need to get the full DN of the user
+ // and add it using the full DN of the group
+
+ // Find the user's dn
+ $user_dn=$this->user_dn($user,$isGUID);
+ if ($user_dn===false){ return (false); }
+
+ // Find the group's dn
+ $group_info=$this->group_info($group,array("cn"));
+ if ($group_info[0]["dn"]===NULL){ return (false); }
+ $group_dn=$group_info[0]["dn"];
+
+ $add=array();
+ $add["member"] = $user_dn;
+
+ $result=@ldap_mod_add($this->_conn,$group_dn,$add);
+ if ($result==false){ return (false); }
+ return (true);
+ }
+
+ /**
+ * Add a contact to a group
+ *
+ * @param string $group The group to add the contact to
+ * @param string $contact_dn The DN of the contact to add
+ * @return bool
+ */
+ public function group_add_contact($group,$contact_dn){
+ // To add a contact we take the contact's DN
+ // and add it using the full DN of the group
+
+ // Find the group's dn
+ $group_info=$this->group_info($group,array("cn"));
+ if ($group_info[0]["dn"]===NULL){ return (false); }
+ $group_dn=$group_info[0]["dn"];
+
+ $add=array();
+ $add["member"] = $contact_dn;
+
+ $result=@ldap_mod_add($this->_conn,$group_dn,$add);
+ if ($result==false){ return (false); }
+ return (true);
+ }
+
+ /**
+ * Create a group
+ *
+ * @param array $attributes Default attributes of the group
+ * @return bool
+ */
+ public function group_create($attributes){
+ if (!is_array($attributes)){ return ("Attributes must be an array"); }
+ if (!array_key_exists("group_name",$attributes)){ return ("Missing compulsory field [group_name]"); }
+ if (!array_key_exists("container",$attributes)){ return ("Missing compulsory field [container]"); }
+ if (!array_key_exists("description",$attributes)){ return ("Missing compulsory field [description]"); }
+ if (!is_array($attributes["container"])){ return ("Container attribute must be an array."); }
+ $attributes["container"]=array_reverse($attributes["container"]);
+
+ //$member_array = array();
+ //$member_array[0] = "cn=user1,cn=Users,dc=yourdomain,dc=com";
+ //$member_array[1] = "cn=administrator,cn=Users,dc=yourdomain,dc=com";
+
+ $add=array();
+ $add["cn"] = $attributes["group_name"];
+ $add["samaccountname"] = $attributes["group_name"];
+ $add["objectClass"] = "Group";
+ $add["description"] = $attributes["description"];
+ //$add["member"] = $member_array; UNTESTED
+
+ $container="OU=".implode(",OU=",$attributes["container"]);
+ $result=ldap_add($this->_conn,"CN=".$add["cn"].", ".$container.",".$this->_base_dn,$add);
+ if ($result!=true){ return (false); }
+
+ return (true);
+ }
+
+ /**
+ * Remove a group from a group
+ *
+ * @param string $parent The parent group name
+ * @param string $child The child group name
+ * @return bool
+ */
+ public function group_del_group($parent,$child){
+
+ // Find the parent dn
+ $parent_group=$this->group_info($parent,array("cn"));
+ if ($parent_group[0]["dn"]===NULL){ return (false); }
+ $parent_dn=$parent_group[0]["dn"];
+
+ // Find the child dn
+ $child_group=$this->group_info($child,array("cn"));
+ if ($child_group[0]["dn"]===NULL){ return (false); }
+ $child_dn=$child_group[0]["dn"];
+
+ $del=array();
+ $del["member"] = $child_dn;
+
+ $result=@ldap_mod_del($this->_conn,$parent_dn,$del);
+ if ($result==false){ return (false); }
+ return (true);
+ }
+
+ /**
+ * Remove a user from a group
+ *
+ * @param string $group The group to remove a user from
+ * @param string $user The AD user to remove from the group
+ * @param bool $isGUID Is the username passed a GUID or a samAccountName
+ * @return bool
+ */
+ public function group_del_user($group,$user,$isGUID=false){
+
+ // Find the parent dn
+ $group_info=$this->group_info($group,array("cn"));
+ if ($group_info[0]["dn"]===NULL){ return (false); }
+ $group_dn=$group_info[0]["dn"];
+
+ // Find the users dn
+ $user_dn=$this->user_dn($user,$isGUID);
+ if ($user_dn===false){ return (false); }
+
+ $del=array();
+ $del["member"] = $user_dn;
+
+ $result=@ldap_mod_del($this->_conn,$group_dn,$del);
+ if ($result==false){ return (false); }
+ return (true);
+ }
+
+ /**
+ * Remove a contact from a group
+ *
+ * @param string $group The group to remove a user from
+ * @param string $contact_dn The DN of a contact to remove from the group
+ * @return bool
+ */
+ public function group_del_contact($group,$contact_dn){
+
+ // Find the parent dn
+ $group_info=$this->group_info($group,array("cn"));
+ if ($group_info[0]["dn"]===NULL){ return (false); }
+ $group_dn=$group_info[0]["dn"];
+
+ $del=array();
+ $del["member"] = $contact_dn;
+
+ $result=@ldap_mod_del($this->_conn,$group_dn,$del);
+ if ($result==false){ return (false); }
+ return (true);
+ }
+
+ /**
+ * Return a list of groups in a group
+ *
+ * @param string $group The group to query
+ * @param bool $recursive Recursively get groups
+ * @return array
+ */
+ public function groups_in_group($group, $recursive = NULL){
+ if (!$this->_bind){ return (false); }
+ if ($recursive===NULL){ $recursive=$this->_recursive_groups; } // Use the default option if they haven't set it
+
+ // Search the directory for the members of a group
+ $info=$this->group_info($group,array("member","cn"));
+ $groups=$info[0]["member"];
+ if (!is_array($groups)) {
+ return (false);
+ }
+
+ $group_array=array();
+
+ for ($i=0; $i<$groups["count"]; $i++){
+ $filter="(&(objectCategory=group)(distinguishedName=".$this->ldap_slashes($groups[$i])."))";
+ $fields = array("samaccountname", "distinguishedname", "objectClass");
+ $sr=ldap_search($this->_conn,$this->_base_dn,$filter,$fields);
+ $entries = ldap_get_entries($this->_conn, $sr);
+
+ // not a person, look for a group
+ if ($entries['count'] == 0 && $recursive == true) {
+ $filter="(&(objectCategory=group)(distinguishedName=".$this->ldap_slashes($groups[$i])."))";
+ $fields = array("distinguishedname");
+ $sr=ldap_search($this->_conn,$this->_base_dn,$filter,$fields);
+ $entries = ldap_get_entries($this->_conn, $sr);
+ if (!isset($entries[0]['distinguishedname'][0])) {
+ continue;
+ }
+ $sub_groups = $this->groups_in_group($entries[0]['distinguishedname'][0], $recursive);
+ if (is_array($sub_groups)) {
+ $group_array = array_merge($group_array, $sub_groups);
+ $group_array = array_unique($group_array);
+ }
+ continue;
+ }
+
+ $group_array[] = $entries[0]['distinguishedname'][0];
+ }
+ return ($group_array);
+ }
+
+ /**
+ * Return a list of members in a group
+ *
+ * @param string $group The group to query
+ * @param bool $recursive Recursively get group members
+ * @return array
+ */
+ public function group_members($group, $recursive = NULL){
+ if (!$this->_bind){ return (false); }
+ if ($recursive===NULL){ $recursive=$this->_recursive_groups; } // Use the default option if they haven't set it
+ // Search the directory for the members of a group
+ $info=$this->group_info($group,array("member","cn"));
+ $users=$info[0]["member"];
+ if (!is_array($users)) {
+ return (false);
+ }
+
+ $user_array=array();
+
+ for ($i=0; $i<$users["count"]; $i++){
+ $filter="(&(objectCategory=person)(distinguishedName=".$this->ldap_slashes($users[$i])."))";
+ $fields = array("samaccountname", "distinguishedname", "objectClass");
+ $sr=ldap_search($this->_conn,$this->_base_dn,$filter,$fields);
+ $entries = ldap_get_entries($this->_conn, $sr);
+
+ // not a person, look for a group
+ if ($entries['count'] == 0 && $recursive == true) {
+ $filter="(&(objectCategory=group)(distinguishedName=".$this->ldap_slashes($users[$i])."))";
+ $fields = array("samaccountname");
+ $sr=ldap_search($this->_conn,$this->_base_dn,$filter,$fields);
+ $entries = ldap_get_entries($this->_conn, $sr);
+ if (!isset($entries[0]['samaccountname'][0])) {
+ continue;
+ }
+ $sub_users = $this->group_members($entries[0]['samaccountname'][0], $recursive);
+ if (is_array($sub_users)) {
+ $user_array = array_merge($user_array, $sub_users);
+ $user_array = array_unique($user_array);
+ }
+ continue;
+ }
+
+ if ($entries[0]['samaccountname'][0] === NULL && $entries[0]['distinguishedname'][0] !== NULL) {
+ $user_array[] = $entries[0]['distinguishedname'][0];
+ }
+ elseif ($entries[0]['samaccountname'][0] !== NULL) {
+ $user_array[] = $entries[0]['samaccountname'][0];
+ }
+ }
+ return ($user_array);
+ }
+
+ /**
+ * Group Information. Returns an array of information about a group.
+ * The group name is case sensitive
+ *
+ * @param string $group_name The group name to retrieve info about
+ * @param array $fields Fields to retrieve
+ * @return array
+ */
+ public function group_info($group_name,$fields=NULL){
+ if ($group_name===NULL){ return (false); }
+ if (!$this->_bind){ return (false); }
+
+ if (stristr($group_name, '+')) {
+ $group_name=stripslashes($group_name);
+ }
+
+ $filter="(&(objectCategory=group)(name=".$this->ldap_slashes($group_name)."))";
+ //echo ($filter."!!!<br>");
+ if ($fields===NULL){ $fields=array("member","memberof","cn","description","distinguishedname","objectcategory","samaccountname"); }
+ $sr=ldap_search($this->_conn,$this->_base_dn,$filter,$fields);
+ $entries = ldap_get_entries($this->_conn, $sr);
+ //print_r($entries);
+ return ($entries);
+ }
+
+ /**
+ * Return a complete list of "groups in groups"
+ *
+ * @param string $group The group to get the list from
+ * @return array
+ */
+ public function recursive_groups($group){
+ if ($group===NULL){ return (false); }
+
+ $ret_groups=array();
+
+ $groups=$this->group_info($group,array("memberof"));
+ if (is_array($groups[0]["memberof"])) {
+ $groups=$groups[0]["memberof"];
+
+ if ($groups){
+ $group_names=$this->nice_names($groups);
+ $ret_groups=array_merge($ret_groups,$group_names); //final groups to return
+
+ foreach ($group_names as $id => $group_name){
+ $child_groups=$this->recursive_groups($group_name);
+ $ret_groups=array_merge($ret_groups,$child_groups);
+ }
+ }
+ }
+
+ return ($ret_groups);
+ }
+
+ /**
+ * Returns a complete list of the groups in AD based on a SAM Account Type
+ *
+ * @param string $samaccounttype The account type to return
+ * @param bool $include_desc Whether to return a description
+ * @param string $search Search parameters
+ * @param bool $sorted Whether to sort the results
+ * @return array
+ */
+ public function search_groups($samaccounttype = ADLDAP_SECURITY_GLOBAL_GROUP, $include_desc = false, $search = "*", $sorted = true) {
+ if (!$this->_bind){ return (false); }
+
+ $filter = '(&(objectCategory=group)';
+ if ($samaccounttype !== null) {
+ $filter .= '(samaccounttype='. $samaccounttype .')';
+ }
+ $filter .= '(cn='.$search.'))';
+ // Perform the search and grab all their details
+ $fields=array("samaccountname","description");
+ $sr=ldap_search($this->_conn,$this->_base_dn,$filter,$fields);
+ $entries = ldap_get_entries($this->_conn, $sr);
+
+ $groups_array = array();
+ for ($i=0; $i<$entries["count"]; $i++){
+ if ($include_desc && strlen($entries[$i]["description"][0]) > 0 ){
+ $groups_array[ $entries[$i]["samaccountname"][0] ] = $entries[$i]["description"][0];
+ } elseif ($include_desc){
+ $groups_array[ $entries[$i]["samaccountname"][0] ] = $entries[$i]["samaccountname"][0];
+ } else {
+ array_push($groups_array, $entries[$i]["samaccountname"][0]);
+ }
+ }
+ if( $sorted ){ asort($groups_array); }
+ return ($groups_array);
+ }
+
+ /**
+ * Returns a complete list of all groups in AD
+ *
+ * @param bool $include_desc Whether to return a description
+ * @param string $search Search parameters
+ * @param bool $sorted Whether to sort the results
+ * @return array
+ */
+ public function all_groups($include_desc = false, $search = "*", $sorted = true){
+ $groups_array = $this->search_groups(null, $include_desc, $search, $sorted);
+ return ($groups_array);
+ }
+
+ /**
+ * Returns a complete list of security groups in AD
+ *
+ * @param bool $include_desc Whether to return a description
+ * @param string $search Search parameters
+ * @param bool $sorted Whether to sort the results
+ * @return array
+ */
+ public function all_security_groups($include_desc = false, $search = "*", $sorted = true){
+ $groups_array = $this->search_groups(ADLDAP_SECURITY_GLOBAL_GROUP, $include_desc, $search, $sorted);
+ return ($groups_array);
+ }
+
+ /**
+ * Returns a complete list of distribution lists in AD
+ *
+ * @param bool $include_desc Whether to return a description
+ * @param string $search Search parameters
+ * @param bool $sorted Whether to sort the results
+ * @return array
+ */
+ public function all_distribution_groups($include_desc = false, $search = "*", $sorted = true){
+ $groups_array = $this->search_groups(ADLDAP_DISTRIBUTION_GROUP, $include_desc, $search, $sorted);
+ return ($groups_array);
+ }
+
+ //*****************************************************************************************************************
+ // USER FUNCTIONS
+
+ /**
+ * Create a user
+ *
+ * If you specify a password here, this can only be performed over SSL
+ *
+ * @param array $attributes The attributes to set to the user account
+ * @return bool
+ */
+ public function user_create($attributes){
+ // Check for compulsory fields
+ if (!array_key_exists("username",$attributes)){ return ("Missing compulsory field [username]"); }
+ if (!array_key_exists("firstname",$attributes)){ return ("Missing compulsory field [firstname]"); }
+ if (!array_key_exists("surname",$attributes)){ return ("Missing compulsory field [surname]"); }
+ if (!array_key_exists("email",$attributes)){ return ("Missing compulsory field [email]"); }
+ if (!array_key_exists("container",$attributes)){ return ("Missing compulsory field [container]"); }
+ if (!is_array($attributes["container"])){ return ("Container attribute must be an array."); }
+
+ if (array_key_exists("password",$attributes) && (!$this->_use_ssl && !$this->_use_tls)){
+ throw new adLDAPException('SSL must be configured on your webserver and enabled in the class to set passwords.');
+ }
+
+ if (!array_key_exists("display_name",$attributes)){ $attributes["display_name"]=$attributes["firstname"]." ".$attributes["surname"]; }
+
+ // Translate the schema
+ $add=$this->adldap_schema($attributes);
+
+ // Additional stuff only used for adding accounts
+ $add["cn"][0]=$attributes["display_name"];
+ $add["samaccountname"][0]=$attributes["username"];
+ $add["objectclass"][0]="top";
+ $add["objectclass"][1]="person";
+ $add["objectclass"][2]="organizationalPerson";
+ $add["objectclass"][3]="user"; //person?
+ //$add["name"][0]=$attributes["firstname"]." ".$attributes["surname"];
+
+ // Set the account control attribute
+ $control_options=array("NORMAL_ACCOUNT");
+ if (!$attributes["enabled"]){ $control_options[]="ACCOUNTDISABLE"; }
+ $add["userAccountControl"][0]=$this->account_control($control_options);
+ //echo ("<pre>"); print_r($add);
+
+ // Determine the container
+ $attributes["container"]=array_reverse($attributes["container"]);
+ $container="OU=".implode(",OU=",$attributes["container"]);
+
+ // Add the entry
+ $result=@ldap_add($this->_conn, "CN=".$add["cn"][0].", ".$container.",".$this->_base_dn, $add);
+ if ($result!=true){ return (false); }
+
+ return (true);
+ }
+
+ /**
+ * Delete a user account
+ *
+ * @param string $username The username to delete (please be careful here!)
+ * @param bool $isGUID Is the username a GUID or a samAccountName
+ * @return array
+ */
+ public function user_delete($username,$isGUID=false) {
+ $userinfo = $this->user_info($username, array("*"),$isGUID);
+ $dn = $userinfo[0]['distinguishedname'][0];
+ $result=$this->dn_delete($dn);
+ if ($result!=true){ return (false); }
+ return (true);
+ }
+
+ /**
+ * Groups the user is a member of
+ *
+ * @param string $username The username to query
+ * @param bool $recursive Recursive list of groups
+ * @param bool $isGUID Is the username passed a GUID or a samAccountName
+ * @return array
+ */
+ public function user_groups($username,$recursive=NULL,$isGUID=false){
+ if ($username===NULL){ return (false); }
+ if ($recursive===NULL){ $recursive=$this->_recursive_groups; } // Use the default option if they haven't set it
+ if (!$this->_bind){ return (false); }
+
+ // Search the directory for their information
+ $info=@$this->user_info($username,array("memberof","primarygroupid"),$isGUID);
+ $groups=$this->nice_names($info[0]["memberof"]); // Presuming the entry returned is our guy (unique usernames)
+
+ if ($recursive === true){
+ foreach ($groups as $id => $group_name){
+ $extra_groups=$this->recursive_groups($group_name);
+ $groups=array_merge($groups,$extra_groups);
+ }
+ }
+
+ return ($groups);
+ }
+
+ /**
+ * Find information about the users
+ *
+ * @param string $username The username to query
+ * @param array $fields Array of parameters to query
+ * @param bool $isGUID Is the username passed a GUID or a samAccountName
+ * @return array
+ */
+ public function user_info($username,$fields=NULL,$isGUID=false){
+ if ($username===NULL){ return (false); }
+ if (!$this->_bind){ return (false); }
+
+ if ($isGUID === true) {
+ $username = $this->strguid2hex($username);
+ $filter="objectguid=".$username;
+ }
+ else {
+ $filter="samaccountname=".$username;
+ }
+ if ($fields===NULL){ $fields=array("samaccountname","mail","memberof","department","displayname","telephonenumber","primarygroupid","objectsid"); }
+ $sr=ldap_search($this->_conn,$this->_base_dn,$filter,$fields);
+ $entries = ldap_get_entries($this->_conn, $sr);
+
+ if ($entries[0]['count'] >= 1) {
+ // AD does not return the primary group in the ldap query, we may need to fudge it
+ if ($this->_real_primarygroup && isset($entries[0]["primarygroupid"][0]) && isset($entries[0]["objectsid"][0])){
+ //$entries[0]["memberof"][]=$this->group_cn($entries[0]["primarygroupid"][0]);
+ $entries[0]["memberof"][]=$this->get_primary_group($entries[0]["primarygroupid"][0], $entries[0]["objectsid"][0]);
+ } else {
+ $entries[0]["memberof"][]="CN=Domain Users,CN=Users,".$this->_base_dn;
+ }
+ }
+
+ $entries[0]["memberof"]["count"]++;
+ return ($entries);
+ }
+
+ /**
+ * Determine if a user is in a specific group
+ *
+ * @param string $username The username to query
+ * @param string $group The name of the group to check against
+ * @param bool $recursive Check groups recursively
+ * @param bool $isGUID Is the username passed a GUID or a samAccountName
+ * @return bool
+ */
+ public function user_ingroup($username,$group,$recursive=NULL,$isGUID=false){
+ if ($username===NULL){ return (false); }
+ if ($group===NULL){ return (false); }
+ if (!$this->_bind){ return (false); }
+ if ($recursive===NULL){ $recursive=$this->_recursive_groups; } // Use the default option if they haven't set it
+
+ // Get a list of the groups
+ $groups=$this->user_groups($username,$recursive,$isGUID);
+
+ // Return true if the specified group is in the group list
+ if (in_array($group,$groups)){ return (true); }
+
+ return (false);
+ }
+
+ /**
+ * Determine a user's password expiry date
+ *
+ * @param string $username The username to query
+ * @param book $isGUID Is the username passed a GUID or a samAccountName
+ * @requires bcmath http://www.php.net/manual/en/book.bc.php
+ * @return array
+ */
+ public function user_password_expiry($username,$isGUID=false) {
+ if ($username===NULL){ return ("Missing compulsory field [username]"); }
+ if (!$this->_bind){ return (false); }
+ if (!function_exists('bcmod')) { return ("Missing function support [bcmod] http://www.php.net/manual/en/book.bc.php"); };
+
+ $userinfo = $this->user_info($username, array("pwdlastset", "useraccountcontrol"), $isGUID);
+ $pwdlastset = $userinfo[0]['pwdlastset'][0];
+ $status = array();
+
+ if ($userinfo[0]['useraccountcontrol'][0] == '66048') {
+ // Password does not expire
+ return "Does not expire";
+ }
+ if ($pwdlastset === '0') {
+ // Password has already expired
+ return "Password has expired";
+ }
+
+ // Password expiry in AD can be calculated from TWO values:
+ // - User's own pwdLastSet attribute: stores the last time the password was changed
+ // - Domain's maxPwdAge attribute: how long passwords last in the domain
+ //
+ // Although Microsoft chose to use a different base and unit for time measurements.
+ // This function will convert them to Unix timestamps
+ $sr = ldap_read($this->_conn, $this->_base_dn, 'objectclass=*', array('maxPwdAge'));
+ if (!$sr) {
+ return false;
+ }
+ $info = ldap_get_entries($this->_conn, $sr);
+ $maxpwdage = $info[0]['maxpwdage'][0];
+
+
+ // See MSDN: http://msdn.microsoft.com/en-us/library/ms974598.aspx
+ //
+ // pwdLastSet contains the number of 100 nanosecond intervals since January 1, 1601 (UTC),
+ // stored in a 64 bit integer.
+ //
+ // The number of seconds between this date and Unix epoch is 11644473600.
+ //
+ // maxPwdAge is stored as a large integer that represents the number of 100 nanosecond
+ // intervals from the time the password was set before the password expires.
+ //
+ // We also need to scale this to seconds but also this value is a _negative_ quantity!
+ //
+ // If the low 32 bits of maxPwdAge are equal to 0 passwords do not expire
+ //
+ // Unfortunately the maths involved are too big for PHP integers, so I've had to require
+ // BCMath functions to work with arbitrary precision numbers.
+ if (bcmod($maxpwdage, 4294967296) === '0') {
+ return "Domain does not expire passwords";
+ }
+
+ // Add maxpwdage and pwdlastset and we get password expiration time in Microsoft's
+ // time units. Because maxpwd age is negative we need to subtract it.
+ $pwdexpire = bcsub($pwdlastset, $maxpwdage);
+
+ // Convert MS's time to Unix time
+ $status['expiryts'] = bcsub(bcdiv($pwdexpire, '10000000'), '11644473600');
+ $status['expiryformat'] = date('Y-m-d H:i:s', bcsub(bcdiv($pwdexpire, '10000000'), '11644473600'));
+
+ return $status;
+ }
+
+ /**
+ * Modify a user
+ *
+ * @param string $username The username to query
+ * @param array $attributes The attributes to modify. Note if you set the enabled attribute you must not specify any other attributes
+ * @param bool $isGUID Is the username passed a GUID or a samAccountName
+ * @return bool
+ */
+ public function user_modify($username,$attributes,$isGUID=false){
+ if ($username===NULL){ return ("Missing compulsory field [username]"); }
+ if (array_key_exists("password",$attributes) && !$this->_use_ssl){
+ throw new adLDAPException('SSL must be configured on your webserver and enabled in the class to set passwords.');
+ }
+
+ // Find the dn of the user
+ $user_dn=$this->user_dn($username,$isGUID);
+ if ($user_dn===false){ return (false); }
+
+ // Translate the update to the LDAP schema
+ $mod=$this->adldap_schema($attributes);
+
+ // Check to see if this is an enabled status update
+ if (!$mod && !array_key_exists("enabled", $attributes)){ return (false); }
+
+ // Set the account control attribute (only if specified)
+ if (array_key_exists("enabled",$attributes)){
+ if ($attributes["enabled"]){ $control_options=array("NORMAL_ACCOUNT"); }
+ else { $control_options=array("NORMAL_ACCOUNT","ACCOUNTDISABLE"); }
+ $mod["userAccountControl"][0]=$this->account_control($control_options);
+ }
+
+ // Do the update
+ $result=@ldap_modify($this->_conn,$user_dn,$mod);
+ if ($result==false){ return (false); }
+
+ return (true);
+ }
+
+ /**
+ * Disable a user account
+ *
+ * @param string $username The username to disable
+ * @param bool $isGUID Is the username passed a GUID or a samAccountName
+ * @return bool
+ */
+ public function user_disable($username,$isGUID=false){
+ if ($username===NULL){ return ("Missing compulsory field [username]"); }
+ $attributes=array("enabled"=>0);
+ $result = $this->user_modify($username, $attributes, $isGUID);
+ if ($result==false){ return (false); }
+
+ return (true);
+ }
+
+ /**
+ * Enable a user account
+ *
+ * @param string $username The username to enable
+ * @param bool $isGUID Is the username passed a GUID or a samAccountName
+ * @return bool
+ */
+ public function user_enable($username,$isGUID=false){
+ if ($username===NULL){ return ("Missing compulsory field [username]"); }
+ $attributes=array("enabled"=>1);
+ $result = $this->user_modify($username, $attributes, $isGUID);
+ if ($result==false){ return (false); }
+
+ return (true);
+ }
+
+ /**
+ * Set the password of a user - This must be performed over SSL
+ *
+ * @param string $username The username to modify
+ * @param string $password The new password
+ * @param bool $isGUID Is the username passed a GUID or a samAccountName
+ * @return bool
+ */
+ public function user_password($username,$password,$isGUID=false){
+ if ($username===NULL){ return (false); }
+ if ($password===NULL){ return (false); }
+ if (!$this->_bind){ return (false); }
+ if (!$this->_use_ssl && !$this->_use_tls){
+ throw new adLDAPException('SSL must be configured on your webserver and enabled in the class to set passwords.');
+ }
+
+ $user_dn=$this->user_dn($username,$isGUID);
+ if ($user_dn===false){ return (false); }
+
+ $add=array();
+ $add["unicodePwd"][0]=$this->encode_password($password);
+
+ $result=ldap_mod_replace($this->_conn,$user_dn,$add);
+ if ($result==false){ return (false); }
+
+ return (true);
+ }
+
+ /**
+ * Return a list of all users in AD
+ *
+ * @param bool $include_desc Return a description of the user
+ * @param string $search Search parameter
+ * @param bool $sorted Sort the user accounts
+ * @return array
+ */
+ public function all_users($include_desc = false, $search = "*", $sorted = true){
+ if (!$this->_bind){ return (false); }
+
+ // Perform the search and grab all their details
+ $filter = "(&(objectClass=user)(samaccounttype=". ADLDAP_NORMAL_ACCOUNT .")(objectCategory=person)(cn=".$search."))";
+ $fields=array("samaccountname","displayname");
+ $sr=ldap_search($this->_conn,$this->_base_dn,$filter,$fields);
+ $entries = ldap_get_entries($this->_conn, $sr);
+
+ $users_array = array();
+ for ($i=0; $i<$entries["count"]; $i++){
+ if ($include_desc && strlen($entries[$i]["displayname"][0])>0){
+ $users_array[ $entries[$i]["samaccountname"][0] ] = $entries[$i]["displayname"][0];
+ } elseif ($include_desc){
+ $users_array[ $entries[$i]["samaccountname"][0] ] = $entries[$i]["samaccountname"][0];
+ } else {
+ array_push($users_array, $entries[$i]["samaccountname"][0]);
+ }
+ }
+ if ($sorted){ asort($users_array); }
+ return ($users_array);
+ }
+
+ /**
+ * Converts a username (samAccountName) to a GUID
+ *
+ * @param string $username The username to query
+ * @return string
+ */
+ public function username2guid($username) {
+ if (!$this->_bind){ return (false); }
+ if ($username === null){ return ("Missing compulsory field [username]"); }
+
+ $filter = "samaccountname=" . $username;
+ $fields = array("objectGUID");
+ $sr = @ldap_search($this->_conn, $this->_base_dn, $filter, $fields);
+ if (ldap_count_entries($this->_conn, $sr) > 0) {
+ $entry = @ldap_first_entry($this->_conn, $sr);
+ $guid = @ldap_get_values_len($this->_conn, $entry, 'objectGUID');
+ $strGUID = $this->binary2text($guid[0]);
+ return ($strGUID);
+ }
+ else {
+ return (false);
+ }
+ }
+
+ //*****************************************************************************************************************
+ // CONTACT FUNCTIONS
+ // * Still work to do in this area, and new functions to write
+
+ /**
+ * Create a contact
+ *
+ * @param array $attributes The attributes to set to the contact
+ * @return bool
+ */
+ public function contact_create($attributes){
+ // Check for compulsory fields
+ if (!array_key_exists("display_name",$attributes)){ return ("Missing compulsory field [display_name]"); }
+ if (!array_key_exists("email",$attributes)){ return ("Missing compulsory field [email]"); }
+ if (!array_key_exists("container",$attributes)){ return ("Missing compulsory field [container]"); }
+ if (!is_array($attributes["container"])){ return ("Container attribute must be an array."); }
+
+ // Translate the schema
+ $add=$this->adldap_schema($attributes);
+
+ // Additional stuff only used for adding contacts
+ $add["cn"][0]=$attributes["display_name"];
+ $add["objectclass"][0]="top";
+ $add["objectclass"][1]="person";
+ $add["objectclass"][2]="organizationalPerson";
+ $add["objectclass"][3]="contact";
+ if (!isset($attributes['exchange_hidefromlists'])) {
+ $add["msExchHideFromAddressLists"][0]="TRUE";
+ }
+
+ // Determine the container
+ $attributes["container"]=array_reverse($attributes["container"]);
+ $container="OU=".implode(",OU=",$attributes["container"]);
+
+ // Add the entry
+ $result=@ldap_add($this->_conn, "CN=".$add["cn"][0].", ".$container.",".$this->_base_dn, $add);
+ if ($result!=true){ return (false); }
+
+ return (true);
+ }
+
+ /**
+ * Determine the list of groups a contact is a member of
+ *
+ * @param string $distinguisedname The full DN of a contact
+ * @param bool $recursive Recursively check groups
+ * @return array
+ */
+ public function contact_groups($distinguishedname,$recursive=NULL){
+ if ($distinguishedname===NULL){ return (false); }
+ if ($recursive===NULL){ $recursive=$this->_recursive_groups; } //use the default option if they haven't set it
+ if (!$this->_bind){ return (false); }
+
+ // Search the directory for their information
+ $info=@$this->contact_info($distinguishedname,array("memberof","primarygroupid"));
+ $groups=$this->nice_names($info[0]["memberof"]); //presuming the entry returned is our contact
+
+ if ($recursive === true){
+ foreach ($groups as $id => $group_name){
+ $extra_groups=$this->recursive_groups($group_name);
+ $groups=array_merge($groups,$extra_groups);
+ }
+ }
+
+ return ($groups);
+ }
+
+ /**
+ * Get contact information
+ *
+ * @param string $distinguisedname The full DN of a contact
+ * @param array $fields Attributes to be returned
+ * @return array
+ */
+ public function contact_info($distinguishedname,$fields=NULL){
+ if ($distinguishedname===NULL){ return (false); }
+ if (!$this->_bind){ return (false); }
+
+ $filter="distinguishedName=".$distinguishedname;
+ if ($fields===NULL){ $fields=array("distinguishedname","mail","memberof","department","displayname","telephonenumber","primarygroupid","objectsid"); }
+ $sr=ldap_search($this->_conn,$this->_base_dn,$filter,$fields);
+ $entries = ldap_get_entries($this->_conn, $sr);
+
+ if ($entries[0]['count'] >= 1) {
+ // AD does not return the primary group in the ldap query, we may need to fudge it
+ if ($this->_real_primarygroup && isset($entries[0]["primarygroupid"][0]) && isset($entries[0]["primarygroupid"][0])){
+ //$entries[0]["memberof"][]=$this->group_cn($entries[0]["primarygroupid"][0]);
+ $entries[0]["memberof"][]=$this->get_primary_group($entries[0]["primarygroupid"][0], $entries[0]["objectsid"][0]);
+ } else {
+ $entries[0]["memberof"][]="CN=Domain Users,CN=Users,".$this->_base_dn;
+ }
+ }
+
+ $entries[0]["memberof"]["count"]++;
+ return ($entries);
+ }
+
+ /**
+ * Determine if a contact is a member of a group
+ *
+ * @param string $distinguisedname The full DN of a contact
+ * @param string $group The group name to query
+ * @param bool $recursive Recursively check groups
+ * @return bool
+ */
+ public function contact_ingroup($distinguisedname,$group,$recursive=NULL){
+ if ($distinguisedname===NULL){ return (false); }
+ if ($group===NULL){ return (false); }
+ if (!$this->_bind){ return (false); }
+ if ($recursive===NULL){ $recursive=$this->_recursive_groups; } //use the default option if they haven't set it
+
+ // Get a list of the groups
+ $groups=$this->contact_groups($distinguisedname,array("memberof"),$recursive);
+
+ // Return true if the specified group is in the group list
+ if (in_array($group,$groups)){ return (true); }
+
+ return (false);
+ }
+
+ /**
+ * Modify a contact
+ *
+ * @param string $distinguishedname The contact to query
+ * @param array $attributes The attributes to modify. Note if you set the enabled attribute you must not specify any other attributes
+ * @return bool
+ */
+ public function contact_modify($distinguishedname,$attributes){
+ if ($distinguishedname===NULL){ return ("Missing compulsory field [distinguishedname]"); }
+
+ // Translate the update to the LDAP schema
+ $mod=$this->adldap_schema($attributes);
+
+ // Check to see if this is an enabled status update
+ if (!$mod){ return (false); }
+
+ // Do the update
+ $result=ldap_modify($this->_conn,$distinguishedname,$mod);
+ if ($result==false){ return (false); }
+
+ return (true);
+ }
+
+ /**
+ * Delete a contact
+ *
+ * @param string $distinguishedname The contact dn to delete (please be careful here!)
+ * @return array
+ */
+ public function contact_delete($distinguishedname) {
+ $result = $this->dn_delete($distinguishedname);
+ if ($result!=true){ return (false); }
+ return (true);
+ }
+
+ /**
+ * Return a list of all contacts
+ *
+ * @param bool $include_desc Include a description of a contact
+ * @param string $search The search parameters
+ * @param bool $sorted Whether to sort the results
+ * @return array
+ */
+ public function all_contacts($include_desc = false, $search = "*", $sorted = true){
+ if (!$this->_bind){ return (false); }
+
+ // Perform the search and grab all their details
+ $filter = "(&(objectClass=contact)(cn=".$search."))";
+ $fields=array("displayname","distinguishedname");
+ $sr=ldap_search($this->_conn,$this->_base_dn,$filter,$fields);
+ $entries = ldap_get_entries($this->_conn, $sr);
+
+ $users_array = array();
+ for ($i=0; $i<$entries["count"]; $i++){
+ if ($include_desc && strlen($entries[$i]["displayname"][0])>0){
+ $users_array[ $entries[$i]["distinguishedname"][0] ] = $entries[$i]["displayname"][0];
+ } elseif ($include_desc){
+ $users_array[ $entries[$i]["distinguishedname"][0] ] = $entries[$i]["distinguishedname"][0];
+ } else {
+ array_push($users_array, $entries[$i]["distinguishedname"][0]);
+ }
+ }
+ if ($sorted){ asort($users_array); }
+ return ($users_array);
+ }
+
+ //*****************************************************************************************************************
+ // FOLDER FUNCTIONS
+
+ /**
+ * Returns a folder listing for a specific OU
+ * See http://adldap.sourceforge.net/wiki/doku.php?id=api_folder_functions
+ *
+ * @param array $folder_name An array to the OU you wish to list.
+ * If set to NULL will list the root, strongly recommended to set
+ * $recursive to false in that instance!
+ * @param string $dn_type The type of record to list. This can be ADLDAP_FOLDER or ADLDAP_CONTAINER.
+ * @param bool $recursive Recursively search sub folders
+ * @param bool $type Specify a type of object to search for
+ * @return array
+ */
+ public function folder_list($folder_name = NULL, $dn_type = ADLDAP_FOLDER, $recursive = NULL, $type = NULL) {
+ if ($recursive===NULL){ $recursive=$this->_recursive_groups; } //use the default option if they haven't set it
+ if (!$this->_bind){ return (false); }
+
+ $filter = '(&';
+ if ($type !== NULL) {
+ switch ($type) {
+ case 'contact':
+ $filter .= '(objectClass=contact)';
+ break;
+ case 'computer':
+ $filter .= '(objectClass=computer)';
+ break;
+ case 'group':
+ $filter .= '(objectClass=group)';
+ break;
+ case 'folder':
+ $filter .= '(objectClass=organizationalUnit)';
+ break;
+ case 'container':
+ $filter .= '(objectClass=container)';
+ break;
+ case 'domain':
+ $filter .= '(objectClass=builtinDomain)';
+ break;
+ default:
+ $filter .= '(objectClass=user)';
+ break;
+ }
+ }
+ else {
+ $filter .= '(objectClass=*)';
+ }
+ // If the folder name is null then we will search the root level of AD
+ // This requires us to not have an OU= part, just the base_dn
+ $searchou = $this->_base_dn;
+ if (is_array($folder_name)) {
+ $ou = $dn_type . "=".implode("," . $dn_type . "=",$folder_name);
+ $filter .= '(!(distinguishedname=' . $ou . ',' . $this->_base_dn . ')))';
+ $searchou = $ou . ',' . $this->_base_dn;
+ }
+ else {
+ $filter .= '(!(distinguishedname=' . $this->_base_dn . ')))';
+ }
+
+ if ($recursive === true) {
+ $sr=ldap_search($this->_conn, $searchou, $filter, array('objectclass', 'distinguishedname', 'samaccountname'));
+ $entries = @ldap_get_entries($this->_conn, $sr);
+ if (is_array($entries)) {
+ return $entries;
+ }
+ }
+ else {
+ $sr=ldap_list($this->_conn, $searchou, $filter, array('objectclass', 'distinguishedname', 'samaccountname'));
+ $entries = @ldap_get_entries($this->_conn, $sr);
+ if (is_array($entries)) {
+ return $entries;
+ }
+ }
+
+ return false;
+ }
+
+ //*****************************************************************************************************************
+ // COMPUTER FUNCTIONS
+
+ /**
+ * Get information about a specific computer
+ *
+ * @param string $computer_name The name of the computer
+ * @param array $fields Attributes to return
+ * @return array
+ */
+ public function computer_info($computer_name,$fields=NULL){
+ if ($computer_name===NULL){ return (false); }
+ if (!$this->_bind){ return (false); }
+
+ $filter="(&(objectClass=computer)(cn=".$computer_name."))";
+ if ($fields===NULL){ $fields=array("memberof","cn","displayname","dnshostname","distinguishedname","objectcategory","operatingsystem","operatingsystemservicepack","operatingsystemversion"); }
+ $sr=ldap_search($this->_conn,$this->_base_dn,$filter,$fields);
+ $entries = ldap_get_entries($this->_conn, $sr);
+
+ return ($entries);
+ }
+
+ /**
+ * Check if a computer is in a group
+ *
+ * @param string $computer_name The name of the computer
+ * @param string $group The group to check
+ * @param bool $recursive Whether to check recursively
+ * @return array
+ */
+ public function computer_ingroup($computer_name,$group,$recursive=NULL){
+ if ($computer_name===NULL){ return (false); }
+ if ($group===NULL){ return (false); }
+ if (!$this->_bind){ return (false); }
+ if ($recursive===NULL){ $recursive=$this->_recursive_groups; } // use the default option if they haven't set it
+
+ //get a list of the groups
+ $groups=$this->computer_groups($computer_name,array("memberof"),$recursive);
+
+ //return true if the specified group is in the group list
+ if (in_array($group,$groups)){ return (true); }
+
+ return (false);
+ }
+
+ /**
+ * Get the groups a computer is in
+ *
+ * @param string $computer_name The name of the computer
+ * @param bool $recursive Whether to check recursively
+ * @return array
+ */
+ public function computer_groups($computer_name,$recursive=NULL){
+ if ($computer_name===NULL){ return (false); }
+ if ($recursive===NULL){ $recursive=$this->_recursive_groups; } //use the default option if they haven't set it
+ if (!$this->_bind){ return (false); }
+
+ //search the directory for their information
+ $info=@$this->computer_info($computer_name,array("memberof","primarygroupid"));
+ $groups=$this->nice_names($info[0]["memberof"]); //presuming the entry returned is our guy (unique usernames)
+
+ if ($recursive === true){
+ foreach ($groups as $id => $group_name){
+ $extra_groups=$this->recursive_groups($group_name);
+ $groups=array_merge($groups,$extra_groups);
+ }
+ }
+
+ return ($groups);
+ }
+
+ //************************************************************************************************************
+ // EXCHANGE FUNCTIONS
+
+ /**
+ * Create an Exchange account
+ *
+ * @param string $username The username of the user to add the Exchange account to
+ * @param array $storagegroup The mailbox, Exchange Storage Group, for the user account, this must be a full CN
+ * If the storage group has a different base_dn to the adLDAP configuration, set it using $base_dn
+ * @param string $emailaddress The primary email address to add to this user
+ * @param string $mailnickname The mail nick name. If mail nickname is blank, the username will be used
+ * @param bool $usedefaults Indicates whether the store should use the default quota, rather than the per-mailbox quota.
+ * @param string $base_dn Specify an alternative base_dn for the Exchange storage group
+ * @param bool $isGUID Is the username passed a GUID or a samAccountName
+ * @return bool
+ */
+ public function exchange_create_mailbox($username, $storagegroup, $emailaddress, $mailnickname=NULL, $usedefaults=TRUE, $base_dn=NULL, $isGUID=false){
+ if ($username===NULL){ return ("Missing compulsory field [username]"); }
+ if ($storagegroup===NULL){ return ("Missing compulsory array [storagegroup]"); }
+ if (!is_array($storagegroup)){ return ("[storagegroup] must be an array"); }
+ if ($emailaddress===NULL){ return ("Missing compulsory field [emailaddress]"); }
+
+ if ($base_dn===NULL) {
+ $base_dn = $this->_base_dn;
+ }
+
+ $container="CN=".implode(",CN=",$storagegroup);
+
+ if ($mailnickname===NULL) { $mailnickname=$username; }
+ $mdbUseDefaults = $this->bool2str($usedefaults);
+
+ $attributes = array(
+ 'exchange_homemdb'=>$container.",".$base_dn,
+ 'exchange_proxyaddress'=>'SMTP:' . $emailaddress,
+ 'exchange_mailnickname'=>$mailnickname,
+ 'exchange_usedefaults'=>$mdbUseDefaults
+ );
+ $result = $this->user_modify($username,$attributes,$isGUID);
+ if ($result==false){ return (false); }
+ return (true);
+ }
+
+ /**
+ * Add an X400 address to Exchange
+ * See http://tools.ietf.org/html/rfc1685 for more information.
+ * An X400 Address looks similar to this X400:c=US;a= ;p=Domain;o=Organization;s=Doe;g=John;
+ *
+ * @param string $username The username of the user to add the X400 to to
+ * @param string $country Country
+ * @param string $admd Administration Management Domain
+ * @param string $pdmd Private Management Domain (often your AD domain)
+ * @param string $org Organization
+ * @param string $surname Surname
+ * @param string $givenName Given name
+ * @param bool $isGUID Is the username passed a GUID or a samAccountName
+ * @return bool
+ */
+ public function exchange_add_X400($username, $country, $admd, $pdmd, $org, $surname, $givenname, $isGUID=false) {
+ if ($username===NULL){ return ("Missing compulsory field [username]"); }
+
+ $proxyvalue = 'X400:';
+
+ // Find the dn of the user
+ $user=$this->user_info($username,array("cn","proxyaddresses"), $isGUID);
+ if ($user[0]["dn"]===NULL){ return (false); }
+ $user_dn=$user[0]["dn"];
+
+ // We do not have to demote an email address from the default so we can just add the new proxy address
+ $attributes['exchange_proxyaddress'] = $proxyvalue . 'c=' . $country . ';a=' . $admd . ';p=' . $pdmd . ';o=' . $org . ';s=' . $surname . ';g=' . $givenname . ';';
+
+ // Translate the update to the LDAP schema
+ $add=$this->adldap_schema($attributes);
+
+ if (!$add){ return (false); }
+
+ // Do the update
+ // Take out the @ to see any errors, usually this error might occur because the address already
+ // exists in the list of proxyAddresses
+ $result=@ldap_mod_add($this->_conn,$user_dn,$add);
+ if ($result==false){ return (false); }
+
+ return (true);
+ }
+
+ /**
+ * Add an address to Exchange
+ *
+ * @param string $username The username of the user to add the Exchange account to
+ * @param string $emailaddress The email address to add to this user
+ * @param bool $default Make this email address the default address, this is a bit more intensive as we have to demote any existing default addresses
+ * @param bool $isGUID Is the username passed a GUID or a samAccountName
+ * @return bool
+ */
+ public function exchange_add_address($username, $emailaddress, $default=FALSE, $isGUID=false) {
+ if ($username===NULL){ return ("Missing compulsory field [username]"); }
+ if ($emailaddress===NULL) { return ("Missing compulsory fields [emailaddress]"); }
+
+ $proxyvalue = 'smtp:';
+ if ($default === true) {
+ $proxyvalue = 'SMTP:';
+ }
+
+ // Find the dn of the user
+ $user=$this->user_info($username,array("cn","proxyaddresses"),$isGUID);
+ if ($user[0]["dn"]===NULL){ return (false); }
+ $user_dn=$user[0]["dn"];
+
+ // We need to scan existing proxy addresses and demote the default one
+ if (is_array($user[0]["proxyaddresses"]) && $default===true) {
+ $modaddresses = array();
+ for ($i=0;$i<sizeof($user[0]['proxyaddresses']);$i++) {
+ if (strstr($user[0]['proxyaddresses'][$i], 'SMTP:') !== false) {
+ $user[0]['proxyaddresses'][$i] = str_replace('SMTP:', 'smtp:', $user[0]['proxyaddresses'][$i]);
+ }
+ if ($user[0]['proxyaddresses'][$i] != '') {
+ $modaddresses['proxyAddresses'][$i] = $user[0]['proxyaddresses'][$i];
+ }
+ }
+ $modaddresses['proxyAddresses'][(sizeof($user[0]['proxyaddresses'])-1)] = 'SMTP:' . $emailaddress;
+
+ $result=@ldap_mod_replace($this->_conn,$user_dn,$modaddresses);
+ if ($result==false){ return (false); }
+
+ return (true);
+ }
+ else {
+ // We do not have to demote an email address from the default so we can just add the new proxy address
+ $attributes['exchange_proxyaddress'] = $proxyvalue . $emailaddress;
+
+ // Translate the update to the LDAP schema
+ $add=$this->adldap_schema($attributes);
+
+ if (!$add){ return (false); }
+
+ // Do the update
+ // Take out the @ to see any errors, usually this error might occur because the address already
+ // exists in the list of proxyAddresses
+ $result=@ldap_mod_add($this->_conn,$user_dn,$add);
+ if ($result==false){ return (false); }
+
+ return (true);
+ }
+ }
+
+ /**
+ * Remove an address to Exchange
+ * If you remove a default address the account will no longer have a default,
+ * we recommend changing the default address first
+ *
+ * @param string $username The username of the user to add the Exchange account to
+ * @param string $emailaddress The email address to add to this user
+ * @param bool $isGUID Is the username passed a GUID or a samAccountName
+ * @return bool
+ */
+ public function exchange_del_address($username, $emailaddress, $isGUID=false) {
+ if ($username===NULL){ return ("Missing compulsory field [username]"); }
+ if ($emailaddress===NULL) { return ("Missing compulsory fields [emailaddress]"); }
+
+ // Find the dn of the user
+ $user=$this->user_info($username,array("cn","proxyaddresses"),$isGUID);
+ if ($user[0]["dn"]===NULL){ return (false); }
+ $user_dn=$user[0]["dn"];
+
+ if (is_array($user[0]["proxyaddresses"])) {
+ $mod = array();
+ for ($i=0;$i<sizeof($user[0]['proxyaddresses']);$i++) {
+ if (strstr($user[0]['proxyaddresses'][$i], 'SMTP:') !== false && $user[0]['proxyaddresses'][$i] == 'SMTP:' . $emailaddress) {
+ $mod['proxyAddresses'][0] = 'SMTP:' . $emailaddress;
+ }
+ elseif (strstr($user[0]['proxyaddresses'][$i], 'smtp:') !== false && $user[0]['proxyaddresses'][$i] == 'smtp:' . $emailaddress) {
+ $mod['proxyAddresses'][0] = 'smtp:' . $emailaddress;
+ }
+ }
+
+ $result=@ldap_mod_del($this->_conn,$user_dn,$mod);
+ if ($result==false){ return (false); }
+
+ return (true);
+ }
+ else {
+ return (false);
+ }
+ }
+ /**
+ * Change the default address
+ *
+ * @param string $username The username of the user to add the Exchange account to
+ * @param string $emailaddress The email address to make default
+ * @param bool $isGUID Is the username passed a GUID or a samAccountName
+ * @return bool
+ */
+ public function exchange_primary_address($username, $emailaddress, $isGUID=false) {
+ if ($username===NULL){ return ("Missing compulsory field [username]"); }
+ if ($emailaddress===NULL) { return ("Missing compulsory fields [emailaddress]"); }
+
+ // Find the dn of the user
+ $user=$this->user_info($username,array("cn","proxyaddresses"), $isGUID);
+ if ($user[0]["dn"]===NULL){ return (false); }
+ $user_dn=$user[0]["dn"];
+
+ if (is_array($user[0]["proxyaddresses"])) {
+ $modaddresses = array();
+ for ($i=0;$i<sizeof($user[0]['proxyaddresses']);$i++) {
+ if (strstr($user[0]['proxyaddresses'][$i], 'SMTP:') !== false) {
+ $user[0]['proxyaddresses'][$i] = str_replace('SMTP:', 'smtp:', $user[0]['proxyaddresses'][$i]);
+ }
+ if ($user[0]['proxyaddresses'][$i] == 'smtp:' . $emailaddress) {
+ $user[0]['proxyaddresses'][$i] = str_replace('smtp:', 'SMTP:', $user[0]['proxyaddresses'][$i]);
+ }
+ if ($user[0]['proxyaddresses'][$i] != '') {
+ $modaddresses['proxyAddresses'][$i] = $user[0]['proxyaddresses'][$i];
+ }
+ }
+
+ $result=@ldap_mod_replace($this->_conn,$user_dn,$modaddresses);
+ if ($result==false){ return (false); }
+
+ return (true);
+ }
+
+ }
+
+ /**
+ * Mail enable a contact
+ * Allows email to be sent to them through Exchange
+ *
+ * @param string $distinguishedname The contact to mail enable
+ * @param string $emailaddress The email address to allow emails to be sent through
+ * @param string $mailnickname The mailnickname for the contact in Exchange. If NULL this will be set to the display name
+ * @return bool
+ */
+ public function exchange_contact_mailenable($distinguishedname, $emailaddress, $mailnickname=NULL){
+ if ($distinguishedname===NULL){ return ("Missing compulsory field [distinguishedname]"); }
+ if ($emailaddress===NULL){ return ("Missing compulsory field [emailaddress]"); }
+
+ if ($mailnickname !== NULL) {
+ // Find the dn of the user
+ $user=$this->contact_info($distinguishedname,array("cn","displayname"));
+ if ($user[0]["displayname"]===NULL){ return (false); }
+ $mailnickname = $user[0]['displayname'][0];
+ }
+
+ $attributes = array("email"=>$emailaddress,"contact_email"=>"SMTP:" . $emailaddress,"exchange_proxyaddress"=>"SMTP:" . $emailaddress,"exchange_mailnickname"=>$mailnickname);
+
+ // Translate the update to the LDAP schema
+ $mod=$this->adldap_schema($attributes);
+
+ // Check to see if this is an enabled status update
+ if (!$mod){ return (false); }
+
+ // Do the update
+ $result=ldap_modify($this->_conn,$distinguishedname,$mod);
+ if ($result==false){ return (false); }
+
+ return (true);
+ }
+
+ /**
+ * Returns a list of Exchange Servers in the ConfigurationNamingContext of the domain
+ *
+ * @param array $attributes An array of the AD attributes you wish to return
+ * @return array
+ */
+ public function exchange_servers($attributes = array('cn','distinguishedname','serialnumber')) {
+ if (!$this->_bind){ return (false); }
+
+ $configurationNamingContext = $this->get_root_dse(array('configurationnamingcontext'));
+ $sr = @ldap_search($this->_conn,$configurationNamingContext[0]['configurationnamingcontext'][0],'(&(objectCategory=msExchExchangeServer))',$attributes);
+ $entries = @ldap_get_entries($this->_conn, $sr);
+ return $entries;
+ }
+
+ /**
+ * Returns a list of Storage Groups in Exchange for a given mail server
+ *
+ * @param string $exchangeServer The full DN of an Exchange server. You can use exchange_servers() to find the DN for your server
+ * @param array $attributes An array of the AD attributes you wish to return
+ * @param bool $recursive If enabled this will automatically query the databases within a storage group
+ * @return array
+ */
+ public function exchange_storage_groups($exchangeServer, $attributes = array('cn','distinguishedname'), $recursive = NULL) {
+ if (!$this->_bind){ return (false); }
+ if ($exchangeServer===NULL){ return ("Missing compulsory field [exchangeServer]"); }
+ if ($recursive===NULL){ $recursive=$this->_recursive_groups; }
+
+ $filter = '(&(objectCategory=msExchStorageGroup))';
+ $sr=@ldap_search($this->_conn, $exchangeServer, $filter, $attributes);
+ $entries = @ldap_get_entries($this->_conn, $sr);
+
+ if ($recursive === true) {
+ for ($i=0; $i<$entries['count']; $i++) {
+ $entries[$i]['msexchprivatemdb'] = $this->exchange_storage_databases($entries[$i]['distinguishedname'][0]);
+ }
+ }
+
+ return $entries;
+ }
+
+ /**
+ * Returns a list of Databases within any given storage group in Exchange for a given mail server
+ *
+ * @param string $storageGroup The full DN of an Storage Group. You can use exchange_storage_groups() to find the DN
+ * @param array $attributes An array of the AD attributes you wish to return
+ * @return array
+ */
+ public function exchange_storage_databases($storageGroup, $attributes = array('cn','distinguishedname','displayname')) {
+ if (!$this->_bind){ return (false); }
+ if ($storageGroup===NULL){ return ("Missing compulsory field [storageGroup]"); }
+
+ $filter = '(&(objectCategory=msExchPrivateMDB))';
+ $sr=@ldap_search($this->_conn, $storageGroup, $filter, $attributes);
+ $entries = @ldap_get_entries($this->_conn, $sr);
+ return $entries;
+ }
+
+ //************************************************************************************************************
+ // SERVER FUNCTIONS
+
+ /**
+ * Find the Base DN of your domain controller
+ *
+ * @return string
+ */
+ public function find_base_dn() {
+ $namingContext = $this->get_root_dse(array('defaultnamingcontext'));
+ return $namingContext[0]['defaultnamingcontext'][0];
+ }
+
+ /**
+ * Get the RootDSE properties from a domain controller
+ *
+ * @param array $attributes The attributes you wish to query e.g. defaultnamingcontext
+ * @return array
+ */
+ public function get_root_dse($attributes = array("*", "+")) {
+ if (!$this->_bind){ return (false); }
+
+ $sr = @ldap_read($this->_conn, NULL, 'objectClass=*', $attributes);
+ $entries = @ldap_get_entries($this->_conn, $sr);
+ return $entries;
+ }
+
+ //************************************************************************************************************
+ // UTILITY FUNCTIONS (Many of these functions are protected and can only be called from within the class)
+
+ /**
+ * Get last error from Active Directory
+ *
+ * This function gets the last message from Active Directory
+ * This may indeed be a 'Success' message but if you get an unknown error
+ * it might be worth calling this function to see what errors were raised
+ *
+ * return string
+ */
+ public function get_last_error() {
+ return @ldap_error($this->_conn);
+ }
+
+ /**
+ * Detect LDAP support in php
+ *
+ * @return bool
+ */
+ protected function ldap_supported() {
+ if (!function_exists('ldap_connect')) {
+ return (false);
+ }
+ return (true);
+ }
+
+ /**
+ * Schema
+ *
+ * @param array $attributes Attributes to be queried
+ * @return array
+ */
+ protected function adldap_schema($attributes){
+
+ // LDAP doesn't like NULL attributes, only set them if they have values
+ // If you wish to remove an attribute you should set it to a space
+ // TO DO: Adapt user_modify to use ldap_mod_delete to remove a NULL attribute
+ $mod=array();
+
+ // Check every attribute to see if it contains 8bit characters and then UTF8 encode them
+ array_walk($attributes, array($this, 'encode8bit'));
+
+ if ($attributes["address_city"]){ $mod["l"][0]=$attributes["address_city"]; }
+ if ($attributes["address_code"]){ $mod["postalCode"][0]=$attributes["address_code"]; }
+ //if ($attributes["address_country"]){ $mod["countryCode"][0]=$attributes["address_country"]; } // use country codes?
+ if ($attributes["address_country"]){ $mod["c"][0]=$attributes["address_country"]; }
+ if ($attributes["address_pobox"]){ $mod["postOfficeBox"][0]=$attributes["address_pobox"]; }
+ if ($attributes["address_state"]){ $mod["st"][0]=$attributes["address_state"]; }
+ if ($attributes["address_street"]){ $mod["streetAddress"][0]=$attributes["address_street"]; }
+ if ($attributes["company"]){ $mod["company"][0]=$attributes["company"]; }
+ if ($attributes["change_password"]){ $mod["pwdLastSet"][0]=0; }
+ if ($attributes["department"]){ $mod["department"][0]=$attributes["department"]; }
+ if ($attributes["description"]){ $mod["description"][0]=$attributes["description"]; }
+ if ($attributes["display_name"]){ $mod["displayName"][0]=$attributes["display_name"]; }
+ if ($attributes["email"]){ $mod["mail"][0]=$attributes["email"]; }
+ if ($attributes["expires"]){ $mod["accountExpires"][0]=$attributes["expires"]; } //unix epoch format?
+ if ($attributes["firstname"]){ $mod["givenName"][0]=$attributes["firstname"]; }
+ if ($attributes["home_directory"]){ $mod["homeDirectory"][0]=$attributes["home_directory"]; }
+ if ($attributes["home_drive"]){ $mod["homeDrive"][0]=$attributes["home_drive"]; }
+ if ($attributes["initials"]){ $mod["initials"][0]=$attributes["initials"]; }
+ if ($attributes["logon_name"]){ $mod["userPrincipalName"][0]=$attributes["logon_name"]; }
+ if ($attributes["manager"]){ $mod["manager"][0]=$attributes["manager"]; } //UNTESTED ***Use DistinguishedName***
+ if ($attributes["office"]){ $mod["physicalDeliveryOfficeName"][0]=$attributes["office"]; }
+ if ($attributes["password"]){ $mod["unicodePwd"][0]=$this->encode_password($attributes["password"]); }
+ if ($attributes["profile_path"]){ $mod["profilepath"][0]=$attributes["profile_path"]; }
+ if ($attributes["script_path"]){ $mod["scriptPath"][0]=$attributes["script_path"]; }
+ if ($attributes["surname"]){ $mod["sn"][0]=$attributes["surname"]; }
+ if ($attributes["title"]){ $mod["title"][0]=$attributes["title"]; }
+ if ($attributes["telephone"]){ $mod["telephoneNumber"][0]=$attributes["telephone"]; }
+ if ($attributes["mobile"]){ $mod["mobile"][0]=$attributes["mobile"]; }
+ if ($attributes["pager"]){ $mod["pager"][0]=$attributes["pager"]; }
+ if ($attributes["ipphone"]){ $mod["ipphone"][0]=$attributes["ipphone"]; }
+ if ($attributes["web_page"]){ $mod["wWWHomePage"][0]=$attributes["web_page"]; }
+ if ($attributes["fax"]){ $mod["facsimileTelephoneNumber"][0]=$attributes["fax"]; }
+ if ($attributes["enabled"]){ $mod["userAccountControl"][0]=$attributes["enabled"]; }
+
+ // Distribution List specific schema
+ if ($attributes["group_sendpermission"]){ $mod["dlMemSubmitPerms"][0]=$attributes["group_sendpermission"]; }
+ if ($attributes["group_rejectpermission"]){ $mod["dlMemRejectPerms"][0]=$attributes["group_rejectpermission"]; }
+
+ // Exchange Schema
+ if ($attributes["exchange_homemdb"]){ $mod["homeMDB"][0]=$attributes["exchange_homemdb"]; }
+ if ($attributes["exchange_mailnickname"]){ $mod["mailNickname"][0]=$attributes["exchange_mailnickname"]; }
+ if ($attributes["exchange_proxyaddress"]){ $mod["proxyAddresses"][0]=$attributes["exchange_proxyaddress"]; }
+ if ($attributes["exchange_usedefaults"]){ $mod["mDBUseDefaults"][0]=$attributes["exchange_usedefaults"]; }
+ if ($attributes["exchange_policyexclude"]){ $mod["msExchPoliciesExcluded"][0]=$attributes["exchange_policyexclude"]; }
+ if ($attributes["exchange_policyinclude"]){ $mod["msExchPoliciesIncluded"][0]=$attributes["exchange_policyinclude"]; }
+
+ // This schema is designed for contacts
+ if ($attributes["exchange_hidefromlists"]){ $mod["msExchHideFromAddressLists"][0]=$attributes["exchange_hidefromlists"]; }
+ if ($attributes["contact_email"]){ $mod["targetAddress"][0]=$attributes["contact_email"]; }
+
+ //echo ("<pre>"); print_r($mod);
+ /*
+ // modifying a name is a bit fiddly
+ if ($attributes["firstname"] && $attributes["surname"]){
+ $mod["cn"][0]=$attributes["firstname"]." ".$attributes["surname"];
+ $mod["displayname"][0]=$attributes["firstname"]." ".$attributes["surname"];
+ $mod["name"][0]=$attributes["firstname"]." ".$attributes["surname"];
+ }
+ */
+
+ if (count($mod)==0){ return (false); }
+ return ($mod);
+ }
+
+ /**
+ * Coping with AD not returning the primary group
+ * http://support.microsoft.com/?kbid=321360
+ *
+ * For some reason it's not possible to search on primarygrouptoken=XXX
+ * If someone can show otherwise, I'd like to know about it :)
+ * this way is resource intensive and generally a pain in the @#%^
+ *
+ * @deprecated deprecated since version 3.1, see get get_primary_group
+ * @param string $gid Group ID
+ * @return string
+ */
+ protected function group_cn($gid){
+ if ($gid===NULL){ return (false); }
+ $r=false;
+
+ $filter="(&(objectCategory=group)(samaccounttype=". ADLDAP_SECURITY_GLOBAL_GROUP ."))";
+ $fields=array("primarygrouptoken","samaccountname","distinguishedname");
+ $sr=ldap_search($this->_conn,$this->_base_dn,$filter,$fields);
+ $entries = ldap_get_entries($this->_conn, $sr);
+
+ for ($i=0; $i<$entries["count"]; $i++){
+ if ($entries[$i]["primarygrouptoken"][0]==$gid){
+ $r=$entries[$i]["distinguishedname"][0];
+ $i=$entries["count"];
+ }
+ }
+
+ return ($r);
+ }
+
+ /**
+ * Coping with AD not returning the primary group
+ * http://support.microsoft.com/?kbid=321360
+ *
+ * This is a re-write based on code submitted by Bruce which prevents the
+ * need to search each security group to find the true primary group
+ *
+ * @param string $gid Group ID
+ * @param string $usersid User's Object SID
+ * @return string
+ */
+ protected function get_primary_group($gid, $usersid){
+ if ($gid===NULL || $usersid===NULL){ return (false); }
+ $r=false;
+
+ $gsid = substr_replace($usersid,pack('V',$gid),strlen($usersid)-4,4);
+ $filter='(objectsid='.$this->getTextSID($gsid).')';
+ $fields=array("samaccountname","distinguishedname");
+ $sr=ldap_search($this->_conn,$this->_base_dn,$filter,$fields);
+ $entries = ldap_get_entries($this->_conn, $sr);
+
+ return $entries[0]['distinguishedname'][0];
+ }
+
+ /**
+ * Convert a binary SID to a text SID
+ *
+ * @param string $binsid A Binary SID
+ * @return string
+ */
+ protected function getTextSID($binsid) {
+ $hex_sid = bin2hex($binsid);
+ $rev = hexdec(substr($hex_sid, 0, 2));
+ $subcount = hexdec(substr($hex_sid, 2, 2));
+ $auth = hexdec(substr($hex_sid, 4, 12));
+ $result = "$rev-$auth";
+
+ for ($x=0;$x < $subcount; $x++) {
+ $subauth[$x] =
+ hexdec($this->little_endian(substr($hex_sid, 16 + ($x * 8), 8)));
+ $result .= "-" . $subauth[$x];
+ }
+
+ // Cheat by tacking on the S-
+ return 'S-' . $result;
+ }
+
+ /**
+ * Converts a little-endian hex number to one that hexdec() can convert
+ *
+ * @param string $hex A hex code
+ * @return string
+ */
+ protected function little_endian($hex) {
+ $result = '';
+ for ($x = strlen($hex) - 2; $x >= 0; $x = $x - 2) {
+ $result .= substr($hex, $x, 2);
+ }
+ return $result;
+ }
+
+ /**
+ * Converts a binary attribute to a string
+ *
+ * @param string $bin A binary LDAP attribute
+ * @return string
+ */
+ protected function binary2text($bin) {
+ $hex_guid = bin2hex($bin);
+ $hex_guid_to_guid_str = '';
+ for($k = 1; $k <= 4; ++$k) {
+ $hex_guid_to_guid_str .= substr($hex_guid, 8 - 2 * $k, 2);
+ }
+ $hex_guid_to_guid_str .= '-';
+ for($k = 1; $k <= 2; ++$k) {
+ $hex_guid_to_guid_str .= substr($hex_guid, 12 - 2 * $k, 2);
+ }
+ $hex_guid_to_guid_str .= '-';
+ for($k = 1; $k <= 2; ++$k) {
+ $hex_guid_to_guid_str .= substr($hex_guid, 16 - 2 * $k, 2);
+ }
+ $hex_guid_to_guid_str .= '-' . substr($hex_guid, 16, 4);
+ $hex_guid_to_guid_str .= '-' . substr($hex_guid, 20);
+ return strtoupper($hex_guid_to_guid_str);
+ }
+
+ /**
+ * Converts a binary GUID to a string GUID
+ *
+ * @param string $binaryGuid The binary GUID attribute to convert
+ * @return string
+ */
+ public function decodeGuid($binaryGuid) {
+ if ($binaryGuid === null){ return ("Missing compulsory field [binaryGuid]"); }
+
+ $strGUID = $this->binary2text($binaryGuid);
+ return ($strGUID);
+ }
+
+ /**
+ * Converts a string GUID to a hexdecimal value so it can be queried
+ *
+ * @param string $strGUID A string representation of a GUID
+ * @return string
+ */
+ protected function strguid2hex($strGUID) {
+ $strGUID = str_replace('-', '', $strGUID);
+
+ $octet_str = '\\' . substr($strGUID, 6, 2);
+ $octet_str .= '\\' . substr($strGUID, 4, 2);
+ $octet_str .= '\\' . substr($strGUID, 2, 2);
+ $octet_str .= '\\' . substr($strGUID, 0, 2);
+ $octet_str .= '\\' . substr($strGUID, 10, 2);
+ $octet_str .= '\\' . substr($strGUID, 8, 2);
+ $octet_str .= '\\' . substr($strGUID, 14, 2);
+ $octet_str .= '\\' . substr($strGUID, 12, 2);
+ //$octet_str .= '\\' . substr($strGUID, 16, strlen($strGUID));
+ for ($i=16; $i<=(strlen($strGUID)-2); $i++) {
+ if (($i % 2) == 0) {
+ $octet_str .= '\\' . substr($strGUID, $i, 2);
+ }
+ }
+
+ return $octet_str;
+ }
+
+ /**
+ * Obtain the user's distinguished name based on their userid
+ *
+ *
+ * @param string $username The username
+ * @param bool $isGUID Is the username passed a GUID or a samAccountName
+ * @return string
+ */
+ protected function user_dn($username,$isGUID=false){
+ $user=$this->user_info($username,array("cn"),$isGUID);
+ if ($user[0]["dn"]===NULL){ return (false); }
+ $user_dn=$user[0]["dn"];
+ return ($user_dn);
+ }
+
+ /**
+ * Encode a password for transmission over LDAP
+ *
+ * @param string $password The password to encode
+ * @return string
+ */
+ protected function encode_password($password){
+ $password="\"".$password."\"";
+ $encoded="";
+ for ($i=0; $i <strlen($password); $i++){ $encoded.="{$password{$i}}\000"; }
+ return ($encoded);
+ }
+
+ /**
+ * Escape strings for the use in LDAP filters
+ *
+ * DEVELOPERS SHOULD BE DOING PROPER FILTERING IF THEY'RE ACCEPTING USER INPUT
+ * Ported from Perl's Net::LDAP::Util escape_filter_value
+ *
+ * @param string $str The string the parse
+ * @author Port by Andreas Gohr <andi@splitbrain.org>
+ * @return string
+ */
+ protected function ldap_slashes($str){
+ return preg_replace('/([\x00-\x1F\*\(\)\\\\])/e',
+ '"\\\\\".join("",unpack("H2","$1"))',
+ $str);
+ }
+
+ /**
+ * Select a random domain controller from your domain controller array
+ *
+ * @return string
+ */
+ protected function random_controller(){
+ mt_srand(doubleval(microtime()) * 100000000); // For older PHP versions
+ return ($this->_domain_controllers[array_rand($this->_domain_controllers)]);
+ }
+
+ /**
+ * Account control options
+ *
+ * @param array $options The options to convert to int
+ * @return int
+ */
+ protected function account_control($options){
+ $val=0;
+
+ if (is_array($options)){
+ if (in_array("SCRIPT",$options)){ $val=$val+1; }
+ if (in_array("ACCOUNTDISABLE",$options)){ $val=$val+2; }
+ if (in_array("HOMEDIR_REQUIRED",$options)){ $val=$val+8; }
+ if (in_array("LOCKOUT",$options)){ $val=$val+16; }
+ if (in_array("PASSWD_NOTREQD",$options)){ $val=$val+32; }
+ //PASSWD_CANT_CHANGE Note You cannot assign this permission by directly modifying the UserAccountControl attribute.
+ //For information about how to set the permission programmatically, see the "Property flag descriptions" section.
+ if (in_array("ENCRYPTED_TEXT_PWD_ALLOWED",$options)){ $val=$val+128; }
+ if (in_array("TEMP_DUPLICATE_ACCOUNT",$options)){ $val=$val+256; }
+ if (in_array("NORMAL_ACCOUNT",$options)){ $val=$val+512; }
+ if (in_array("INTERDOMAIN_TRUST_ACCOUNT",$options)){ $val=$val+2048; }
+ if (in_array("WORKSTATION_TRUST_ACCOUNT",$options)){ $val=$val+4096; }
+ if (in_array("SERVER_TRUST_ACCOUNT",$options)){ $val=$val+8192; }
+ if (in_array("DONT_EXPIRE_PASSWORD",$options)){ $val=$val+65536; }
+ if (in_array("MNS_LOGON_ACCOUNT",$options)){ $val=$val+131072; }
+ if (in_array("SMARTCARD_REQUIRED",$options)){ $val=$val+262144; }
+ if (in_array("TRUSTED_FOR_DELEGATION",$options)){ $val=$val+524288; }
+ if (in_array("NOT_DELEGATED",$options)){ $val=$val+1048576; }
+ if (in_array("USE_DES_KEY_ONLY",$options)){ $val=$val+2097152; }
+ if (in_array("DONT_REQ_PREAUTH",$options)){ $val=$val+4194304; }
+ if (in_array("PASSWORD_EXPIRED",$options)){ $val=$val+8388608; }
+ if (in_array("TRUSTED_TO_AUTH_FOR_DELEGATION",$options)){ $val=$val+16777216; }
+ }
+ return ($val);
+ }
+
+ /**
+ * Take an LDAP query and return the nice names, without all the LDAP prefixes (eg. CN, DN)
+ *
+ * @param array $groups
+ * @return array
+ */
+ protected function nice_names($groups){
+
+ $group_array=array();
+ for ($i=0; $i<$groups["count"]; $i++){ // For each group
+ $line=$groups[$i];
+
+ if (strlen($line)>0){
+ // More presumptions, they're all prefixed with CN=
+ // so we ditch the first three characters and the group
+ // name goes up to the first comma
+ $bits=explode(",",$line);
+ $group_array[]=substr($bits[0],3,(strlen($bits[0])-3));
+ }
+ }
+ return ($group_array);
+ }
+
+ /**
+ * Delete a distinguished name from Active Directory
+ * You should never need to call this yourself, just use the wrapper functions user_delete and contact_delete
+ *
+ * @param string $dn The distinguished name to delete
+ * @return bool
+ */
+ protected function dn_delete($dn){
+ $result=ldap_delete($this->_conn, $dn);
+ if ($result!=true){ return (false); }
+ return (true);
+ }
+
+ /**
+ * Convert a boolean value to a string
+ * You should never need to call this yourself
+ *
+ * @param bool $bool Boolean value
+ * @return string
+ */
+ protected function bool2str($bool) {
+ return ($bool) ? 'TRUE' : 'FALSE';
+ }
+
+ /**
+ * Convert 8bit characters e.g. accented characters to UTF8 encoded characters
+ */
+ protected function encode8bit(&$item, $key) {
+ $encode = false;
+ if (is_string($item)) {
+ for ($i=0; $i<strlen($item); $i++) {
+ if (ord($item[$i]) >> 7) {
+ $encode = true;
+ }
+ }
+ }
+ if ($encode === true && $key != 'password') {
+ $item = utf8_encode($item);
+ }
+ }
+}
+
+/**
+* adLDAP Exception Handler
+*
+* Exceptions of this type are thrown on bind failure or when SSL is required but not configured
+* Example:
+* try {
+* $adldap = new adLDAP();
+* }
+* catch (adLDAPException $e) {
+* echo $e;
+* exit();
+* }
+*/
+class adLDAPException extends Exception {}
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/auth.php b/mod/dokuwiki/vendors/dokuwiki/inc/auth.php
new file mode 100644
index 000000000..587e7c4e6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/auth.php
@@ -0,0 +1,1099 @@
+<?php
+/**
+ * Authentication library
+ *
+ * Including this file will automatically try to login
+ * a user by calling auth_login()
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+
+if(!defined('DOKU_INC')) die('meh.');
+require_once(DOKU_INC.'inc/common.php');
+require_once(DOKU_INC.'inc/io.php');
+
+// some ACL level defines
+define('AUTH_NONE',0);
+define('AUTH_READ',1);
+define('AUTH_EDIT',2);
+define('AUTH_CREATE',4);
+define('AUTH_UPLOAD',8);
+define('AUTH_DELETE',16);
+define('AUTH_ADMIN',255);
+
+global $conf;
+
+if($conf['useacl']){
+ require_once(DOKU_INC.'inc/blowfish.php');
+ require_once(DOKU_INC.'inc/mail.php');
+
+ global $auth;
+
+ // load the the backend auth functions and instantiate the auth object
+ if (@file_exists(DOKU_INC.'inc/auth/'.$conf['authtype'].'.class.php')) {
+ require_once(DOKU_INC.'inc/auth/basic.class.php');
+ require_once(DOKU_INC.'inc/auth/'.$conf['authtype'].'.class.php');
+
+ $auth_class = "auth_".$conf['authtype'];
+ if (class_exists($auth_class)) {
+ $auth = new $auth_class();
+ if ($auth->success == false) {
+ // degrade to unauthenticated user
+ unset($auth);
+ auth_logoff();
+ msg($lang['authtempfail'], -1);
+ }
+ } else {
+ nice_die($lang['authmodfailed']);
+ }
+ } else {
+ nice_die($lang['authmodfailed']);
+ }
+}
+
+// do the login either by cookie or provided credentials
+if($conf['useacl']){
+ if($auth){
+ if (!isset($_REQUEST['u'])) $_REQUEST['u'] = '';
+ if (!isset($_REQUEST['p'])) $_REQUEST['p'] = '';
+ if (!isset($_REQUEST['r'])) $_REQUEST['r'] = '';
+ $_REQUEST['http_credentials'] = false;
+ if (!$conf['rememberme']) $_REQUEST['r'] = false;
+
+ // streamline HTTP auth credentials (IIS/rewrite -> mod_php)
+ if(isset($_SERVER['HTTP_AUTHORIZATION'])){
+ list($_SERVER['PHP_AUTH_USER'],$_SERVER['PHP_AUTH_PW']) =
+ explode(':', base64_decode(substr($_SERVER['HTTP_AUTHORIZATION'], 6)));
+ }
+
+ // if no credentials were given try to use HTTP auth (for SSO)
+ if(empty($_REQUEST['u']) && empty($_COOKIE[DOKU_COOKIE]) && !empty($_SERVER['PHP_AUTH_USER'])){
+ $_REQUEST['u'] = $_SERVER['PHP_AUTH_USER'];
+ $_REQUEST['p'] = $_SERVER['PHP_AUTH_PW'];
+ $_REQUEST['http_credentials'] = true;
+ }
+
+ // apply cleaning
+ $_REQUEST['u'] = $auth->cleanUser($_REQUEST['u']);
+
+ if(isset($_REQUEST['authtok'])){
+ // when an authentication token is given, trust the session
+ auth_validateToken($_REQUEST['authtok']);
+ }elseif(!is_null($auth) && $auth->canDo('external')){
+ // external trust mechanism in place
+ $auth->trustExternal($_REQUEST['u'],$_REQUEST['p'],$_REQUEST['r']);
+ }else{
+ $evdata = array(
+ 'user' => $_REQUEST['u'],
+ 'password' => $_REQUEST['p'],
+ 'sticky' => $_REQUEST['r'],
+ 'silent' => $_REQUEST['http_credentials'],
+ );
+ $evt = new Doku_Event('AUTH_LOGIN_CHECK',$evdata);
+ if($evt->advise_before()){
+ auth_login($evdata['user'],
+ $evdata['password'],
+ $evdata['sticky'],
+ $evdata['silent']);
+ }
+ }
+ }
+
+ //load ACL into a global array
+ global $AUTH_ACL;
+ if($auth->cando['getACL']) {
+ $AUTH_ACL = $auth->getACL();
+ //support user wildcard
+ if(isset($_SERVER['REMOTE_USER'])){
+ $AUTH_ACL = str_replace('%USER%',$_SERVER['REMOTE_USER'],$AUTH_ACL);
+ $AUTH_ACL = str_replace('@USER@',$_SERVER['REMOTE_USER'],$AUTH_ACL); //legacy
+ }
+ }
+ elseif(is_readable(DOKU_CONF.'acl.auth.php')){
+ $AUTH_ACL = file(DOKU_CONF.'acl.auth.php');
+ //support user wildcard
+ if(isset($_SERVER['REMOTE_USER'])){
+ $AUTH_ACL = str_replace('%USER%',$_SERVER['REMOTE_USER'],$AUTH_ACL);
+ $AUTH_ACL = str_replace('@USER@',$_SERVER['REMOTE_USER'],$AUTH_ACL); //legacy
+ }
+ }else{
+ $AUTH_ACL = array();
+ }
+}
+
+/**
+ * This tries to login the user based on the sent auth credentials
+ *
+ * The authentication works like this: if a username was given
+ * a new login is assumed and user/password are checked. If they
+ * are correct the password is encrypted with blowfish and stored
+ * together with the username in a cookie - the same info is stored
+ * in the session, too. Additonally a browserID is stored in the
+ * session.
+ *
+ * If no username was given the cookie is checked: if the username,
+ * crypted password and browserID match between session and cookie
+ * no further testing is done and the user is accepted
+ *
+ * If a cookie was found but no session info was availabe the
+ * blowfish encrypted password from the cookie is decrypted and
+ * together with username rechecked by calling this function again.
+ *
+ * On a successful login $_SERVER[REMOTE_USER] and $USERINFO
+ * are set.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ *
+ * @param string $user Username
+ * @param string $pass Cleartext Password
+ * @param bool $sticky Cookie should not expire
+ * @param bool $silent Don't show error on bad auth
+ * @return bool true on successful auth
+ */
+function auth_login($user,$pass,$sticky=false,$silent=false){
+ global $USERINFO;
+ global $conf;
+ global $lang;
+ global $auth;
+ $sticky ? $sticky = true : $sticky = false; //sanity check
+
+ if (!$auth) return false;
+
+ if(!empty($user)){
+ //usual login
+ if ($auth->checkPass($user,$pass)){
+ // make logininfo globally available
+ $_SERVER['REMOTE_USER'] = $user;
+ auth_setCookie($user,PMA_blowfish_encrypt($pass,auth_cookiesalt()),$sticky);
+ return true;
+ }else{
+ //invalid credentials - log off
+ if(!$silent) msg($lang['badlogin'],-1);
+ auth_logoff();
+ return false;
+ }
+ }else{
+ // read cookie information
+ list($user,$sticky,$pass) = auth_getCookie();
+ // get session info
+ $session = $_SESSION[DOKU_COOKIE]['auth'];
+ if($user && $pass){
+ // we got a cookie - see if we can trust it
+ if(isset($session) &&
+ $auth->useSessionCache($user) &&
+ ($session['time'] >= time()-$conf['auth_security_timeout']) &&
+ ($session['user'] == $user) &&
+ ($session['pass'] == $pass) && //still crypted
+ ($session['buid'] == auth_browseruid()) ){
+ // he has session, cookie and browser right - let him in
+ $_SERVER['REMOTE_USER'] = $user;
+ $USERINFO = $session['info']; //FIXME move all references to session
+ return true;
+ }
+ // no we don't trust it yet - recheck pass but silent
+ $pass = PMA_blowfish_decrypt($pass,auth_cookiesalt());
+ return auth_login($user,$pass,$sticky,true);
+ }
+ }
+ //just to be sure
+ auth_logoff(true);
+ return false;
+}
+
+/**
+ * Checks if a given authentication token was stored in the session
+ *
+ * Will setup authentication data using data from the session if the
+ * token is correct. Will exit with a 401 Status if not.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @param string $token The authentication token
+ * @return boolean true (or will exit on failure)
+ */
+function auth_validateToken($token){
+ if(!$token || $token != $_SESSION[DOKU_COOKIE]['auth']['token']){
+ // bad token
+ header("HTTP/1.0 401 Unauthorized");
+ print 'Invalid auth token - maybe the session timed out';
+ unset($_SESSION[DOKU_COOKIE]['auth']['token']); // no second chance
+ exit;
+ }
+ // still here? trust the session data
+ global $USERINFO;
+ $_SERVER['REMOTE_USER'] = $_SESSION[DOKU_COOKIE]['auth']['user'];
+ $USERINFO = $_SESSION[DOKU_COOKIE]['auth']['info'];
+ return true;
+}
+
+/**
+ * Create an auth token and store it in the session
+ *
+ * NOTE: this is completely unrelated to the getSecurityToken() function
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @return string The auth token
+ */
+function auth_createToken(){
+ $token = md5(mt_rand());
+ @session_start(); // reopen the session if needed
+ $_SESSION[DOKU_COOKIE]['auth']['token'] = $token;
+ session_write_close();
+ return $token;
+}
+
+/**
+ * Builds a pseudo UID from browser and IP data
+ *
+ * This is neither unique nor unfakable - still it adds some
+ * security. Using the first part of the IP makes sure
+ * proxy farms like AOLs are stil okay.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ *
+ * @return string a MD5 sum of various browser headers
+ */
+function auth_browseruid(){
+ $ip = clientIP(true);
+ $uid = '';
+ $uid .= $_SERVER['HTTP_USER_AGENT'];
+ $uid .= $_SERVER['HTTP_ACCEPT_ENCODING'];
+ $uid .= $_SERVER['HTTP_ACCEPT_LANGUAGE'];
+ $uid .= $_SERVER['HTTP_ACCEPT_CHARSET'];
+ $uid .= substr($ip,0,strpos($ip,'.'));
+ return md5($uid);
+}
+
+/**
+ * Creates a random key to encrypt the password in cookies
+ *
+ * This function tries to read the password for encrypting
+ * cookies from $conf['metadir'].'/_htcookiesalt'
+ * if no such file is found a random key is created and
+ * and stored in this file.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ *
+ * @return string
+ */
+function auth_cookiesalt(){
+ global $conf;
+ $file = $conf['metadir'].'/_htcookiesalt';
+ $salt = io_readFile($file);
+ if(empty($salt)){
+ $salt = uniqid(rand(),true);
+ io_saveFile($file,$salt);
+ }
+ return $salt;
+}
+
+/**
+ * Log out the current user
+ *
+ * This clears all authentication data and thus log the user
+ * off. It also clears session data.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @param bool $keepbc - when true, the breadcrumb data is not cleared
+ */
+function auth_logoff($keepbc=false){
+ global $conf;
+ global $USERINFO;
+ global $INFO, $ID;
+ global $auth;
+
+ // make sure the session is writable (it usually is)
+ @session_start();
+
+ if(isset($_SESSION[DOKU_COOKIE]['auth']['user']))
+ unset($_SESSION[DOKU_COOKIE]['auth']['user']);
+ if(isset($_SESSION[DOKU_COOKIE]['auth']['pass']))
+ unset($_SESSION[DOKU_COOKIE]['auth']['pass']);
+ if(isset($_SESSION[DOKU_COOKIE]['auth']['info']))
+ unset($_SESSION[DOKU_COOKIE]['auth']['info']);
+ if(!$keepbc && isset($_SESSION[DOKU_COOKIE]['bc']))
+ unset($_SESSION[DOKU_COOKIE]['bc']);
+ if(isset($_SERVER['REMOTE_USER']))
+ unset($_SERVER['REMOTE_USER']);
+ $USERINFO=null; //FIXME
+
+ if (version_compare(PHP_VERSION, '5.2.0', '>')) {
+ setcookie(DOKU_COOKIE,'',time()-600000,DOKU_REL,'',($conf['securecookie'] && is_ssl()),true);
+ }else{
+ setcookie(DOKU_COOKIE,'',time()-600000,DOKU_REL,'',($conf['securecookie'] && is_ssl()));
+ }
+
+ if($auth && $auth->canDo('logoff')){
+ $auth->logOff();
+ }
+}
+
+/**
+ * Check if a user is a manager
+ *
+ * Should usually be called without any parameters to check the current
+ * user.
+ *
+ * The info is available through $INFO['ismanager'], too
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @see auth_isadmin
+ * @param string user - Username
+ * @param array groups - List of groups the user is in
+ * @param bool adminonly - when true checks if user is admin
+ */
+function auth_ismanager($user=null,$groups=null,$adminonly=false){
+ global $conf;
+ global $USERINFO;
+ global $auth;
+
+ if (!$auth) return false;
+ if(is_null($user)) {
+ if (!isset($_SERVER['REMOTE_USER'])) {
+ return false;
+ } else {
+ $user = $_SERVER['REMOTE_USER'];
+ }
+ }
+ $user = $auth->cleanUser($user);
+ if(is_null($groups)) $groups = (array) $USERINFO['grps'];
+ $groups = array_map(array($auth,'cleanGroup'),$groups);
+ $user = auth_nameencode($user);
+
+ // check username against superuser and manager
+ $superusers = explode(',', $conf['superuser']);
+ $superusers = array_unique($superusers);
+ $superusers = array_map('trim', $superusers);
+ // prepare an array containing only true values for array_map call
+ $alltrue = array_fill(0, count($superusers), true);
+ $superusers = array_map('auth_nameencode', $superusers, $alltrue);
+
+ // case insensitive?
+ if(!$auth->isCaseSensitive()){
+ $superusers = array_map('utf8_strtolower',$superusers);
+ $user = utf8_strtolower($user);
+ }
+
+ // check user match
+ if(in_array($user, $superusers)) return true;
+
+ // check managers
+ if(!$adminonly){
+ $managers = explode(',', $conf['manager']);
+ $managers = array_unique($managers);
+ $managers = array_map('trim', $managers);
+ // prepare an array containing only true values for array_map call
+ $alltrue = array_fill(0, count($managers), true);
+ $managers = array_map('auth_nameencode', $managers, $alltrue);
+ if(!$auth->isCaseSensitive()) $managers = array_map('utf8_strtolower',$managers);
+ if(in_array($user, $managers)) return true;
+ }
+
+ // check user's groups against superuser and manager
+ if (!empty($groups)) {
+
+ //prepend groups with @ and nameencode
+ $cnt = count($groups);
+ for($i=0; $i<$cnt; $i++){
+ $groups[$i] = '@'.auth_nameencode($groups[$i]);
+ if(!$auth->isCaseSensitive()){
+ $groups[$i] = utf8_strtolower($groups[$i]);
+ }
+ }
+
+ // check groups against superuser and manager
+ foreach($superusers as $supu)
+ if(in_array($supu, $groups)) return true;
+ if(!$adminonly){
+ foreach($managers as $mana)
+ if(in_array($mana, $groups)) return true;
+ }
+ }
+
+ return false;
+}
+
+/**
+ * Check if a user is admin
+ *
+ * Alias to auth_ismanager with adminonly=true
+ *
+ * The info is available through $INFO['isadmin'], too
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @see auth_ismanager
+ */
+function auth_isadmin($user=null,$groups=null){
+ return auth_ismanager($user,$groups,true);
+}
+
+/**
+ * Convinience function for auth_aclcheck()
+ *
+ * This checks the permissions for the current user
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ *
+ * @param string $id page ID (needs to be resolved and cleaned)
+ * @return int permission level
+ */
+function auth_quickaclcheck($id){
+ global $conf;
+ global $USERINFO;
+ # if no ACL is used always return upload rights
+ if(!$conf['useacl']) return AUTH_UPLOAD;
+ //error_log("DOKUWIKI: auth_quickaclcheck:".$_SERVER['REMOTE_USER'].":".$id.json_encode($USERINFO));
+ return auth_aclcheck($id,$_SERVER['REMOTE_USER'],$USERINFO['grps']);
+}
+
+/**
+ * Returns the maximum rights a user has for
+ * the given ID or its namespace
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ *
+ * @param string $id page ID (needs to be resolved and cleaned)
+ * @param string $user Username
+ * @param array $groups Array of groups the user is in
+ * @return int permission level
+ */
+function auth_aclcheck($id,$user,$groups){
+ global $conf;
+ global $AUTH_ACL;
+ global $auth;
+
+ // if no ACL is used always return upload rights
+ if(!$conf['useacl']) return AUTH_UPLOAD;
+ if (!$auth) return AUTH_NONE;
+
+ //make sure groups is an array
+ if(!is_array($groups)) $groups = array();
+
+ //if user is superuser or in superusergroup return 255 (acl_admin)
+ if(auth_isadmin($user,$groups)) { return AUTH_ADMIN; }
+
+ $ci = '';
+ if(!$auth->isCaseSensitive()) $ci = 'ui';
+
+ $user = $auth->cleanUser($user);
+ $groups = array_map(array($auth,'cleanGroup'),(array)$groups);
+ $user = auth_nameencode($user);
+
+ //prepend groups with @ and nameencode
+ $cnt = count($groups);
+ for($i=0; $i<$cnt; $i++){
+ $groups[$i] = '@'.auth_nameencode($groups[$i]);
+ }
+
+ $ns = getNS($id);
+ $perm = -1;
+
+ if($user || count($groups)){
+ //add ALL group
+ $groups[] = '@ALL';
+ //add User
+ if($user) $groups[] = $user;
+ //build regexp
+ $regexp = join('|',$groups);
+ }else{
+ $regexp = '@ALL';
+ }
+
+ //check exact match first
+ $matches = preg_grep('/^'.preg_quote($id,'/').'\s+('.$regexp.')\s+/'.$ci,$AUTH_ACL);
+ if(count($matches)){
+ foreach($matches as $match){
+ $match = preg_replace('/#.*$/','',$match); //ignore comments
+ $acl = preg_split('/\s+/',$match);
+ if($acl[2] > AUTH_DELETE) $acl[2] = AUTH_DELETE; //no admins in the ACL!
+ if($acl[2] > $perm){
+ $perm = $acl[2];
+ }
+ }
+ if($perm > -1){
+ //we had a match - return it
+ return $perm;
+ }
+ }
+
+ //still here? do the namespace checks
+ if($ns){
+ $path = $ns.':\*';
+ }else{
+ $path = '\*'; //root document
+ }
+
+ do{
+ $matches = preg_grep('/^'.$path.'\s+('.$regexp.')\s+/'.$ci,$AUTH_ACL);
+ if(count($matches)){
+ foreach($matches as $match){
+ $match = preg_replace('/#.*$/','',$match); //ignore comments
+ $acl = preg_split('/\s+/',$match);
+ if($acl[2] > AUTH_DELETE) $acl[2] = AUTH_DELETE; //no admins in the ACL!
+ if($acl[2] > $perm){
+ $perm = $acl[2];
+ }
+ }
+ //we had a match - return it
+ return $perm;
+ }
+
+ //get next higher namespace
+ $ns = getNS($ns);
+
+ if($path != '\*'){
+ $path = $ns.':\*';
+ if($path == ':\*') $path = '\*';
+ }else{
+ //we did this already
+ //looks like there is something wrong with the ACL
+ //break here
+ msg('No ACL setup yet! Denying access to everyone.');
+ return AUTH_NONE;
+ }
+ }while(1); //this should never loop endless
+
+ //still here? return no permissions
+ return AUTH_NONE;
+}
+
+/**
+ * Encode ASCII special chars
+ *
+ * Some auth backends allow special chars in their user and groupnames
+ * The special chars are encoded with this function. Only ASCII chars
+ * are encoded UTF-8 multibyte are left as is (different from usual
+ * urlencoding!).
+ *
+ * Decoding can be done with rawurldecode
+ *
+ * @author Andreas Gohr <gohr@cosmocode.de>
+ * @see rawurldecode()
+ */
+function auth_nameencode($name,$skip_group=false){
+ global $cache_authname;
+ $cache =& $cache_authname;
+ $name = (string) $name;
+
+ if (!isset($cache[$name][$skip_group])) {
+ if($skip_group && $name{0} =='@'){
+ $cache[$name][$skip_group] = '@'.preg_replace('/([\x00-\x2f\x3a-\x40\x5b-\x60\x7b-\x7f])/e',
+ "'%'.dechex(ord(substr('\\1',-1)))",substr($name,1));
+ }else{
+ $cache[$name][$skip_group] = preg_replace('/([\x00-\x2f\x3a-\x40\x5b-\x60\x7b-\x7f])/e',
+ "'%'.dechex(ord(substr('\\1',-1)))",$name);
+ }
+ }
+
+ return $cache[$name][$skip_group];
+}
+
+/**
+ * Create a pronouncable password
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @link http://www.phpbuilder.com/annotate/message.php3?id=1014451
+ *
+ * @return string pronouncable password
+ */
+function auth_pwgen(){
+ $pw = '';
+ $c = 'bcdfghjklmnprstvwz'; //consonants except hard to speak ones
+ $v = 'aeiou'; //vowels
+ $a = $c.$v; //both
+
+ //use two syllables...
+ for($i=0;$i < 2; $i++){
+ $pw .= $c[rand(0, strlen($c)-1)];
+ $pw .= $v[rand(0, strlen($v)-1)];
+ $pw .= $a[rand(0, strlen($a)-1)];
+ }
+ //... and add a nice number
+ $pw .= rand(10,99);
+
+ return $pw;
+}
+
+/**
+ * Sends a password to the given user
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ *
+ * @return bool true on success
+ */
+function auth_sendPassword($user,$password){
+ global $conf;
+ global $lang;
+ global $auth;
+ if (!$auth) return false;
+
+ $hdrs = '';
+ $user = $auth->cleanUser($user);
+ $userinfo = $auth->getUserData($user);
+
+ if(!$userinfo['mail']) return false;
+
+ $text = rawLocale('password');
+ $text = str_replace('@DOKUWIKIURL@',DOKU_URL,$text);
+ $text = str_replace('@FULLNAME@',$userinfo['name'],$text);
+ $text = str_replace('@LOGIN@',$user,$text);
+ $text = str_replace('@PASSWORD@',$password,$text);
+ $text = str_replace('@TITLE@',$conf['title'],$text);
+
+ return mail_send($userinfo['name'].' <'.$userinfo['mail'].'>',
+ $lang['regpwmail'],
+ $text,
+ $conf['mailfrom']);
+}
+
+/**
+ * Register a new user
+ *
+ * This registers a new user - Data is read directly from $_POST
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ *
+ * @return bool true on success, false on any error
+ */
+function register(){
+ global $lang;
+ global $conf;
+ global $auth;
+
+ if (!$auth) return false;
+ if(!$_POST['save']) return false;
+ if(!$auth->canDo('addUser')) return false;
+
+ //clean username
+ $_POST['login'] = trim($auth->cleanUser($_POST['login']));
+
+ //clean fullname and email
+ $_POST['fullname'] = trim(preg_replace('/[\x00-\x1f:<>&%,;]+/','',$_POST['fullname']));
+ $_POST['email'] = trim(preg_replace('/[\x00-\x1f:<>&%,;]+/','',$_POST['email']));
+
+ if( empty($_POST['login']) ||
+ empty($_POST['fullname']) ||
+ empty($_POST['email']) ){
+ msg($lang['regmissing'],-1);
+ return false;
+ }
+
+ if ($conf['autopasswd']) {
+ $pass = auth_pwgen(); // automatically generate password
+ } elseif (empty($_POST['pass']) ||
+ empty($_POST['passchk'])) {
+ msg($lang['regmissing'], -1); // complain about missing passwords
+ return false;
+ } elseif ($_POST['pass'] != $_POST['passchk']) {
+ msg($lang['regbadpass'], -1); // complain about misspelled passwords
+ return false;
+ } else {
+ $pass = $_POST['pass']; // accept checked and valid password
+ }
+
+ //check mail
+ if(!mail_isvalid($_POST['email'])){
+ msg($lang['regbadmail'],-1);
+ return false;
+ }
+
+ //okay try to create the user
+ if(!$auth->triggerUserMod('create', array($_POST['login'],$pass,$_POST['fullname'],$_POST['email']))){
+ msg($lang['reguexists'],-1);
+ return false;
+ }
+
+ // create substitutions for use in notification email
+ $substitutions = array(
+ 'NEWUSER' => $_POST['login'],
+ 'NEWNAME' => $_POST['fullname'],
+ 'NEWEMAIL' => $_POST['email'],
+ );
+
+ if (!$conf['autopasswd']) {
+ msg($lang['regsuccess2'],1);
+ notify('', 'register', '', $_POST['login'], false, $substitutions);
+ return true;
+ }
+
+ // autogenerated password? then send him the password
+ if (auth_sendPassword($_POST['login'],$pass)){
+ msg($lang['regsuccess'],1);
+ notify('', 'register', '', $_POST['login'], false, $substitutions);
+ return true;
+ }else{
+ msg($lang['regmailfail'],-1);
+ return false;
+ }
+}
+
+/**
+ * Update user profile
+ *
+ * @author Christopher Smith <chris@jalakai.co.uk>
+ */
+function updateprofile() {
+ global $conf;
+ global $INFO;
+ global $lang;
+ global $auth;
+
+ if (!$auth) return false;
+ if(empty($_POST['save'])) return false;
+ if(!checkSecurityToken()) return false;
+
+ // should not be able to get here without Profile being possible...
+ if(!$auth->canDo('Profile')) {
+ msg($lang['profna'],-1);
+ return false;
+ }
+
+ if ($_POST['newpass'] != $_POST['passchk']) {
+ msg($lang['regbadpass'], -1); // complain about misspelled passwords
+ return false;
+ }
+
+ //clean fullname and email
+ $_POST['fullname'] = trim(preg_replace('/[\x00-\x1f:<>&%,;]+/','',$_POST['fullname']));
+ $_POST['email'] = trim(preg_replace('/[\x00-\x1f:<>&%,;]+/','',$_POST['email']));
+
+ if ((empty($_POST['fullname']) && $auth->canDo('modName')) ||
+ (empty($_POST['email']) && $auth->canDo('modMail'))) {
+ msg($lang['profnoempty'],-1);
+ return false;
+ }
+
+ if (!mail_isvalid($_POST['email']) && $auth->canDo('modMail')){
+ msg($lang['regbadmail'],-1);
+ return false;
+ }
+
+ if ($_POST['fullname'] != $INFO['userinfo']['name'] && $auth->canDo('modName')) $changes['name'] = $_POST['fullname'];
+ if ($_POST['email'] != $INFO['userinfo']['mail'] && $auth->canDo('modMail')) $changes['mail'] = $_POST['email'];
+ if (!empty($_POST['newpass']) && $auth->canDo('modPass')) $changes['pass'] = $_POST['newpass'];
+
+ if (!count($changes)) {
+ msg($lang['profnochange'], -1);
+ return false;
+ }
+
+ if ($conf['profileconfirm']) {
+ if (!$auth->checkPass($_SERVER['REMOTE_USER'], $_POST['oldpass'])) {
+ msg($lang['badlogin'],-1);
+ return false;
+ }
+ }
+
+ if ($result = $auth->triggerUserMod('modify', array($_SERVER['REMOTE_USER'], $changes))) {
+ // update cookie and session with the changed data
+ $cookie = base64_decode($_COOKIE[DOKU_COOKIE]);
+ list($user,$sticky,$pass) = explode('|',$cookie,3);
+ if ($changes['pass']) $pass = PMA_blowfish_encrypt($changes['pass'],auth_cookiesalt());
+
+ auth_setCookie($_SERVER['REMOTE_USER'],$pass,(bool)$sticky);
+ return true;
+ }
+}
+
+/**
+ * Send a new password
+ *
+ * This function handles both phases of the password reset:
+ *
+ * - handling the first request of password reset
+ * - validating the password reset auth token
+ *
+ * @author Benoit Chesneau <benoit@bchesneau.info>
+ * @author Chris Smith <chris@jalakai.co.uk>
+ * @author Andreas Gohr <andi@splitbrain.org>
+ *
+ * @return bool true on success, false on any error
+ */
+function act_resendpwd(){
+ global $lang;
+ global $conf;
+ global $auth;
+
+ if(!actionOK('resendpwd')) return false;
+ if (!$auth) return false;
+
+ // should not be able to get here without modPass being possible...
+ if(!$auth->canDo('modPass')) {
+ msg($lang['resendna'],-1);
+ return false;
+ }
+
+ $token = preg_replace('/[^a-f0-9]+/','',$_REQUEST['pwauth']);
+
+ if($token){
+ // we're in token phase
+
+ $tfile = $conf['cachedir'].'/'.$token{0}.'/'.$token.'.pwauth';
+ if(!@file_exists($tfile)){
+ msg($lang['resendpwdbadauth'],-1);
+ return false;
+ }
+ $user = io_readfile($tfile);
+ @unlink($tfile);
+ $userinfo = $auth->getUserData($user);
+ if(!$userinfo['mail']) {
+ msg($lang['resendpwdnouser'], -1);
+ return false;
+ }
+
+ $pass = auth_pwgen();
+ if (!$auth->triggerUserMod('modify', array($user,array('pass' => $pass)))) {
+ msg('error modifying user data',-1);
+ return false;
+ }
+
+ if (auth_sendPassword($user,$pass)) {
+ msg($lang['resendpwdsuccess'],1);
+ } else {
+ msg($lang['regmailfail'],-1);
+ }
+ return true;
+
+ } else {
+ // we're in request phase
+
+ if(!$_POST['save']) return false;
+
+ if (empty($_POST['login'])) {
+ msg($lang['resendpwdmissing'], -1);
+ return false;
+ } else {
+ $user = trim($auth->cleanUser($_POST['login']));
+ }
+
+ $userinfo = $auth->getUserData($user);
+ if(!$userinfo['mail']) {
+ msg($lang['resendpwdnouser'], -1);
+ return false;
+ }
+
+ // generate auth token
+ $token = md5(auth_cookiesalt().$user); //secret but user based
+ $tfile = $conf['cachedir'].'/'.$token{0}.'/'.$token.'.pwauth';
+ $url = wl('',array('do'=>'resendpwd','pwauth'=>$token),true,'&');
+
+ io_saveFile($tfile,$user);
+
+ $text = rawLocale('pwconfirm');
+ $text = str_replace('@DOKUWIKIURL@',DOKU_URL,$text);
+ $text = str_replace('@FULLNAME@',$userinfo['name'],$text);
+ $text = str_replace('@LOGIN@',$user,$text);
+ $text = str_replace('@TITLE@',$conf['title'],$text);
+ $text = str_replace('@CONFIRM@',$url,$text);
+
+ if(mail_send($userinfo['name'].' <'.$userinfo['mail'].'>',
+ $lang['regpwmail'],
+ $text,
+ $conf['mailfrom'])){
+ msg($lang['resendpwdconfirm'],1);
+ }else{
+ msg($lang['regmailfail'],-1);
+ }
+ return true;
+ }
+
+ return false; // never reached
+}
+
+/**
+ * Encrypts a password using the given method and salt
+ *
+ * If the selected method needs a salt and none was given, a random one
+ * is chosen.
+ *
+ * The following methods are understood:
+ *
+ * smd5 - Salted MD5 hashing
+ * apr1 - Apache salted MD5 hashing
+ * md5 - Simple MD5 hashing
+ * sha1 - SHA1 hashing
+ * ssha - Salted SHA1 hashing
+ * crypt - Unix crypt
+ * mysql - MySQL password (old method)
+ * my411 - MySQL 4.1.1 password
+ * kmd5 - Salted MD5 hashing as used by UNB
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @return string The crypted password
+ */
+function auth_cryptPassword($clear,$method='',$salt=null){
+ global $conf;
+ if(empty($method)) $method = $conf['passcrypt'];
+
+ //prepare a salt
+ if(is_null($salt)) $salt = md5(uniqid(rand(), true));
+
+ switch(strtolower($method)){
+ case 'smd5':
+ if(defined('CRYPT_MD5') && CRYPT_MD5) return crypt($clear,'$1$'.substr($salt,0,8).'$');
+ // when crypt can't handle SMD5, falls through to pure PHP implementation
+ $magic = '1';
+ case 'apr1':
+ //from http://de.php.net/manual/en/function.crypt.php#73619 comment by <mikey_nich at hotmail dot com>
+ if(!$magic) $magic = 'apr1';
+ $salt = substr($salt,0,8);
+ $len = strlen($clear);
+ $text = $clear.'$'.$magic.'$'.$salt;
+ $bin = pack("H32", md5($clear.$salt.$clear));
+ for($i = $len; $i > 0; $i -= 16) {
+ $text .= substr($bin, 0, min(16, $i));
+ }
+ for($i = $len; $i > 0; $i >>= 1) {
+ $text .= ($i & 1) ? chr(0) : $clear{0};
+ }
+ $bin = pack("H32", md5($text));
+ for($i = 0; $i < 1000; $i++) {
+ $new = ($i & 1) ? $clear : $bin;
+ if ($i % 3) $new .= $salt;
+ if ($i % 7) $new .= $clear;
+ $new .= ($i & 1) ? $bin : $clear;
+ $bin = pack("H32", md5($new));
+ }
+ $tmp = '';
+ for ($i = 0; $i < 5; $i++) {
+ $k = $i + 6;
+ $j = $i + 12;
+ if ($j == 16) $j = 5;
+ $tmp = $bin[$i].$bin[$k].$bin[$j].$tmp;
+ }
+ $tmp = chr(0).chr(0).$bin[11].$tmp;
+ $tmp = strtr(strrev(substr(base64_encode($tmp), 2)),
+ "ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/",
+ "./0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz");
+ return '$'.$magic.'$'.$salt.'$'.$tmp;
+ case 'md5':
+ return md5($clear);
+ case 'sha1':
+ return sha1($clear);
+ case 'ssha':
+ $salt=substr($salt,0,4);
+ return '{SSHA}'.base64_encode(pack("H*", sha1($clear.$salt)).$salt);
+ case 'crypt':
+ return crypt($clear,substr($salt,0,2));
+ case 'mysql':
+ //from http://www.php.net/mysql comment by <soren at byu dot edu>
+ $nr=0x50305735;
+ $nr2=0x12345671;
+ $add=7;
+ $charArr = preg_split("//", $clear);
+ foreach ($charArr as $char) {
+ if (($char == '') || ($char == ' ') || ($char == '\t')) continue;
+ $charVal = ord($char);
+ $nr ^= ((($nr & 63) + $add) * $charVal) + ($nr << 8);
+ $nr2 += ($nr2 << 8) ^ $nr;
+ $add += $charVal;
+ }
+ return sprintf("%08x%08x", ($nr & 0x7fffffff), ($nr2 & 0x7fffffff));
+ case 'my411':
+ return '*'.sha1(pack("H*", sha1($clear)));
+ case 'kmd5':
+ $key = substr($salt, 16, 2);
+ $hash1 = strtolower(md5($key . md5($clear)));
+ $hash2 = substr($hash1, 0, 16) . $key . substr($hash1, 16);
+ return $hash2;
+ default:
+ msg("Unsupported crypt method $method",-1);
+ }
+}
+
+/**
+ * Verifies a cleartext password against a crypted hash
+ *
+ * The method and salt used for the crypted hash is determined automatically
+ * then the clear text password is crypted using the same method. If both hashs
+ * match true is is returned else false
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @return bool
+ */
+function auth_verifyPassword($clear,$crypt){
+ $method='';
+ $salt='';
+
+ //determine the used method and salt
+ $len = strlen($crypt);
+ if(preg_match('/^\$1\$([^\$]{0,8})\$/',$crypt,$m)){
+ $method = 'smd5';
+ $salt = $m[1];
+ }elseif(preg_match('/^\$apr1\$([^\$]{0,8})\$/',$crypt,$m)){
+ $method = 'apr1';
+ $salt = $m[1];
+ }elseif(substr($crypt,0,6) == '{SSHA}'){
+ $method = 'ssha';
+ $salt = substr(base64_decode(substr($crypt, 6)),20);
+ }elseif($len == 32){
+ $method = 'md5';
+ }elseif($len == 40){
+ $method = 'sha1';
+ }elseif($len == 16){
+ $method = 'mysql';
+ }elseif($len == 41 && $crypt[0] == '*'){
+ $method = 'my411';
+ }elseif($len == 34){
+ $method = 'kmd5';
+ $salt = $crypt;
+ }else{
+ $method = 'crypt';
+ $salt = substr($crypt,0,2);
+ }
+
+ //crypt and compare
+ if(auth_cryptPassword($clear,$method,$salt) === $crypt){
+ return true;
+ }
+ return false;
+}
+
+/**
+ * Set the authentication cookie and add user identification data to the session
+ *
+ * @param string $user username
+ * @param string $pass encrypted password
+ * @param bool $sticky whether or not the cookie will last beyond the session
+ */
+function auth_setCookie($user,$pass,$sticky) {
+ global $conf;
+ global $auth;
+ global $USERINFO;
+
+ if (!$auth) return false;
+ $USERINFO = $auth->getUserData($user);
+
+ // set cookie
+ $cookie = base64_encode($user).'|'.((int) $sticky).'|'.base64_encode($pass);
+ $time = $sticky ? (time()+60*60*24*365) : 0; //one year
+ if (version_compare(PHP_VERSION, '5.2.0', '>')) {
+ setcookie(DOKU_COOKIE,$cookie,$time,DOKU_REL,'',($conf['securecookie'] && is_ssl()),true);
+ }else{
+ setcookie(DOKU_COOKIE,$cookie,$time,DOKU_REL,'',($conf['securecookie'] && is_ssl()));
+ }
+ // set session
+ $_SESSION[DOKU_COOKIE]['auth']['user'] = $user;
+ $_SESSION[DOKU_COOKIE]['auth']['pass'] = $pass;
+ $_SESSION[DOKU_COOKIE]['auth']['buid'] = auth_browseruid();
+ $_SESSION[DOKU_COOKIE]['auth']['info'] = $USERINFO;
+ $_SESSION[DOKU_COOKIE]['auth']['time'] = time();
+}
+
+/**
+ * Returns the user, (encrypted) password and sticky bit from cookie
+ *
+ * @returns array
+ */
+function auth_getCookie(){
+ if (!isset($_COOKIE[DOKU_COOKIE])) {
+ return array(null, null, null);
+ }
+ list($user,$sticky,$pass) = explode('|',$_COOKIE[DOKU_COOKIE],3);
+ $sticky = (bool) $sticky;
+ $pass = base64_decode($pass);
+ $user = base64_decode($user);
+ return array($user,$sticky,$pass);
+}
+
+//Setup VIM: ex: et ts=2 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/auth/ad.class.php b/mod/dokuwiki/vendors/dokuwiki/inc/auth/ad.class.php
new file mode 100644
index 000000000..9915b9f11
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/auth/ad.class.php
@@ -0,0 +1,205 @@
+<?php
+/**
+ * Active Directory authentication backend for DokuWiki
+ *
+ * This makes authentication with a Active Directory server much easier
+ * than when using the normal LDAP backend by utilizing the adLDAP library
+ *
+ * Usage:
+ * Set DokuWiki's local.protected.php auth setting to read
+ *
+ * $conf['useacl'] = 1;
+ * $conf['disableactions'] = 'register';
+ * $conf['autopasswd'] = 0;
+ * $conf['authtype'] = 'ad';
+ * $conf['passcrypt'] = 'ssha';
+ *
+ * $conf['auth']['ad']['account_suffix'] = '@my.domain.org';
+ * $conf['auth']['ad']['base_dn'] = 'DC=my,DC=domain,DC=org';
+ * $conf['auth']['ad']['domain_controllers'] = 'srv1.domain.org,srv2.domain.org';
+ *
+ * //optional:
+ * $conf['auth']['ad']['sso'] = 1;
+ * $conf['auth']['ad']['ad_username'] = 'root';
+ * $conf['auth']['ad']['ad_password'] = 'pass';
+ * $conf['auth']['ad']['real_primarygroup'] = 1;
+ * $conf['auth']['ad']['use_ssl'] = 1;
+ * $conf['auth']['ad']['debug'] = 1;
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author James Van Lommel <jamesvl@gmail.com>
+ * @link http://www.nosq.com/blog/2005/08/ldap-activedirectory-and-dokuwiki/
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+
+require_once(DOKU_INC.'inc/adLDAP.php');
+
+class auth_ad extends auth_basic {
+ var $cnf = null;
+ var $opts = null;
+ var $adldap = null;
+
+ /**
+ * Constructor
+ */
+ function auth_ad() {
+ global $conf;
+ $this->cnf = $conf['auth']['ad'];
+
+ // ldap extension is needed
+ if (!function_exists('ldap_connect')) {
+ if ($this->cnf['debug'])
+ msg("LDAP err: PHP LDAP extension not found.",-1);
+ $this->success = false;
+ return;
+ }
+
+ // Prepare SSO
+ if($_SERVER['REMOTE_USER'] && $this->cnf['sso']){
+ // remove possible NTLM domain
+ list($dom,$usr) = explode('\\',$_SERVER['REMOTE_USER'],2);
+ if(!$usr) $usr = $dom;
+
+ // remove possible Kerberos domain
+ list($usr,$dom) = explode('@',$usr);
+
+ $dom = strtolower($dom);
+ $_SERVER['REMOTE_USER'] = $usr;
+
+ // we need to simulate a login
+ if(empty($_COOKIE[DOKU_COOKIE])){
+ $_REQUEST['u'] = $_SERVER['REMOTE_USER'];
+ $_REQUEST['p'] = 'sso_only';
+ }
+ }
+
+ // prepare adLDAP standard configuration
+ $this->opts = $this->cnf;
+
+ // add possible domain specific configuration
+ if($dom && is_array($this->cnf[$dom])) foreach($this->cnf[$dom] as $key => $val){
+ $this->opts[$key] = $val;
+ }
+
+ // handle multiple AD servers
+ $this->opts['domain_controllers'] = explode(',',$this->opts['domain_controllers']);
+ $this->opts['domain_controllers'] = array_map('trim',$this->opts['domain_controllers']);
+ $this->opts['domain_controllers'] = array_filter($this->opts['domain_controllers']);
+
+ // we currently just handle authentication, so no capabilities are set
+ }
+
+ /**
+ * Check user+password [required auth function]
+ *
+ * Checks if the given user exists and the given
+ * plaintext password is correct by trying to bind
+ * to the LDAP server
+ *
+ * @author James Van Lommel <james@nosq.com>
+ * @return bool
+ */
+ function checkPass($user, $pass){
+ if($_SERVER['REMOTE_USER'] &&
+ $_SERVER['REMOTE_USER'] == $user &&
+ $this->cnf['sso']) return true;
+
+ if(!$this->_init()) return false;
+ return $this->adldap->authenticate($user, $pass);
+ }
+
+ /**
+ * Return user info [required auth function]
+ *
+ * Returns info about the given user needs to contain
+ * at least these fields:
+ *
+ * name string full name of the user
+ * mail string email addres of the user
+ * grps array list of groups the user is in
+ *
+ * This LDAP specific function returns the following
+ * addional fields:
+ *
+ * dn string distinguished name (DN)
+ * uid string Posix User ID
+ *
+ * @author James Van Lommel <james@nosq.com>
+ */
+ function getUserData($user){
+ global $conf;
+ if(!$this->_init()) return false;
+
+ //get info for given user
+ $result = $this->adldap->user_info($user);
+
+ //general user info
+ $info['name'] = $result[0]['displayname'][0];
+ $info['mail'] = $result[0]['mail'][0];
+ $info['uid'] = $result[0]['samaccountname'][0];
+ $info['dn'] = $result[0]['dn'];
+
+ // handle ActiveDirectory memberOf
+ $info['grps'] = $this->adldap->user_groups($user,(bool) $this->opts['recursive_groups']);
+
+ if (is_array($info['grps'])) {
+ foreach ($info['grps'] as $ndx => $group) {
+ $info['grps'][$ndx] = $this->cleanGroup($group);
+ }
+ }
+
+ // always add the default group to the list of groups
+ if(!is_array($info['grps']) || !in_array($conf['defaultgroup'],$info['grps'])){
+ $info['grps'][] = $conf['defaultgroup'];
+ }
+
+ return $info;
+ }
+
+ /**
+ * Make AD group names usable by DokuWiki.
+ *
+ * Removes backslashes ('\'), pound signs ('#'), and converts spaces to underscores.
+ *
+ * @author James Van Lommel (jamesvl@gmail.com)
+ */
+ function cleanGroup($name) {
+ $sName = str_replace('\\', '', $name);
+ $sName = str_replace('#', '', $sName);
+ $sName = preg_replace('[\s]', '_', $sName);
+ return $sName;
+ }
+
+ /**
+ * Sanitize user names
+ */
+ function cleanUser($name) {
+ return $this->cleanGroup($name);
+ }
+
+ /**
+ * Most values in LDAP are case-insensitive
+ */
+ function isCaseSensitive(){
+ return false;
+ }
+
+ /**
+ * Initialize the AdLDAP library and connect to the server
+ */
+ function _init(){
+ if(!is_null($this->adldap)) return true;
+
+ // connect
+ try {
+ $this->adldap = new adLDAP($this->opts);
+ return true;
+ } catch (adLDAPException $e) {
+ $this->success = false;
+ $this->adldap = null;
+ }
+ return false;
+ }
+}
+
+//Setup VIM: ex: et ts=4 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/auth/basic.class.php b/mod/dokuwiki/vendors/dokuwiki/inc/auth/basic.class.php
new file mode 100644
index 000000000..c08422488
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/auth/basic.class.php
@@ -0,0 +1,403 @@
+<?php
+/**
+ * auth/basic.class.php
+ *
+ * foundation authorisation class
+ * all auth classes should inherit from this class
+ *
+ * @author Chris Smith <chris@jalakai.co.uk>
+ */
+
+class auth_basic {
+
+ var $success = true;
+
+
+ /**
+ * Posible things an auth backend module may be able to
+ * do. The things a backend can do need to be set to true
+ * in the constructor.
+ */
+ var $cando = array (
+ 'addUser' => false, // can Users be created?
+ 'delUser' => false, // can Users be deleted?
+ 'modLogin' => false, // can login names be changed?
+ 'modPass' => false, // can passwords be changed?
+ 'modName' => false, // can real names be changed?
+ 'modMail' => false, // can emails be changed?
+ 'modGroups' => false, // can groups be changed?
+ 'getUsers' => false, // can a (filtered) list of users be retrieved?
+ 'getUserCount'=> false, // can the number of users be retrieved?
+ 'getGroups' => false, // can a list of available groups be retrieved?
+ 'external' => false, // does the module do external auth checking?
+ 'logoff' => false, // has the module some special logoff method?
+ );
+
+
+ /**
+ * Constructor.
+ *
+ * Carry out sanity checks to ensure the object is
+ * able to operate. Set capabilities in $this->cando
+ * array here
+ *
+ * Set $this->success to false if checks fail
+ *
+ * @author Christopher Smith <chris@jalakai.co.uk>
+ */
+ function auth_basic() {
+ // the base class constructor does nothing, derived class
+ // constructors do the real work
+ }
+
+ /**
+ * Capability check. [ DO NOT OVERRIDE ]
+ *
+ * Checks the capabilities set in the $this->cando array and
+ * some pseudo capabilities (shortcutting access to multiple
+ * ones)
+ *
+ * ususal capabilities start with lowercase letter
+ * shortcut capabilities start with uppercase letter
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @return bool
+ */
+ function canDo($cap) {
+ switch($cap){
+ case 'Profile':
+ // can at least one of the user's properties be changed?
+ return ( $this->cando['modPass'] ||
+ $this->cando['modName'] ||
+ $this->cando['modMail'] );
+ break;
+ case 'UserMod':
+ // can at least anything be changed?
+ return ( $this->cando['modPass'] ||
+ $this->cando['modName'] ||
+ $this->cando['modMail'] ||
+ $this->cando['modLogin'] ||
+ $this->cando['modGroups'] ||
+ $this->cando['modMail'] );
+ break;
+ default:
+ // print a helping message for developers
+ if(!isset($this->cando[$cap])){
+ msg("Check for unknown capability '$cap' - Do you use an outdated Plugin?",-1);
+ }
+ return $this->cando[$cap];
+ }
+ }
+
+ /**
+ * Trigger the AUTH_USERDATA_CHANGE event and call the modification function. [ DO NOT OVERRIDE ]
+ *
+ * You should use this function instead of calling createUser, modifyUser or
+ * deleteUsers directly. The event handlers can prevent the modification, for
+ * example for enforcing a user name schema.
+ *
+ * @author Gabriel Birke <birke@d-scribe.de>
+ * @param string $type Modification type ('create', 'modify', 'delete')
+ * @param array $params Parameters for the createUser, modifyUser or deleteUsers method. The content of this array depends on the modification type
+ * @return mixed Result from the modification function or false if an event handler has canceled the action
+ */
+ function triggerUserMod($type, $params)
+ {
+ $validTypes = array(
+ 'create' => 'createUser',
+ 'modify' => 'modifyUser',
+ 'delete' => 'deleteUsers'
+ );
+ if(empty($validTypes[$type]))
+ return false;
+ $eventdata = array('type' => $type, 'params' => $params, 'modification_result' => null);
+ $evt = new Doku_Event('AUTH_USER_CHANGE', $eventdata);
+ if ($evt->advise_before(true)) {
+ $result = call_user_func_array(array($this, $validTypes[$type]), $params);
+ $evt->data['modification_result'] = $result;
+ }
+ $evt->advise_after();
+ unset($evt);
+ return $result;
+ }
+
+ /**
+ * Log off the current user [ OPTIONAL ]
+ *
+ * Is run in addition to the ususal logoff method. Should
+ * only be needed when trustExternal is implemented.
+ *
+ * @see auth_logoff()
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function logOff(){
+ }
+
+ /**
+ * Do all authentication [ OPTIONAL ]
+ *
+ * Set $this->cando['external'] = true when implemented
+ *
+ * If this function is implemented it will be used to
+ * authenticate a user - all other DokuWiki internals
+ * will not be used for authenticating, thus
+ * implementing the checkPass() function is not needed
+ * anymore.
+ *
+ * The function can be used to authenticate against third
+ * party cookies or Apache auth mechanisms and replaces
+ * the auth_login() function
+ *
+ * The function will be called with or without a set
+ * username. If the Username is given it was called
+ * from the login form and the given credentials might
+ * need to be checked. If no username was given it
+ * the function needs to check if the user is logged in
+ * by other means (cookie, environment).
+ *
+ * The function needs to set some globals needed by
+ * DokuWiki like auth_login() does.
+ *
+ * @see auth_login()
+ * @author Andreas Gohr <andi@splitbrain.org>
+ *
+ * @param string $user Username
+ * @param string $pass Cleartext Password
+ * @param bool $sticky Cookie should not expire
+ * @return bool true on successful auth
+ */
+ function trustExternal($user,$pass,$sticky=false){
+# // some example:
+#
+# global $USERINFO;
+# global $conf;
+# $sticky ? $sticky = true : $sticky = false; //sanity check
+#
+# // do the checking here
+#
+# // set the globals if authed
+# $USERINFO['name'] = 'FIXME';
+# $USERINFO['mail'] = 'FIXME';
+# $USERINFO['grps'] = array('FIXME');
+# $_SERVER['REMOTE_USER'] = $user;
+# $_SESSION[DOKU_COOKIE]['auth']['user'] = $user;
+# $_SESSION[DOKU_COOKIE]['auth']['pass'] = $pass;
+# $_SESSION[DOKU_COOKIE]['auth']['info'] = $USERINFO;
+# return true;
+ }
+
+ /**
+ * Check user+password [ MUST BE OVERRIDDEN ]
+ *
+ * Checks if the given user exists and the given
+ * plaintext password is correct
+ *
+ * May be ommited if trustExternal is used.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @return bool
+ */
+ function checkPass($user,$pass){
+ msg("no valid authorisation system in use", -1);
+ return false;
+ }
+
+ /**
+ * Return user info [ MUST BE OVERRIDDEN ]
+ *
+ * Returns info about the given user needs to contain
+ * at least these fields:
+ *
+ * name string full name of the user
+ * mail string email addres of the user
+ * grps array list of groups the user is in
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @return array containing user data or false
+ */
+ function getUserData($user) {
+ if(!$this->cando['external']) msg("no valid authorisation system in use", -1);
+ return false;
+ }
+
+ /**
+ * Create a new User [implement only where required/possible]
+ *
+ * Returns false if the user already exists, null when an error
+ * occurred and true if everything went well.
+ *
+ * The new user HAS TO be added to the default group by this
+ * function!
+ *
+ * Set addUser capability when implemented
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function createUser($user,$pass,$name,$mail,$grps=null){
+ msg("authorisation method does not allow creation of new users", -1);
+ return null;
+ }
+
+ /**
+ * Modify user data [implement only where required/possible]
+ *
+ * Set the mod* capabilities according to the implemented features
+ *
+ * @author Chris Smith <chris@jalakai.co.uk>
+ * @param $user nick of the user to be changed
+ * @param $changes array of field/value pairs to be changed (password will be clear text)
+ * @return bool
+ */
+ function modifyUser($user, $changes) {
+ msg("authorisation method does not allow modifying of user data", -1);
+ return false;
+ }
+
+ /**
+ * Delete one or more users [implement only where required/possible]
+ *
+ * Set delUser capability when implemented
+ *
+ * @author Chris Smith <chris@jalakai.co.uk>
+ * @param array $users
+ * @return int number of users deleted
+ */
+ function deleteUsers($users) {
+ msg("authorisation method does not allow deleting of users", -1);
+ return false;
+ }
+
+ /**
+ * Return a count of the number of user which meet $filter criteria
+ * [should be implemented whenever retrieveUsers is implemented]
+ *
+ * Set getUserCount capability when implemented
+ *
+ * @author Chris Smith <chris@jalakai.co.uk>
+ */
+ function getUserCount($filter=array()) {
+ msg("authorisation method does not provide user counts", -1);
+ return 0;
+ }
+
+ /**
+ * Bulk retrieval of user data [implement only where required/possible]
+ *
+ * Set getUsers capability when implemented
+ *
+ * @author Chris Smith <chris@jalakai.co.uk>
+ * @param start index of first user to be returned
+ * @param limit max number of users to be returned
+ * @param filter array of field/pattern pairs, null for no filter
+ * @return array of userinfo (refer getUserData for internal userinfo details)
+ */
+ function retrieveUsers($start=0,$limit=-1,$filter=null) {
+ msg("authorisation method does not support mass retrieval of user data", -1);
+ return array();
+ }
+
+ /**
+ * Define a group [implement only where required/possible]
+ *
+ * Set addGroup capability when implemented
+ *
+ * @author Chris Smith <chris@jalakai.co.uk>
+ * @return bool
+ */
+ function addGroup($group) {
+ msg("authorisation method does not support independent group creation", -1);
+ return false;
+ }
+
+ /**
+ * Retrieve groups [implement only where required/possible]
+ *
+ * Set getGroups capability when implemented
+ *
+ * @author Chris Smith <chris@jalakai.co.uk>
+ * @return array
+ */
+ function retrieveGroups($start=0,$limit=0) {
+ msg("authorisation method does not support group list retrieval", -1);
+ return array();
+ }
+
+ /**
+ * Return case sensitivity of the backend [OPTIONAL]
+ *
+ * When your backend is caseinsensitive (eg. you can login with USER and
+ * user) then you need to overwrite this method and return false
+ */
+ function isCaseSensitive(){
+ return true;
+ }
+
+ /**
+ * Sanitize a given username [OPTIONAL]
+ *
+ * This function is applied to any user name that is given to
+ * the backend and should also be applied to any user name within
+ * the backend before returning it somewhere.
+ *
+ * This should be used to enforce username restrictions.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @param string $user - username
+ * @param string - the cleaned username
+ */
+ function cleanUser($user){
+ return $user;
+ }
+
+ /**
+ * Sanitize a given groupname [OPTIONAL]
+ *
+ * This function is applied to any groupname that is given to
+ * the backend and should also be applied to any groupname within
+ * the backend before returning it somewhere.
+ *
+ * This should be used to enforce groupname restrictions.
+ *
+ * Groupnames are to be passed without a leading '@' here.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @param string $group - groupname
+ * @param string - the cleaned groupname
+ */
+ function cleanGroup($group){
+ return $group;
+ }
+
+
+ /**
+ * Check Session Cache validity [implement only where required/possible]
+ *
+ * DokuWiki caches user info in the user's session for the timespan defined
+ * in $conf['auth_security_timeout'].
+ *
+ * This makes sure slow authentication backends do not slow down DokuWiki.
+ * This also means that changes to the user database will not be reflected
+ * on currently logged in users.
+ *
+ * To accommodate for this, the user manager plugin will touch a reference
+ * file whenever a change is submitted. This function compares the filetime
+ * of this reference file with the time stored in the session.
+ *
+ * This reference file mechanism does not reflect changes done directly in
+ * the backend's database through other means than the user manager plugin.
+ *
+ * Fast backends might want to return always false, to force rechecks on
+ * each page load. Others might want to use their own checking here. If
+ * unsure, do not override.
+ *
+ * @param string $user - The username
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @return bool
+ */
+ function useSessionCache($user){
+ global $conf;
+ return ($_SESSION[DOKU_COOKIE]['auth']['time'] >= @filemtime($conf['cachedir'].'/sessionpurge'));
+ }
+
+}
+//Setup VIM: ex: et ts=2 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/auth/elgg.class.php b/mod/dokuwiki/vendors/dokuwiki/inc/auth/elgg.class.php
new file mode 100644
index 000000000..c0a291832
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/auth/elgg.class.php
@@ -0,0 +1,352 @@
+<?php
+/**
+ * Plaintext authentication backend
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Chris Smith <chris@jalakai.co.uk>
+ */
+
+define('DOKU_AUTH', dirname(__FILE__));
+require_once(DOKU_AUTH.'/basic.class.php');
+
+//define('AUTH_USERFILE',DOKU_CONF.'users.auth.php');
+
+class auth_elgg extends auth_basic {
+
+ var $users = null;
+ var $_pattern = array();
+
+ /**
+ * Constructor
+ *
+ * Carry out sanity checks to ensure the object is
+ * able to operate. Set capabilities.
+ *
+ * @author Christopher Smith <chris@jalakai.co.uk>
+ */
+ function auth_elgg() {
+ // $this->cando['addUser'] = true;
+ // $this->cando['delUser'] = true;
+ // $this->cando['modLogin'] = true;
+ // $this->cando['modPass'] = true;
+ // $this->cando['modName'] = true;
+ // $this->cando['modMail'] = true;
+ $this->cando['getACL'] = true;
+ $this->cando['modGroups'] = true;
+ // $this->cando['getUsers'] = true;
+ $this->cando['getUserCount'] = true;
+ }
+
+ /**
+ * Check user+password [required auth function]
+ *
+ * Checks if the given user exists and the given
+ * plaintext password is correct
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @return bool
+ */
+ function checkPass($user,$pass){
+ $user = get_user_by_username($user);
+ if ($user && $user->password == $pass)
+ return true;
+ /*if (authenticate($user, $pass)) {
+ return true;
+ }*/
+ return false;
+ }
+
+ /**
+ * Return user info
+ *
+ * Returns info about the given user needs to contain
+ * at least these fields:
+ *
+ * name string full name of the user
+ * mail string email addres of the user
+ * grps array list of groups the user is in
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function getUserData($username){
+ $user = get_user_by_username($username);
+ //error_log("getUserData:".$username);
+ if (!$user)
+ return false;
+ //error_log("getUserData:".$username);
+ $page_owner = elgg_get_page_owner_entity();
+ $grps = array();
+ if ($page_owner instanceof ElggGroup) {
+ if ($page_owner->canEdit($user->getGUID())) {
+ $grps[] = "admin";
+ $grps[] = "root";
+ //error_log('operator');
+ }
+ elseif ($page_owner->isMember($user)) {
+ $grps[] = "member";
+ }
+ }
+ elseif ($page_owner instanceof ElggUser) {
+ if ($page_owner == $user) {
+ $grps[] = "admin";
+ }
+ elseif ($page_owner->isFriendsWith($user->getGUID())) {
+ $grps[] = "member";
+ }
+ }
+ if ($user->isAdmin()) {
+ $grps[] = "root";
+ $grps[] = "admin";
+ }
+ $groups = elgg_get_entities_from_relationship(array('relationship' => 'member', 'relationship_guid' => $user->getGUID(), 'inverse_relationship' => FALSE, 'limit'=>0));
+ foreach($groups as $group) {
+ $grps[] = $this->cleanUser($group->name);
+ }
+ return array('name'=>$user->name, 'mail'=>$user->email, 'grps'=>$grps);
+ }
+
+ /**
+ * Create a new User
+ *
+ * Returns false if the user already exists, null when an error
+ * occurred and true if everything went well.
+ *
+ * The new user will be added to the default group by this
+ * function if grps are not specified (default behaviour).
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Chris Smith <chris@jalakai.co.uk>
+ */
+ function createUser($user,$pwd,$name,$mail,$grps=null){
+ global $conf;
+
+ // user mustn't already exist
+ if ($this->getUserData($user) !== false) return false;
+
+ $pass = auth_cryptPassword($pwd);
+
+ // set default group if no groups specified
+ if (!is_array($grps)) $grps = array($conf['defaultgroup']);
+
+ // prepare user line
+ $groups = join(',',$grps);
+ $userline = join(':',array($user,$pass,$name,$mail,$groups))."\n";
+
+ if (io_saveFile(AUTH_USERFILE,$userline,true)) {
+ $this->users[$user] = compact('pass','name','mail','grps');
+ return $pwd;
+ }
+
+ msg('The '.AUTH_USERFILE.' file is not writable. Please inform the Wiki-Admin',-1);
+ return null;
+ }
+
+ /**
+ * Modify user data
+ *
+ * @author Chris Smith <chris@jalakai.co.uk>
+ * @param $user nick of the user to be changed
+ * @param $changes array of field/value pairs to be changed (password will be clear text)
+ * @return bool
+ */
+ function modifyUser($user, $changes) {
+ global $conf;
+ global $ACT;
+ global $INFO;
+
+ // sanity checks, user must already exist and there must be something to change
+ if (($userinfo = $this->getUserData($user)) === false) return false;
+ if (!is_array($changes) || !count($changes)) return true;
+
+ // update userinfo with new data, remembering to encrypt any password
+ $newuser = $user;
+ foreach ($changes as $field => $value) {
+ if ($field == 'user') {
+ $newuser = $value;
+ continue;
+ }
+ if ($field == 'pass') $value = auth_cryptPassword($value);
+ $userinfo[$field] = $value;
+ }
+
+ $groups = join(',',$userinfo['grps']);
+ $userline = join(':',array($newuser, $userinfo['pass'], $userinfo['name'], $userinfo['mail'], $groups))."\n";
+
+ if (!$this->deleteUsers(array($user))) {
+ msg('Unable to modify user data. Please inform the Wiki-Admin',-1);
+ return false;
+ }
+
+ if (!io_saveFile(AUTH_USERFILE,$userline,true)) {
+ msg('There was an error modifying your user data. You should register again.',-1);
+ // FIXME, user has been deleted but not recreated, should force a logout and redirect to login page
+ $ACT == 'register';
+ return false;
+ }
+
+ $this->users[$newuser] = $userinfo;
+ return true;
+ }
+
+ /**
+ * Remove one or more users from the list of registered users
+ *
+ * @author Christopher Smith <chris@jalakai.co.uk>
+ * @param array $users array of users to be deleted
+ * @return int the number of users deleted
+ */
+ function deleteUsers($users) {
+
+ if (!is_array($users) || empty($users)) return 0;
+
+ if ($this->users === null) $this->_loadUserData();
+
+ $deleted = array();
+ foreach ($users as $user) {
+ if (isset($this->users[$user])) $deleted[] = preg_quote($user,'/');
+ }
+
+ if (empty($deleted)) return 0;
+
+ $pattern = '/^('.join('|',$deleted).'):/';
+
+ if (io_deleteFromFile(AUTH_USERFILE,$pattern,true)) {
+ foreach ($deleted as $user) unset($this->users[$user]);
+ return count($deleted);
+ }
+
+ // problem deleting, reload the user list and count the difference
+ $count = count($this->users);
+ $this->_loadUserData();
+ $count -= count($this->users);
+ return $count;
+ }
+
+ /**
+ * Return a count of the number of user which meet $filter criteria
+ *
+ * @author Chris Smith <chris@jalakai.co.uk>
+ */
+ function getUserCount($filter=array()) {
+ return get_number_users(true);
+ }
+
+ /**
+ * Bulk retrieval of user data
+ *
+ * @author Chris Smith <chris@jalakai.co.uk>
+ * @param start index of first user to be returned
+ * @param limit max number of users to be returned
+ * @param filter array of field/pattern pairs
+ * @return array of userinfo (refer getUserData for internal userinfo details)
+ */
+ function retrieveUsers($start=0,$limit=0,$filter=array()) {
+ $entities = elgg_get_entities(array('types'=>'user','limit'=>$limit, 'offset'=>$start));
+ $allusers = array();
+ foreach ($entities as $entity) {
+ $allusers[$entity->username] = getUserData($entity->username);
+ }
+ return $allusers;
+ }
+
+ /**
+ * Only valid pageid's (no namespaces) for usernames
+ */
+ function cleanUser($user){
+ global $conf;
+ return cleanID(str_replace(':',$conf['sepchar'],$user));
+ }
+
+ function getACL(){
+ $doku = current_dokuwiki_entity();
+ elgg_set_ignore_access(true);
+ $acl = explode("\n" ,$doku->wiki_acl);
+ //error_log(json_encode($acl));
+ elgg_set_ignore_access(false);
+ return $acl;
+ global $conf;
+ $acl = array();
+ $acl[] = "# acl.auth.php";
+ $acl[] = '# <?php exit()?\>';
+ $acl[] = "* @ALL 0";
+ $acl[] = "* @user 1";
+ $acl[] = "* @member 8";
+ $acl[] = "* @admin 16";
+ $acl[] = "* @root 255";
+ $acl[] = "* @testers_de_la_red_social 8";
+ return $acl;
+ }
+ function setACL($newacl){
+ $doku = current_dokuwiki_entity();
+ elgg_set_ignore_access(true);
+ $doku->wiki_acl = $newacl;
+ elgg_set_ignore_access(false);
+ }
+
+ /**
+ * Only valid pageid's (no namespaces) for groupnames
+ */
+ function cleanGroup($group){
+ global $conf;
+ return cleanID(str_replace(':',$conf['sepchar'],$group));
+ }
+
+ /**
+ * Load all user data
+ *
+ * loads the user file into a datastructure
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function _loadUserData(){
+ //error_log("getUserData:");
+ $this->users = array();
+
+ if(!@file_exists(AUTH_USERFILE)) return;
+
+ $lines = file(AUTH_USERFILE);
+ foreach($lines as $line){
+ $line = preg_replace('/#.*$/','',$line); //ignore comments
+ $line = trim($line);
+ if(empty($line)) continue;
+
+ $row = explode(":",$line,5);
+ $groups = array_values(array_filter(explode(",",$row[4])));
+
+ $this->users[$row[0]]['pass'] = $row[1];
+ $this->users[$row[0]]['name'] = urldecode($row[2]);
+ $this->users[$row[0]]['mail'] = $row[3];
+ $this->users[$row[0]]['grps'] = $groups;
+ }
+ }
+
+ /**
+ * return 1 if $user + $info match $filter criteria, 0 otherwise
+ *
+ * @author Chris Smith <chris@jalakai.co.uk>
+ */
+ function _filter($user, $info) {
+ // FIXME
+ foreach ($this->_pattern as $item => $pattern) {
+ if ($item == 'user') {
+ if (!preg_match($pattern, $user)) return 0;
+ } else if ($item == 'grps') {
+ if (!count(preg_grep($pattern, $info['grps']))) return 0;
+ } else {
+ if (!preg_match($pattern, $info[$item])) return 0;
+ }
+ }
+ return 1;
+ }
+
+ function _constructPattern($filter) {
+ $this->_pattern = array();
+ foreach ($filter as $item => $pattern) {
+// $this->_pattern[$item] = '/'.preg_quote($pattern,"/").'/i'; // don't allow regex characters
+ $this->_pattern[$item] = '/'.str_replace('/','\/',$pattern).'/i'; // allow regex characters
+ }
+ }
+}
+
+//Setup VIM: ex: et ts=2 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/auth/ldap.class.php b/mod/dokuwiki/vendors/dokuwiki/inc/auth/ldap.class.php
new file mode 100644
index 000000000..c51924135
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/auth/ldap.class.php
@@ -0,0 +1,357 @@
+<?php
+/**
+ * LDAP authentication backend
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Chris Smith <chris@jalakaic.co.uk>
+ */
+
+class auth_ldap extends auth_basic {
+ var $cnf = null;
+ var $con = null;
+ var $bound = 0; // 0: anonymous, 1: user, 2: superuser
+
+ /**
+ * Constructor
+ */
+ function auth_ldap(){
+ global $conf;
+ $this->cnf = $conf['auth']['ldap'];
+
+ // ldap extension is needed
+ if(!function_exists('ldap_connect')) {
+ if ($this->cnf['debug'])
+ msg("LDAP err: PHP LDAP extension not found.",-1,__LINE__,__FILE__);
+ $this->success = false;
+ return;
+ }
+
+ if(empty($this->cnf['groupkey'])) $this->cnf['groupkey'] = 'cn';
+
+ // auth_ldap currently just handles authentication, so no
+ // capabilities are set
+ }
+
+ /**
+ * Check user+password
+ *
+ * Checks if the given user exists and the given
+ * plaintext password is correct by trying to bind
+ * to the LDAP server
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @return bool
+ */
+ function checkPass($user,$pass){
+ // reject empty password
+ if(empty($pass)) return false;
+ if(!$this->_openLDAP()) return false;
+
+ // indirect user bind
+ if($this->cnf['binddn'] && $this->cnf['bindpw']){
+ // use superuser credentials
+ if(!@ldap_bind($this->con,$this->cnf['binddn'],$this->cnf['bindpw'])){
+ if($this->cnf['debug'])
+ msg('LDAP bind as superuser: '.htmlspecialchars(ldap_error($this->con)),0,__LINE__,__FILE__);
+ return false;
+ }
+ $this->bound = 2;
+ }else if($this->cnf['binddn'] &&
+ $this->cnf['usertree'] &&
+ $this->cnf['userfilter']) {
+ // special bind string
+ $dn = $this->_makeFilter($this->cnf['binddn'],
+ array('user'=>$user,'server'=>$this->cnf['server']));
+
+ }else if(strpos($this->cnf['usertree'], '%{user}')) {
+ // direct user bind
+ $dn = $this->_makeFilter($this->cnf['usertree'],
+ array('user'=>$user,'server'=>$this->cnf['server']));
+
+ }else{
+ // Anonymous bind
+ if(!@ldap_bind($this->con)){
+ msg("LDAP: can not bind anonymously",-1);
+ if($this->cnf['debug'])
+ msg('LDAP anonymous bind: '.htmlspecialchars(ldap_error($this->con)),0,__LINE__,__FILE__);
+ return false;
+ }
+ }
+
+ // Try to bind to with the dn if we have one.
+ if(!empty($dn)) {
+ // User/Password bind
+ if(!@ldap_bind($this->con,$dn,$pass)){
+ if($this->cnf['debug']){
+ msg("LDAP: bind with $dn failed", -1,__LINE__,__FILE__);
+ msg('LDAP user dn bind: '.htmlspecialchars(ldap_error($this->con)),0);
+ }
+ return false;
+ }
+ $this->bound = 1;
+ return true;
+ }else{
+ // See if we can find the user
+ $info = $this->getUserData($user,true);
+ if(empty($info['dn'])) {
+ return false;
+ } else {
+ $dn = $info['dn'];
+ }
+
+ // Try to bind with the dn provided
+ if(!@ldap_bind($this->con,$dn,$pass)){
+ if($this->cnf['debug']){
+ msg("LDAP: bind with $dn failed", -1,__LINE__,__FILE__);
+ msg('LDAP user bind: '.htmlspecialchars(ldap_error($this->con)),0);
+ }
+ return false;
+ }
+ $this->bound = 1;
+ return true;
+ }
+
+ return false;
+ }
+
+ /**
+ * Return user info
+ *
+ * Returns info about the given user needs to contain
+ * at least these fields:
+ *
+ * name string full name of the user
+ * mail string email addres of the user
+ * grps array list of groups the user is in
+ *
+ * This LDAP specific function returns the following
+ * addional fields:
+ *
+ * dn string distinguished name (DN)
+ * uid string Posix User ID
+ * inbind bool for internal use - avoid loop in binding
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Trouble
+ * @author Dan Allen <dan.j.allen@gmail.com>
+ * @author <evaldas.auryla@pheur.org>
+ * @author Stephane Chazelas <stephane.chazelas@emerson.com>
+ * @return array containing user data or false
+ */
+ function getUserData($user,$inbind=false) {
+ global $conf;
+ if(!$this->_openLDAP()) return false;
+
+ // force superuser bind if wanted and not bound as superuser yet
+ if($this->cnf['binddn'] && $this->cnf['bindpw'] && $this->bound < 2){
+ // use superuser credentials
+ if(!@ldap_bind($this->con,$this->cnf['binddn'],$this->cnf['bindpw'])){
+ if($this->cnf['debug'])
+ msg('LDAP bind as superuser: '.htmlspecialchars(ldap_error($this->con)),0,__LINE__,__FILE__);
+ return false;
+ }
+ $this->bound = 2;
+ }elseif($this->bound == 0 && !$inbind) {
+ // in some cases getUserData is called outside the authentication workflow
+ // eg. for sending email notification on subscribed pages. This data might not
+ // be accessible anonymously, so we try to rebind the current user here
+ $pass = PMA_blowfish_decrypt($_SESSION[DOKU_COOKIE]['auth']['pass'],auth_cookiesalt());
+ $this->checkPass($_SESSION[DOKU_COOKIE]['auth']['user'], $pass);
+ }
+
+ $info['user'] = $user;
+ $info['server'] = $this->cnf['server'];
+
+ //get info for given user
+ $base = $this->_makeFilter($this->cnf['usertree'], $info);
+ if(!empty($this->cnf['userfilter'])) {
+ $filter = $this->_makeFilter($this->cnf['userfilter'], $info);
+ } else {
+ $filter = "(ObjectClass=*)";
+ }
+
+ $sr = @ldap_search($this->con, $base, $filter);
+ $result = @ldap_get_entries($this->con, $sr);
+ if($this->cnf['debug']){
+ msg('LDAP user search: '.htmlspecialchars(ldap_error($this->con)),0,__LINE__,__FILE__);
+ msg('LDAP search at: '.htmlspecialchars($base.' '.$filter),0,__LINE__,__FILE__);
+ }
+
+ // Don't accept more or less than one response
+ if(!is_array($result) || $result['count'] != 1){
+ return false; //user not found
+ }
+
+ $user_result = $result[0];
+ ldap_free_result($sr);
+
+ // general user info
+ $info['dn'] = $user_result['dn'];
+ $info['gid'] = $user_result['gidnumber'][0];
+ $info['mail'] = $user_result['mail'][0];
+ $info['name'] = $user_result['cn'][0];
+ $info['grps'] = array();
+
+ // overwrite if other attribs are specified.
+ if(is_array($this->cnf['mapping'])){
+ foreach($this->cnf['mapping'] as $localkey => $key) {
+ if(is_array($key)) {
+ // use regexp to clean up user_result
+ list($key, $regexp) = each($key);
+ if($user_result[$key]) foreach($user_result[$key] as $grp){
+ if (preg_match($regexp,$grp,$match)) {
+ if($localkey == 'grps') {
+ $info[$localkey][] = $match[1];
+ } else {
+ $info[$localkey] = $match[1];
+ }
+ }
+ }
+ } else {
+ $info[$localkey] = $user_result[$key][0];
+ }
+ }
+ }
+ $user_result = array_merge($info,$user_result);
+
+ //get groups for given user if grouptree is given
+ if ($this->cnf['grouptree'] && $this->cnf['groupfilter']) {
+ $base = $this->_makeFilter($this->cnf['grouptree'], $user_result);
+ $filter = $this->_makeFilter($this->cnf['groupfilter'], $user_result);
+ $sr = @ldap_search($this->con, $base, $filter, array($this->cnf['groupkey']));
+ if(!$sr){
+ msg("LDAP: Reading group memberships failed",-1);
+ if($this->cnf['debug']){
+ msg('LDAP group search: '.htmlspecialchars(ldap_error($this->con)),0,__LINE__,__FILE__);
+ msg('LDAP search at: '.htmlspecialchars($base.' '.$filter),0,__LINE__,__FILE__);
+ }
+ return false;
+ }
+ $result = ldap_get_entries($this->con, $sr);
+ ldap_free_result($sr);
+
+ if(is_array($result)) foreach($result as $grp){
+ if(!empty($grp[$this->cnf['groupkey']][0])){
+ if($this->cnf['debug'])
+ msg('LDAP usergroup: '.htmlspecialchars($grp[$this->cnf['groupkey']][0]),0,__LINE__,__FILE__);
+ $info['grps'][] = $grp[$this->cnf['groupkey']][0];
+ }
+ }
+ }
+
+ // always add the default group to the list of groups
+ if(!in_array($conf['defaultgroup'],$info['grps'])){
+ $info['grps'][] = $conf['defaultgroup'];
+ }
+ return $info;
+ }
+
+ /**
+ * Most values in LDAP are case-insensitive
+ */
+ function isCaseSensitive(){
+ return false;
+ }
+
+ /**
+ * Make LDAP filter strings.
+ *
+ * Used by auth_getUserData to make the filter
+ * strings for grouptree and groupfilter
+ *
+ * filter string ldap search filter with placeholders
+ * placeholders array array with the placeholders
+ *
+ * @author Troels Liebe Bentsen <tlb@rapanden.dk>
+ * @return string
+ */
+ function _makeFilter($filter, $placeholders) {
+ preg_match_all("/%{([^}]+)/", $filter, $matches, PREG_PATTERN_ORDER);
+ //replace each match
+ foreach ($matches[1] as $match) {
+ //take first element if array
+ if(is_array($placeholders[$match])) {
+ $value = $placeholders[$match][0];
+ } else {
+ $value = $placeholders[$match];
+ }
+ $value = $this->_filterEscape($value);
+ $filter = str_replace('%{'.$match.'}', $value, $filter);
+ }
+ return $filter;
+ }
+
+ /**
+ * Escape a string to be used in a LDAP filter
+ *
+ * Ported from Perl's Net::LDAP::Util escape_filter_value
+ *
+ * @author Andreas Gohr
+ */
+ function _filterEscape($string){
+ return preg_replace('/([\x00-\x1F\*\(\)\\\\])/e',
+ '"\\\\\".join("",unpack("H2","$1"))',
+ $string);
+ }
+
+ /**
+ * Opens a connection to the configured LDAP server and sets the wanted
+ * option on the connection
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function _openLDAP(){
+ if($this->con) return true; // connection already established
+
+ $this->bound = 0;
+
+ $port = ($this->cnf['port']) ? $this->cnf['port'] : 389;
+ $this->con = @ldap_connect($this->cnf['server'],$port);
+ if(!$this->con){
+ msg("LDAP: couldn't connect to LDAP server",-1);
+ return false;
+ }
+
+ //set protocol version and dependend options
+ if($this->cnf['version']){
+ if(!@ldap_set_option($this->con, LDAP_OPT_PROTOCOL_VERSION,
+ $this->cnf['version'])){
+ msg('Setting LDAP Protocol version '.$this->cnf['version'].' failed',-1);
+ if($this->cnf['debug'])
+ msg('LDAP version set: '.htmlspecialchars(ldap_error($this->con)),0,__LINE__,__FILE__);
+ }else{
+ //use TLS (needs version 3)
+ if($this->cnf['starttls']) {
+ if (!@ldap_start_tls($this->con)){
+ msg('Starting TLS failed',-1);
+ if($this->cnf['debug'])
+ msg('LDAP TLS set: '.htmlspecialchars(ldap_error($this->con)),0,__LINE__,__FILE__);
+ }
+ }
+ // needs version 3
+ if(isset($this->cnf['referrals'])) {
+ if(!@ldap_set_option($this->con, LDAP_OPT_REFERRALS,
+ $this->cnf['referrals'])){
+ msg('Setting LDAP referrals to off failed',-1);
+ if($this->cnf['debug'])
+ msg('LDAP referal set: '.htmlspecialchars(ldap_error($this->con)),0,__LINE__,__FILE__);
+ }
+ }
+ }
+ }
+
+ //set deref mode
+ if($this->cnf['deref']){
+ if(!@ldap_set_option($this->con, LDAP_OPT_DEREF, $this->cnf['deref'])){
+ msg('Setting LDAP Deref mode '.$this->cnf['deref'].' failed',-1);
+ if($this->cnf['debug'])
+ msg('LDAP deref set: '.htmlspecialchars(ldap_error($this->con)),0,__LINE__,__FILE__);
+ }
+ }
+
+ return true;
+ }
+}
+
+//Setup VIM: ex: et ts=4 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/auth/mysql.class.php b/mod/dokuwiki/vendors/dokuwiki/inc/auth/mysql.class.php
new file mode 100644
index 000000000..b1c6a3a52
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/auth/mysql.class.php
@@ -0,0 +1,942 @@
+<?php
+/**
+ * MySQLP authentication backend
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Chris Smith <chris@jalakai.co.uk>
+ * @author Matthias Grimm <matthias.grimmm@sourceforge.net>
+*/
+
+define('DOKU_AUTH', dirname(__FILE__));
+require_once(DOKU_AUTH.'/basic.class.php');
+
+class auth_mysql extends auth_basic {
+
+ var $dbcon = 0;
+ var $dbver = 0; // database version
+ var $dbrev = 0; // database revision
+ var $dbsub = 0; // database subrevision
+ var $cnf = null;
+ var $defaultgroup = "";
+
+ /**
+ * Constructor
+ *
+ * checks if the mysql interface is available, otherwise it will
+ * set the variable $success of the basis class to false
+ *
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+ function auth_mysql() {
+ global $conf;
+ $this->cnf = $conf['auth']['mysql'];
+
+ if (method_exists($this, 'auth_basic'))
+ parent::auth_basic();
+
+ if(!function_exists('mysql_connect')) {
+ if ($this->cnf['debug'])
+ msg("MySQL err: PHP MySQL extension not found.",-1,__LINE__,__FILE__);
+ $this->success = false;
+ return;
+ }
+
+ // default to UTF-8, you rarely want something else
+ if(!isset($this->cnf['charset'])) $this->cnf['charset'] = 'utf8';
+
+ $this->defaultgroup = $conf['defaultgroup'];
+
+ // set capabilities based upon config strings set
+ if (empty($this->cnf['server']) || empty($this->cnf['user']) ||
+ empty($this->cnf['password']) || empty($this->cnf['database'])){
+ if ($this->cnf['debug'])
+ msg("MySQL err: insufficient configuration.",-1,__LINE__,__FILE__);
+ $this->success = false;
+ return;
+ }
+
+ $this->cando['addUser'] = $this->_chkcnf(array('getUserInfo',
+ 'getGroups',
+ 'addUser',
+ 'getUserID',
+ 'getGroupID',
+ 'addGroup',
+ 'addUserGroup'),true);
+ $this->cando['delUser'] = $this->_chkcnf(array('getUserID',
+ 'delUser',
+ 'delUserRefs'),true);
+ $this->cando['modLogin'] = $this->_chkcnf(array('getUserID',
+ 'updateUser',
+ 'UpdateTarget'),true);
+ $this->cando['modPass'] = $this->cando['modLogin'];
+ $this->cando['modName'] = $this->cando['modLogin'];
+ $this->cando['modMail'] = $this->cando['modLogin'];
+ $this->cando['modGroups'] = $this->_chkcnf(array('getUserID',
+ 'getGroups',
+ 'getGroupID',
+ 'addGroup',
+ 'addUserGroup',
+ 'delGroup',
+ 'getGroupID',
+ 'delUserGroup'),true);
+ /* getGroups is not yet supported
+ $this->cando['getGroups'] = $this->_chkcnf(array('getGroups',
+ 'getGroupID'),false); */
+ $this->cando['getUsers'] = $this->_chkcnf(array('getUsers',
+ 'getUserInfo',
+ 'getGroups'),false);
+ $this->cando['getUserCount'] = $this->_chkcnf(array('getUsers'),false);
+ }
+
+ /**
+ * Check if the given config strings are set
+ *
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ * @return bool
+ */
+ function _chkcnf($keys, $wop=false){
+ foreach ($keys as $key){
+ if (empty($this->cnf[$key])) return false;
+ }
+
+ /* write operation and lock array filled with tables names? */
+ if ($wop && (!is_array($this->cnf['TablesToLock']) ||
+ !count($this->cnf['TablesToLock']))){
+ return false;
+ }
+
+ return true;
+ }
+
+ /**
+ * Checks if the given user exists and the given plaintext password
+ * is correct. Furtheron it might be checked wether the user is
+ * member of the right group
+ *
+ * Depending on which SQL string is defined in the config, password
+ * checking is done here (getpass) or by the database (passcheck)
+ *
+ * @param $user user who would like access
+ * @param $pass user's clear text password to check
+ * @return bool
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+ function checkPass($user,$pass){
+ $rc = false;
+
+ if($this->_openDB()) {
+ $sql = str_replace('%{user}',$this->_escape($user),$this->cnf['checkPass']);
+ $sql = str_replace('%{pass}',$this->_escape($pass),$sql);
+ $sql = str_replace('%{dgroup}',$this->_escape($this->defaultgroup),$sql);
+ $result = $this->_queryDB($sql);
+
+ if($result !== false && count($result) == 1) {
+ if($this->cnf['forwardClearPass'] == 1)
+ $rc = true;
+ else
+ $rc = auth_verifyPassword($pass,$result[0]['pass']);
+ }
+ $this->_closeDB();
+ }
+ return $rc;
+ }
+
+ /**
+ * [public function]
+ *
+ * Returns info about the given user needs to contain
+ * at least these fields:
+ * name string full name of the user
+ * mail string email addres of the user
+ * grps array list of groups the user is in
+ *
+ * @param $user user's nick to get data for
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+ function getUserData($user){
+ if($this->_openDB()) {
+ $this->_lockTables("READ");
+ $info = $this->_getUserInfo($user);
+ $this->_unlockTables();
+ $this->_closeDB();
+ } else
+ $info = false;
+ return $info;
+ }
+
+ /**
+ * [public function]
+ *
+ * Create a new User. Returns false if the user already exists,
+ * null when an error occurred and true if everything went well.
+ *
+ * The new user will be added to the default group by this
+ * function if grps are not specified (default behaviour).
+ *
+ * @param $user nick of the user
+ * @param $pwd clear text password
+ * @param $name full name of the user
+ * @param $mail email address
+ * @param $grps array of groups the user should become member of
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Chris Smith <chris@jalakai.co.uk>
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+ function createUser($user,$pwd,$name,$mail,$grps=null){
+ if($this->_openDB()) {
+ if (($info = $this->_getUserInfo($user)) !== false)
+ return false; // user already exists
+
+ // set defaultgroup if no groups were given
+ if ($grps == null)
+ $grps = array($this->defaultgroup);
+
+ $this->_lockTables("WRITE");
+ $pwd = $this->cnf['forwardClearPass'] ? $pwd : auth_cryptPassword($pwd);
+ $rc = $this->_addUser($user,$pwd,$name,$mail,$grps);
+ $this->_unlockTables();
+ $this->_closeDB();
+ if ($rc) return true;
+ }
+ return null; // return error
+ }
+
+ /**
+ * Modify user data [public function]
+ *
+ * An existing user dataset will be modified. Changes are given in an array.
+ *
+ * The dataset update will be rejected if the user name should be changed
+ * to an already existing one.
+ *
+ * The password must be provides unencrypted. Pasword cryption is done
+ * automatically if configured.
+ *
+ * If one or more groups could't be updated, an error would be set. In
+ * this case the dataset might already be changed and we can't rollback
+ * the changes. Transactions would be really usefull here.
+ *
+ * modifyUser() may be called without SQL statements defined that are
+ * needed to change group membership (for example if only the user profile
+ * should be modified). In this case we asure that we don't touch groups
+ * even $changes['grps'] is set by mistake.
+ *
+ * @param $user nick of the user to be changed
+ * @param $changes array of field/value pairs to be changed (password
+ * will be clear text)
+ * @return bool true on success, false on error
+ *
+ * @author Chris Smith <chris@jalakai.co.uk>
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+ function modifyUser($user, $changes) {
+ $rc = false;
+
+ if (!is_array($changes) || !count($changes))
+ return true; // nothing to change
+
+ if($this->_openDB()) {
+ $this->_lockTables("WRITE");
+
+ if (($uid = $this->_getUserID($user))) {
+ $rc = $this->_updateUserInfo($changes, $uid);
+
+ if ($rc && isset($changes['grps']) && $this->cando['modGroups']) {
+ $groups = $this->_getGroups($user);
+ $grpadd = array_diff($changes['grps'], $groups);
+ $grpdel = array_diff($groups, $changes['grps']);
+
+ foreach($grpadd as $group)
+ if (($this->_addUserToGroup($user, $group, 1)) == false)
+ $rc = false;
+
+ foreach($grpdel as $group)
+ if (($this->_delUserFromGroup($user, $group)) == false)
+ $rc = false;
+ }
+ }
+
+ $this->_unlockTables();
+ $this->_closeDB();
+ }
+ return $rc;
+ }
+
+ /**
+ * [public function]
+ *
+ * Remove one or more users from the list of registered users
+ *
+ * @param array $users array of users to be deleted
+ * @return int the number of users deleted
+ *
+ * @author Christopher Smith <chris@jalakai.co.uk>
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+ function deleteUsers($users) {
+ $count = 0;
+
+ if($this->_openDB()) {
+ if (is_array($users) && count($users)) {
+ $this->_lockTables("WRITE");
+ foreach ($users as $user) {
+ if ($this->_delUser($user))
+ $count++;
+ }
+ $this->_unlockTables();
+ }
+ $this->_closeDB();
+ }
+ return $count;
+ }
+
+ /**
+ * [public function]
+ *
+ * Counts users which meet certain $filter criteria.
+ *
+ * @param array $filter filter criteria in item/pattern pairs
+ * @return count of found users.
+ *
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+ function getUserCount($filter=array()) {
+ $rc = 0;
+
+ if($this->_openDB()) {
+ $sql = $this->_createSQLFilter($this->cnf['getUsers'], $filter);
+
+ if ($this->dbver >= 4) {
+ $sql = substr($sql, 6); /* remove 'SELECT' or 'select' */
+ $sql = "SELECT SQL_CALC_FOUND_ROWS".$sql." LIMIT 1";
+ $this->_queryDB($sql);
+ $result = $this->_queryDB("SELECT FOUND_ROWS()");
+ $rc = $result[0]['FOUND_ROWS()'];
+ } else if (($result = $this->_queryDB($sql)))
+ $rc = count($result);
+
+ $this->_closeDB();
+ }
+ return $rc;
+ }
+
+ /**
+ * Bulk retrieval of user data. [public function]
+ *
+ * @param first index of first user to be returned
+ * @param limit max number of users to be returned
+ * @param filter array of field/pattern pairs
+ * @return array of userinfo (refer getUserData for internal userinfo details)
+ *
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+ function retrieveUsers($first=0,$limit=10,$filter=array()) {
+ $out = array();
+
+ if($this->_openDB()) {
+ $this->_lockTables("READ");
+ $sql = $this->_createSQLFilter($this->cnf['getUsers'], $filter);
+ $sql .= " ".$this->cnf['SortOrder']." LIMIT $first, $limit";
+ $result = $this->_queryDB($sql);
+
+ if (!empty($result)) {
+ foreach ($result as $user)
+ if (($info = $this->_getUserInfo($user['user'])))
+ $out[$user['user']] = $info;
+ }
+
+ $this->_unlockTables();
+ $this->_closeDB();
+ }
+ return $out;
+ }
+
+ /**
+ * Give user membership of a group [public function]
+ *
+ * @param $user
+ * @param $group
+ * @return bool true on success, false on error
+ *
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+ function joinGroup($user, $group) {
+ $rc = false;
+
+ if ($this->_openDB()) {
+ $this->_lockTables("WRITE");
+ $rc = $this->_addUserToGroup($user, $group);
+ $this->_unlockTables();
+ $this->_closeDB();
+ }
+ return $rc;
+ }
+
+ /**
+ * Remove user from a group [public function]
+ *
+ * @param $user user that leaves a group
+ * @param $group group to leave
+ * @return bool
+ *
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+ function leaveGroup($user, $group) {
+ $rc = false;
+
+ if ($this->_openDB()) {
+ $this->_lockTables("WRITE");
+ $uid = $this->_getUserID($user);
+ $rc = $this->_delUserFromGroup($user, $group);
+ $this->_unlockTables();
+ $this->_closeDB();
+ }
+ return $rc;
+ }
+
+ /**
+ * MySQL is case-insensitive
+ */
+ function isCaseSensitive(){
+ return false;
+ }
+
+ /**
+ * Adds a user to a group.
+ *
+ * If $force is set to '1' non existing groups would be created.
+ *
+ * The database connection must already be established. Otherwise
+ * this function does nothing and returns 'false'. It is strongly
+ * recommended to call this function only after all participating
+ * tables (group and usergroup) have been locked.
+ *
+ * @param $user user to add to a group
+ * @param $group name of the group
+ * @param $force '1' create missing groups
+ * @return bool 'true' on success, 'false' on error
+ *
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+ function _addUserToGroup($user, $group, $force=0) {
+ $newgroup = 0;
+
+ if (($this->dbcon) && ($user)) {
+ $gid = $this->_getGroupID($group);
+ if (!$gid) {
+ if ($force) { // create missing groups
+ $sql = str_replace('%{group}',$this->_escape($group),$this->cnf['addGroup']);
+ $gid = $this->_modifyDB($sql);
+ $newgroup = 1; // group newly created
+ }
+ if (!$gid) return false; // group didn't exist and can't be created
+ }
+
+ $sql = $this->cnf['addUserGroup'];
+ if(strpos($sql,'%{uid}') !== false){
+ $uid = $this->_getUserID($user);
+ $sql = str_replace('%{uid}', $this->_escape($uid),$sql);
+ }
+ $sql = str_replace('%{user}', $this->_escape($user),$sql);
+ $sql = str_replace('%{gid}', $this->_escape($gid),$sql);
+ $sql = str_replace('%{group}',$this->_escape($group),$sql);
+ if ($this->_modifyDB($sql) !== false) return true;
+
+ if ($newgroup) { // remove previously created group on error
+ $sql = str_replace('%{gid}', $this->_escape($gid),$this->cnf['delGroup']);
+ $sql = str_replace('%{group}',$this->_escape($group),$sql);
+ $this->_modifyDB($sql);
+ }
+ }
+ return false;
+ }
+
+ /**
+ * Remove user from a group
+ *
+ * @param $user user that leaves a group
+ * @param $group group to leave
+ * @return bool true on success, false on error
+ *
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+ function _delUserFromGroup($user, $group) {
+ $rc = false;
+
+
+ if (($this->dbcon) && ($user)) {
+ $sql = $this->cnf['delUserGroup'];
+ if(strpos($sql,'%{uid}') !== false){
+ $uid = $this->_getUserID($user);
+ $sql = str_replace('%{uid}', $this->_escape($uid),$sql);
+ }
+ $gid = $this->_getGroupID($group);
+ if ($gid) {
+ $sql = str_replace('%{user}', $this->_escape($user),$sql);
+ $sql = str_replace('%{gid}', $this->_escape($gid),$sql);
+ $sql = str_replace('%{group}',$this->_escape($group),$sql);
+ $rc = $this->_modifyDB($sql) == 0 ? true : false;
+ }
+ }
+ return $rc;
+ }
+
+ /**
+ * Retrieves a list of groups the user is a member off.
+ *
+ * The database connection must already be established
+ * for this function to work. Otherwise it will return
+ * 'false'.
+ *
+ * @param $user user whose groups should be listed
+ * @return bool false on error
+ * @return array array containing all groups on success
+ *
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+ function _getGroups($user) {
+ $groups = array();
+
+ if($this->dbcon) {
+ $sql = str_replace('%{user}',$this->_escape($user),$this->cnf['getGroups']);
+ $result = $this->_queryDB($sql);
+
+ if($result !== false && count($result)) {
+ foreach($result as $row)
+ $groups[] = $row['group'];
+ }
+ return $groups;
+ }
+ return false;
+ }
+
+ /**
+ * Retrieves the user id of a given user name
+ *
+ * The database connection must already be established
+ * for this function to work. Otherwise it will return
+ * 'false'.
+ *
+ * @param $user user whose id is desired
+ * @return user id
+ *
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+ function _getUserID($user) {
+ if($this->dbcon) {
+ $sql = str_replace('%{user}',$this->_escape($user),$this->cnf['getUserID']);
+ $result = $this->_queryDB($sql);
+ return $result === false ? false : $result[0]['id'];
+ }
+ return false;
+ }
+
+ /**
+ * Adds a new User to the database.
+ *
+ * The database connection must already be established
+ * for this function to work. Otherwise it will return
+ * 'false'.
+ *
+ * @param $user login of the user
+ * @param $pwd encrypted password
+ * @param $name full name of the user
+ * @param $mail email address
+ * @param $grps array of groups the user should become member of
+ * @return bool
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Chris Smith <chris@jalakai.co.uk>
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+ function _addUser($user,$pwd,$name,$mail,$grps){
+ if($this->dbcon && is_array($grps)) {
+ $sql = str_replace('%{user}', $this->_escape($user),$this->cnf['addUser']);
+ $sql = str_replace('%{pass}', $this->_escape($pwd),$sql);
+ $sql = str_replace('%{name}', $this->_escape($name),$sql);
+ $sql = str_replace('%{email}',$this->_escape($mail),$sql);
+ $uid = $this->_modifyDB($sql);
+
+ if ($uid) {
+ foreach($grps as $group) {
+ $gid = $this->_addUserToGroup($user, $group, 1);
+ if ($gid === false) break;
+ }
+
+ if ($gid) return true;
+ else {
+ /* remove the new user and all group relations if a group can't
+ * be assigned. Newly created groups will remain in the database
+ * and won't be removed. This might create orphaned groups but
+ * is not a big issue so we ignore this problem here.
+ */
+ $this->_delUser($user);
+ if ($this->cnf['debug'])
+ msg ("MySQL err: Adding user '$user' to group '$group' failed.",-1,__LINE__,__FILE__);
+ }
+ }
+ }
+ return false;
+ }
+
+ /**
+ * Deletes a given user and all his group references.
+ *
+ * The database connection must already be established
+ * for this function to work. Otherwise it will return
+ * 'false'.
+ *
+ * @param $user user whose id is desired
+ * @return bool
+ *
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+ function _delUser($user) {
+ if($this->dbcon) {
+ $uid = $this->_getUserID($user);
+ if ($uid) {
+ $sql = str_replace('%{uid}',$this->_escape($uid),$this->cnf['delUserRefs']);
+ $this->_modifyDB($sql);
+ $sql = str_replace('%{uid}',$this->_escape($uid),$this->cnf['delUser']);
+ $sql = str_replace('%{user}', $this->_escape($user),$sql);
+ $this->_modifyDB($sql);
+ return true;
+ }
+ }
+ return false;
+ }
+
+ /**
+ * getUserInfo
+ *
+ * Gets the data for a specific user The database connection
+ * must already be established for this function to work.
+ * Otherwise it will return 'false'.
+ *
+ * @param $user user's nick to get data for
+ * @return bool false on error
+ * @return array user info on success
+ *
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+ function _getUserInfo($user){
+ $sql = str_replace('%{user}',$this->_escape($user),$this->cnf['getUserInfo']);
+ $result = $this->_queryDB($sql);
+ if($result !== false && count($result)) {
+ $info = $result[0];
+ $info['grps'] = $this->_getGroups($user);
+ return $info;
+ }
+ return false;
+ }
+
+ /**
+ * Updates the user info in the database
+ *
+ * Update a user data structure in the database according changes
+ * given in an array. The user name can only be changes if it didn't
+ * exists already. If the new user name exists the update procedure
+ * will be aborted. The database keeps unchanged.
+ *
+ * The database connection has already to be established for this
+ * function to work. Otherwise it will return 'false'.
+ *
+ * The password will be crypted if necessary.
+ *
+ * @param $changes array of items to change as pairs of item and value
+ * @param $uid user id of dataset to change, must be unique in DB
+ * @return true on success or false on error
+ *
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+ function _updateUserInfo($changes, $uid) {
+ $sql = $this->cnf['updateUser']." ";
+ $cnt = 0;
+ $err = 0;
+
+ if($this->dbcon) {
+ foreach ($changes as $item => $value) {
+ if ($item == 'user') {
+ if (($this->_getUserID($changes['user']))) {
+ $err = 1; /* new username already exists */
+ break; /* abort update */
+ }
+ if ($cnt++ > 0) $sql .= ", ";
+ $sql .= str_replace('%{user}',$value,$this->cnf['UpdateLogin']);
+ } else if ($item == 'name') {
+ if ($cnt++ > 0) $sql .= ", ";
+ $sql .= str_replace('%{name}',$value,$this->cnf['UpdateName']);
+ } else if ($item == 'pass') {
+ if (!$this->cnf['forwardClearPass'])
+ $value = auth_cryptPassword($value);
+ if ($cnt++ > 0) $sql .= ", ";
+ $sql .= str_replace('%{pass}',$value,$this->cnf['UpdatePass']);
+ } else if ($item == 'mail') {
+ if ($cnt++ > 0) $sql .= ", ";
+ $sql .= str_replace('%{email}',$value,$this->cnf['UpdateEmail']);
+ }
+ }
+
+ if ($err == 0) {
+ if ($cnt > 0) {
+ $sql .= " ".str_replace('%{uid}', $uid, $this->cnf['UpdateTarget']);
+ if(get_class($this) == 'auth_mysql') $sql .= " LIMIT 1"; //some PgSQL inheritance comp.
+ $this->_modifyDB($sql);
+ }
+ return true;
+ }
+ }
+ return false;
+ }
+
+ /**
+ * Retrieves the group id of a given group name
+ *
+ * The database connection must already be established
+ * for this function to work. Otherwise it will return
+ * 'false'.
+ *
+ * @param $group group name which id is desired
+ * @return group id
+ *
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+ function _getGroupID($group) {
+ if($this->dbcon) {
+ $sql = str_replace('%{group}',$this->_escape($group),$this->cnf['getGroupID']);
+ $result = $this->_queryDB($sql);
+ return $result === false ? false : $result[0]['id'];
+ }
+ return false;
+ }
+
+ /**
+ * Opens a connection to a database and saves the handle for further
+ * usage in the object. The successful call to this functions is
+ * essential for most functions in this object.
+ *
+ * @return bool
+ *
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+ function _openDB() {
+ if (!$this->dbcon) {
+ $con = @mysql_connect ($this->cnf['server'], $this->cnf['user'], $this->cnf['password']);
+ if ($con) {
+ if ((mysql_select_db($this->cnf['database'], $con))) {
+ if ((preg_match("/^(\d+)\.(\d+)\.(\d+).*/", mysql_get_server_info ($con), $result)) == 1) {
+ $this->dbver = $result[1];
+ $this->dbrev = $result[2];
+ $this->dbsub = $result[3];
+ }
+ $this->dbcon = $con;
+ if(!empty($this->cnf['charset'])){
+ mysql_query('SET CHARACTER SET "' . $this->cnf['charset'] . '"', $con);
+ }
+ return true; // connection and database successfully opened
+ } else {
+ mysql_close ($con);
+ if ($this->cnf['debug'])
+ msg("MySQL err: No access to database {$this->cnf['database']}.",-1,__LINE__,__FILE__);
+ }
+ } else if ($this->cnf['debug'])
+ msg ("MySQL err: Connection to {$this->cnf['user']}@{$this->cnf['server']} not possible.",
+ -1,__LINE__,__FILE__);
+
+ return false; // connection failed
+ }
+ return true; // connection already open
+ }
+
+ /**
+ * Closes a database connection.
+ *
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+ function _closeDB() {
+ if ($this->dbcon) {
+ mysql_close ($this->dbcon);
+ $this->dbcon = 0;
+ }
+ }
+
+ /**
+ * Sends a SQL query to the database and transforms the result into
+ * an associative array.
+ *
+ * This function is only able to handle queries that returns a
+ * table such as SELECT.
+ *
+ * @param $query SQL string that contains the query
+ * @return array with the result table
+ *
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+ function _queryDB($query) {
+ if($this->cnf['debug'] >= 2){
+ msg('MySQL query: '.hsc($query),0,__LINE__,__FILE__);
+ }
+
+ $resultarray = array();
+ if ($this->dbcon) {
+ $result = @mysql_query($query,$this->dbcon);
+ if ($result) {
+ while (($t = mysql_fetch_assoc($result)) !== false)
+ $resultarray[]=$t;
+ mysql_free_result ($result);
+ return $resultarray;
+ }
+ if ($this->cnf['debug'])
+ msg('MySQL err: '.mysql_error($this->dbcon),-1,__LINE__,__FILE__);
+ }
+ return false;
+ }
+
+ /**
+ * Sends a SQL query to the database
+ *
+ * This function is only able to handle queries that returns
+ * either nothing or an id value such as INPUT, DELETE, UPDATE, etc.
+ *
+ * @param $query SQL string that contains the query
+ * @return insert id or 0, false on error
+ *
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+ function _modifyDB($query) {
+ if ($this->dbcon) {
+ $result = @mysql_query($query,$this->dbcon);
+ if ($result) {
+ $rc = mysql_insert_id($this->dbcon); //give back ID on insert
+ if ($rc !== false) return $rc;
+ }
+ if ($this->cnf['debug'])
+ msg('MySQL err: '.mysql_error($this->dbcon),-1,__LINE__,__FILE__);
+ }
+ return false;
+ }
+
+ /**
+ * Locked a list of tables for exclusive access so that modifications
+ * to the database can't be disturbed by other threads. The list
+ * could be set with $conf['auth']['mysql']['TablesToLock'] = array()
+ *
+ * If aliases for tables are used in SQL statements, also this aliases
+ * must be locked. For eg. you use a table 'user' and the alias 'u' in
+ * some sql queries, the array must looks like this (order is important):
+ * array("user", "user AS u");
+ *
+ * MySQL V3 is not able to handle transactions with COMMIT/ROLLBACK
+ * so that this functionality is simulated by this function. Nevertheless
+ * it is not as powerful as transactions, it is a good compromise in safty.
+ *
+ * @param $mode could be 'READ' or 'WRITE'
+ *
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+ function _lockTables($mode) {
+ if ($this->dbcon) {
+ if (is_array($this->cnf['TablesToLock']) && !empty($this->cnf['TablesToLock'])) {
+ if ($mode == "READ" || $mode == "WRITE") {
+ $sql = "LOCK TABLES ";
+ $cnt = 0;
+ foreach ($this->cnf['TablesToLock'] as $table) {
+ if ($cnt++ != 0) $sql .= ", ";
+ $sql .= "$table $mode";
+ }
+ $this->_modifyDB($sql);
+ return true;
+ }
+ }
+ }
+ return false;
+ }
+
+ /**
+ * Unlock locked tables. All existing locks of this thread will be
+ * abrogated.
+ *
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+ function _unlockTables() {
+ if ($this->dbcon) {
+ $this->_modifyDB("UNLOCK TABLES");
+ return true;
+ }
+ return false;
+ }
+
+ /**
+ * Transforms the filter settings in an filter string for a SQL database
+ * The database connection must already be established, otherwise the
+ * original SQL string without filter criteria will be returned.
+ *
+ * @param $sql SQL string to which the $filter criteria should be added
+ * @param $filter array of filter criteria as pairs of item and pattern
+ * @return SQL string with attached $filter criteria on success
+ * @return the original SQL string on error.
+ *
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+ function _createSQLFilter($sql, $filter) {
+ $SQLfilter = "";
+ $cnt = 0;
+
+ if ($this->dbcon) {
+ foreach ($filter as $item => $pattern) {
+ $tmp = '%'.$this->_escape($pattern).'%';
+ if ($item == 'user') {
+ if ($cnt++ > 0) $SQLfilter .= " AND ";
+ $SQLfilter .= str_replace('%{user}',$tmp,$this->cnf['FilterLogin']);
+ } else if ($item == 'name') {
+ if ($cnt++ > 0) $SQLfilter .= " AND ";
+ $SQLfilter .= str_replace('%{name}',$tmp,$this->cnf['FilterName']);
+ } else if ($item == 'mail') {
+ if ($cnt++ > 0) $SQLfilter .= " AND ";
+ $SQLfilter .= str_replace('%{email}',$tmp,$this->cnf['FilterEmail']);
+ } else if ($item == 'grps') {
+ if ($cnt++ > 0) $SQLfilter .= " AND ";
+ $SQLfilter .= str_replace('%{group}',$tmp,$this->cnf['FilterGroup']);
+ }
+ }
+
+ // we have to check SQLfilter here and must not use $cnt because if
+ // any of cnf['Filter????'] is not defined, a malformed SQL string
+ // would be generated.
+
+ if (strlen($SQLfilter)) {
+ $glue = strpos(strtolower($sql),"where") ? " AND " : " WHERE ";
+ $sql = $sql.$glue.$SQLfilter;
+ }
+ }
+
+ return $sql;
+ }
+
+ /**
+ * Escape a string for insertion into the database
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @param string $string The string to escape
+ * @param boolean $like Escape wildcard chars as well?
+ */
+ function _escape($string,$like=false){
+ if($this->dbcon){
+ $string = mysql_real_escape_string($string, $this->dbcon);
+ }else{
+ $string = addslashes($string);
+ }
+ if($like){
+ $string = addcslashes($string,'%_');
+ }
+ return $string;
+ }
+}
+
+//Setup VIM: ex: et ts=2 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/auth/pgsql.class.php b/mod/dokuwiki/vendors/dokuwiki/inc/auth/pgsql.class.php
new file mode 100644
index 000000000..a6da56af5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/auth/pgsql.class.php
@@ -0,0 +1,411 @@
+<?php
+/**
+ * PgSQL authentication backend
+ *
+ * This class inherits much functionality from the MySQL class
+ * and just reimplements the Postgres specific parts.
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Chris Smith <chris@jalakai.co.uk>
+ * @author Matthias Grimm <matthias.grimmm@sourceforge.net>
+*/
+
+define('DOKU_AUTH', dirname(__FILE__));
+require_once(DOKU_AUTH.'/mysql.class.php');
+
+class auth_pgsql extends auth_mysql {
+
+ /**
+ * Constructor
+ *
+ * checks if the pgsql interface is available, otherwise it will
+ * set the variable $success of the basis class to false
+ *
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function auth_pgsql() {
+ global $conf;
+ $this->cnf = $conf['auth']['pgsql'];
+ if(!$this->cnf['port']) $this->cnf['port'] = 5432;
+
+ if (method_exists($this, 'auth_basic'))
+ parent::auth_basic();
+
+ if(!function_exists('pg_connect')) {
+ if ($this->cnf['debug'])
+ msg("PgSQL err: PHP Postgres extension not found.",-1);
+ $this->success = false;
+ return;
+ }
+
+ $this->defaultgroup = $conf['defaultgroup'];
+
+ // set capabilities based upon config strings set
+ if (empty($this->cnf['user']) ||
+ empty($this->cnf['password']) || empty($this->cnf['database'])){
+ if ($this->cnf['debug'])
+ msg("PgSQL err: insufficient configuration.",-1,__LINE__,__FILE__);
+ $this->success = false;
+ return;
+ }
+
+ $this->cando['addUser'] = $this->_chkcnf(array('getUserInfo',
+ 'getGroups',
+ 'addUser',
+ 'getUserID',
+ 'getGroupID',
+ 'addGroup',
+ 'addUserGroup'));
+ $this->cando['delUser'] = $this->_chkcnf(array('getUserID',
+ 'delUser',
+ 'delUserRefs'));
+ $this->cando['modLogin'] = $this->_chkcnf(array('getUserID',
+ 'updateUser',
+ 'UpdateTarget'));
+ $this->cando['modPass'] = $this->cando['modLogin'];
+ $this->cando['modName'] = $this->cando['modLogin'];
+ $this->cando['modMail'] = $this->cando['modLogin'];
+ $this->cando['modGroups'] = $this->_chkcnf(array('getUserID',
+ 'getGroups',
+ 'getGroupID',
+ 'addGroup',
+ 'addUserGroup',
+ 'delGroup',
+ 'getGroupID',
+ 'delUserGroup'));
+ /* getGroups is not yet supported
+ $this->cando['getGroups'] = $this->_chkcnf(array('getGroups',
+ 'getGroupID')); */
+ $this->cando['getUsers'] = $this->_chkcnf(array('getUsers',
+ 'getUserInfo',
+ 'getGroups'));
+ $this->cando['getUserCount'] = $this->_chkcnf(array('getUsers'));
+ }
+
+ /**
+ * Check if the given config strings are set
+ *
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ * @return bool
+ */
+ function _chkcnf($keys, $wop=false){
+ foreach ($keys as $key){
+ if (empty($this->cnf[$key])) return false;
+ }
+ return true;
+ }
+
+ // @inherit function checkPass($user,$pass)
+ // @inherit function getUserData($user)
+ // @inherit function createUser($user,$pwd,$name,$mail,$grps=null)
+ // @inherit function modifyUser($user, $changes)
+ // @inherit function deleteUsers($users)
+
+
+ /**
+ * [public function]
+ *
+ * Counts users which meet certain $filter criteria.
+ *
+ * @param array $filter filter criteria in item/pattern pairs
+ * @return count of found users.
+ *
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+ function getUserCount($filter=array()) {
+ $rc = 0;
+
+ if($this->_openDB()) {
+ $sql = $this->_createSQLFilter($this->cnf['getUsers'], $filter);
+
+ // no equivalent of SQL_CALC_FOUND_ROWS in pgsql?
+ if (($result = $this->_queryDB($sql))){
+ $rc = count($result);
+ }
+ $this->_closeDB();
+ }
+ return $rc;
+ }
+
+ /**
+ * Bulk retrieval of user data. [public function]
+ *
+ * @param first index of first user to be returned
+ * @param limit max number of users to be returned
+ * @param filter array of field/pattern pairs
+ * @return array of userinfo (refer getUserData for internal userinfo details)
+ *
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+ function retrieveUsers($first=0,$limit=10,$filter=array()) {
+ $out = array();
+
+ if($this->_openDB()) {
+ $this->_lockTables("READ");
+ $sql = $this->_createSQLFilter($this->cnf['getUsers'], $filter);
+ $sql .= " ".$this->cnf['SortOrder']." LIMIT $limit OFFSET $first";
+ $result = $this->_queryDB($sql);
+
+ foreach ($result as $user)
+ if (($info = $this->_getUserInfo($user['user'])))
+ $out[$user['user']] = $info;
+
+ $this->_unlockTables();
+ $this->_closeDB();
+ }
+ return $out;
+ }
+
+ // @inherit function joinGroup($user, $group)
+ // @inherit function leaveGroup($user, $group) {
+
+ /**
+ * Adds a user to a group.
+ *
+ * If $force is set to '1' non existing groups would be created.
+ *
+ * The database connection must already be established. Otherwise
+ * this function does nothing and returns 'false'.
+ *
+ * @param $user user to add to a group
+ * @param $group name of the group
+ * @param $force '1' create missing groups
+ * @return bool 'true' on success, 'false' on error
+ *
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function _addUserToGroup($user, $group, $force=0) {
+ $newgroup = 0;
+
+ if (($this->dbcon) && ($user)) {
+ $gid = $this->_getGroupID($group);
+ if (!$gid) {
+ if ($force) { // create missing groups
+ $sql = str_replace('%{group}',addslashes($group),$this->cnf['addGroup']);
+ $this->_modifyDB($sql);
+ //group should now exists try again to fetch it
+ $gid = $this->_getGroupID($group);
+ $newgroup = 1; // group newly created
+ }
+ }
+ if (!$gid) return false; // group didn't exist and can't be created
+
+ $sql = $this->cnf['addUserGroup'];
+ if(strpos($sql,'%{uid}') !== false){
+ $uid = $this->_getUserID($user);
+ $sql = str_replace('%{uid}', addslashes($uid), $sql);
+ }
+ $sql = str_replace('%{user}', addslashes($user),$sql);
+ $sql = str_replace('%{gid}', addslashes($gid),$sql);
+ $sql = str_replace('%{group}',addslashes($group),$sql);
+ if ($this->_modifyDB($sql) !== false) return true;
+
+ if ($newgroup) { // remove previously created group on error
+ $sql = str_replace('%{gid}', addslashes($gid),$this->cnf['delGroup']);
+ $sql = str_replace('%{group}',addslashes($group),$sql);
+ $this->_modifyDB($sql);
+ }
+ }
+ return false;
+ }
+
+ // @inherit function _delUserFromGroup($user $group)
+ // @inherit function _getGroups($user)
+ // @inherit function _getUserID($user)
+
+ /**
+ * Adds a new User to the database.
+ *
+ * The database connection must already be established
+ * for this function to work. Otherwise it will return
+ * 'false'.
+ *
+ * @param $user login of the user
+ * @param $pwd encrypted password
+ * @param $name full name of the user
+ * @param $mail email address
+ * @param $grps array of groups the user should become member of
+ * @return bool
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Chris Smith <chris@jalakai.co.uk>
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+ function _addUser($user,$pwd,$name,$mail,$grps){
+ if($this->dbcon && is_array($grps)) {
+ $sql = str_replace('%{user}', addslashes($user),$this->cnf['addUser']);
+ $sql = str_replace('%{pass}', addslashes($pwd),$sql);
+ $sql = str_replace('%{name}', addslashes($name),$sql);
+ $sql = str_replace('%{email}',addslashes($mail),$sql);
+ if($this->_modifyDB($sql)){
+ $uid = $this->_getUserID($user);
+ }else{
+ return false;
+ }
+
+ if ($uid) {
+ foreach($grps as $group) {
+ $gid = $this->_addUserToGroup($user, $group, 1);
+ if ($gid === false) break;
+ }
+
+ if ($gid) return true;
+ else {
+ /* remove the new user and all group relations if a group can't
+ * be assigned. Newly created groups will remain in the database
+ * and won't be removed. This might create orphaned groups but
+ * is not a big issue so we ignore this problem here.
+ */
+ $this->_delUser($user);
+ if ($this->cnf['debug'])
+ msg("PgSQL err: Adding user '$user' to group '$group' failed.",-1,__LINE__,__FILE__);
+ }
+ }
+ }
+ return false;
+ }
+
+ // @inherit function _delUser($user)
+ // @inherit function _getUserInfo($user)
+ // @inherit function _updateUserInfo($changes, $uid)
+ // @inherit function _getGroupID($group)
+
+ /**
+ * Opens a connection to a database and saves the handle for further
+ * usage in the object. The successful call to this functions is
+ * essential for most functions in this object.
+ *
+ * @return bool
+ *
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+ function _openDB() {
+ if (!$this->dbcon) {
+ $dsn = $this->cnf['server'] ? 'host='.$this->cnf['server'] : '';
+ $dsn .= ' port='.$this->cnf['port'];
+ $dsn .= ' dbname='.$this->cnf['database'];
+ $dsn .= ' user='.$this->cnf['user'];
+ $dsn .= ' password='.$this->cnf['password'];
+
+ $con = @pg_connect($dsn);
+ if ($con) {
+ $this->dbcon = $con;
+ return true; // connection and database successfully opened
+ } else if ($this->cnf['debug']){
+ msg ("PgSQL err: Connection to {$this->cnf['user']}@{$this->cnf['server']} not possible.",
+ -1,__LINE__,__FILE__);
+ }
+ return false; // connection failed
+ }
+ return true; // connection already open
+ }
+
+ /**
+ * Closes a database connection.
+ *
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+ function _closeDB() {
+ if ($this->dbcon) {
+ pg_close ($this->dbcon);
+ $this->dbcon = 0;
+ }
+ }
+
+ /**
+ * Sends a SQL query to the database and transforms the result into
+ * an associative array.
+ *
+ * This function is only able to handle queries that returns a
+ * table such as SELECT.
+ *
+ * @param $query SQL string that contains the query
+ * @return array with the result table
+ *
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+ function _queryDB($query) {
+ if ($this->dbcon) {
+ $result = @pg_query($this->dbcon,$query);
+ if ($result) {
+ while (($t = pg_fetch_assoc($result)) !== false)
+ $resultarray[]=$t;
+ pg_free_result ($result);
+ return $resultarray;
+ }elseif ($this->cnf['debug'])
+ msg('PgSQL err: '.pg_last_error($this->dbcon),-1,__LINE__,__FILE__);
+ }
+ return false;
+ }
+
+ /**
+ * Executes an update or insert query. This differs from the
+ * MySQL one because it does NOT return the last insertID
+ *
+ * @author Andreas Gohr
+ */
+ function _modifyDB($query) {
+ if ($this->dbcon) {
+ $result = @pg_query($this->dbcon,$query);
+ if ($result) {
+ pg_free_result ($result);
+ return true;
+ }
+ if ($this->cnf['debug']){
+ msg('PgSQL err: '.pg_last_error($this->dbcon),-1,__LINE__,__FILE__);
+ }
+ }
+ return false;
+ }
+
+ /**
+ * Start a transaction
+ *
+ * @param $mode could be 'READ' or 'WRITE'
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+ function _lockTables($mode) {
+ if ($this->dbcon) {
+ $this->_modifyDB('BEGIN');
+ return true;
+ }
+ return false;
+ }
+
+ /**
+ * Commit a transaction
+ *
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+ function _unlockTables() {
+ if ($this->dbcon) {
+ $this->_modifyDB('COMMIT');
+ return true;
+ }
+ return false;
+ }
+
+ // @inherit function _createSQLFilter($sql, $filter)
+
+
+ /**
+ * Escape a string for insertion into the database
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @param string $string The string to escape
+ * @param boolean $like Escape wildcard chars as well?
+ */
+ function _escape($string,$like=false){
+ $string = pg_escape_string($string);
+ if($like){
+ $string = addcslashes($string,'%_');
+ }
+ return $string;
+ }
+
+}
+
+//Setup VIM: ex: et ts=2 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/auth/plain.class.php b/mod/dokuwiki/vendors/dokuwiki/inc/auth/plain.class.php
new file mode 100644
index 000000000..94e0c6bc2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/auth/plain.class.php
@@ -0,0 +1,324 @@
+<?php
+/**
+ * Plaintext authentication backend
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Chris Smith <chris@jalakai.co.uk>
+ */
+
+define('DOKU_AUTH', dirname(__FILE__));
+require_once(DOKU_AUTH.'/basic.class.php');
+
+define('AUTH_USERFILE',DOKU_CONF.'users.auth.php');
+
+class auth_plain extends auth_basic {
+
+ var $users = null;
+ var $_pattern = array();
+
+ /**
+ * Constructor
+ *
+ * Carry out sanity checks to ensure the object is
+ * able to operate. Set capabilities.
+ *
+ * @author Christopher Smith <chris@jalakai.co.uk>
+ */
+ function auth_plain() {
+ if (!@is_readable(AUTH_USERFILE)){
+ $this->success = false;
+ }else{
+ if(@is_writable(AUTH_USERFILE)){
+ $this->cando['addUser'] = true;
+ $this->cando['delUser'] = true;
+ $this->cando['modLogin'] = true;
+ $this->cando['modPass'] = true;
+ $this->cando['modName'] = true;
+ $this->cando['modMail'] = true;
+ $this->cando['modGroups'] = true;
+ }
+ $this->cando['getUsers'] = true;
+ $this->cando['getUserCount'] = true;
+ }
+ }
+
+ /**
+ * Check user+password [required auth function]
+ *
+ * Checks if the given user exists and the given
+ * plaintext password is correct
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @return bool
+ */
+ function checkPass($user,$pass){
+
+ $userinfo = $this->getUserData($user);
+ if ($userinfo === false) return false;
+
+ return auth_verifyPassword($pass,$this->users[$user]['pass']);
+ }
+
+ /**
+ * Return user info
+ *
+ * Returns info about the given user needs to contain
+ * at least these fields:
+ *
+ * name string full name of the user
+ * mail string email addres of the user
+ * grps array list of groups the user is in
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function getUserData($user){
+
+ if($this->users === null) $this->_loadUserData();
+ return isset($this->users[$user]) ? $this->users[$user] : false;
+ }
+
+ /**
+ * Create a new User
+ *
+ * Returns false if the user already exists, null when an error
+ * occurred and true if everything went well.
+ *
+ * The new user will be added to the default group by this
+ * function if grps are not specified (default behaviour).
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Chris Smith <chris@jalakai.co.uk>
+ */
+ function createUser($user,$pwd,$name,$mail,$grps=null){
+ global $conf;
+
+ // user mustn't already exist
+ if ($this->getUserData($user) !== false) return false;
+
+ $pass = auth_cryptPassword($pwd);
+
+ // set default group if no groups specified
+ if (!is_array($grps)) $grps = array($conf['defaultgroup']);
+
+ // prepare user line
+ $groups = join(',',$grps);
+ $userline = join(':',array($user,$pass,$name,$mail,$groups))."\n";
+
+ if (io_saveFile(AUTH_USERFILE,$userline,true)) {
+ $this->users[$user] = compact('pass','name','mail','grps');
+ return $pwd;
+ }
+
+ msg('The '.AUTH_USERFILE.' file is not writable. Please inform the Wiki-Admin',-1);
+ return null;
+ }
+
+ /**
+ * Modify user data
+ *
+ * @author Chris Smith <chris@jalakai.co.uk>
+ * @param $user nick of the user to be changed
+ * @param $changes array of field/value pairs to be changed (password will be clear text)
+ * @return bool
+ */
+ function modifyUser($user, $changes) {
+ global $conf;
+ global $ACT;
+ global $INFO;
+
+ // sanity checks, user must already exist and there must be something to change
+ if (($userinfo = $this->getUserData($user)) === false) return false;
+ if (!is_array($changes) || !count($changes)) return true;
+
+ // update userinfo with new data, remembering to encrypt any password
+ $newuser = $user;
+ foreach ($changes as $field => $value) {
+ if ($field == 'user') {
+ $newuser = $value;
+ continue;
+ }
+ if ($field == 'pass') $value = auth_cryptPassword($value);
+ $userinfo[$field] = $value;
+ }
+
+ $groups = join(',',$userinfo['grps']);
+ $userline = join(':',array($newuser, $userinfo['pass'], $userinfo['name'], $userinfo['mail'], $groups))."\n";
+
+ if (!$this->deleteUsers(array($user))) {
+ msg('Unable to modify user data. Please inform the Wiki-Admin',-1);
+ return false;
+ }
+
+ if (!io_saveFile(AUTH_USERFILE,$userline,true)) {
+ msg('There was an error modifying your user data. You should register again.',-1);
+ // FIXME, user has been deleted but not recreated, should force a logout and redirect to login page
+ $ACT == 'register';
+ return false;
+ }
+
+ $this->users[$newuser] = $userinfo;
+ return true;
+ }
+
+ /**
+ * Remove one or more users from the list of registered users
+ *
+ * @author Christopher Smith <chris@jalakai.co.uk>
+ * @param array $users array of users to be deleted
+ * @return int the number of users deleted
+ */
+ function deleteUsers($users) {
+
+ if (!is_array($users) || empty($users)) return 0;
+
+ if ($this->users === null) $this->_loadUserData();
+
+ $deleted = array();
+ foreach ($users as $user) {
+ if (isset($this->users[$user])) $deleted[] = preg_quote($user,'/');
+ }
+
+ if (empty($deleted)) return 0;
+
+ $pattern = '/^('.join('|',$deleted).'):/';
+
+ if (io_deleteFromFile(AUTH_USERFILE,$pattern,true)) {
+ foreach ($deleted as $user) unset($this->users[$user]);
+ return count($deleted);
+ }
+
+ // problem deleting, reload the user list and count the difference
+ $count = count($this->users);
+ $this->_loadUserData();
+ $count -= count($this->users);
+ return $count;
+ }
+
+ /**
+ * Return a count of the number of user which meet $filter criteria
+ *
+ * @author Chris Smith <chris@jalakai.co.uk>
+ */
+ function getUserCount($filter=array()) {
+ if($this->users === null) $this->_loadUserData();
+
+ if (!count($filter)) return count($this->users);
+
+ $count = 0;
+ $this->_constructPattern($filter);
+
+ foreach ($this->users as $user => $info) {
+ $count += $this->_filter($user, $info);
+ }
+
+ return $count;
+ }
+
+ /**
+ * Bulk retrieval of user data
+ *
+ * @author Chris Smith <chris@jalakai.co.uk>
+ * @param start index of first user to be returned
+ * @param limit max number of users to be returned
+ * @param filter array of field/pattern pairs
+ * @return array of userinfo (refer getUserData for internal userinfo details)
+ */
+ function retrieveUsers($start=0,$limit=0,$filter=array()) {
+
+ if ($this->users === null) $this->_loadUserData();
+
+ ksort($this->users);
+
+ $i = 0;
+ $count = 0;
+ $out = array();
+ $this->_constructPattern($filter);
+
+ foreach ($this->users as $user => $info) {
+ if ($this->_filter($user, $info)) {
+ if ($i >= $start) {
+ $out[$user] = $info;
+ $count++;
+ if (($limit > 0) && ($count >= $limit)) break;
+ }
+ $i++;
+ }
+ }
+
+ return $out;
+ }
+
+ /**
+ * Only valid pageid's (no namespaces) for usernames
+ */
+ function cleanUser($user){
+ global $conf;
+ return cleanID(str_replace(':',$conf['sepchar'],$user));
+ }
+
+ /**
+ * Only valid pageid's (no namespaces) for groupnames
+ */
+ function cleanGroup($group){
+ global $conf;
+ return cleanID(str_replace(':',$conf['sepchar'],$group));
+ }
+
+ /**
+ * Load all user data
+ *
+ * loads the user file into a datastructure
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function _loadUserData(){
+ $this->users = array();
+
+ if(!@file_exists(AUTH_USERFILE)) return;
+
+ $lines = file(AUTH_USERFILE);
+ foreach($lines as $line){
+ $line = preg_replace('/#.*$/','',$line); //ignore comments
+ $line = trim($line);
+ if(empty($line)) continue;
+
+ $row = explode(":",$line,5);
+ $groups = array_values(array_filter(explode(",",$row[4])));
+
+ $this->users[$row[0]]['pass'] = $row[1];
+ $this->users[$row[0]]['name'] = urldecode($row[2]);
+ $this->users[$row[0]]['mail'] = $row[3];
+ $this->users[$row[0]]['grps'] = $groups;
+ }
+ }
+
+ /**
+ * return 1 if $user + $info match $filter criteria, 0 otherwise
+ *
+ * @author Chris Smith <chris@jalakai.co.uk>
+ */
+ function _filter($user, $info) {
+ // FIXME
+ foreach ($this->_pattern as $item => $pattern) {
+ if ($item == 'user') {
+ if (!preg_match($pattern, $user)) return 0;
+ } else if ($item == 'grps') {
+ if (!count(preg_grep($pattern, $info['grps']))) return 0;
+ } else {
+ if (!preg_match($pattern, $info[$item])) return 0;
+ }
+ }
+ return 1;
+ }
+
+ function _constructPattern($filter) {
+ $this->_pattern = array();
+ foreach ($filter as $item => $pattern) {
+// $this->_pattern[$item] = '/'.preg_quote($pattern,"/").'/i'; // don't allow regex characters
+ $this->_pattern[$item] = '/'.str_replace('/','\/',$pattern).'/i'; // allow regex characters
+ }
+ }
+}
+
+//Setup VIM: ex: et ts=2 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/blowfish.php b/mod/dokuwiki/vendors/dokuwiki/inc/blowfish.php
new file mode 100644
index 000000000..42e3a589a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/blowfish.php
@@ -0,0 +1,521 @@
+<?php
+/* vim: set expandtab sw=4 ts=4 sts=4: */
+/**
+ * The Cipher_blowfish:: class implements the Cipher interface enryption data
+ * using the Blowfish algorithm.
+ *
+ * $Horde: horde/lib/Cipher/blowfish.php,v 1.2.2.3 2003/01/03 13:23:22 jan Exp $
+ *
+ * Copyright 2002-2003 Mike Cochrane <mike@graftonhall.co.nz>
+ *
+ * See the enclosed file COPYING for license information (LGPL). If you
+ * did not receive this file, see http://www.fsf.org/copyleft/lgpl.html.
+ *
+ * @author Mike Cochrane <mike@graftonhall.co.nz>
+ * @version $Id: blowfish.php 11081 2008-01-25 09:35:48Z cybot_tm $
+ * @since Horde 2.2
+ * @package horde.cipher
+ */
+
+// Change for phpMyAdmin by lem9:
+//class Horde_Cipher_blowfish extends Horde_Cipher {
+class Horde_Cipher_blowfish
+{
+ /* Pi Array */
+ var $p = array(
+ 0x243F6A88, 0x85A308D3, 0x13198A2E, 0x03707344,
+ 0xA4093822, 0x299F31D0, 0x082EFA98, 0xEC4E6C89,
+ 0x452821E6, 0x38D01377, 0xBE5466CF, 0x34E90C6C,
+ 0xC0AC29B7, 0xC97C50DD, 0x3F84D5B5, 0xB5470917,
+ 0x9216D5D9, 0x8979FB1B);
+
+ /* S Boxes */
+ var $s1 = array(
+ 0xD1310BA6, 0x98DFB5AC, 0x2FFD72DB, 0xD01ADFB7,
+ 0xB8E1AFED, 0x6A267E96, 0xBA7C9045, 0xF12C7F99,
+ 0x24A19947, 0xB3916CF7, 0x0801F2E2, 0x858EFC16,
+ 0x636920D8, 0x71574E69, 0xA458FEA3, 0xF4933D7E,
+ 0x0D95748F, 0x728EB658, 0x718BCD58, 0x82154AEE,
+ 0x7B54A41D, 0xC25A59B5, 0x9C30D539, 0x2AF26013,
+ 0xC5D1B023, 0x286085F0, 0xCA417918, 0xB8DB38EF,
+ 0x8E79DCB0, 0x603A180E, 0x6C9E0E8B, 0xB01E8A3E,
+ 0xD71577C1, 0xBD314B27, 0x78AF2FDA, 0x55605C60,
+ 0xE65525F3, 0xAA55AB94, 0x57489862, 0x63E81440,
+ 0x55CA396A, 0x2AAB10B6, 0xB4CC5C34, 0x1141E8CE,
+ 0xA15486AF, 0x7C72E993, 0xB3EE1411, 0x636FBC2A,
+ 0x2BA9C55D, 0x741831F6, 0xCE5C3E16, 0x9B87931E,
+ 0xAFD6BA33, 0x6C24CF5C, 0x7A325381, 0x28958677,
+ 0x3B8F4898, 0x6B4BB9AF, 0xC4BFE81B, 0x66282193,
+ 0x61D809CC, 0xFB21A991, 0x487CAC60, 0x5DEC8032,
+ 0xEF845D5D, 0xE98575B1, 0xDC262302, 0xEB651B88,
+ 0x23893E81, 0xD396ACC5, 0x0F6D6FF3, 0x83F44239,
+ 0x2E0B4482, 0xA4842004, 0x69C8F04A, 0x9E1F9B5E,
+ 0x21C66842, 0xF6E96C9A, 0x670C9C61, 0xABD388F0,
+ 0x6A51A0D2, 0xD8542F68, 0x960FA728, 0xAB5133A3,
+ 0x6EEF0B6C, 0x137A3BE4, 0xBA3BF050, 0x7EFB2A98,
+ 0xA1F1651D, 0x39AF0176, 0x66CA593E, 0x82430E88,
+ 0x8CEE8619, 0x456F9FB4, 0x7D84A5C3, 0x3B8B5EBE,
+ 0xE06F75D8, 0x85C12073, 0x401A449F, 0x56C16AA6,
+ 0x4ED3AA62, 0x363F7706, 0x1BFEDF72, 0x429B023D,
+ 0x37D0D724, 0xD00A1248, 0xDB0FEAD3, 0x49F1C09B,
+ 0x075372C9, 0x80991B7B, 0x25D479D8, 0xF6E8DEF7,
+ 0xE3FE501A, 0xB6794C3B, 0x976CE0BD, 0x04C006BA,
+ 0xC1A94FB6, 0x409F60C4, 0x5E5C9EC2, 0x196A2463,
+ 0x68FB6FAF, 0x3E6C53B5, 0x1339B2EB, 0x3B52EC6F,
+ 0x6DFC511F, 0x9B30952C, 0xCC814544, 0xAF5EBD09,
+ 0xBEE3D004, 0xDE334AFD, 0x660F2807, 0x192E4BB3,
+ 0xC0CBA857, 0x45C8740F, 0xD20B5F39, 0xB9D3FBDB,
+ 0x5579C0BD, 0x1A60320A, 0xD6A100C6, 0x402C7279,
+ 0x679F25FE, 0xFB1FA3CC, 0x8EA5E9F8, 0xDB3222F8,
+ 0x3C7516DF, 0xFD616B15, 0x2F501EC8, 0xAD0552AB,
+ 0x323DB5FA, 0xFD238760, 0x53317B48, 0x3E00DF82,
+ 0x9E5C57BB, 0xCA6F8CA0, 0x1A87562E, 0xDF1769DB,
+ 0xD542A8F6, 0x287EFFC3, 0xAC6732C6, 0x8C4F5573,
+ 0x695B27B0, 0xBBCA58C8, 0xE1FFA35D, 0xB8F011A0,
+ 0x10FA3D98, 0xFD2183B8, 0x4AFCB56C, 0x2DD1D35B,
+ 0x9A53E479, 0xB6F84565, 0xD28E49BC, 0x4BFB9790,
+ 0xE1DDF2DA, 0xA4CB7E33, 0x62FB1341, 0xCEE4C6E8,
+ 0xEF20CADA, 0x36774C01, 0xD07E9EFE, 0x2BF11FB4,
+ 0x95DBDA4D, 0xAE909198, 0xEAAD8E71, 0x6B93D5A0,
+ 0xD08ED1D0, 0xAFC725E0, 0x8E3C5B2F, 0x8E7594B7,
+ 0x8FF6E2FB, 0xF2122B64, 0x8888B812, 0x900DF01C,
+ 0x4FAD5EA0, 0x688FC31C, 0xD1CFF191, 0xB3A8C1AD,
+ 0x2F2F2218, 0xBE0E1777, 0xEA752DFE, 0x8B021FA1,
+ 0xE5A0CC0F, 0xB56F74E8, 0x18ACF3D6, 0xCE89E299,
+ 0xB4A84FE0, 0xFD13E0B7, 0x7CC43B81, 0xD2ADA8D9,
+ 0x165FA266, 0x80957705, 0x93CC7314, 0x211A1477,
+ 0xE6AD2065, 0x77B5FA86, 0xC75442F5, 0xFB9D35CF,
+ 0xEBCDAF0C, 0x7B3E89A0, 0xD6411BD3, 0xAE1E7E49,
+ 0x00250E2D, 0x2071B35E, 0x226800BB, 0x57B8E0AF,
+ 0x2464369B, 0xF009B91E, 0x5563911D, 0x59DFA6AA,
+ 0x78C14389, 0xD95A537F, 0x207D5BA2, 0x02E5B9C5,
+ 0x83260376, 0x6295CFA9, 0x11C81968, 0x4E734A41,
+ 0xB3472DCA, 0x7B14A94A, 0x1B510052, 0x9A532915,
+ 0xD60F573F, 0xBC9BC6E4, 0x2B60A476, 0x81E67400,
+ 0x08BA6FB5, 0x571BE91F, 0xF296EC6B, 0x2A0DD915,
+ 0xB6636521, 0xE7B9F9B6, 0xFF34052E, 0xC5855664,
+ 0x53B02D5D, 0xA99F8FA1, 0x08BA4799, 0x6E85076A);
+ var $s2 = array(
+ 0x4B7A70E9, 0xB5B32944, 0xDB75092E, 0xC4192623,
+ 0xAD6EA6B0, 0x49A7DF7D, 0x9CEE60B8, 0x8FEDB266,
+ 0xECAA8C71, 0x699A17FF, 0x5664526C, 0xC2B19EE1,
+ 0x193602A5, 0x75094C29, 0xA0591340, 0xE4183A3E,
+ 0x3F54989A, 0x5B429D65, 0x6B8FE4D6, 0x99F73FD6,
+ 0xA1D29C07, 0xEFE830F5, 0x4D2D38E6, 0xF0255DC1,
+ 0x4CDD2086, 0x8470EB26, 0x6382E9C6, 0x021ECC5E,
+ 0x09686B3F, 0x3EBAEFC9, 0x3C971814, 0x6B6A70A1,
+ 0x687F3584, 0x52A0E286, 0xB79C5305, 0xAA500737,
+ 0x3E07841C, 0x7FDEAE5C, 0x8E7D44EC, 0x5716F2B8,
+ 0xB03ADA37, 0xF0500C0D, 0xF01C1F04, 0x0200B3FF,
+ 0xAE0CF51A, 0x3CB574B2, 0x25837A58, 0xDC0921BD,
+ 0xD19113F9, 0x7CA92FF6, 0x94324773, 0x22F54701,
+ 0x3AE5E581, 0x37C2DADC, 0xC8B57634, 0x9AF3DDA7,
+ 0xA9446146, 0x0FD0030E, 0xECC8C73E, 0xA4751E41,
+ 0xE238CD99, 0x3BEA0E2F, 0x3280BBA1, 0x183EB331,
+ 0x4E548B38, 0x4F6DB908, 0x6F420D03, 0xF60A04BF,
+ 0x2CB81290, 0x24977C79, 0x5679B072, 0xBCAF89AF,
+ 0xDE9A771F, 0xD9930810, 0xB38BAE12, 0xDCCF3F2E,
+ 0x5512721F, 0x2E6B7124, 0x501ADDE6, 0x9F84CD87,
+ 0x7A584718, 0x7408DA17, 0xBC9F9ABC, 0xE94B7D8C,
+ 0xEC7AEC3A, 0xDB851DFA, 0x63094366, 0xC464C3D2,
+ 0xEF1C1847, 0x3215D908, 0xDD433B37, 0x24C2BA16,
+ 0x12A14D43, 0x2A65C451, 0x50940002, 0x133AE4DD,
+ 0x71DFF89E, 0x10314E55, 0x81AC77D6, 0x5F11199B,
+ 0x043556F1, 0xD7A3C76B, 0x3C11183B, 0x5924A509,
+ 0xF28FE6ED, 0x97F1FBFA, 0x9EBABF2C, 0x1E153C6E,
+ 0x86E34570, 0xEAE96FB1, 0x860E5E0A, 0x5A3E2AB3,
+ 0x771FE71C, 0x4E3D06FA, 0x2965DCB9, 0x99E71D0F,
+ 0x803E89D6, 0x5266C825, 0x2E4CC978, 0x9C10B36A,
+ 0xC6150EBA, 0x94E2EA78, 0xA5FC3C53, 0x1E0A2DF4,
+ 0xF2F74EA7, 0x361D2B3D, 0x1939260F, 0x19C27960,
+ 0x5223A708, 0xF71312B6, 0xEBADFE6E, 0xEAC31F66,
+ 0xE3BC4595, 0xA67BC883, 0xB17F37D1, 0x018CFF28,
+ 0xC332DDEF, 0xBE6C5AA5, 0x65582185, 0x68AB9802,
+ 0xEECEA50F, 0xDB2F953B, 0x2AEF7DAD, 0x5B6E2F84,
+ 0x1521B628, 0x29076170, 0xECDD4775, 0x619F1510,
+ 0x13CCA830, 0xEB61BD96, 0x0334FE1E, 0xAA0363CF,
+ 0xB5735C90, 0x4C70A239, 0xD59E9E0B, 0xCBAADE14,
+ 0xEECC86BC, 0x60622CA7, 0x9CAB5CAB, 0xB2F3846E,
+ 0x648B1EAF, 0x19BDF0CA, 0xA02369B9, 0x655ABB50,
+ 0x40685A32, 0x3C2AB4B3, 0x319EE9D5, 0xC021B8F7,
+ 0x9B540B19, 0x875FA099, 0x95F7997E, 0x623D7DA8,
+ 0xF837889A, 0x97E32D77, 0x11ED935F, 0x16681281,
+ 0x0E358829, 0xC7E61FD6, 0x96DEDFA1, 0x7858BA99,
+ 0x57F584A5, 0x1B227263, 0x9B83C3FF, 0x1AC24696,
+ 0xCDB30AEB, 0x532E3054, 0x8FD948E4, 0x6DBC3128,
+ 0x58EBF2EF, 0x34C6FFEA, 0xFE28ED61, 0xEE7C3C73,
+ 0x5D4A14D9, 0xE864B7E3, 0x42105D14, 0x203E13E0,
+ 0x45EEE2B6, 0xA3AAABEA, 0xDB6C4F15, 0xFACB4FD0,
+ 0xC742F442, 0xEF6ABBB5, 0x654F3B1D, 0x41CD2105,
+ 0xD81E799E, 0x86854DC7, 0xE44B476A, 0x3D816250,
+ 0xCF62A1F2, 0x5B8D2646, 0xFC8883A0, 0xC1C7B6A3,
+ 0x7F1524C3, 0x69CB7492, 0x47848A0B, 0x5692B285,
+ 0x095BBF00, 0xAD19489D, 0x1462B174, 0x23820E00,
+ 0x58428D2A, 0x0C55F5EA, 0x1DADF43E, 0x233F7061,
+ 0x3372F092, 0x8D937E41, 0xD65FECF1, 0x6C223BDB,
+ 0x7CDE3759, 0xCBEE7460, 0x4085F2A7, 0xCE77326E,
+ 0xA6078084, 0x19F8509E, 0xE8EFD855, 0x61D99735,
+ 0xA969A7AA, 0xC50C06C2, 0x5A04ABFC, 0x800BCADC,
+ 0x9E447A2E, 0xC3453484, 0xFDD56705, 0x0E1E9EC9,
+ 0xDB73DBD3, 0x105588CD, 0x675FDA79, 0xE3674340,
+ 0xC5C43465, 0x713E38D8, 0x3D28F89E, 0xF16DFF20,
+ 0x153E21E7, 0x8FB03D4A, 0xE6E39F2B, 0xDB83ADF7);
+ var $s3 = array(
+ 0xE93D5A68, 0x948140F7, 0xF64C261C, 0x94692934,
+ 0x411520F7, 0x7602D4F7, 0xBCF46B2E, 0xD4A20068,
+ 0xD4082471, 0x3320F46A, 0x43B7D4B7, 0x500061AF,
+ 0x1E39F62E, 0x97244546, 0x14214F74, 0xBF8B8840,
+ 0x4D95FC1D, 0x96B591AF, 0x70F4DDD3, 0x66A02F45,
+ 0xBFBC09EC, 0x03BD9785, 0x7FAC6DD0, 0x31CB8504,
+ 0x96EB27B3, 0x55FD3941, 0xDA2547E6, 0xABCA0A9A,
+ 0x28507825, 0x530429F4, 0x0A2C86DA, 0xE9B66DFB,
+ 0x68DC1462, 0xD7486900, 0x680EC0A4, 0x27A18DEE,
+ 0x4F3FFEA2, 0xE887AD8C, 0xB58CE006, 0x7AF4D6B6,
+ 0xAACE1E7C, 0xD3375FEC, 0xCE78A399, 0x406B2A42,
+ 0x20FE9E35, 0xD9F385B9, 0xEE39D7AB, 0x3B124E8B,
+ 0x1DC9FAF7, 0x4B6D1856, 0x26A36631, 0xEAE397B2,
+ 0x3A6EFA74, 0xDD5B4332, 0x6841E7F7, 0xCA7820FB,
+ 0xFB0AF54E, 0xD8FEB397, 0x454056AC, 0xBA489527,
+ 0x55533A3A, 0x20838D87, 0xFE6BA9B7, 0xD096954B,
+ 0x55A867BC, 0xA1159A58, 0xCCA92963, 0x99E1DB33,
+ 0xA62A4A56, 0x3F3125F9, 0x5EF47E1C, 0x9029317C,
+ 0xFDF8E802, 0x04272F70, 0x80BB155C, 0x05282CE3,
+ 0x95C11548, 0xE4C66D22, 0x48C1133F, 0xC70F86DC,
+ 0x07F9C9EE, 0x41041F0F, 0x404779A4, 0x5D886E17,
+ 0x325F51EB, 0xD59BC0D1, 0xF2BCC18F, 0x41113564,
+ 0x257B7834, 0x602A9C60, 0xDFF8E8A3, 0x1F636C1B,
+ 0x0E12B4C2, 0x02E1329E, 0xAF664FD1, 0xCAD18115,
+ 0x6B2395E0, 0x333E92E1, 0x3B240B62, 0xEEBEB922,
+ 0x85B2A20E, 0xE6BA0D99, 0xDE720C8C, 0x2DA2F728,
+ 0xD0127845, 0x95B794FD, 0x647D0862, 0xE7CCF5F0,
+ 0x5449A36F, 0x877D48FA, 0xC39DFD27, 0xF33E8D1E,
+ 0x0A476341, 0x992EFF74, 0x3A6F6EAB, 0xF4F8FD37,
+ 0xA812DC60, 0xA1EBDDF8, 0x991BE14C, 0xDB6E6B0D,
+ 0xC67B5510, 0x6D672C37, 0x2765D43B, 0xDCD0E804,
+ 0xF1290DC7, 0xCC00FFA3, 0xB5390F92, 0x690FED0B,
+ 0x667B9FFB, 0xCEDB7D9C, 0xA091CF0B, 0xD9155EA3,
+ 0xBB132F88, 0x515BAD24, 0x7B9479BF, 0x763BD6EB,
+ 0x37392EB3, 0xCC115979, 0x8026E297, 0xF42E312D,
+ 0x6842ADA7, 0xC66A2B3B, 0x12754CCC, 0x782EF11C,
+ 0x6A124237, 0xB79251E7, 0x06A1BBE6, 0x4BFB6350,
+ 0x1A6B1018, 0x11CAEDFA, 0x3D25BDD8, 0xE2E1C3C9,
+ 0x44421659, 0x0A121386, 0xD90CEC6E, 0xD5ABEA2A,
+ 0x64AF674E, 0xDA86A85F, 0xBEBFE988, 0x64E4C3FE,
+ 0x9DBC8057, 0xF0F7C086, 0x60787BF8, 0x6003604D,
+ 0xD1FD8346, 0xF6381FB0, 0x7745AE04, 0xD736FCCC,
+ 0x83426B33, 0xF01EAB71, 0xB0804187, 0x3C005E5F,
+ 0x77A057BE, 0xBDE8AE24, 0x55464299, 0xBF582E61,
+ 0x4E58F48F, 0xF2DDFDA2, 0xF474EF38, 0x8789BDC2,
+ 0x5366F9C3, 0xC8B38E74, 0xB475F255, 0x46FCD9B9,
+ 0x7AEB2661, 0x8B1DDF84, 0x846A0E79, 0x915F95E2,
+ 0x466E598E, 0x20B45770, 0x8CD55591, 0xC902DE4C,
+ 0xB90BACE1, 0xBB8205D0, 0x11A86248, 0x7574A99E,
+ 0xB77F19B6, 0xE0A9DC09, 0x662D09A1, 0xC4324633,
+ 0xE85A1F02, 0x09F0BE8C, 0x4A99A025, 0x1D6EFE10,
+ 0x1AB93D1D, 0x0BA5A4DF, 0xA186F20F, 0x2868F169,
+ 0xDCB7DA83, 0x573906FE, 0xA1E2CE9B, 0x4FCD7F52,
+ 0x50115E01, 0xA70683FA, 0xA002B5C4, 0x0DE6D027,
+ 0x9AF88C27, 0x773F8641, 0xC3604C06, 0x61A806B5,
+ 0xF0177A28, 0xC0F586E0, 0x006058AA, 0x30DC7D62,
+ 0x11E69ED7, 0x2338EA63, 0x53C2DD94, 0xC2C21634,
+ 0xBBCBEE56, 0x90BCB6DE, 0xEBFC7DA1, 0xCE591D76,
+ 0x6F05E409, 0x4B7C0188, 0x39720A3D, 0x7C927C24,
+ 0x86E3725F, 0x724D9DB9, 0x1AC15BB4, 0xD39EB8FC,
+ 0xED545578, 0x08FCA5B5, 0xD83D7CD3, 0x4DAD0FC4,
+ 0x1E50EF5E, 0xB161E6F8, 0xA28514D9, 0x6C51133C,
+ 0x6FD5C7E7, 0x56E14EC4, 0x362ABFCE, 0xDDC6C837,
+ 0xD79A3234, 0x92638212, 0x670EFA8E, 0x406000E0);
+ var $s4 = array(
+ 0x3A39CE37, 0xD3FAF5CF, 0xABC27737, 0x5AC52D1B,
+ 0x5CB0679E, 0x4FA33742, 0xD3822740, 0x99BC9BBE,
+ 0xD5118E9D, 0xBF0F7315, 0xD62D1C7E, 0xC700C47B,
+ 0xB78C1B6B, 0x21A19045, 0xB26EB1BE, 0x6A366EB4,
+ 0x5748AB2F, 0xBC946E79, 0xC6A376D2, 0x6549C2C8,
+ 0x530FF8EE, 0x468DDE7D, 0xD5730A1D, 0x4CD04DC6,
+ 0x2939BBDB, 0xA9BA4650, 0xAC9526E8, 0xBE5EE304,
+ 0xA1FAD5F0, 0x6A2D519A, 0x63EF8CE2, 0x9A86EE22,
+ 0xC089C2B8, 0x43242EF6, 0xA51E03AA, 0x9CF2D0A4,
+ 0x83C061BA, 0x9BE96A4D, 0x8FE51550, 0xBA645BD6,
+ 0x2826A2F9, 0xA73A3AE1, 0x4BA99586, 0xEF5562E9,
+ 0xC72FEFD3, 0xF752F7DA, 0x3F046F69, 0x77FA0A59,
+ 0x80E4A915, 0x87B08601, 0x9B09E6AD, 0x3B3EE593,
+ 0xE990FD5A, 0x9E34D797, 0x2CF0B7D9, 0x022B8B51,
+ 0x96D5AC3A, 0x017DA67D, 0xD1CF3ED6, 0x7C7D2D28,
+ 0x1F9F25CF, 0xADF2B89B, 0x5AD6B472, 0x5A88F54C,
+ 0xE029AC71, 0xE019A5E6, 0x47B0ACFD, 0xED93FA9B,
+ 0xE8D3C48D, 0x283B57CC, 0xF8D56629, 0x79132E28,
+ 0x785F0191, 0xED756055, 0xF7960E44, 0xE3D35E8C,
+ 0x15056DD4, 0x88F46DBA, 0x03A16125, 0x0564F0BD,
+ 0xC3EB9E15, 0x3C9057A2, 0x97271AEC, 0xA93A072A,
+ 0x1B3F6D9B, 0x1E6321F5, 0xF59C66FB, 0x26DCF319,
+ 0x7533D928, 0xB155FDF5, 0x03563482, 0x8ABA3CBB,
+ 0x28517711, 0xC20AD9F8, 0xABCC5167, 0xCCAD925F,
+ 0x4DE81751, 0x3830DC8E, 0x379D5862, 0x9320F991,
+ 0xEA7A90C2, 0xFB3E7BCE, 0x5121CE64, 0x774FBE32,
+ 0xA8B6E37E, 0xC3293D46, 0x48DE5369, 0x6413E680,
+ 0xA2AE0810, 0xDD6DB224, 0x69852DFD, 0x09072166,
+ 0xB39A460A, 0x6445C0DD, 0x586CDECF, 0x1C20C8AE,
+ 0x5BBEF7DD, 0x1B588D40, 0xCCD2017F, 0x6BB4E3BB,
+ 0xDDA26A7E, 0x3A59FF45, 0x3E350A44, 0xBCB4CDD5,
+ 0x72EACEA8, 0xFA6484BB, 0x8D6612AE, 0xBF3C6F47,
+ 0xD29BE463, 0x542F5D9E, 0xAEC2771B, 0xF64E6370,
+ 0x740E0D8D, 0xE75B1357, 0xF8721671, 0xAF537D5D,
+ 0x4040CB08, 0x4EB4E2CC, 0x34D2466A, 0x0115AF84,
+ 0xE1B00428, 0x95983A1D, 0x06B89FB4, 0xCE6EA048,
+ 0x6F3F3B82, 0x3520AB82, 0x011A1D4B, 0x277227F8,
+ 0x611560B1, 0xE7933FDC, 0xBB3A792B, 0x344525BD,
+ 0xA08839E1, 0x51CE794B, 0x2F32C9B7, 0xA01FBAC9,
+ 0xE01CC87E, 0xBCC7D1F6, 0xCF0111C3, 0xA1E8AAC7,
+ 0x1A908749, 0xD44FBD9A, 0xD0DADECB, 0xD50ADA38,
+ 0x0339C32A, 0xC6913667, 0x8DF9317C, 0xE0B12B4F,
+ 0xF79E59B7, 0x43F5BB3A, 0xF2D519FF, 0x27D9459C,
+ 0xBF97222C, 0x15E6FC2A, 0x0F91FC71, 0x9B941525,
+ 0xFAE59361, 0xCEB69CEB, 0xC2A86459, 0x12BAA8D1,
+ 0xB6C1075E, 0xE3056A0C, 0x10D25065, 0xCB03A442,
+ 0xE0EC6E0E, 0x1698DB3B, 0x4C98A0BE, 0x3278E964,
+ 0x9F1F9532, 0xE0D392DF, 0xD3A0342B, 0x8971F21E,
+ 0x1B0A7441, 0x4BA3348C, 0xC5BE7120, 0xC37632D8,
+ 0xDF359F8D, 0x9B992F2E, 0xE60B6F47, 0x0FE3F11D,
+ 0xE54CDA54, 0x1EDAD891, 0xCE6279CF, 0xCD3E7E6F,
+ 0x1618B166, 0xFD2C1D05, 0x848FD2C5, 0xF6FB2299,
+ 0xF523F357, 0xA6327623, 0x93A83531, 0x56CCCD02,
+ 0xACF08162, 0x5A75EBB5, 0x6E163697, 0x88D273CC,
+ 0xDE966292, 0x81B949D0, 0x4C50901B, 0x71C65614,
+ 0xE6C6C7BD, 0x327A140A, 0x45E1D006, 0xC3F27B9A,
+ 0xC9AA53FD, 0x62A80F00, 0xBB25BFE2, 0x35BDD2F6,
+ 0x71126905, 0xB2040222, 0xB6CBCF7C, 0xCD769C2B,
+ 0x53113EC0, 0x1640E3D3, 0x38ABBD60, 0x2547ADF0,
+ 0xBA38209C, 0xF746CE76, 0x77AFA1C5, 0x20756060,
+ 0x85CBFE4E, 0x8AE88DD8, 0x7AAAF9B0, 0x4CF9AA7E,
+ 0x1948C25C, 0x02FB8A8C, 0x01C36AE4, 0xD6EBE1F9,
+ 0x90D4F869, 0xA65CDEA0, 0x3F09252D, 0xC208E69F,
+ 0xB74E6132, 0xCE77E25B, 0x578FDFE3, 0x3AC372E6);
+
+ /* The number of rounds to do */
+ var $_rounds = 16;
+
+ /**
+ * Set the key to be used for en/decryption
+ *
+ * @param String $key The key to use
+ */
+ function setKey($key)
+ {
+ $key = $this->_formatKey($key);
+ $keyPos = $keyXor = 0;
+
+ $iMax = count($this->p);
+ $keyLen = count($key);
+ for ($i = 0; $i < $iMax; $i++) {
+ for ($t = 0; $t < 4; $t++) {
+ $keyXor = ($keyXor << 8) | (($key[$keyPos]) & 0x0ff);
+ if (++$keyPos == $keyLen) {
+ $keyPos = 0;
+ }
+ }
+ $this->p[$i] = $this->p[$i] ^ $keyXor;
+ }
+
+ $encZero = array('L' => 0, 'R' => 0);
+ for ($i = 0; $i + 1 < $iMax; $i += 2) {
+ $encZero = $this->_encryptBlock($encZero['L'], $encZero['R']);
+ $this->p[$i] = $encZero['L'];
+ $this->p[$i + 1] = $encZero['R'];
+ }
+
+ $iMax = count($this->s1);
+ for ($i = 0; $i < $iMax; $i += 2) {
+ $encZero = $this->_encryptBlock($encZero['L'], $encZero['R']);
+ $this->s1[$i] = $encZero['L'];
+ $this->s1[$i + 1] = $encZero['R'];
+ }
+
+ $iMax = count($this->s2);
+ for ($i = 0; $i < $iMax; $i += 2) {
+ $encZero = $this->_encryptBlock($encZero['L'], $encZero['R']);
+ $this->s2[$i] = $encZero['L'];
+ $this->s2[$i + 1] = $encZero['R'];
+ }
+
+ $iMax = count($this->s3);
+ for ($i = 0; $i < $iMax; $i += 2) {
+ $encZero = $this->_encryptBlock($encZero['L'], $encZero['R']);
+ $this->s3[$i] = $encZero['L'];
+ $this->s3[$i + 1] = $encZero['R'];
+ }
+
+ $iMax = count($this->s4);
+ for ($i = 0; $i < $iMax; $i += 2) {
+ $encZero = $this->_encryptBlock($encZero['L'], $encZero['R']);
+ $this->s4[$i] = $encZero['L'];
+ $this->s4[$i + 1] = $encZero['R'];
+ }
+
+ }
+
+ /**
+ * Encrypt a block on data.
+ *
+ * @param String $block The data to encrypt
+ * @param optional String $key The key to use
+ *
+ * @return String the encrypted output
+ */
+ function encryptBlock($block, $key = null)
+ {
+ if (!is_null($key)) {
+ $this->setKey($key);
+ }
+
+ list($L, $R) = array_values(unpack('N*', $block));
+ $parts = $this->_encryptBlock($L, $R);
+ return pack("NN", $parts['L'], $parts['R']);
+ }
+
+ /**
+ * Encrypt a block on data.
+ *
+ * @param String $L The data to encrypt.
+ * @param String $R The data to encrypt.
+ *
+ * @return String The encrypted output.
+ */
+ function _encryptBlock($L, $R)
+ {
+ $L ^= $this->p[0];
+ $R ^= ((($this->s1[($L >> 24) & 0xFF] + $this->s2[($L >> 16) & 0x0ff]) ^ $this->s3[($L >> 8) & 0x0ff]) + $this->s4[$L & 0x0ff]) ^ $this->p[1];
+ $L ^= ((($this->s1[($R >> 24) & 0xFF] + $this->s2[($R >> 16) & 0x0ff]) ^ $this->s3[($R >> 8) & 0x0ff]) + $this->s4[$R & 0x0ff]) ^ $this->p[2];
+ $R ^= ((($this->s1[($L >> 24) & 0xFF] + $this->s2[($L >> 16) & 0x0ff]) ^ $this->s3[($L >> 8) & 0x0ff]) + $this->s4[$L & 0x0ff]) ^ $this->p[3];
+ $L ^= ((($this->s1[($R >> 24) & 0xFF] + $this->s2[($R >> 16) & 0x0ff]) ^ $this->s3[($R >> 8) & 0x0ff]) + $this->s4[$R & 0x0ff]) ^ $this->p[4];
+ $R ^= ((($this->s1[($L >> 24) & 0xFF] + $this->s2[($L >> 16) & 0x0ff]) ^ $this->s3[($L >> 8) & 0x0ff]) + $this->s4[$L & 0x0ff]) ^ $this->p[5];
+ $L ^= ((($this->s1[($R >> 24) & 0xFF] + $this->s2[($R >> 16) & 0x0ff]) ^ $this->s3[($R >> 8) & 0x0ff]) + $this->s4[$R & 0x0ff]) ^ $this->p[6];
+ $R ^= ((($this->s1[($L >> 24) & 0xFF] + $this->s2[($L >> 16) & 0x0ff]) ^ $this->s3[($L >> 8) & 0x0ff]) + $this->s4[$L & 0x0ff]) ^ $this->p[7];
+ $L ^= ((($this->s1[($R >> 24) & 0xFF] + $this->s2[($R >> 16) & 0x0ff]) ^ $this->s3[($R >> 8) & 0x0ff]) + $this->s4[$R & 0x0ff]) ^ $this->p[8];
+ $R ^= ((($this->s1[($L >> 24) & 0xFF] + $this->s2[($L >> 16) & 0x0ff]) ^ $this->s3[($L >> 8) & 0x0ff]) + $this->s4[$L & 0x0ff]) ^ $this->p[9];
+ $L ^= ((($this->s1[($R >> 24) & 0xFF] + $this->s2[($R >> 16) & 0x0ff]) ^ $this->s3[($R >> 8) & 0x0ff]) + $this->s4[$R & 0x0ff]) ^ $this->p[10];
+ $R ^= ((($this->s1[($L >> 24) & 0xFF] + $this->s2[($L >> 16) & 0x0ff]) ^ $this->s3[($L >> 8) & 0x0ff]) + $this->s4[$L & 0x0ff]) ^ $this->p[11];
+ $L ^= ((($this->s1[($R >> 24) & 0xFF] + $this->s2[($R >> 16) & 0x0ff]) ^ $this->s3[($R >> 8) & 0x0ff]) + $this->s4[$R & 0x0ff]) ^ $this->p[12];
+ $R ^= ((($this->s1[($L >> 24) & 0xFF] + $this->s2[($L >> 16) & 0x0ff]) ^ $this->s3[($L >> 8) & 0x0ff]) + $this->s4[$L & 0x0ff]) ^ $this->p[13];
+ $L ^= ((($this->s1[($R >> 24) & 0xFF] + $this->s2[($R >> 16) & 0x0ff]) ^ $this->s3[($R >> 8) & 0x0ff]) + $this->s4[$R & 0x0ff]) ^ $this->p[14];
+ $R ^= ((($this->s1[($L >> 24) & 0xFF] + $this->s2[($L >> 16) & 0x0ff]) ^ $this->s3[($L >> 8) & 0x0ff]) + $this->s4[$L & 0x0ff]) ^ $this->p[15];
+ $L ^= ((($this->s1[($R >> 24) & 0xFF] + $this->s2[($R >> 16) & 0x0ff]) ^ $this->s3[($R >> 8) & 0x0ff]) + $this->s4[$R & 0x0ff]) ^ $this->p[16];
+ $R ^= $this->p[17];
+
+ return array('L' => $R, 'R' => $L);
+ }
+
+ /**
+ * Decrypt a block on data.
+ *
+ * @param String $block The data to decrypt
+ * @param optional String $key The key to use
+ *
+ * @return String the decrypted output
+ */
+ function decryptBlock($block, $key = null)
+ {
+ if (!is_null($key)) {
+ $this->setKey($key);
+ }
+
+// change for phpMyAdmin
+ $L = null;
+ $R = null;
+
+ $retarray = array_values(unpack('N*', $block));
+ if (isset($retarray[0])) {
+ $L = $retarray[0];
+ }
+ if (isset($retarray[1])) {
+ $R = $retarray[1];
+ }
+// end change for phpMyAdmin
+
+ $L ^= $this->p[17];
+ $R ^= ((($this->s1[($L >> 24) & 0xFF] + $this->s2[($L >> 16) & 0x0ff]) ^ $this->s3[($L >> 8) & 0x0ff]) + $this->s4[$L & 0x0ff]) ^ $this->p[16];
+ $L ^= ((($this->s1[($R >> 24) & 0xFF] + $this->s2[($R >> 16) & 0x0ff]) ^ $this->s3[($R >> 8) & 0x0ff]) + $this->s4[$R & 0x0ff]) ^ $this->p[15];
+ $R ^= ((($this->s1[($L >> 24) & 0xFF] + $this->s2[($L >> 16) & 0x0ff]) ^ $this->s3[($L >> 8) & 0x0ff]) + $this->s4[$L & 0x0ff]) ^ $this->p[14];
+ $L ^= ((($this->s1[($R >> 24) & 0xFF] + $this->s2[($R >> 16) & 0x0ff]) ^ $this->s3[($R >> 8) & 0x0ff]) + $this->s4[$R & 0x0ff]) ^ $this->p[13];
+ $R ^= ((($this->s1[($L >> 24) & 0xFF] + $this->s2[($L >> 16) & 0x0ff]) ^ $this->s3[($L >> 8) & 0x0ff]) + $this->s4[$L & 0x0ff]) ^ $this->p[12];
+ $L ^= ((($this->s1[($R >> 24) & 0xFF] + $this->s2[($R >> 16) & 0x0ff]) ^ $this->s3[($R >> 8) & 0x0ff]) + $this->s4[$R & 0x0ff]) ^ $this->p[11];
+ $R ^= ((($this->s1[($L >> 24) & 0xFF] + $this->s2[($L >> 16) & 0x0ff]) ^ $this->s3[($L >> 8) & 0x0ff]) + $this->s4[$L & 0x0ff]) ^ $this->p[10];
+ $L ^= ((($this->s1[($R >> 24) & 0xFF] + $this->s2[($R >> 16) & 0x0ff]) ^ $this->s3[($R >> 8) & 0x0ff]) + $this->s4[$R & 0x0ff]) ^ $this->p[9];
+ $R ^= ((($this->s1[($L >> 24) & 0xFF] + $this->s2[($L >> 16) & 0x0ff]) ^ $this->s3[($L >> 8) & 0x0ff]) + $this->s4[$L & 0x0ff]) ^ $this->p[8];
+ $L ^= ((($this->s1[($R >> 24) & 0xFF] + $this->s2[($R >> 16) & 0x0ff]) ^ $this->s3[($R >> 8) & 0x0ff]) + $this->s4[$R & 0x0ff]) ^ $this->p[7];
+ $R ^= ((($this->s1[($L >> 24) & 0xFF] + $this->s2[($L >> 16) & 0x0ff]) ^ $this->s3[($L >> 8) & 0x0ff]) + $this->s4[$L & 0x0ff]) ^ $this->p[6];
+ $L ^= ((($this->s1[($R >> 24) & 0xFF] + $this->s2[($R >> 16) & 0x0ff]) ^ $this->s3[($R >> 8) & 0x0ff]) + $this->s4[$R & 0x0ff]) ^ $this->p[5];
+ $R ^= ((($this->s1[($L >> 24) & 0xFF] + $this->s2[($L >> 16) & 0x0ff]) ^ $this->s3[($L >> 8) & 0x0ff]) + $this->s4[$L & 0x0ff]) ^ $this->p[4];
+ $L ^= ((($this->s1[($R >> 24) & 0xFF] + $this->s2[($R >> 16) & 0x0ff]) ^ $this->s3[($R >> 8) & 0x0ff]) + $this->s4[$R & 0x0ff]) ^ $this->p[3];
+ $R ^= ((($this->s1[($L >> 24) & 0xFF] + $this->s2[($L >> 16) & 0x0ff]) ^ $this->s3[($L >> 8) & 0x0ff]) + $this->s4[$L & 0x0ff]) ^ $this->p[2];
+ $L ^= ((($this->s1[($R >> 24) & 0xFF] + $this->s2[($R >> 16) & 0x0ff]) ^ $this->s3[($R >> 8) & 0x0ff]) + $this->s4[$R & 0x0ff]) ^ $this->p[1];
+
+ $decrypted = pack("NN", $R ^ $this->p[0], $L);
+ return $decrypted;
+ }
+
+ /**
+ * Converts a text key into an array.
+ *
+ * @return array The key.
+ */
+ function _formatKey($key)
+ {
+ return array_values(unpack('C*', $key));
+ }
+
+}
+
+// higher-level functions:
+/**
+ * Encryption using blowfish algorithm
+ *
+ * @param string original data
+ * @param string the secret
+ *
+ * @return string the encrypted result
+ *
+ * @access public
+ *
+ * @author lem9
+ */
+function PMA_blowfish_encrypt($data, $secret)
+{
+ $pma_cipher = new Horde_Cipher_blowfish;
+ $encrypt = '';
+
+ $data .= '_'; // triming fixed for DokuWiki FS#1690 FS#1713
+ $mod = strlen($data) % 8;
+
+ if ($mod > 0) {
+ $data .= str_repeat("\0", 8 - $mod);
+ }
+
+ foreach (str_split($data, 8) as $chunk) {
+ $encrypt .= $pma_cipher->encryptBlock($chunk, $secret);
+ }
+ return base64_encode($encrypt);
+}
+
+/**
+ * Decryption using blowfish algorithm
+ *
+ * @param string encrypted data
+ * @param string the secret
+ *
+ * @return string original data
+ *
+ * @access public
+ *
+ * @author lem9
+ */
+function PMA_blowfish_decrypt($encdata, $secret)
+{
+ $pma_cipher = new Horde_Cipher_blowfish;
+ $decrypt = '';
+ $data = base64_decode($encdata);
+
+ foreach (str_split($data, 8) as $chunk) {
+ $decrypt .= $pma_cipher->decryptBlock($chunk, $secret);
+ }
+ return substr(rtrim($decrypt, "\0"), 0, -1); // triming fixed for DokuWiki FS#1690 FS#1713
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/cache.php b/mod/dokuwiki/vendors/dokuwiki/inc/cache.php
new file mode 100644
index 000000000..8e8adfd6d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/cache.php
@@ -0,0 +1,292 @@
+<?php
+/**
+ * Generic class to handle caching
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Chris Smith <chris@jalakai.co.uk>
+ */
+
+if(!defined('DOKU_INC')) die('meh.');
+require_once(DOKU_INC.'inc/io.php');
+require_once(DOKU_INC.'inc/pageutils.php');
+require_once(DOKU_INC.'inc/parserutils.php');
+
+class cache {
+ var $key = ''; // primary identifier for this item
+ var $ext = ''; // file ext for cache data, secondary identifier for this item
+ var $cache = ''; // cache file name
+ var $depends = array(); // array containing cache dependency information,
+ // used by _useCache to determine cache validity
+
+ var $_event = ''; // event to be triggered during useCache
+
+ function cache($key,$ext) {
+ $this->key = $key;
+ $this->ext = $ext;
+ $this->cache = getCacheName($key,$ext);
+ }
+
+ /**
+ * public method to determine whether the cache can be used
+ *
+ * to assist in cetralisation of event triggering and calculation of cache statistics,
+ * don't override this function override _useCache()
+ *
+ * @param array $depends array of cache dependencies, support dependecies:
+ * 'age' => max age of the cache in seconds
+ * 'files' => cache must be younger than mtime of each file
+ * (nb. dependency passes if file doesn't exist)
+ *
+ * @return bool true if cache can be used, false otherwise
+ */
+ function useCache($depends=array()) {
+ $this->depends = $depends;
+ $this->_addDependencies();
+
+ if ($this->_event) {
+ return $this->_stats(trigger_event($this->_event,$this,array($this,'_useCache')));
+ } else {
+ return $this->_stats($this->_useCache());
+ }
+ }
+
+ /**
+ * private method containing cache use decision logic
+ *
+ * this function processes the following keys in the depends array
+ * purge - force a purge on any non empty value
+ * age - expire cache if older than age (seconds)
+ * files - expire cache if any file in this array was updated more recently than the cache
+ *
+ * can be overridden
+ *
+ * @return bool see useCache()
+ */
+ function _useCache() {
+
+ if (!empty($this->depends['purge'])) return false; // purge requested?
+ if (!($this->_time = @filemtime($this->cache))) return false; // cache exists?
+
+ // cache too old?
+ if (!empty($this->depends['age']) && ((time() - $this->_time) > $this->depends['age'])) return false;
+
+ if (!empty($this->depends['files'])) {
+ foreach ($this->depends['files'] as $file) {
+ if ($this->_time < @filemtime($file)) return false; // cache older than files it depends on?
+ }
+ }
+
+ return true;
+ }
+
+ /**
+ * add dependencies to the depends array
+ *
+ * this method should only add dependencies,
+ * it should not remove any existing dependencies and
+ * it should only overwrite a dependency when the new value is more stringent than the old
+ */
+ function _addDependencies() {
+ if (isset($_REQUEST['purge'])) $this->depends['purge'] = true; // purge requested
+ }
+
+ /**
+ * retrieve the cached data
+ *
+ * @param bool $clean true to clean line endings, false to leave line endings alone
+ * @return string cache contents
+ */
+ function retrieveCache($clean=true) {
+ return io_readFile($this->cache, $clean);
+ }
+
+ /**
+ * cache $data
+ *
+ * @param string $data the data to be cached
+ * @return bool true on success, false otherwise
+ */
+ function storeCache($data) {
+ return io_savefile($this->cache, $data);
+ }
+
+ /**
+ * remove any cached data associated with this cache instance
+ */
+ function removeCache() {
+ @unlink($this->cache);
+ }
+
+ /**
+ * Record cache hits statistics.
+ * (Only when debugging allowed, to reduce overhead.)
+ *
+ * @param bool $success result of this cache use attempt
+ * @return bool pass-thru $success value
+ */
+ function _stats($success) {
+ global $conf;
+ static $stats = NULL;
+ static $file;
+
+ if (!$conf['allowdebug']) { return $success; }
+
+ if (is_null($stats)) {
+ $file = $conf['cachedir'].'/cache_stats.txt';
+ $lines = explode("\n",io_readFile($file));
+
+ foreach ($lines as $line) {
+ $i = strpos($line,',');
+ $stats[substr($line,0,$i)] = $line;
+ }
+ }
+
+ if (isset($stats[$this->ext])) {
+ list($ext,$count,$hits) = explode(',',$stats[$this->ext]);
+ } else {
+ $ext = $this->ext;
+ $count = 0;
+ $hits = 0;
+ }
+
+ $count++;
+ if ($success) $hits++;
+ $stats[$this->ext] = "$ext,$count,$hits";
+
+ io_saveFile($file,join("\n",$stats));
+
+ return $success;
+ }
+}
+
+class cache_parser extends cache {
+
+ var $file = ''; // source file for cache
+ var $mode = ''; // input mode (represents the processing the input file will undergo)
+
+ var $_event = 'PARSER_CACHE_USE';
+
+ function cache_parser($id, $file, $mode) {
+ if ($id) $this->page = $id;
+ $this->file = $file;
+ $this->mode = $mode;
+
+ parent::cache($file.$_SERVER['HTTP_HOST'].$_SERVER['SERVER_PORT'],'.'.$mode);
+ }
+
+ function _useCache() {
+
+ if (!@file_exists($this->file)) return false; // source exists?
+ return parent::_useCache();
+ }
+
+ function _addDependencies() {
+ global $conf, $config_cascade;
+
+ $this->depends['age'] = isset($this->depends['age']) ?
+ min($this->depends['age'],$conf['cachetime']) : $conf['cachetime'];
+
+ // parser cache file dependencies ...
+ $files = array($this->file, // ... source
+ DOKU_INC.'inc/parser/parser.php', // ... parser
+ DOKU_INC.'inc/parser/handler.php', // ... handler
+ );
+ $files = array_merge($files, getConfigFiles('main')); // ... wiki settings
+
+ $this->depends['files'] = !empty($this->depends['files']) ? array_merge($files, $this->depends['files']) : $files;
+ parent::_addDependencies();
+ }
+
+}
+
+class cache_renderer extends cache_parser {
+
+ function useCache($depends=array()) {
+ $use = parent::useCache($depends);
+
+ // meta data needs to be kept in step with the cache
+ if (!$use && isset($this->page)) {
+ p_set_metadata($this->page,array(),true);
+ }
+
+ return $use;
+ }
+
+ function _useCache() {
+ global $conf;
+
+ if (!parent::_useCache()) return false;
+
+ if (!isset($this->page)) {
+ return true;
+ }
+
+ // check current link existence is consistent with cache version
+ // first check the purgefile
+ // - if the cache is more recent than the purgefile we know no links can have been updated
+ if ($this->_time >= @filemtime($conf['cachedir'].'/purgefile')) {
+ return true;
+ }
+
+ // for wiki pages, check metadata dependencies
+ $metadata = p_get_metadata($this->page);
+
+ if (!isset($metadata['relation']['references']) ||
+ empty($metadata['relation']['references'])) {
+ return true;
+ }
+
+ foreach ($metadata['relation']['references'] as $id => $exists) {
+ if ($exists != page_exists($id,'',false)) return false;
+ }
+
+ return true;
+ }
+
+ function _addDependencies() {
+
+ // renderer cache file dependencies ...
+ $files = array(
+ DOKU_INC.'inc/parser/'.$this->mode.'.php', // ... the renderer
+ );
+
+ // page implies metadata and possibly some other dependencies
+ if (isset($this->page)) {
+
+ $metafile = metaFN($this->page,'.meta');
+ if (@file_exists($metafile)) {
+ $files[] = $metafile; // ... the page's own metadata
+ $files[] = DOKU_INC.'inc/parser/metadata.php'; // ... the metadata renderer
+
+ $valid = p_get_metadata($this->page, 'date valid');
+ if (!empty($valid['age'])) {
+ $this->depends['age'] = isset($this->depends['age']) ?
+ min($this->depends['age'],$valid['age']) : $valid['age'];
+ }
+
+ } else {
+ $this->depends['purge'] = true; // ... purging cache will generate metadata
+ return;
+ }
+ }
+
+ $this->depends['files'] = !empty($this->depends['files']) ? array_merge($files, $this->depends['files']) : $files;
+ parent::_addDependencies();
+ }
+}
+
+class cache_instructions extends cache_parser {
+
+ function cache_instructions($id, $file) {
+ parent::cache_parser($id, $file, 'i');
+ }
+
+ function retrieveCache($clean=true) {
+ $contents = io_readFile($this->cache, false);
+ return !empty($contents) ? unserialize($contents) : array();
+ }
+
+ function storeCache($instructions) {
+ return io_savefile($this->cache,serialize($instructions));
+ }
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/changelog.php b/mod/dokuwiki/vendors/dokuwiki/inc/changelog.php
new file mode 100644
index 000000000..bc2af2de3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/changelog.php
@@ -0,0 +1,474 @@
+<?php
+/**
+ * Changelog handling functions
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+
+// Constants for known core changelog line types.
+// Use these in place of string literals for more readable code.
+define('DOKU_CHANGE_TYPE_CREATE', 'C');
+define('DOKU_CHANGE_TYPE_EDIT', 'E');
+define('DOKU_CHANGE_TYPE_MINOR_EDIT', 'e');
+define('DOKU_CHANGE_TYPE_DELETE', 'D');
+define('DOKU_CHANGE_TYPE_REVERT', 'R');
+
+/**
+ * parses a changelog line into it's components
+ *
+ * @author Ben Coburn <btcoburn@silicodon.net>
+ */
+function parseChangelogLine($line) {
+ $tmp = explode("\t", $line);
+ if ($tmp!==false && count($tmp)>1) {
+ $info = array();
+ $info['date'] = (int)$tmp[0]; // unix timestamp
+ $info['ip'] = $tmp[1]; // IPv4 address (127.0.0.1)
+ $info['type'] = $tmp[2]; // log line type
+ $info['id'] = $tmp[3]; // page id
+ $info['user'] = $tmp[4]; // user name
+ $info['sum'] = $tmp[5]; // edit summary (or action reason)
+ $info['extra'] = rtrim($tmp[6], "\n"); // extra data (varies by line type)
+ return $info;
+ } else { return false; }
+}
+
+/**
+ * Add's an entry to the changelog and saves the metadata for the page
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Esther Brunner <wikidesign@gmail.com>
+ * @author Ben Coburn <btcoburn@silicodon.net>
+ */
+function addLogEntry($date, $id, $type=DOKU_CHANGE_TYPE_EDIT, $summary='', $extra='', $flags=null){
+ global $conf, $INFO;
+
+ // check for special flags as keys
+ if (!is_array($flags)) { $flags = array(); }
+ $flagExternalEdit = isset($flags['ExternalEdit']);
+
+ $id = cleanid($id);
+ $file = wikiFN($id);
+ $created = @filectime($file);
+ $minor = ($type===DOKU_CHANGE_TYPE_MINOR_EDIT);
+ $wasRemoved = ($type===DOKU_CHANGE_TYPE_DELETE);
+
+ if(!$date) $date = time(); //use current time if none supplied
+ $remote = (!$flagExternalEdit)?clientIP(true):'127.0.0.1';
+ $user = (!$flagExternalEdit)?$_SERVER['REMOTE_USER']:'';
+
+ $strip = array("\t", "\n");
+ $logline = array(
+ 'date' => $date,
+ 'ip' => $remote,
+ 'type' => str_replace($strip, '', $type),
+ 'id' => $id,
+ 'user' => $user,
+ 'sum' => str_replace($strip, '', $summary),
+ 'extra' => str_replace($strip, '', $extra)
+ );
+
+ // update metadata
+ if (!$wasRemoved) {
+ $oldmeta = p_read_metadata($id);
+ $meta = array();
+ if (!$INFO['exists'] && empty($oldmeta['persistent']['date']['created'])){ // newly created
+ $meta['date']['created'] = $created;
+ if ($user) $meta['creator'] = $INFO['userinfo']['name'];
+ } elseif (!$INFO['exists'] && !empty($oldmeta['persistent']['date']['created'])) { // re-created / restored
+ $meta['date']['created'] = $oldmeta['persistent']['date']['created'];
+ $meta['date']['modified'] = $created; // use the files ctime here
+ $meta['creator'] = $oldmeta['persistent']['creator'];
+ if ($user) $meta['contributor'][$user] = $INFO['userinfo']['name'];
+ } elseif (!$minor) { // non-minor modification
+ $meta['date']['modified'] = $date;
+ if ($user) $meta['contributor'][$user] = $INFO['userinfo']['name'];
+ }
+ $meta['last_change'] = $logline;
+ p_set_metadata($id, $meta, true);
+ }
+
+ // add changelog lines
+ $logline = implode("\t", $logline)."\n";
+ io_saveFile(metaFN($id,'.changes'),$logline,true); //page changelog
+ io_saveFile($conf['changelog'],$logline,true); //global changelog cache
+}
+
+/**
+ * Add's an entry to the media changelog
+ *
+ * @author Michael Hamann <michael@content-space.de>
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Esther Brunner <wikidesign@gmail.com>
+ * @author Ben Coburn <btcoburn@silicodon.net>
+ */
+function addMediaLogEntry($date, $id, $type=DOKU_CHANGE_TYPE_EDIT, $summary='', $extra='', $flags=null){
+ global $conf, $INFO;
+
+ $id = cleanid($id);
+
+ if(!$date) $date = time(); //use current time if none supplied
+ $remote = clientIP(true);
+ $user = $_SERVER['REMOTE_USER'];
+
+ $strip = array("\t", "\n");
+ $logline = array(
+ 'date' => $date,
+ 'ip' => $remote,
+ 'type' => str_replace($strip, '', $type),
+ 'id' => $id,
+ 'user' => $user,
+ 'sum' => str_replace($strip, '', $summary),
+ 'extra' => str_replace($strip, '', $extra)
+ );
+
+ // add changelog lines
+ $logline = implode("\t", $logline)."\n";
+ io_saveFile($conf['media_changelog'],$logline,true); //global media changelog cache
+}
+
+/**
+ * returns an array of recently changed files using the
+ * changelog
+ *
+ * The following constants can be used to control which changes are
+ * included. Add them together as needed.
+ *
+ * RECENTS_SKIP_DELETED - don't include deleted pages
+ * RECENTS_SKIP_MINORS - don't include minor changes
+ * RECENTS_SKIP_SUBSPACES - don't include subspaces
+ * RECENTS_MEDIA_CHANGES - return media changes instead of page changes
+ *
+ * @param int $first number of first entry returned (for paginating
+ * @param int $num return $num entries
+ * @param string $ns restrict to given namespace
+ * @param bool $flags see above
+ *
+ * @author Ben Coburn <btcoburn@silicodon.net>
+ */
+function getRecents($first,$num,$ns='',$flags=0){
+ global $conf;
+ $recent = array();
+ $count = 0;
+
+ if(!$num)
+ return $recent;
+
+ // read all recent changes. (kept short)
+ if ($flags & RECENTS_MEDIA_CHANGES) {
+ $lines = @file($conf['media_changelog']);
+ } else {
+ $lines = @file($conf['changelog']);
+ }
+
+
+ // handle lines
+ $seen = array(); // caches seen lines, _handleRecent() skips them
+ for($i = count($lines)-1; $i >= 0; $i--){
+ $rec = _handleRecent($lines[$i], $ns, $flags, $seen);
+ if($rec !== false) {
+ if(--$first >= 0) continue; // skip first entries
+ $recent[] = $rec;
+ $count++;
+ // break when we have enough entries
+ if($count >= $num){ break; }
+ }
+ }
+
+ return $recent;
+}
+
+/**
+ * returns an array of files changed since a given time using the
+ * changelog
+ *
+ * The following constants can be used to control which changes are
+ * included. Add them together as needed.
+ *
+ * RECENTS_SKIP_DELETED - don't include deleted pages
+ * RECENTS_SKIP_MINORS - don't include minor changes
+ * RECENTS_SKIP_SUBSPACES - don't include subspaces
+ * RECENTS_MEDIA_CHANGES - return media changes instead of page changes
+ *
+ * @param int $from date of the oldest entry to return
+ * @param int $to date of the newest entry to return (for pagination, optional)
+ * @param string $ns restrict to given namespace (optional)
+ * @param bool $flags see above (optional)
+ *
+ * @author Michael Hamann <michael@content-space.de>
+ * @author Ben Coburn <btcoburn@silicodon.net>
+ */
+function getRecentsSince($from,$to=null,$ns='',$flags=0){
+ global $conf;
+ $recent = array();
+
+ if($to && $to < $from)
+ return $recent;
+
+ // read all recent changes. (kept short)
+ if ($flags & RECENTS_MEDIA_CHANGES) {
+ $lines = @file($conf['media_changelog']);
+ } else {
+ $lines = @file($conf['changelog']);
+ }
+
+ // we start searching at the end of the list
+ $lines = array_reverse($lines);
+
+ // handle lines
+ $seen = array(); // caches seen lines, _handleRecent() skips them
+
+ foreach($lines as $line){
+ $rec = _handleRecent($line, $ns, $flags, $seen);
+ if($rec !== false) {
+ if ($rec['date'] >= $from) {
+ if (!$to || $rec['date'] <= $to) {
+ $recent[] = $rec;
+ }
+ } else {
+ break;
+ }
+ }
+ }
+
+ return array_reverse($recent);
+}
+
+/**
+ * Internal function used by getRecents
+ *
+ * don't call directly
+ *
+ * @see getRecents()
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Ben Coburn <btcoburn@silicodon.net>
+ */
+function _handleRecent($line,$ns,$flags,&$seen){
+ if(empty($line)) return false; //skip empty lines
+
+ // split the line into parts
+ $recent = parseChangelogLine($line);
+ if ($recent===false) { return false; }
+
+ // skip seen ones
+ if(isset($seen[$recent['id']])) return false;
+
+ // skip minors
+ if($recent['type']===DOKU_CHANGE_TYPE_MINOR_EDIT && ($flags & RECENTS_SKIP_MINORS)) return false;
+
+ // remember in seen to skip additional sights
+ $seen[$recent['id']] = 1;
+
+ // check if it's a hidden page
+ if(isHiddenPage($recent['id'])) return false;
+
+ // filter namespace
+ if (($ns) && (strpos($recent['id'],$ns.':') !== 0)) return false;
+
+ // exclude subnamespaces
+ if (($flags & RECENTS_SKIP_SUBSPACES) && (getNS($recent['id']) != $ns)) return false;
+
+ // check ACL
+ $recent['perms'] = auth_quickaclcheck($recent['id']);
+ if ($recent['perms'] < AUTH_READ) return false;
+
+ // check existance
+ $fn = (($flags & RECENTS_MEDIA_CHANGES) ? mediaFN($recent['id']) : wikiFN($recent['id']));
+ if((!@file_exists($fn)) && ($flags & RECENTS_SKIP_DELETED)) return false;
+
+ return $recent;
+}
+
+/**
+ * Get the changelog information for a specific page id
+ * and revision (timestamp). Adjacent changelog lines
+ * are optimistically parsed and cached to speed up
+ * consecutive calls to getRevisionInfo. For large
+ * changelog files, only the chunk containing the
+ * requested changelog line is read.
+ *
+ * @author Ben Coburn <btcoburn@silicodon.net>
+ */
+function getRevisionInfo($id, $rev, $chunk_size=8192) {
+ global $cache_revinfo;
+ $cache =& $cache_revinfo;
+ if (!isset($cache[$id])) { $cache[$id] = array(); }
+ $rev = max($rev, 0);
+
+ // check if it's already in the memory cache
+ if (isset($cache[$id]) && isset($cache[$id][$rev])) {
+ return $cache[$id][$rev];
+ }
+
+ $file = metaFN($id, '.changes');
+ if (!@file_exists($file)) { return false; }
+ if (filesize($file)<$chunk_size || $chunk_size==0) {
+ // read whole file
+ $lines = file($file);
+ if ($lines===false) { return false; }
+ } else {
+ // read by chunk
+ $fp = fopen($file, 'rb'); // "file pointer"
+ if ($fp===false) { return false; }
+ $head = 0;
+ fseek($fp, 0, SEEK_END);
+ $tail = ftell($fp);
+ $finger = 0;
+ $finger_rev = 0;
+
+ // find chunk
+ while ($tail-$head>$chunk_size) {
+ $finger = $head+floor(($tail-$head)/2.0);
+ fseek($fp, $finger);
+ fgets($fp); // slip the finger forward to a new line
+ $finger = ftell($fp);
+ $tmp = fgets($fp); // then read at that location
+ $tmp = parseChangelogLine($tmp);
+ $finger_rev = $tmp['date'];
+ if ($finger==$head || $finger==$tail) { break; }
+ if ($finger_rev>$rev) {
+ $tail = $finger;
+ } else {
+ $head = $finger;
+ }
+ }
+
+ if ($tail-$head<1) {
+ // cound not find chunk, assume requested rev is missing
+ fclose($fp);
+ return false;
+ }
+
+ // read chunk
+ $chunk = '';
+ $chunk_size = max($tail-$head, 0); // found chunk size
+ $got = 0;
+ fseek($fp, $head);
+ while ($got<$chunk_size && !feof($fp)) {
+ $tmp = @fread($fp, max($chunk_size-$got, 0));
+ if ($tmp===false) { break; } //error state
+ $got += strlen($tmp);
+ $chunk .= $tmp;
+ }
+ $lines = explode("\n", $chunk);
+ array_pop($lines); // remove trailing newline
+ fclose($fp);
+ }
+
+ // parse and cache changelog lines
+ foreach ($lines as $value) {
+ $tmp = parseChangelogLine($value);
+ if ($tmp!==false) {
+ $cache[$id][$tmp['date']] = $tmp;
+ }
+ }
+ if (!isset($cache[$id][$rev])) { return false; }
+ return $cache[$id][$rev];
+}
+
+/**
+ * Return a list of page revisions numbers
+ * Does not guarantee that the revision exists in the attic,
+ * only that a line with the date exists in the changelog.
+ * By default the current revision is skipped.
+ *
+ * id: the page of interest
+ * first: skip the first n changelog lines
+ * num: number of revisions to return
+ *
+ * The current revision is automatically skipped when the page exists.
+ * See $INFO['meta']['last_change'] for the current revision.
+ *
+ * For efficiency, the log lines are parsed and cached for later
+ * calls to getRevisionInfo. Large changelog files are read
+ * backwards in chunks until the requested number of changelog
+ * lines are recieved.
+ *
+ * @author Ben Coburn <btcoburn@silicodon.net>
+ */
+function getRevisions($id, $first, $num, $chunk_size=8192) {
+ global $cache_revinfo;
+ $cache =& $cache_revinfo;
+ if (!isset($cache[$id])) { $cache[$id] = array(); }
+
+ $revs = array();
+ $lines = array();
+ $count = 0;
+ $file = metaFN($id, '.changes');
+ $num = max($num, 0);
+ $chunk_size = max($chunk_size, 0);
+ if ($first<0) { $first = 0; }
+ else if (@file_exists(wikiFN($id))) {
+ // skip current revision if the page exists
+ $first = max($first+1, 0);
+ }
+
+ if (!@file_exists($file)) { return $revs; }
+ if (filesize($file)<$chunk_size || $chunk_size==0) {
+ // read whole file
+ $lines = file($file);
+ if ($lines===false) { return $revs; }
+ } else {
+ // read chunks backwards
+ $fp = fopen($file, 'rb'); // "file pointer"
+ if ($fp===false) { return $revs; }
+ fseek($fp, 0, SEEK_END);
+ $tail = ftell($fp);
+
+ // chunk backwards
+ $finger = max($tail-$chunk_size, 0);
+ while ($count<$num+$first) {
+ fseek($fp, $finger);
+ if ($finger>0) {
+ fgets($fp); // slip the finger forward to a new line
+ $finger = ftell($fp);
+ }
+
+ // read chunk
+ if ($tail<=$finger) { break; }
+ $chunk = '';
+ $read_size = max($tail-$finger, 0); // found chunk size
+ $got = 0;
+ while ($got<$read_size && !feof($fp)) {
+ $tmp = @fread($fp, max($read_size-$got, 0));
+ if ($tmp===false) { break; } //error state
+ $got += strlen($tmp);
+ $chunk .= $tmp;
+ }
+ $tmp = explode("\n", $chunk);
+ array_pop($tmp); // remove trailing newline
+
+ // combine with previous chunk
+ $count += count($tmp);
+ $lines = array_merge($tmp, $lines);
+
+ // next chunk
+ if ($finger==0) { break; } // already read all the lines
+ else {
+ $tail = $finger;
+ $finger = max($tail-$chunk_size, 0);
+ }
+ }
+ fclose($fp);
+ }
+
+ // skip parsing extra lines
+ $num = max(min(count($lines)-$first, $num), 0);
+ if ($first>0 && $num>0) { $lines = array_slice($lines, max(count($lines)-$first-$num, 0), $num); }
+ else if ($first>0 && $num==0) { $lines = array_slice($lines, 0, max(count($lines)-$first, 0)); }
+ else if ($first==0 && $num>0) { $lines = array_slice($lines, max(count($lines)-$num, 0)); }
+
+ // handle lines in reverse order
+ for ($i = count($lines)-1; $i >= 0; $i--) {
+ $tmp = parseChangelogLine($lines[$i]);
+ if ($tmp!==false) {
+ $cache[$id][$tmp['date']] = $tmp;
+ $revs[] = $tmp['date'];
+ }
+ }
+
+ return $revs;
+}
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/cliopts.php b/mod/dokuwiki/vendors/dokuwiki/inc/cliopts.php
new file mode 100644
index 000000000..a3698ab24
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/cliopts.php
@@ -0,0 +1,361 @@
+<?php
+/**
+* Brutally chopped and modified from http://pear.php.net/package/Console_Getopts
+*/
+// +----------------------------------------------------------------------+
+// | PHP Version 4 |
+// +----------------------------------------------------------------------+
+// | Copyright (c) 1997-2003 The PHP Group |
+// +----------------------------------------------------------------------+
+// | This source file is subject to version 3.0 of the PHP license, |
+// | that is bundled with this package in the file LICENSE, and is |
+// | available through the world-wide-web at the following url: |
+// | http://www.php.net/license/3_0.txt. |
+// | If you did not receive a copy of the PHP license and are unable to |
+// | obtain it through the world-wide-web, please send a note to |
+// | license@php.net so we can mail you a copy immediately. |
+// +----------------------------------------------------------------------+
+// | Author: Andrei Zmievski <andrei@php.net> |
+// | Modified: Harry Fuecks hfuecks gmail.com |
+// +----------------------------------------------------------------------+
+//
+
+
+//------------------------------------------------------------------------------
+/**
+* Sets up CLI environment based on SAPI and PHP version
+* Helps resolve some issues between the CGI and CLI SAPIs
+* as well is inconsistencies between PHP 4.3+ and older versions
+*/
+if (version_compare(phpversion(), '4.3.0', '<') || php_sapi_name() == 'cgi') {
+ // Handle output buffering
+ @ob_end_flush();
+ ob_implicit_flush(true);
+
+ // PHP ini settings
+ set_time_limit(0);
+ ini_set('track_errors', true);
+ ini_set('html_errors', false);
+ ini_set('magic_quotes_runtime', false);
+
+ // Define stream constants
+ define('STDIN', fopen('php://stdin', 'r'));
+ define('STDOUT', fopen('php://stdout', 'w'));
+ define('STDERR', fopen('php://stderr', 'w'));
+
+ // Close the streams on script termination
+ register_shutdown_function(
+ create_function('',
+ 'fclose(STDIN); fclose(STDOUT); fclose(STDERR); return true;')
+ );
+}
+
+//------------------------------------------------------------------------------
+/**
+* Error codes
+*/
+define('DOKU_CLI_OPTS_UNKNOWN_OPT',1); //Unrecognized option
+define('DOKU_CLI_OPTS_OPT_ARG_REQUIRED',2); //Option requires argument
+define('DOKU_CLI_OPTS_OPT_ARG_DENIED',3); //Option not allowed argument
+define('DOKU_CLI_OPTS_OPT_ABIGUOUS',4);//Option abiguous
+define('DOKU_CLI_OPTS_ARG_READ',5);//Could not read argv
+
+//------------------------------------------------------------------------------
+/**
+ * Command-line options parsing class.
+ *
+ * @author Andrei Zmievski <andrei@php.net>
+ *
+ */
+ class Doku_Cli_Opts {
+
+ /**
+ * <?php ?>
+ * @see http://www.sitepoint.com/article/php-command-line-1/3
+ * @param string executing file name - this MUST be passed the __FILE__ constant
+ * @param string short options
+ * @param array (optional) long options
+ * @return Doku_Cli_Opts_Container or Doku_Cli_Opts_Error
+ */
+ function & getOptions($bin_file, $short_options, $long_options = null) {
+ $args = Doku_Cli_Opts::readPHPArgv();
+
+ if ( Doku_Cli_Opts::isError($args) ) {
+ return $args;
+ }
+
+ // Compatibility between "php extensions.php" and "./extensions.php"
+ if ( realpath($_SERVER['argv'][0]) == $bin_file ) {
+ $options = Doku_Cli_Opts::getOpt($args,$short_options,$long_options);
+ } else {
+ $options = Doku_Cli_Opts::getOpt2($args,$short_options,$long_options);
+ }
+
+ if ( Doku_Cli_Opts::isError($options) ) {
+ return $options;
+ }
+
+ $container = new Doku_Cli_Opts_Container($options);
+ return $container;
+ }
+
+ function getopt2($args, $short_options, $long_options = null) {
+ return Doku_Cli_Opts::doGetopt(
+ 2, $args, $short_options, $long_options
+ );
+ }
+
+ function getopt($args, $short_options, $long_options = null) {
+ return Doku_Cli_Opts::doGetopt(
+ 1, $args, $short_options, $long_options
+ );
+ }
+
+ function doGetopt($version, $args, $short_options, $long_options = null) {
+
+ // in case you pass directly readPHPArgv() as the first arg
+ if (Doku_Cli_Opts::isError($args)) {
+ return $args;
+ }
+ if (empty($args)) {
+ return array(array(), array());
+ }
+ $opts = array();
+ $non_opts = array();
+
+ settype($args, 'array');
+
+ if ($long_options && is_array($long_options)) {
+ sort($long_options);
+ }
+
+ /*
+ * Preserve backwards compatibility with callers that relied on
+ * erroneous POSIX fix.
+ */
+ if ($version < 2) {
+ if (isset($args[0]{0}) && $args[0]{0} != '-') {
+ array_shift($args);
+ }
+ }
+
+ reset($args);
+ while (list($i, $arg) = each($args)) {
+
+ /* The special element '--' means explicit end of
+ options. Treat the rest of the arguments as non-options
+ and end the loop. */
+ if ($arg == '--') {
+ $non_opts = array_merge($non_opts, array_slice($args, $i + 1));
+ break;
+ }
+
+ if ($arg{0} != '-' || (strlen($arg) > 1 && $arg{1} == '-' && !$long_options)) {
+ $non_opts = array_merge($non_opts, array_slice($args, $i));
+ break;
+ } elseif (strlen($arg) > 1 && $arg{1} == '-') {
+ $error = Doku_Cli_Opts::_parseLongOption(substr($arg, 2), $long_options, $opts, $args);
+ if (Doku_Cli_Opts::isError($error))
+ return $error;
+ } else {
+ $error = Doku_Cli_Opts::_parseShortOption(substr($arg, 1), $short_options, $opts, $args);
+ if (Doku_Cli_Opts::isError($error))
+ return $error;
+ }
+ }
+
+ return array($opts, $non_opts);
+ }
+
+ function _parseShortOption($arg, $short_options, &$opts, &$args) {
+ for ($i = 0; $i < strlen($arg); $i++) {
+ $opt = $arg{$i};
+ $opt_arg = null;
+
+ /* Try to find the short option in the specifier string. */
+ if (($spec = strstr($short_options, $opt)) === false || $arg{$i} == ':')
+ {
+ return Doku_Cli_Opts::raiseError(
+ DOKU_CLI_OPTS_UNKNOWN_OPT,
+ "Unrecognized option -- $opt"
+ );
+ }
+
+ if (strlen($spec) > 1 && $spec{1} == ':') {
+ if (strlen($spec) > 2 && $spec{2} == ':') {
+ if ($i + 1 < strlen($arg)) {
+ /* Option takes an optional argument. Use the remainder of
+ the arg string if there is anything left. */
+ $opts[] = array($opt, substr($arg, $i + 1));
+ break;
+ }
+ } else {
+ /* Option requires an argument. Use the remainder of the arg
+ string if there is anything left. */
+ if ($i + 1 < strlen($arg)) {
+ $opts[] = array($opt, substr($arg, $i + 1));
+ break;
+ } else if (list(, $opt_arg) = each($args))
+ /* Else use the next argument. */;
+ else
+ return Doku_Cli_Opts::raiseError(
+ DOKU_CLI_OPTS_OPT_ARG_REQUIRED,
+ "Option requires an argument -- $opt"
+ );
+ }
+ }
+
+ $opts[] = array($opt, $opt_arg);
+ }
+ }
+
+ function _parseLongOption($arg, $long_options, &$opts, &$args) {
+ @list($opt, $opt_arg) = explode('=', $arg);
+ $opt_len = strlen($opt);
+
+ for ($i = 0; $i < count($long_options); $i++) {
+ $long_opt = $long_options[$i];
+ $opt_start = substr($long_opt, 0, $opt_len);
+
+ /* Option doesn't match. Go on to the next one. */
+ if ($opt_start != $opt)
+ continue;
+
+ $opt_rest = substr($long_opt, $opt_len);
+
+ /* Check that the options uniquely matches one of the allowed
+ options. */
+ if ($opt_rest != '' && $opt{0} != '=' &&
+ $i + 1 < count($long_options) &&
+ $opt == substr($long_options[$i+1], 0, $opt_len)) {
+ return Doku_Cli_Opts::raiseError(
+ DOKU_CLI_OPTS_OPT_ABIGUOUS,
+ "Option --$opt is ambiguous"
+ );
+ }
+
+ if (substr($long_opt, -1) == '=') {
+ if (substr($long_opt, -2) != '==') {
+ /* Long option requires an argument.
+ Take the next argument if one wasn't specified. */;
+ if (!strlen($opt_arg) && !(list(, $opt_arg) = each($args))) {
+ return Doku_Cli_Opts::raiseError(
+ DOKU_CLI_OPTS_OPT_ARG_REQUIRED,
+ "Option --$opt requires an argument"
+ );
+ }
+ }
+ } else if ($opt_arg) {
+ return Doku_Cli_Opts::raiseError(
+ DOKU_CLI_OPTS_OPT_ARG_DENIED,
+ "Option --$opt doesn't allow an argument"
+ );
+ }
+
+ $opts[] = array('--' . $opt, $opt_arg);
+ return;
+ }
+
+ return Doku_Cli_Opts::raiseError(
+ DOKU_CLI_OPTS_UNKNOWN_OPT,
+ "Unrecognized option --$opt"
+ );
+ }
+
+ function readPHPArgv() {
+ global $argv;
+ if (!is_array($argv)) {
+ if (!@is_array($_SERVER['argv'])) {
+ if (!@is_array($GLOBALS['HTTP_SERVER_VARS']['argv'])) {
+ return Doku_Cli_Opts::raiseError(
+ DOKU_CLI_OPTS_ARG_READ,
+ "Could not read cmd args (register_argc_argv=Off?)"
+ );
+ }
+ return $GLOBALS['HTTP_SERVER_VARS']['argv'];
+ }
+ return $_SERVER['argv'];
+ }
+ return $argv;
+ }
+
+ function raiseError($code, $msg) {
+ return new Doku_Cli_Opts_Error($code, $msg);
+ }
+
+ function isError($obj) {
+ return is_a($obj, 'Doku_Cli_Opts_Error');
+ }
+
+}
+
+//------------------------------------------------------------------------------
+class Doku_Cli_Opts_Error {
+
+ var $code;
+ var $msg;
+
+ function Doku_Cli_Opts_Error($code, $msg) {
+ $this->code = $code;
+ $this->msg = $msg;
+ }
+
+ function getMessage() {
+ return $this->msg;
+ }
+
+ function isError() {
+ return true;
+ }
+
+}
+
+//------------------------------------------------------------------------------
+class Doku_Cli_Opts_Container {
+
+ var $options = array();
+ var $args = array();
+
+ function Doku_Cli_Opts_Container($options) {
+ foreach ( $options[0] as $option ) {
+ if ( false !== ( strpos($option[0], '--') ) ) {
+ $opt_name = substr($option[0], 2);
+ } else {
+ $opt_name = $option[0];
+ }
+ $this->options[$opt_name] = $option[1];
+ }
+
+
+ $this->args = $options[1];
+ }
+
+ function has($option) {
+ return array_key_exists($option, $this->options);
+ }
+
+ function get($option) {
+ if ( isset($this->options[$option]) ) {
+ return ( $this->options[$option] ) ;
+ }
+ }
+
+ function arg($index) {
+ if ( isset($this->args[$index]) ) {
+ return $this->args[$index];
+ }
+ }
+
+ function numArgs() {
+ return count($this->args);
+ }
+
+ function hasArgs() {
+ return count($this->args) !== 0;
+ }
+
+ function isError() {
+ return false;
+ }
+
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/common.php b/mod/dokuwiki/vendors/dokuwiki/inc/common.php
new file mode 100644
index 000000000..610bd8de6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/common.php
@@ -0,0 +1,1549 @@
+<?php
+/**
+ * Common DokuWiki functions
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+
+if(!defined('DOKU_INC')) die('meh.');
+require_once(DOKU_INC.'inc/io.php');
+require_once(DOKU_INC.'inc/changelog.php');
+require_once(DOKU_INC.'inc/utf8.php');
+require_once(DOKU_INC.'inc/mail.php');
+require_once(DOKU_INC.'inc/parserutils.php');
+require_once(DOKU_INC.'inc/infoutils.php');
+
+/**
+ * These constants are used with the recents function
+ */
+define('RECENTS_SKIP_DELETED',2);
+define('RECENTS_SKIP_MINORS',4);
+define('RECENTS_SKIP_SUBSPACES',8);
+define('RECENTS_MEDIA_CHANGES',16);
+
+/**
+ * Wrapper around htmlspecialchars()
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @see htmlspecialchars()
+ */
+function hsc($string){
+ return htmlspecialchars($string, ENT_QUOTES, 'UTF-8');
+}
+
+/**
+ * print a newline terminated string
+ *
+ * You can give an indention as optional parameter
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function ptln($string,$indent=0){
+ echo str_repeat(' ', $indent)."$string\n";
+}
+
+/**
+ * strips control characters (<32) from the given string
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function stripctl($string){
+ return preg_replace('/[\x00-\x1F]+/s','',$string);
+}
+
+/**
+ * Return a secret token to be used for CSRF attack prevention
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @link http://en.wikipedia.org/wiki/Cross-site_request_forgery
+ * @link http://christ1an.blogspot.com/2007/04/preventing-csrf-efficiently.html
+ * @return string
+ */
+function getSecurityToken(){
+ return md5(auth_cookiesalt().session_id());
+}
+
+/**
+ * Check the secret CSRF token
+ */
+function checkSecurityToken($token=null){
+ if(!$_SERVER['REMOTE_USER']) return true; // no logged in user, no need for a check
+
+ if(is_null($token)) $token = $_REQUEST['sectok'];
+ if(getSecurityToken() != $token){
+ msg('Security Token did not match. Possible CSRF attack.',-1);
+ return false;
+ }
+ return true;
+}
+
+/**
+ * Print a hidden form field with a secret CSRF token
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function formSecurityToken($print=true){
+ $ret = '<div class="no"><input type="hidden" name="sectok" value="'.getSecurityToken().'" /></div>'."\n";
+ if($print){
+ echo $ret;
+ }else{
+ return $ret;
+ }
+}
+
+/**
+ * Return info about the current document as associative
+ * array.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function pageinfo(){
+ global $ID;
+ global $REV;
+ global $RANGE;
+ global $USERINFO;
+ global $conf;
+ global $lang;
+
+ // include ID & REV not redundant, as some parts of DokuWiki may temporarily change $ID, e.g. p_wiki_xhtml
+ // FIXME ... perhaps it would be better to ensure the temporary changes weren't necessary
+ $info['id'] = $ID;
+ $info['rev'] = $REV;
+
+ // set info about manager/admin status.
+ $info['isadmin'] = false;
+ $info['ismanager'] = false;
+ if(isset($_SERVER['REMOTE_USER'])){
+ $info['userinfo'] = $USERINFO;
+ $info['perm'] = auth_quickaclcheck($ID);
+ $info['subscribed'] = is_subscribed($ID,$_SERVER['REMOTE_USER'],false);
+ $info['subscribedns'] = is_subscribed($ID,$_SERVER['REMOTE_USER'],true);
+ $info['client'] = $_SERVER['REMOTE_USER'];
+
+ if($info['perm'] == AUTH_ADMIN){
+ $info['isadmin'] = true;
+ $info['ismanager'] = true;
+ }elseif(auth_ismanager()){
+ $info['ismanager'] = true;
+ }
+
+ // if some outside auth were used only REMOTE_USER is set
+ if(!$info['userinfo']['name']){
+ $info['userinfo']['name'] = $_SERVER['REMOTE_USER'];
+ }
+
+ }else{
+ $info['perm'] = auth_aclcheck($ID,'',null);
+ $info['subscribed'] = false;
+ $info['client'] = clientIP(true);
+ }
+
+ //error_log("dokuwiki actpageinfo!!".$info['perm']);
+ $info['namespace'] = getNS($ID);
+ $info['locked'] = checklock($ID);
+ $info['filepath'] = fullpath(wikiFN($ID));
+ $info['exists'] = @file_exists($info['filepath']);
+ if($REV){
+ //check if current revision was meant
+ if($info['exists'] && (@filemtime($info['filepath'])==$REV)){
+ $REV = '';
+ }elseif($RANGE){
+ //section editing does not work with old revisions!
+ $REV = '';
+ $RANGE = '';
+ msg($lang['nosecedit'],0);
+ }else{
+ //really use old revision
+ $info['filepath'] = fullpath(wikiFN($ID,$REV));
+ $info['exists'] = @file_exists($info['filepath']);
+ }
+ }
+ $info['rev'] = $REV;
+ if($info['exists']){
+ $info['writable'] = (is_writable($info['filepath']) &&
+ ($info['perm'] >= AUTH_EDIT));
+ }else{
+ $info['writable'] = ($info['perm'] >= AUTH_CREATE);
+ }
+ $info['editable'] = ($info['writable'] && empty($info['lock']));
+ $info['lastmod'] = @filemtime($info['filepath']);
+
+ //load page meta data
+ $info['meta'] = p_get_metadata($ID);
+
+ //who's the editor
+ if($REV){
+ $revinfo = getRevisionInfo($ID, $REV, 1024);
+ }else{
+ if (is_array($info['meta']['last_change'])) {
+ $revinfo = $info['meta']['last_change'];
+ } else {
+ $revinfo = getRevisionInfo($ID, $info['lastmod'], 1024);
+ // cache most recent changelog line in metadata if missing and still valid
+ if ($revinfo!==false) {
+ $info['meta']['last_change'] = $revinfo;
+ p_set_metadata($ID, array('last_change' => $revinfo));
+ }
+ }
+ }
+ //and check for an external edit
+ if($revinfo!==false && $revinfo['date']!=$info['lastmod']){
+ // cached changelog line no longer valid
+ $revinfo = false;
+ $info['meta']['last_change'] = $revinfo;
+ p_set_metadata($ID, array('last_change' => $revinfo));
+ }
+
+ $info['ip'] = $revinfo['ip'];
+ $info['user'] = $revinfo['user'];
+ $info['sum'] = $revinfo['sum'];
+ // See also $INFO['meta']['last_change'] which is the most recent log line for page $ID.
+ // Use $INFO['meta']['last_change']['type']===DOKU_CHANGE_TYPE_MINOR_EDIT in place of $info['minor'].
+
+ if($revinfo['user']){
+ $info['editor'] = $revinfo['user'];
+ }else{
+ $info['editor'] = $revinfo['ip'];
+ }
+
+ // draft
+ $draft = getCacheName($info['client'].$ID,'.draft');
+ if(@file_exists($draft)){
+ if(@filemtime($draft) < @filemtime(wikiFN($ID))){
+ // remove stale draft
+ @unlink($draft);
+ }else{
+ $info['draft'] = $draft;
+ }
+ }
+
+ // mobile detection
+ $info['ismobile'] = clientismobile();
+
+ return $info;
+}
+
+/**
+ * Build an string of URL parameters
+ *
+ * @author Andreas Gohr
+ */
+function buildURLparams($params, $sep='&amp;'){
+ $url = '';
+ $amp = false;
+ foreach($params as $key => $val){
+ if($amp) $url .= $sep;
+
+ $url .= $key.'=';
+ $url .= rawurlencode((string)$val);
+ $amp = true;
+ }
+ return $url;
+}
+
+/**
+ * Build an string of html tag attributes
+ *
+ * Skips keys starting with '_', values get HTML encoded
+ *
+ * @author Andreas Gohr
+ */
+function buildAttributes($params,$skipempty=false){
+ $url = '';
+ foreach($params as $key => $val){
+ if($key{0} == '_') continue;
+ if($val === '' && $skipempty) continue;
+
+ $url .= $key.'="';
+ $url .= htmlspecialchars ($val);
+ $url .= '" ';
+ }
+ return $url;
+}
+
+
+/**
+ * This builds the breadcrumb trail and returns it as array
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function breadcrumbs(){
+ // we prepare the breadcrumbs early for quick session closing
+ static $crumbs = null;
+ if($crumbs != null) return $crumbs;
+
+ global $ID;
+ global $ACT;
+ global $conf;
+
+ //first visit?
+ $crumbs = isset($_SESSION[DOKU_COOKIE]['bc']) ? $_SESSION[DOKU_COOKIE]['bc'] : array();
+ //we only save on show and existing wiki documents
+ $file = wikiFN($ID);
+ if($ACT != 'show' || !@file_exists($file)){
+ $_SESSION[DOKU_COOKIE]['bc'] = $crumbs;
+ return $crumbs;
+ }
+
+ // page names
+ $name = noNSorNS($ID);
+ if (useHeading('navigation')) {
+ // get page title
+ $title = p_get_first_heading($ID,true);
+ if ($title) {
+ $name = $title;
+ }
+ }
+
+ //remove ID from array
+ if (isset($crumbs[$ID])) {
+ unset($crumbs[$ID]);
+ }
+
+ //add to array
+ $crumbs[$ID] = $name;
+ //reduce size
+ while(count($crumbs) > $conf['breadcrumbs']){
+ array_shift($crumbs);
+ }
+ //save to session
+ $_SESSION[DOKU_COOKIE]['bc'] = $crumbs;
+ return $crumbs;
+}
+
+/**
+ * Filter for page IDs
+ *
+ * This is run on a ID before it is outputted somewhere
+ * currently used to replace the colon with something else
+ * on Windows systems and to have proper URL encoding
+ *
+ * Urlencoding is ommitted when the second parameter is false
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function idfilter($id,$ue=true){
+ global $conf;
+ if ($conf['useslash'] && $conf['userewrite']){
+ $id = strtr($id,':','/');
+ }elseif (strtoupper(substr(PHP_OS, 0, 3)) === 'WIN' &&
+ $conf['userewrite']) {
+ $id = strtr($id,':',';');
+ }
+ if($ue){
+ $id = rawurlencode($id);
+ $id = str_replace('%3A',':',$id); //keep as colon
+ $id = str_replace('%2F','/',$id); //keep as slash
+ }
+ return $id;
+}
+
+/**
+ * This builds a link to a wikipage
+ *
+ * It handles URL rewriting and adds additional parameter if
+ * given in $more
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function wl($id='',$more='',$abs=false,$sep='&amp;'){
+ global $conf;
+ if(is_array($more)){
+ $more = buildURLparams($more,$sep);
+ }else{
+ $more = str_replace(',',$sep,$more);
+ }
+
+ $id = idfilter($id);
+ if($abs){
+ $xlink = DOKU_URL;
+ }else{
+ $xlink = DOKU_BASE;
+ }
+
+ if($conf['userewrite'] == 2){
+ $xlink .= DOKU_SCRIPT.'/'.$id;
+ if($more) $xlink .= '?'.$more;
+ }elseif($conf['userewrite']){
+ $xlink .= $id;
+ if($more) $xlink .= '?'.$more;
+ }elseif($id){
+ $xlink .= DOKU_SCRIPT.'?id='.$id;
+ if($more) $xlink .= $sep.$more;
+ }else{
+ $xlink .= DOKU_SCRIPT;
+ if($more) $xlink .= '?'.$more;
+ }
+
+ return $xlink;
+}
+
+/**
+ * This builds a link to an alternate page format
+ *
+ * Handles URL rewriting if enabled. Follows the style of wl().
+ *
+ * @author Ben Coburn <btcoburn@silicodon.net>
+ */
+function exportlink($id='',$format='raw',$more='',$abs=false,$sep='&amp;'){
+ global $conf;
+ if(is_array($more)){
+ $more = buildURLparams($more,$sep);
+ }else{
+ $more = str_replace(',',$sep,$more);
+ }
+
+ $format = rawurlencode($format);
+ $id = idfilter($id);
+ if($abs){
+ $xlink = DOKU_URL;
+ }else{
+ $xlink = DOKU_BASE;
+ }
+
+ if($conf['userewrite'] == 2){
+ $xlink .= DOKU_SCRIPT.'/'.$id.'?do=export_'.$format;
+ if($more) $xlink .= $sep.$more;
+ }elseif($conf['userewrite'] == 1){
+ $xlink .= '_export/'.$format.'/'.$id;
+ if($more) $xlink .= '?'.$more;
+ }else{
+ $xlink .= DOKU_SCRIPT.'?do=export_'.$format.$sep.'id='.$id;
+ if($more) $xlink .= $sep.$more;
+ }
+
+ return $xlink;
+}
+
+/**
+ * Build a link to a media file
+ *
+ * Will return a link to the detail page if $direct is false
+ *
+ * The $more parameter should always be given as array, the function then
+ * will strip default parameters to produce even cleaner URLs
+ *
+ * @param string $id - the media file id or URL
+ * @param mixed $more - string or array with additional parameters
+ * @param boolean $direct - link to detail page if false
+ * @param string $sep - URL parameter separator
+ * @param boolean $abs - Create an absolute URL
+ */
+function ml($id='',$more='',$direct=true,$sep='&amp;',$abs=false){
+ global $conf;
+ if(is_array($more)){
+ // strip defaults for shorter URLs
+ if(isset($more['cache']) && $more['cache'] == 'cache') unset($more['cache']);
+ if(!$more['w']) unset($more['w']);
+ if(!$more['h']) unset($more['h']);
+ if(isset($more['id']) && $direct) unset($more['id']);
+ $more = buildURLparams($more,$sep);
+ }else{
+ $more = str_replace('cache=cache','',$more); //skip default
+ $more = str_replace(',,',',',$more);
+ $more = str_replace(',',$sep,$more);
+ }
+
+ if($abs){
+ $xlink = DOKU_URL;
+ }else{
+ $xlink = DOKU_BASE;
+ }
+
+ // external URLs are always direct without rewriting
+ if(preg_match('#^(https?|ftp)://#i',$id)){
+ $xlink .= 'lib/exe/fetch.php';
+ // add hash:
+ $xlink .= '?hash='.substr(md5(auth_cookiesalt().$id),0,6);
+ if($more){
+ $xlink .= $sep.$more;
+ $xlink .= $sep.'media='.rawurlencode($id);
+ }else{
+ $xlink .= $sep.'media='.rawurlencode($id);
+ }
+ return $xlink;
+ }
+
+ $id = idfilter($id);
+
+ // decide on scriptname
+ if($direct){
+ if($conf['userewrite'] == 1){
+ $script = '_media';
+ }else{
+ $script = 'lib/exe/fetch.php';
+ }
+ }else{
+ if($conf['userewrite'] == 1){
+ $script = '_detail';
+ }else{
+ $script = 'lib/exe/detail.php';
+ }
+ }
+
+ // build URL based on rewrite mode
+ if($conf['userewrite']){
+ $xlink .= $script.'/'.$id;
+ if($more) $xlink .= '?'.$more;
+ }else{
+ if($more){
+ $xlink .= $script.'?'.$more;
+ $xlink .= $sep.'media='.$id;
+ }else{
+ $xlink .= $script.'?media='.$id;
+ }
+ }
+
+ return $xlink;
+}
+
+
+
+/**
+ * Just builds a link to a script
+ *
+ * @todo maybe obsolete
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function script($script='doku.php'){
+# $link = getBaseURL();
+# $link .= $script;
+# return $link;
+ return DOKU_BASE.DOKU_SCRIPT;
+}
+
+/**
+ * Spamcheck against wordlist
+ *
+ * Checks the wikitext against a list of blocked expressions
+ * returns true if the text contains any bad words
+ *
+ * Triggers COMMON_WORDBLOCK_BLOCKED
+ *
+ * Action Plugins can use this event to inspect the blocked data
+ * and gain information about the user who was blocked.
+ *
+ * Event data:
+ * data['matches'] - array of matches
+ * data['userinfo'] - information about the blocked user
+ * [ip] - ip address
+ * [user] - username (if logged in)
+ * [mail] - mail address (if logged in)
+ * [name] - real name (if logged in)
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Michael Klier <chi@chimeric.de>
+ * @param string $text - optional text to check, if not given the globals are used
+ * @return bool - true if a spam word was found
+ */
+function checkwordblock($text=''){
+ global $TEXT;
+ global $PRE;
+ global $SUF;
+ global $conf;
+ global $INFO;
+
+ if(!$conf['usewordblock']) return false;
+
+ if(!$text) $text = "$PRE $TEXT $SUF";
+
+ // we prepare the text a tiny bit to prevent spammers circumventing URL checks
+ $text = preg_replace('!(\b)(www\.[\w.:?\-;,]+?\.[\w.:?\-;,]+?[\w/\#~:.?+=&%@\!\-.:?\-;,]+?)([.:?\-;,]*[^\w/\#~:.?+=&%@\!\-.:?\-;,])!i','\1http://\2 \2\3',$text);
+
+ $wordblocks = getWordblocks();
+ //how many lines to read at once (to work around some PCRE limits)
+ if(version_compare(phpversion(),'4.3.0','<')){
+ //old versions of PCRE define a maximum of parenthesises even if no
+ //backreferences are used - the maximum is 99
+ //this is very bad performancewise and may even be too high still
+ $chunksize = 40;
+ }else{
+ //read file in chunks of 200 - this should work around the
+ //MAX_PATTERN_SIZE in modern PCRE
+ $chunksize = 200;
+ }
+ while($blocks = array_splice($wordblocks,0,$chunksize)){
+ $re = array();
+ #build regexp from blocks
+ foreach($blocks as $block){
+ $block = preg_replace('/#.*$/','',$block);
+ $block = trim($block);
+ if(empty($block)) continue;
+ $re[] = $block;
+ }
+ if(count($re) && preg_match('#('.join('|',$re).')#si',$text,$matches)) {
+ //prepare event data
+ $data['matches'] = $matches;
+ $data['userinfo']['ip'] = $_SERVER['REMOTE_ADDR'];
+ if($_SERVER['REMOTE_USER']) {
+ $data['userinfo']['user'] = $_SERVER['REMOTE_USER'];
+ $data['userinfo']['name'] = $INFO['userinfo']['name'];
+ $data['userinfo']['mail'] = $INFO['userinfo']['mail'];
+ }
+ $callback = create_function('', 'return true;');
+ return trigger_event('COMMON_WORDBLOCK_BLOCKED', $data, $callback, true);
+ }
+ }
+ return false;
+}
+
+/**
+ * Return the IP of the client
+ *
+ * Honours X-Forwarded-For and X-Real-IP Proxy Headers
+ *
+ * It returns a comma separated list of IPs if the above mentioned
+ * headers are set. If the single parameter is set, it tries to return
+ * a routable public address, prefering the ones suplied in the X
+ * headers
+ *
+ * @param boolean $single If set only a single IP is returned
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function clientIP($single=false){
+ $ip = array();
+ $ip[] = $_SERVER['REMOTE_ADDR'];
+ if(!empty($_SERVER['HTTP_X_FORWARDED_FOR']))
+ $ip = array_merge($ip,explode(',',$_SERVER['HTTP_X_FORWARDED_FOR']));
+ if(!empty($_SERVER['HTTP_X_REAL_IP']))
+ $ip = array_merge($ip,explode(',',$_SERVER['HTTP_X_REAL_IP']));
+
+ // some IPv4/v6 regexps borrowed from Feyd
+ // see: http://forums.devnetwork.net/viewtopic.php?f=38&t=53479
+ $dec_octet = '(?:25[0-5]|2[0-4]\d|1\d\d|[1-9]\d|[0-9])';
+ $hex_digit = '[A-Fa-f0-9]';
+ $h16 = "{$hex_digit}{1,4}";
+ $IPv4Address = "$dec_octet\\.$dec_octet\\.$dec_octet\\.$dec_octet";
+ $ls32 = "(?:$h16:$h16|$IPv4Address)";
+ $IPv6Address =
+ "(?:(?:{$IPv4Address})|(?:".
+ "(?:$h16:){6}$ls32" .
+ "|::(?:$h16:){5}$ls32" .
+ "|(?:$h16)?::(?:$h16:){4}$ls32" .
+ "|(?:(?:$h16:){0,1}$h16)?::(?:$h16:){3}$ls32" .
+ "|(?:(?:$h16:){0,2}$h16)?::(?:$h16:){2}$ls32" .
+ "|(?:(?:$h16:){0,3}$h16)?::(?:$h16:){1}$ls32" .
+ "|(?:(?:$h16:){0,4}$h16)?::$ls32" .
+ "|(?:(?:$h16:){0,5}$h16)?::$h16" .
+ "|(?:(?:$h16:){0,6}$h16)?::" .
+ ")(?:\\/(?:12[0-8]|1[0-1][0-9]|[1-9][0-9]|[0-9]))?)";
+
+ // remove any non-IP stuff
+ $cnt = count($ip);
+ $match = array();
+ for($i=0; $i<$cnt; $i++){
+ if(preg_match("/^$IPv4Address$/",$ip[$i],$match) || preg_match("/^$IPv6Address$/",$ip[$i],$match)) {
+ $ip[$i] = $match[0];
+ } else {
+ $ip[$i] = '';
+ }
+ if(empty($ip[$i])) unset($ip[$i]);
+ }
+ $ip = array_values(array_unique($ip));
+ if(!$ip[0]) $ip[0] = '0.0.0.0'; // for some strange reason we don't have a IP
+
+ if(!$single) return join(',',$ip);
+
+ // decide which IP to use, trying to avoid local addresses
+ $ip = array_reverse($ip);
+ foreach($ip as $i){
+ if(preg_match('/^(127\.|10\.|192\.168\.|172\.((1[6-9])|(2[0-9])|(3[0-1]))\.)/',$i)){
+ continue;
+ }else{
+ return $i;
+ }
+ }
+ // still here? just use the first (last) address
+ return $ip[0];
+}
+
+/**
+ * Check if the browser is on a mobile device
+ *
+ * Adapted from the example code at url below
+ *
+ * @link http://www.brainhandles.com/2007/10/15/detecting-mobile-browsers/#code
+ */
+function clientismobile(){
+
+ if(isset($_SERVER['HTTP_X_WAP_PROFILE'])) return true;
+
+ if(preg_match('/wap\.|\.wap/i',$_SERVER['HTTP_ACCEPT'])) return true;
+
+ if(!isset($_SERVER['HTTP_USER_AGENT'])) return false;
+
+ $uamatches = 'midp|j2me|avantg|docomo|novarra|palmos|palmsource|240x320|opwv|chtml|pda|windows ce|mmp\/|blackberry|mib\/|symbian|wireless|nokia|hand|mobi|phone|cdm|up\.b|audio|SIE\-|SEC\-|samsung|HTC|mot\-|mitsu|sagem|sony|alcatel|lg|erics|vx|NEC|philips|mmm|xx|panasonic|sharp|wap|sch|rover|pocket|benq|java|pt|pg|vox|amoi|bird|compal|kg|voda|sany|kdd|dbt|sendo|sgh|gradi|jb|\d\d\di|moto';
+
+ if(preg_match("/$uamatches/i",$_SERVER['HTTP_USER_AGENT'])) return true;
+
+ return false;
+}
+
+
+/**
+ * Convert one or more comma separated IPs to hostnames
+ *
+ * @author Glen Harris <astfgl@iamnota.org>
+ * @returns a comma separated list of hostnames
+ */
+function gethostsbyaddrs($ips){
+ $hosts = array();
+ $ips = explode(',',$ips);
+
+ if(is_array($ips)) {
+ foreach($ips as $ip){
+ $hosts[] = gethostbyaddr(trim($ip));
+ }
+ return join(',',$hosts);
+ } else {
+ return gethostbyaddr(trim($ips));
+ }
+}
+
+/**
+ * Checks if a given page is currently locked.
+ *
+ * removes stale lockfiles
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function checklock($id){
+ global $conf;
+ $lock = wikiLockFN($id);
+
+ //no lockfile
+ if(!@file_exists($lock)) return false;
+
+ //lockfile expired
+ if((time() - filemtime($lock)) > $conf['locktime']){
+ @unlink($lock);
+ return false;
+ }
+
+ //my own lock
+ $ip = io_readFile($lock);
+ if( ($ip == clientIP()) || ($ip == $_SERVER['REMOTE_USER']) ){
+ return false;
+ }
+
+ return $ip;
+}
+
+/**
+ * Lock a page for editing
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function lock($id){
+ $lock = wikiLockFN($id);
+ if($_SERVER['REMOTE_USER']){
+ io_saveFile($lock,$_SERVER['REMOTE_USER']);
+ }else{
+ io_saveFile($lock,clientIP());
+ }
+}
+
+/**
+ * Unlock a page if it was locked by the user
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @return bool true if a lock was removed
+ */
+function unlock($id){
+ $lock = wikiLockFN($id);
+ if(@file_exists($lock)){
+ $ip = io_readFile($lock);
+ if( ($ip == clientIP()) || ($ip == $_SERVER['REMOTE_USER']) ){
+ @unlink($lock);
+ return true;
+ }
+ }
+ return false;
+}
+
+/**
+ * convert line ending to unix format
+ *
+ * @see formText() for 2crlf conversion
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function cleanText($text){
+ $text = preg_replace("/(\015\012)|(\015)/","\012",$text);
+ return $text;
+}
+
+/**
+ * Prepares text for print in Webforms by encoding special chars.
+ * It also converts line endings to Windows format which is
+ * pseudo standard for webforms.
+ *
+ * @see cleanText() for 2unix conversion
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function formText($text){
+ $text = str_replace("\012","\015\012",$text);
+ return htmlspecialchars($text);
+}
+
+/**
+ * Returns the specified local text in raw format
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function rawLocale($id){
+ return io_readFile(localeFN($id));
+}
+
+/**
+ * Returns the raw WikiText
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function rawWiki($id,$rev=''){
+ return io_readWikiPage(wikiFN($id, $rev), $id, $rev);
+}
+
+/**
+ * Returns the pagetemplate contents for the ID's namespace
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function pageTemplate($data){
+ $id = $data[0];
+ global $conf;
+ global $INFO;
+
+ $path = dirname(wikiFN($id));
+
+ if(@file_exists($path.'/_template.txt')){
+ $tpl = io_readFile($path.'/_template.txt');
+ }else{
+ // search upper namespaces for templates
+ $len = strlen(rtrim($conf['datadir'],'/'));
+ while (strlen($path) >= $len){
+ if(@file_exists($path.'/__template.txt')){
+ $tpl = io_readFile($path.'/__template.txt');
+ break;
+ }
+ $path = substr($path, 0, strrpos($path, '/'));
+ }
+ }
+ if(!$tpl) return '';
+
+ // replace placeholders
+ $file = noNS($id);
+ $page = strtr($file,'_',' ');
+
+ $tpl = str_replace(array(
+ '@ID@',
+ '@NS@',
+ '@FILE@',
+ '@!FILE@',
+ '@!FILE!@',
+ '@PAGE@',
+ '@!PAGE@',
+ '@!!PAGE@',
+ '@!PAGE!@',
+ '@USER@',
+ '@NAME@',
+ '@MAIL@',
+ '@DATE@',
+ ),
+ array(
+ $id,
+ getNS($id),
+ $file,
+ utf8_ucfirst($file),
+ utf8_strtoupper($file),
+ $page,
+ utf8_ucfirst($page),
+ utf8_ucwords($page),
+ utf8_strtoupper($page),
+ $_SERVER['REMOTE_USER'],
+ $INFO['userinfo']['name'],
+ $INFO['userinfo']['mail'],
+ $conf['dformat'],
+ ), $tpl);
+
+ // we need the callback to work around strftime's char limit
+ $tpl = preg_replace_callback('/%./',create_function('$m','return strftime($m[0]);'),$tpl);
+
+ return $tpl;
+}
+
+
+/**
+ * Returns the raw Wiki Text in three slices.
+ *
+ * The range parameter needs to have the form "from-to"
+ * and gives the range of the section in bytes - no
+ * UTF-8 awareness is needed.
+ * The returned order is prefix, section and suffix.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function rawWikiSlices($range,$id,$rev=''){
+ list($from,$to) = explode('-',$range,2);
+ $text = io_readWikiPage(wikiFN($id, $rev), $id, $rev);
+ if(!$from) $from = 0;
+ if(!$to) $to = strlen($text)+1;
+
+ $slices[0] = substr($text,0,$from-1);
+ $slices[1] = substr($text,$from-1,$to-$from);
+ $slices[2] = substr($text,$to);
+
+ return $slices;
+}
+
+/**
+ * Joins wiki text slices
+ *
+ * function to join the text slices with correct lineendings again.
+ * When the pretty parameter is set to true it adds additional empty
+ * lines between sections if needed (used on saving).
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function con($pre,$text,$suf,$pretty=false){
+
+ if($pretty){
+ if($pre && substr($pre,-1) != "\n") $pre .= "\n";
+ if($suf && substr($text,-1) != "\n") $text .= "\n";
+ }
+
+ // Avoid double newline above section when saving section edit
+ //if($pre) $pre .= "\n";
+ if($suf) $text .= "\n";
+ return $pre.$text.$suf;
+}
+
+/**
+ * Saves a wikitext by calling io_writeWikiPage.
+ * Also directs changelog and attic updates.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Ben Coburn <btcoburn@silicodon.net>
+ */
+function saveWikiText($id,$text,$summary,$minor=false){
+ /* Note to developers:
+ This code is subtle and delicate. Test the behavior of
+ the attic and changelog with dokuwiki and external edits
+ after any changes. External edits change the wiki page
+ directly without using php or dokuwiki.
+ */
+ global $conf;
+ global $lang;
+ global $REV;
+ // ignore if no changes were made
+ if($text == rawWiki($id,'')){
+ return;
+ }
+
+ $file = wikiFN($id);
+ $old = @filemtime($file); // from page
+ $wasRemoved = empty($text);
+ $wasCreated = !@file_exists($file);
+ $wasReverted = ($REV==true);
+ $newRev = false;
+ $oldRev = getRevisions($id, -1, 1, 1024); // from changelog
+ $oldRev = (int)(empty($oldRev)?0:$oldRev[0]);
+ if(!@file_exists(wikiFN($id, $old)) && @file_exists($file) && $old>=$oldRev) {
+ // add old revision to the attic if missing
+ saveOldRevision($id);
+ // add a changelog entry if this edit came from outside dokuwiki
+ if ($old>$oldRev) {
+ addLogEntry($old, $id, DOKU_CHANGE_TYPE_EDIT, $lang['external_edit'], '', array('ExternalEdit'=>true));
+ // remove soon to be stale instructions
+ $cache = new cache_instructions($id, $file);
+ $cache->removeCache();
+ }
+ }
+
+ if ($wasRemoved){
+ // Send "update" event with empty data, so plugins can react to page deletion
+ $data = array(array($file, '', false), getNS($id), noNS($id), false);
+ trigger_event('IO_WIKIPAGE_WRITE', $data);
+ // pre-save deleted revision
+ @touch($file);
+ clearstatcache();
+ $newRev = saveOldRevision($id);
+ // remove empty file
+ @unlink($file);
+ // remove old meta info...
+ $mfiles = metaFiles($id);
+ $changelog = metaFN($id, '.changes');
+ $metadata = metaFN($id, '.meta');
+ foreach ($mfiles as $mfile) {
+ // but keep per-page changelog to preserve page history and keep meta data
+ if (@file_exists($mfile) && $mfile!==$changelog && $mfile!==$metadata) { @unlink($mfile); }
+ }
+ // purge meta data
+ p_purge_metadata($id);
+ $del = true;
+ // autoset summary on deletion
+ if(empty($summary)) $summary = $lang['deleted'];
+ // remove empty namespaces
+ io_sweepNS($id, 'datadir');
+ io_sweepNS($id, 'mediadir');
+ }else{
+ // save file (namespace dir is created in io_writeWikiPage)
+ io_writeWikiPage($file, $text, $id);
+ // pre-save the revision, to keep the attic in sync
+ $newRev = saveOldRevision($id);
+ $del = false;
+ }
+
+ // select changelog line type
+ $extra = '';
+ $type = DOKU_CHANGE_TYPE_EDIT;
+ if ($wasReverted) {
+ $type = DOKU_CHANGE_TYPE_REVERT;
+ $extra = $REV;
+ }
+ else if ($wasCreated) { $type = DOKU_CHANGE_TYPE_CREATE; }
+ else if ($wasRemoved) { $type = DOKU_CHANGE_TYPE_DELETE; }
+ else if ($minor && $conf['useacl'] && $_SERVER['REMOTE_USER']) { $type = DOKU_CHANGE_TYPE_MINOR_EDIT; } //minor edits only for logged in users
+
+ addLogEntry($newRev, $id, $type, $summary, $extra);
+ // send notify mails
+ notify($id,'admin',$old,$summary,$minor);
+ notify($id,'subscribers',$old,$summary,$minor);
+
+ // update the purgefile (timestamp of the last time anything within the wiki was changed)
+ io_saveFile($conf['cachedir'].'/purgefile',time());
+
+ // if useheading is enabled, purge the cache of all linking pages
+ if(useHeading('content')){
+ require_once(DOKU_INC.'inc/fulltext.php');
+ $pages = ft_backlinks($id);
+ foreach ($pages as $page) {
+ $cache = new cache_renderer($page, wikiFN($page), 'xhtml');
+ $cache->removeCache();
+ }
+ }
+}
+
+/**
+ * moves the current version to the attic and returns its
+ * revision date
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function saveOldRevision($id){
+ global $conf;
+ $oldf = wikiFN($id);
+ if(!@file_exists($oldf)) return '';
+ $date = filemtime($oldf);
+ $newf = wikiFN($id,$date);
+ io_writeWikiPage($newf, rawWiki($id), $id, $date);
+ return $date;
+}
+
+/**
+ * Sends a notify mail on page change or registration
+ *
+ * @param string $id The changed page
+ * @param string $who Who to notify (admin|subscribers|register)
+ * @param int $rev Old page revision
+ * @param string $summary What changed
+ * @param boolean $minor Is this a minor edit?
+ * @param array $replace Additional string substitutions, @KEY@ to be replaced by value
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function notify($id,$who,$rev='',$summary='',$minor=false,$replace=array()){
+ global $lang;
+ global $conf;
+ global $INFO;
+
+ // decide if there is something to do
+ if($who == 'admin'){
+ if(empty($conf['notify'])) return; //notify enabled?
+ $text = rawLocale('mailtext');
+ $to = $conf['notify'];
+ $bcc = '';
+ }elseif($who == 'subscribers'){
+ if(!$conf['subscribers']) return; //subscribers enabled?
+ if($conf['useacl'] && $_SERVER['REMOTE_USER'] && $minor) return; //skip minors
+ $bcc = subscriber_addresslist($id,false);
+ if(empty($bcc)) return;
+ $to = '';
+ $text = rawLocale('subscribermail');
+ }elseif($who == 'register'){
+ if(empty($conf['registernotify'])) return;
+ $text = rawLocale('registermail');
+ $to = $conf['registernotify'];
+ $bcc = '';
+ }else{
+ return; //just to be safe
+ }
+
+ $ip = clientIP();
+ $text = str_replace('@DATE@',dformat(),$text);
+ $text = str_replace('@BROWSER@',$_SERVER['HTTP_USER_AGENT'],$text);
+ $text = str_replace('@IPADDRESS@',$ip,$text);
+ $text = str_replace('@HOSTNAME@',gethostsbyaddrs($ip),$text);
+ $text = str_replace('@NEWPAGE@',wl($id,'',true,'&'),$text);
+ $text = str_replace('@PAGE@',$id,$text);
+ $text = str_replace('@TITLE@',$conf['title'],$text);
+ $text = str_replace('@DOKUWIKIURL@',DOKU_URL,$text);
+ $text = str_replace('@SUMMARY@',$summary,$text);
+ $text = str_replace('@USER@',$_SERVER['REMOTE_USER'],$text);
+
+ foreach ($replace as $key => $substitution) {
+ $text = str_replace('@'.strtoupper($key).'@',$substitution, $text);
+ }
+
+ if($who == 'register'){
+ $subject = $lang['mail_new_user'].' '.$summary;
+ }elseif($rev){
+ $subject = $lang['mail_changed'].' '.$id;
+ $text = str_replace('@OLDPAGE@',wl($id,"rev=$rev",true,'&'),$text);
+ require_once(DOKU_INC.'inc/DifferenceEngine.php');
+ $df = new Diff(explode("\n",rawWiki($id,$rev)),
+ explode("\n",rawWiki($id)));
+ $dformat = new UnifiedDiffFormatter();
+ $diff = $dformat->format($df);
+ }else{
+ $subject=$lang['mail_newpage'].' '.$id;
+ $text = str_replace('@OLDPAGE@','none',$text);
+ $diff = rawWiki($id);
+ }
+ $text = str_replace('@DIFF@',$diff,$text);
+ $subject = '['.$conf['title'].'] '.$subject;
+
+ $from = $conf['mailfrom'];
+ $from = str_replace('@USER@',$_SERVER['REMOTE_USER'],$from);
+ $from = str_replace('@NAME@',$INFO['userinfo']['name'],$from);
+ $from = str_replace('@MAIL@',$INFO['userinfo']['mail'],$from);
+
+ mail_send($to,$subject,$text,$from,'',$bcc);
+}
+
+/**
+ * extracts the query from a search engine referrer
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Todd Augsburger <todd@rollerorgans.com>
+ */
+function getGoogleQuery(){
+ if (!isset($_SERVER['HTTP_REFERER'])) {
+ return '';
+ }
+ $url = parse_url($_SERVER['HTTP_REFERER']);
+
+ $query = array();
+
+ // temporary workaround against PHP bug #49733
+ // see http://bugs.php.net/bug.php?id=49733
+ if(UTF8_MBSTRING) $enc = mb_internal_encoding();
+ parse_str($url['query'],$query);
+ if(UTF8_MBSTRING) mb_internal_encoding($enc);
+
+ $q = '';
+ if(isset($query['q']))
+ $q = $query['q']; // google, live/msn, aol, ask, altavista, alltheweb, gigablast
+ elseif(isset($query['p']))
+ $q = $query['p']; // yahoo
+ elseif(isset($query['query']))
+ $q = $query['query']; // lycos, netscape, clusty, hotbot
+ elseif(preg_match("#a9\.com#i",$url['host'])) // a9
+ $q = urldecode(ltrim($url['path'],'/'));
+
+ if($q === '') return '';
+ $q = preg_split('/[\s\'"\\\\`()\]\[?:!\.{};,#+*<>\\/]+/',$q,-1,PREG_SPLIT_NO_EMPTY);
+ return $q;
+}
+
+/**
+ * Try to set correct locale
+ *
+ * @deprecated No longer used
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function setCorrectLocale(){
+ global $conf;
+ global $lang;
+
+ $enc = strtoupper($lang['encoding']);
+ foreach ($lang['locales'] as $loc){
+ //try locale
+ if(@setlocale(LC_ALL,$loc)) return;
+ //try loceale with encoding
+ if(@setlocale(LC_ALL,"$loc.$enc")) return;
+ }
+ //still here? try to set from environment
+ @setlocale(LC_ALL,"");
+}
+
+/**
+ * Return the human readable size of a file
+ *
+ * @param int $size A file size
+ * @param int $dec A number of decimal places
+ * @author Martin Benjamin <b.martin@cybernet.ch>
+ * @author Aidan Lister <aidan@php.net>
+ * @version 1.0.0
+ */
+function filesize_h($size, $dec = 1){
+ $sizes = array('B', 'KB', 'MB', 'GB');
+ $count = count($sizes);
+ $i = 0;
+
+ while ($size >= 1024 && ($i < $count - 1)) {
+ $size /= 1024;
+ $i++;
+ }
+
+ return round($size, $dec) . ' ' . $sizes[$i];
+}
+
+/**
+ * Return the given timestamp as human readable, fuzzy age
+ *
+ * @author Andreas Gohr <gohr@cosmocode.de>
+ */
+function datetime_h($dt){
+ global $lang;
+
+ $ago = time() - $dt;
+ if($ago > 24*60*60*30*12*2){
+ return sprintf($lang['years'], round($ago/(24*60*60*30*12)));
+ }
+ if($ago > 24*60*60*30*2){
+ return sprintf($lang['months'], round($ago/(24*60*60*30)));
+ }
+ if($ago > 24*60*60*7*2){
+ return sprintf($lang['weeks'], round($ago/(24*60*60*7)));
+ }
+ if($ago > 24*60*60*2){
+ return sprintf($lang['days'], round($ago/(24*60*60)));
+ }
+ if($ago > 60*60*2){
+ return sprintf($lang['hours'], round($ago/(60*60)));
+ }
+ if($ago > 60*2){
+ return sprintf($lang['minutes'], round($ago/(60)));
+ }
+ return sprintf($lang['seconds'], $ago);
+
+}
+
+/**
+ * Wraps around strftime but provides support for fuzzy dates
+ *
+ * The format default to $conf['dformat']. It is passed to
+ * strftime - %f can be used to get the value from datetime_h()
+ *
+ * @see datetime_h
+ * @author Andreas Gohr <gohr@cosmocode.de>
+ */
+function dformat($dt=null,$format=''){
+ global $conf;
+
+ if(is_null($dt)) $dt = time();
+ $dt = (int) $dt;
+ if(!$format) $format = $conf['dformat'];
+
+ $format = str_replace('%f',datetime_h($dt),$format);
+ return strftime($format,$dt);
+}
+
+/**
+ * return an obfuscated email address in line with $conf['mailguard'] setting
+ *
+ * @author Harry Fuecks <hfuecks@gmail.com>
+ * @author Christopher Smith <chris@jalakai.co.uk>
+ */
+function obfuscate($email) {
+ global $conf;
+
+ switch ($conf['mailguard']) {
+ case 'visible' :
+ $obfuscate = array('@' => ' [at] ', '.' => ' [dot] ', '-' => ' [dash] ');
+ return strtr($email, $obfuscate);
+
+ case 'hex' :
+ $encode = '';
+ for ($x=0; $x < strlen($email); $x++) $encode .= '&#x' . bin2hex($email{$x}).';';
+ return $encode;
+
+ case 'none' :
+ default :
+ return $email;
+ }
+}
+
+/**
+ * Let us know if a user is tracking a page or a namespace
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function is_subscribed($id,$uid,$ns=false){
+ if(!$ns) {
+ $file=metaFN($id,'.mlist');
+ } else {
+ if(!getNS($id)) {
+ $file = metaFN(getNS($id),'.mlist');
+ } else {
+ $file = metaFN(getNS($id),'/.mlist');
+ }
+ }
+ if (@file_exists($file)) {
+ $mlist = file($file);
+ $pos = array_search($uid."\n",$mlist);
+ return is_int($pos);
+ }
+
+ return false;
+}
+
+/**
+ * Return a string with the email addresses of all the
+ * users subscribed to a page
+ *
+ * @author Steven Danz <steven-danz@kc.rr.com>
+ */
+function subscriber_addresslist($id,$self=true){
+ global $conf;
+ global $auth;
+
+ if (!$conf['subscribers']) return '';
+
+ $users = array();
+ $emails = array();
+
+ // load the page mlist file content
+ $mlist = array();
+ $file=metaFN($id,'.mlist');
+ if (@file_exists($file)) {
+ $mlist = file($file);
+ foreach ($mlist as $who) {
+ $who = rtrim($who);
+ if(!$self && $who == $_SERVER['REMOTE_USER']) continue;
+ $users[$who] = true;
+ }
+ }
+
+ // load also the namespace mlist file content
+ $ns = getNS($id);
+ while ($ns) {
+ $nsfile = metaFN($ns,'/.mlist');
+ if (@file_exists($nsfile)) {
+ $mlist = file($nsfile);
+ foreach ($mlist as $who) {
+ $who = rtrim($who);
+ if(!$self && $who == $_SERVER['REMOTE_USER']) continue;
+ $users[$who] = true;
+ }
+ }
+ $ns = getNS($ns);
+ }
+ // root namespace
+ $nsfile = metaFN('','.mlist');
+ if (@file_exists($nsfile)) {
+ $mlist = file($nsfile);
+ foreach ($mlist as $who) {
+ $who = rtrim($who);
+ if(!$self && $who == $_SERVER['REMOTE_USER']) continue;
+ $users[$who] = true;
+ }
+ }
+ if(!empty($users)) {
+ foreach (array_keys($users) as $who) {
+ $info = $auth->getUserData($who);
+ if($info === false) continue;
+ $level = auth_aclcheck($id,$who,$info['grps']);
+ if ($level >= AUTH_READ) {
+ if (strcasecmp($info['mail'],$conf['notify']) != 0) {
+ $emails[] = $info['mail'];
+ }
+ }
+ }
+ }
+
+ return implode(',',$emails);
+}
+
+/**
+ * Removes quoting backslashes
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function unslash($string,$char="'"){
+ return str_replace('\\'.$char,$char,$string);
+}
+
+/**
+ * Convert php.ini shorthands to byte
+ *
+ * @author <gilthans dot NO dot SPAM at gmail dot com>
+ * @link http://de3.php.net/manual/en/ini.core.php#79564
+ */
+function php_to_byte($v){
+ $l = substr($v, -1);
+ $ret = substr($v, 0, -1);
+ switch(strtoupper($l)){
+ case 'P':
+ $ret *= 1024;
+ case 'T':
+ $ret *= 1024;
+ case 'G':
+ $ret *= 1024;
+ case 'M':
+ $ret *= 1024;
+ case 'K':
+ $ret *= 1024;
+ break;
+ }
+ return $ret;
+}
+
+/**
+ * Wrapper around preg_quote adding the default delimiter
+ */
+function preg_quote_cb($string){
+ return preg_quote($string,'/');
+}
+
+/**
+ * Shorten a given string by removing data from the middle
+ *
+ * You can give the string in two parts, the first part $keep
+ * will never be shortened. The second part $short will be cut
+ * in the middle to shorten but only if at least $min chars are
+ * left to display it. Otherwise it will be left off.
+ *
+ * @param string $keep the part to keep
+ * @param string $short the part to shorten
+ * @param int $max maximum chars you want for the whole string
+ * @param int $min minimum number of chars to have left for middle shortening
+ * @param string $char the shortening character to use
+ */
+function shorten($keep,$short,$max,$min=9,$char='鈥'){
+ $max = $max - utf8_strlen($keep);
+ if($max < $min) return $keep;
+ $len = utf8_strlen($short);
+ if($len <= $max) return $keep.$short;
+ $half = floor($max/2);
+ return $keep.utf8_substr($short,0,$half-1).$char.utf8_substr($short,$len-$half);
+}
+
+/**
+ * Return the users realname or e-mail address for use
+ * in page footer and recent changes pages
+ *
+ * @author Andy Webber <dokuwiki AT andywebber DOT com>
+ */
+function editorinfo($username){
+ global $conf;
+ global $auth;
+
+ switch($conf['showuseras']){
+ case 'username':
+ case 'email':
+ case 'email_link':
+ if($auth) $info = $auth->getUserData($username);
+ break;
+ default:
+ return hsc($username);
+ }
+
+ if(isset($info) && $info) {
+ switch($conf['showuseras']){
+ case 'username':
+ return hsc($info['name']);
+ case 'email':
+ return obfuscate($info['mail']);
+ case 'email_link':
+ $mail=obfuscate($info['mail']);
+ return '<a href="mailto:'.$mail.'">'.$mail.'</a>';
+ default:
+ return hsc($username);
+ }
+ } else {
+ return hsc($username);
+ }
+}
+
+/**
+ * Returns the path to a image file for the currently chosen license.
+ * When no image exists, returns an empty string
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @param string $type - type of image 'badge' or 'button'
+ */
+function license_img($type){
+ global $license;
+ global $conf;
+ if(!$conf['license']) return '';
+ if(!is_array($license[$conf['license']])) return '';
+ $lic = $license[$conf['license']];
+ $try = array();
+ $try[] = 'lib/images/license/'.$type.'/'.$conf['license'].'.png';
+ $try[] = 'lib/images/license/'.$type.'/'.$conf['license'].'.gif';
+ if(substr($conf['license'],0,3) == 'cc-'){
+ $try[] = 'lib/images/license/'.$type.'/cc.png';
+ }
+ foreach($try as $src){
+ if(@file_exists(DOKU_INC.$src)) return $src;
+ }
+ return '';
+}
+
+/**
+ * Checks if the given amount of memory is available
+ *
+ * If the memory_get_usage() function is not available the
+ * function just assumes $bytes of already allocated memory
+ *
+ * @param int $mem Size of memory you want to allocate in bytes
+ * @param int $used already allocated memory (see above)
+ * @author Filip Oscadal <webmaster@illusionsoftworks.cz>
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function is_mem_available($mem,$bytes=1048576){
+ $limit = trim(ini_get('memory_limit'));
+ if(empty($limit)) return true; // no limit set!
+
+ // parse limit to bytes
+ $limit = php_to_byte($limit);
+
+ // get used memory if possible
+ if(function_exists('memory_get_usage')){
+ $used = memory_get_usage();
+ }
+
+ if($used+$mem > $limit){
+ return false;
+ }
+
+ return true;
+}
+
+/**
+ * Send a HTTP redirect to the browser
+ *
+ * Works arround Microsoft IIS cookie sending bug. Exits the script.
+ *
+ * @link http://support.microsoft.com/kb/q176113/
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function send_redirect($url){
+ // always close the session
+ session_write_close();
+
+ // check if running on IIS < 6 with CGI-PHP
+ if( isset($_SERVER['SERVER_SOFTWARE']) && isset($_SERVER['GATEWAY_INTERFACE']) &&
+ (strpos($_SERVER['GATEWAY_INTERFACE'],'CGI') !== false) &&
+ (preg_match('|^Microsoft-IIS/(\d)\.\d$|', trim($_SERVER['SERVER_SOFTWARE']), $matches)) &&
+ $matches[1] < 6 ){
+ header('Refresh: 0;url='.$url);
+ }else{
+ header('Location: '.$url);
+ }
+ exit;
+}
+
+//Setup VIM: ex: et ts=2 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/confutils.php b/mod/dokuwiki/vendors/dokuwiki/inc/confutils.php
new file mode 100644
index 000000000..9ec7a551e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/confutils.php
@@ -0,0 +1,320 @@
+<?php
+/**
+ * Utilities for collecting data from config files
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Harry Fuecks <hfuecks@gmail.com>
+ */
+
+
+/**
+ * Returns the (known) extension and mimetype of a given filename
+ *
+ * If $knownonly is true (the default), then only known extensions
+ * are returned.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function mimetype($file, $knownonly=true){
+ $ret = array(false,false,false); // return array
+ $mtypes = getMimeTypes(); // known mimetypes
+ $exts = join('|',array_keys($mtypes)); // known extensions (regexp)
+ if(!$knownonly){
+ $exts = $exts.'|[_\-A-Za-z0-9]+'; // any extension
+ }
+ if(preg_match('#\.('.$exts.')$#i',$file,$matches)){
+ $ext = strtolower($matches[1]);
+ }
+
+ if($ext){
+ if (isset($mtypes[$ext])){
+ if($mtypes[$ext][0] == '!'){
+ $ret = array($ext, substr($mtypes[$ext],1), true);
+ }else{
+ $ret = array($ext, $mtypes[$ext], false);
+ }
+ }elseif(!$knownonly){
+ $ret = array($ext, 'application/octet-stream', true);
+ }
+ }
+
+ return $ret;
+}
+
+/**
+ * returns a hash of mimetypes
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function getMimeTypes() {
+ static $mime = NULL;
+ if ( !$mime ) {
+ $mime = retrieveConfig('mime','confToHash');
+ }
+ return $mime;
+}
+
+/**
+ * returns a hash of acronyms
+ *
+ * @author Harry Fuecks <hfuecks@gmail.com>
+ */
+function getAcronyms() {
+ static $acronyms = NULL;
+ if ( !$acronyms ) {
+ $acronyms = retrieveConfig('acronyms','confToHash');
+ }
+ return $acronyms;
+}
+
+/**
+ * returns a hash of smileys
+ *
+ * @author Harry Fuecks <hfuecks@gmail.com>
+ */
+function getSmileys() {
+ static $smileys = NULL;
+ if ( !$smileys ) {
+ $smileys = retrieveConfig('smileys','confToHash');
+ }
+ return $smileys;
+}
+
+/**
+ * returns a hash of entities
+ *
+ * @author Harry Fuecks <hfuecks@gmail.com>
+ */
+function getEntities() {
+ static $entities = NULL;
+ if ( !$entities ) {
+ $entities = retrieveConfig('entities','confToHash');
+ }
+ return $entities;
+}
+
+/**
+ * returns a hash of interwikilinks
+ *
+ * @author Harry Fuecks <hfuecks@gmail.com>
+ */
+function getInterwiki() {
+ static $wikis = NULL;
+ if ( !$wikis ) {
+ $wikis = retrieveConfig('interwiki','confToHash',array(true));
+ }
+ //add sepecial case 'this'
+ $wikis['this'] = DOKU_URL.'{NAME}';
+ return $wikis;
+}
+
+/**
+ * returns array of wordblock patterns
+ *
+ */
+function getWordblocks() {
+ static $wordblocks = NULL;
+ if ( !$wordblocks ) {
+ $wordblocks = retrieveConfig('wordblock','file');
+ }
+ return $wordblocks;
+}
+
+
+function getSchemes() {
+ static $schemes = NULL;
+ if ( !$schemes ) {
+ $schemes = retrieveConfig('scheme','file');
+ }
+ $schemes = array_map('trim', $schemes);
+ $schemes = preg_replace('/^#.*/', '', $schemes);
+ $schemes = array_filter($schemes);
+ return $schemes;
+}
+
+/**
+ * Builds a hash from an array of lines
+ *
+ * If $lower is set to true all hash keys are converted to
+ * lower case.
+ *
+ * @author Harry Fuecks <hfuecks@gmail.com>
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Gina Haeussge <gina@foosel.net>
+ */
+function linesToHash($lines, $lower=false) {
+ foreach ( $lines as $line ) {
+ //ignore comments (except escaped ones)
+ $line = preg_replace('/(?<![&\\\\])#.*$/','',$line);
+ $line = str_replace('\\#','#',$line);
+ $line = trim($line);
+ if(empty($line)) continue;
+ $line = preg_split('/\s+/',$line,2);
+ // Build the associative array
+ if($lower){
+ $conf[strtolower($line[0])] = $line[1];
+ }else{
+ $conf[$line[0]] = $line[1];
+ }
+ }
+
+ return $conf;
+}
+
+/**
+ * Builds a hash from a configfile
+ *
+ * If $lower is set to true all hash keys are converted to
+ * lower case.
+ *
+ * @author Harry Fuecks <hfuecks@gmail.com>
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Gina Haeussge <gina@foosel.net>
+ */
+function confToHash($file,$lower=false) {
+ $conf = array();
+ $lines = @file( $file );
+ if ( !$lines ) return $conf;
+
+ return linesToHash($lines, $lower);
+}
+
+/**
+ * Retrieve the requested configuration information
+ *
+ * @author Chris Smith <chris@jalakai.co.uk>
+ *
+ * @param string $type the configuration settings to be read, must correspond to a key/array in $config_cascade
+ * @param callback $fn the function used to process the configuration file into an array
+ * @param array $param optional additional params to pass to the callback
+ * @return array configuration values
+ */
+function retrieveConfig($type,$fn,$params=null) {
+ global $config_cascade;
+
+ if(!is_array($params)) $params = array();
+
+ $combined = array();
+ if (!is_array($config_cascade[$type])) trigger_error('Missing config cascade for "'.$type.'"',E_USER_WARNING);
+ foreach (array('default','local','protected') as $config_group) {
+ if (empty($config_cascade[$type][$config_group])) continue;
+ foreach ($config_cascade[$type][$config_group] as $file) {
+ if (@file_exists($file)) {
+ $config = call_user_func_array($fn,array_merge(array($file),$params));
+ $combined = array_merge($combined, $config);
+ }
+ }
+ }
+
+ return $combined;
+}
+
+/**
+ * Include the requested configuration information
+ *
+ * @author Chris Smith <chris@jalakai.co.uk>
+ *
+ * @param string $type the configuration settings to be read, must correspond to a key/array in $config_cascade
+ * @return array list of files, default before local before protected
+ */
+function getConfigFiles($type) {
+ global $config_cascade;
+ $files = array();
+
+ if (!is_array($config_cascade[$type])) trigger_error('Missing config cascade for "'.$type.'"',E_USER_WARNING);
+ foreach (array('default','local','protected') as $config_group) {
+ if (empty($config_cascade[$type][$config_group])) continue;
+ $files = array_merge($files, $config_cascade[$type][$config_group]);
+ }
+
+ return $files;
+}
+
+/**
+ * check if the given action was disabled in config
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @returns boolean true if enabled, false if disabled
+ */
+function actionOK($action){
+ static $disabled = null;
+ if(is_null($disabled)){
+ global $conf;
+
+ // prepare disabled actions array and handle legacy options
+ $disabled = explode(',',$conf['disableactions']);
+ $disabled = array_map('trim',$disabled);
+ if(isset($conf['openregister']) && !$conf['openregister']) $disabled[] = 'register';
+ if(isset($conf['resendpasswd']) && !$conf['resendpasswd']) $disabled[] = 'resendpwd';
+ if(isset($conf['subscribers']) && !$conf['subscribers']) {
+ $disabled[] = 'subscribe';
+ $disabled[] = 'subscribens';
+ }
+ $disabled = array_unique($disabled);
+ }
+
+ return !in_array($action,$disabled);
+}
+
+/**
+ * check if headings should be used as link text for the specified link type
+ *
+ * @author Chris Smith <chris@jalakai.co.uk>
+ *
+ * @param string $linktype 'content'|'navigation', content applies to links in wiki text
+ * navigation applies to all other links
+ * @returns boolean true if headings should be used for $linktype, false otherwise
+ */
+function useHeading($linktype) {
+ static $useHeading = null;
+
+ if (is_null($useHeading)) {
+ global $conf;
+
+ if (!empty($conf['useheading'])) {
+ switch ($conf['useheading']) {
+ case 'content' : $useHeading['content'] = true; break;
+ case 'navigation' : $useHeading['navigation'] = true; break;
+ default:
+ $useHeading['content'] = true;
+ $useHeading['navigation'] = true;
+ }
+ } else {
+ $useHeading = array();
+ }
+ }
+
+ return (!empty($useHeading[$linktype]));
+}
+
+/**
+ * obscure config data so information isn't plain text
+ *
+ * @param string $str data to be encoded
+ * @param string $code encoding method, values: plain, base64, uuencode.
+ * @return string the encoded value
+ */
+function conf_encodeString($str,$code) {
+ switch ($code) {
+ case 'base64' : return '<b>'.base64_encode($str);
+ case 'uuencode' : return '<u>'.convert_uuencode($str);
+ case 'plain':
+ default:
+ return $str;
+ }
+}
+/**
+ * return obscured data as plain text
+ *
+ * @param string $str encoded data
+ * @return string plain text
+ */
+function conf_decodeString($str) {
+ switch (substr($str,0,3)) {
+ case '<b>' : return base64_decode(substr($str,3));
+ case '<u>' : return convert_uudecode(substr($str,3));
+ default: // not encode (or unknown)
+ return $str;
+ }
+}
+//Setup VIM: ex: et ts=2 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/events.php b/mod/dokuwiki/vendors/dokuwiki/inc/events.php
new file mode 100644
index 000000000..1604c73c6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/events.php
@@ -0,0 +1,202 @@
+<?php
+/**
+ * DokuWiki Events
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Christopher Smith <chris@jalakai.co.uk>
+ */
+
+if(!defined('DOKU_INC')) die('meh.');
+require_once(DOKU_INC.'inc/pluginutils.php');
+
+class Doku_Event {
+
+ // public properties
+ var $name = ''; // READONLY event name, objects must register against this name to see the event
+ var $data = NULL; // READWRITE data relevant to the event, no standardised format (YET!)
+ var $result = NULL; // READWRITE the results of the event action, only relevant in "_AFTER" advise
+ // event handlers may modify this if they are preventing the default action
+ // to provide the after event handlers with event results
+ var $canPreventDefault = true; // READONLY if true, event handlers can prevent the events default action
+
+ // private properties, event handlers can effect these through the provided methods
+ var $_default = true; // whether or not to carry out the default action associated with the event
+ var $_continue = true; // whether or not to continue propagating the event to other handlers
+
+ /**
+ * event constructor
+ */
+ function Doku_Event($name, &$data) {
+
+ $this->name = $name;
+ $this->data =& $data;
+
+ }
+
+ /**
+ * advise functions
+ *
+ * advise all registered handlers of this event
+ *
+ * if these methods are used by functions outside of this object, they must
+ * properly handle correct processing of any default action and issue an
+ * advise_after() signal. e.g.
+ * $evt = new Doku_Event(name, data);
+ * if ($evt->advise_before(canPreventDefault) {
+ * // default action code block
+ * }
+ * $evt->advise_after();
+ * unset($evt);
+ *
+ * @return results of processing the event, usually $this->_default
+ */
+ function advise_before($enablePreventDefault=true) {
+ global $EVENT_HANDLER;
+
+ $this->canPreventDefault = $enablePreventDefault;
+ $EVENT_HANDLER->process_event($this,'BEFORE');
+
+ return (!$enablePreventDefault || $this->_default);
+ }
+
+ function advise_after() {
+ global $EVENT_HANDLER;
+
+ $this->_continue = true;
+ $EVENT_HANDLER->process_event($this,'AFTER');
+ }
+
+ /**
+ * trigger
+ *
+ * - advise all registered (<event>_BEFORE) handlers that this event is about to take place
+ * - carry out the default action using $this->data based on $enablePrevent and
+ * $this->_default, all of which may have been modified by the event handlers.
+ * - advise all registered (<event>_AFTER) handlers that the event has taken place
+ *
+ * @return $event->results
+ * the value set by any <event>_before or <event> handlers if the default action is prevented
+ * or the results of the default action (as modified by <event>_after handlers)
+ * or NULL no action took place and no handler modified the value
+ */
+ function trigger($action=NULL, $enablePrevent=true) {
+
+ if (!is_callable($action)) $enablePrevent = false;
+
+ if ($this->advise_before($enablePrevent) && is_callable($action)) {
+ if (is_array($action)) {
+ list($obj,$method) = $action;
+ $this->result = $obj->$method($this->data);
+ } else {
+ $this->result = $action($this->data);
+ }
+ }
+
+ $this->advise_after();
+
+ return $this->result;
+ }
+
+ /**
+ * stopPropagation
+ *
+ * stop any further processing of the event by event handlers
+ * this function does not prevent the default action taking place
+ */
+ function stopPropagation() { $this->_continue = false; }
+
+ /**
+ * preventDefault
+ *
+ * prevent the default action taking place
+ */
+ function preventDefault() { $this->_default = false; }
+}
+
+class Doku_Event_Handler {
+
+ // public properties: none
+
+ // private properties
+ var $_hooks = array(); // array of events and their registered handlers
+
+ /**
+ * event_handler
+ *
+ * constructor, loads all action plugins and calls their register() method giving them
+ * an opportunity to register any hooks they require
+ */
+ function Doku_Event_Handler() {
+
+ // load action plugins
+ $plugin = NULL;
+ $pluginlist = plugin_list('action');
+
+ foreach ($pluginlist as $plugin_name) {
+ $plugin =& plugin_load('action',$plugin_name);
+
+ if ($plugin !== NULL) $plugin->register($this);
+ }
+ }
+
+ /**
+ * register_hook
+ *
+ * register a hook for an event
+ *
+ * @PARAM $event (string) name used by the event, (incl '_before' or '_after' for triggers)
+ * @PARAM $obj (obj) object in whose scope method is to be executed,
+ * if NULL, method is assumed to be a globally available function
+ * @PARAM $method (function) event handler function
+ * @PARAM $param (mixed) data passed to the event handler
+ */
+ function register_hook($event, $advise, &$obj, $method, $param=NULL) {
+ $this->_hooks[$event.'_'.$advise][] = array(&$obj, $method, $param);
+ }
+
+ function process_event(&$event,$advise='') {
+
+ $evt_name = $event->name . ($advise ? '_'.$advise : '_BEFORE');
+
+ if (!empty($this->_hooks[$evt_name])) {
+ $hook = reset($this->_hooks[$evt_name]);
+ do {
+// list($obj, $method, $param) = $hook;
+ $obj =& $hook[0];
+ $method = $hook[1];
+ $param = $hook[2];
+
+ if (is_null($obj)) {
+ $method($event, $param);
+ } else {
+ $obj->$method($event, $param);
+ }
+
+ } while ($event->_continue && $hook = next($this->_hooks[$evt_name]));
+ }
+ }
+}
+
+/**
+ * trigger_event
+ *
+ * function wrapper to process (create, trigger and destroy) an event
+ *
+ * @PARAM $name (string) name for the event
+ * @PARAM $data (mixed) event data
+ * @PARAM $action (callback) (optional, default=NULL) default action, a php callback function
+ * @PARAM $canPreventDefault (bool) (optional, default=true) can hooks prevent the default action
+ *
+ * @RETURN (mixed) the event results value after all event processing is complete
+ * by default this is the return value of the default action however
+ * it can be set or modified by event handler hooks
+ */
+function trigger_event($name, &$data, $action=NULL, $canPreventDefault=true) {
+
+ $evt = new Doku_Event($name, $data);
+ return $evt->trigger($action, $canPreventDefault);
+}
+
+// create the event handler
+global $EVENT_HANDLER;
+$EVENT_HANDLER = new Doku_Event_Handler();
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/feedcreator.class.php b/mod/dokuwiki/vendors/dokuwiki/inc/feedcreator.class.php
new file mode 100644
index 000000000..86113e8c3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/feedcreator.class.php
@@ -0,0 +1,1572 @@
+<?php
+/***************************************************************************
+ * FeedCreator class v1.7.2-ppt
+ * originally (c) Kai Blankenhorn
+ * www.bitfolge.de
+ * kaib@bitfolge.de
+ * v1.3 work by Scott Reynen (scott@randomchaos.com) and Kai Blankenhorn
+ * v1.5 OPML support by Dirk Clemens
+ * v1.7.2-mod on-the-fly feed generation by Fabian Wolf (info@f2w.de)
+ * v1.7.2-ppt ATOM 1.0 support by Mohammad Hafiz bin Ismail (mypapit@gmail.com)
+ *
+ * This library is free software; you can redistribute it and/or
+ * modify it under the terms of the GNU Lesser General Public
+ * License as published by the Free Software Foundation; either
+ * version 2.1 of the License, or (at your option) any later version.
+ *
+ * This library is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
+ * Lesser General Public License for more details.
+ *
+ * You should have received a copy of the GNU Lesser General Public
+ * License along with this library; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ * @author www.bitfolge.de
+ *
+ * Changelog:
+ *
+ * this version contains some smaller modifications for DokuWiki as well
+ *
+ * v1.7.2-ppt 11-21-05
+ * added Atom 1.0 support
+ * added enclosure support for RSS 2.0/ATOM 1.0
+ * added docs for v1.7.2-ppt only!
+ *
+ * v1.7.2-mod 03-12-05
+ * added output function outputFeed for on-the-fly feed generation
+ *
+ * v1.7.2 10-11-04
+ * license changed to LGPL
+ *
+ * v1.7.1
+ * fixed a syntax bug
+ * fixed left over debug code
+ *
+ * v1.7 07-18-04
+ * added HTML and JavaScript feeds (configurable via CSS) (thanks to Pascal Van Hecke)
+ * added HTML descriptions for all feed formats (thanks to Pascal Van Hecke)
+ * added a switch to select an external stylesheet (thanks to Pascal Van Hecke)
+ * changed default content-type to application/xml
+ * added character encoding setting
+ * fixed numerous smaller bugs (thanks to S鰎en Fuhrmann of golem.de)
+ * improved changing ATOM versions handling (thanks to August Trometer)
+ * improved the UniversalFeedCreator's useCached method (thanks to S鰎en Fuhrmann of golem.de)
+ * added charset output in HTTP headers (thanks to S鰎en Fuhrmann of golem.de)
+ * added Slashdot namespace to RSS 1.0 (thanks to S鰎en Fuhrmann of golem.de)
+ *
+ * See www.bitfolge.de for additional changelog info
+ */
+// your local timezone, set to "" to disable or for GMT
+define("TIME_ZONE",date("O", time()));
+
+
+
+
+/**
+ * Version string.
+ **/
+
+define("FEEDCREATOR_VERSION", "FeedCreator 1.7.2-ppt DokuWiki");
+
+
+
+/**
+ * A FeedItem is a part of a FeedCreator feed.
+ *
+ * @author Kai Blankenhorn <kaib@bitfolge.de>
+ * @since 1.3
+ */
+class FeedItem extends HtmlDescribable {
+ /**
+ * Mandatory attributes of an item.
+ */
+ var $title, $description, $link;
+
+ /**
+ * Optional attributes of an item.
+ */
+ var $author, $authorEmail, $image, $category, $comments, $guid, $source, $creator;
+
+ /**
+ * Publishing date of an item. May be in one of the following formats:
+ *
+ * RFC 822:
+ * "Mon, 20 Jan 03 18:05:41 +0400"
+ * "20 Jan 03 18:05:41 +0000"
+ *
+ * ISO 8601:
+ * "2003-01-20T18:05:41+04:00"
+ *
+ * Unix:
+ * 1043082341
+ */
+ var $date;
+
+ /**
+ * Add <enclosure> element tag RSS 2.0
+ * modified by : Mohammad Hafiz bin Ismail (mypapit@gmail.com)
+ *
+ *
+ * display :
+ * <enclosure length="17691" url="http://something.com/picture.jpg" type="image/jpeg" />
+ *
+ */
+ var $enclosure;
+
+ /**
+ * Any additional elements to include as an assiciated array. All $key => $value pairs
+ * will be included unencoded in the feed item in the form
+ * <$key>$value</$key>
+ * Again: No encoding will be used! This means you can invalidate or enhance the feed
+ * if $value contains markup. This may be abused to embed tags not implemented by
+ * the FeedCreator class used.
+ */
+ var $additionalElements = Array();
+
+ // on hold
+ // var $source;
+}
+
+class EnclosureItem extends HtmlDescribable {
+ /*
+ *
+ * core variables
+ *
+ **/
+ var $url,$length,$type;
+
+ /*
+ * For use with another extension like Yahoo mRSS
+ * Warning :
+ * These variables might not show up in
+ * later release / not finalize yet!
+ *
+ */
+ var $width, $height, $title, $description, $keywords, $thumburl;
+
+ var $additionalElements = Array();
+
+}
+
+
+/**
+ * An FeedImage may be added to a FeedCreator feed.
+ * @author Kai Blankenhorn <kaib@bitfolge.de>
+ * @since 1.3
+ */
+class FeedImage extends HtmlDescribable {
+ /**
+ * Mandatory attributes of an image.
+ */
+ var $title, $url, $link;
+
+ /**
+ * Optional attributes of an image.
+ */
+ var $width, $height, $description;
+}
+
+
+
+/**
+ * An HtmlDescribable is an item within a feed that can have a description that may
+ * include HTML markup.
+ */
+class HtmlDescribable {
+ /**
+ * Indicates whether the description field should be rendered in HTML.
+ */
+ var $descriptionHtmlSyndicated;
+
+ /**
+ * Indicates whether and to how many characters a description should be truncated.
+ */
+ var $descriptionTruncSize;
+
+ /**
+ * Returns a formatted description field, depending on descriptionHtmlSyndicated and
+ * $descriptionTruncSize properties
+ * @return string the formatted description
+ */
+ function getDescription() {
+ $descriptionField = new FeedHtmlField($this->description);
+ $descriptionField->syndicateHtml = $this->descriptionHtmlSyndicated;
+ $descriptionField->truncSize = $this->descriptionTruncSize;
+ return $descriptionField->output();
+ }
+
+}
+
+
+
+/**
+ * An FeedHtmlField describes and generates
+ * a feed, item or image html field (probably a description). Output is
+ * generated based on $truncSize, $syndicateHtml properties.
+ * @author Pascal Van Hecke <feedcreator.class.php@vanhecke.info>
+ * @version 1.6
+ */
+class FeedHtmlField {
+ /**
+ * Mandatory attributes of a FeedHtmlField.
+ */
+ var $rawFieldContent;
+
+ /**
+ * Optional attributes of a FeedHtmlField.
+ *
+ */
+ var $truncSize, $syndicateHtml;
+
+ /**
+ * Creates a new instance of FeedHtmlField.
+ * @param $string: if given, sets the rawFieldContent property
+ */
+ function FeedHtmlField($parFieldContent) {
+ if ($parFieldContent) {
+ $this->rawFieldContent = $parFieldContent;
+ }
+ }
+
+
+ /**
+ * Creates the right output, depending on $truncSize, $syndicateHtml properties.
+ * @return string the formatted field
+ */
+ function output() {
+ // when field available and syndicated in html we assume
+ // - valid html in $rawFieldContent and we enclose in CDATA tags
+ // - no truncation (truncating risks producing invalid html)
+ if (!$this->rawFieldContent) {
+ $result = "";
+ } elseif ($this->syndicateHtml) {
+ $result = "<![CDATA[".$this->rawFieldContent."]]>";
+ } else {
+ if ($this->truncSize and is_int($this->truncSize)) {
+ $result = FeedCreator::iTrunc(htmlspecialchars($this->rawFieldContent),$this->truncSize);
+ } else {
+ $result = htmlspecialchars($this->rawFieldContent);
+ }
+ }
+ return $result;
+ }
+
+}
+
+
+
+/**
+ * UniversalFeedCreator lets you choose during runtime which
+ * format to build.
+ * For general usage of a feed class, see the FeedCreator class
+ * below or the example above.
+ *
+ * @since 1.3
+ * @author Kai Blankenhorn <kaib@bitfolge.de>
+ */
+class UniversalFeedCreator extends FeedCreator {
+ var $_feed;
+
+ function _setFormat($format) {
+ switch (strtoupper($format)) {
+
+ case "2.0":
+ // fall through
+ case "RSS2.0":
+ $this->_feed = new RSSCreator20();
+ break;
+
+ case "1.0":
+ // fall through
+ case "RSS1.0":
+ $this->_feed = new RSSCreator10();
+ break;
+
+ case "0.91":
+ // fall through
+ case "RSS0.91":
+ $this->_feed = new RSSCreator091();
+ break;
+
+ case "PIE0.1":
+ $this->_feed = new PIECreator01();
+ break;
+
+ case "MBOX":
+ $this->_feed = new MBOXCreator();
+ break;
+
+ case "OPML":
+ $this->_feed = new OPMLCreator();
+ break;
+
+ case "ATOM":
+ // fall through: always the latest ATOM version
+ case "ATOM1.0":
+ $this->_feed = new AtomCreator10();
+ break;
+
+ case "ATOM0.3":
+ $this->_feed = new AtomCreator03();
+ break;
+
+ case "HTML":
+ $this->_feed = new HTMLCreator();
+ break;
+
+ case "JS":
+ // fall through
+ case "JAVASCRIPT":
+ $this->_feed = new JSCreator();
+ break;
+
+ default:
+ $this->_feed = new RSSCreator091();
+ break;
+ }
+
+ $vars = get_object_vars($this);
+ foreach ($vars as $key => $value) {
+ // prevent overwriting of properties "contentType", "encoding"; do not copy "_feed" itself
+ if (!in_array($key, array("_feed", "contentType", "encoding"))) {
+ $this->_feed->{$key} = $this->{$key};
+ }
+ }
+ }
+
+ function _sendMIME($format) {
+ header('Content-Type: '.$this->contentType.'; charset='.$this->encoding, true);
+ }
+
+ /**
+ * Creates a syndication feed based on the items previously added.
+ *
+ * @see FeedCreator::addItem()
+ * @param string format format the feed should comply to. Valid values are:
+ * "PIE0.1", "mbox", "RSS0.91", "RSS1.0", "RSS2.0", "OPML", "ATOM0.3", "HTML", "JS"
+ * @return string the contents of the feed.
+ */
+ function createFeed($format = "RSS0.91") {
+ $this->_setFormat($format);
+ return $this->_feed->createFeed();
+ }
+
+ /**
+ * Saves this feed as a file on the local disk. After the file is saved, an HTTP redirect
+ * header may be sent to redirect the use to the newly created file.
+ * @since 1.4
+ *
+ * @param string format format the feed should comply to. Valid values are:
+ * "PIE0.1" (deprecated), "mbox", "RSS0.91", "RSS1.0", "RSS2.0", "OPML", "ATOM", "ATOM0.3", "HTML", "JS"
+ * @param string filename optional the filename where a recent version of the feed is saved. If not specified, the filename is $_SERVER["PHP_SELF"] with the extension changed to .xml (see _generateFilename()).
+ * @param boolean displayContents optional send the content of the file or not. If true, the file will be sent in the body of the response.
+ */
+ function saveFeed($format="RSS0.91", $filename="", $displayContents=true) {
+ $this->_setFormat($format);
+ $this->_feed->saveFeed($filename, $displayContents);
+ }
+
+
+ /**
+ * Turns on caching and checks if there is a recent version of this feed in the cache.
+ * If there is, an HTTP redirect header is sent.
+ * To effectively use caching, you should create the FeedCreator object and call this method
+ * before anything else, especially before you do the time consuming task to build the feed
+ * (web fetching, for example).
+ *
+ * @param string format format the feed should comply to. Valid values are:
+ * "PIE0.1" (deprecated), "mbox", "RSS0.91", "RSS1.0", "RSS2.0", "OPML", "ATOM0.3".
+ * @param filename string optional the filename where a recent version of the feed is saved. If not specified, the filename is $_SERVER["PHP_SELF"] with the extension changed to .xml (see _generateFilename()).
+ * @param timeout int optional the timeout in seconds before a cached version is refreshed (defaults to 3600 = 1 hour)
+ */
+ function useCached($format="RSS0.91", $filename="", $timeout=3600) {
+ $this->_setFormat($format);
+ $this->_feed->useCached($filename, $timeout);
+ }
+
+
+ /**
+ * Outputs feed to the browser - needed for on-the-fly feed generation (like it is done in WordPress, etc.)
+ *
+ * @param format string format the feed should comply to. Valid values are:
+ * "PIE0.1" (deprecated), "mbox", "RSS0.91", "RSS1.0", "RSS2.0", "OPML", "ATOM0.3".
+ */
+ function outputFeed($format='RSS0.91') {
+ $this->_setFormat($format);
+ $this->_sendMIME($format);
+ $this->_feed->outputFeed();
+ }
+
+
+}
+
+
+/**
+ * FeedCreator is the abstract base implementation for concrete
+ * implementations that implement a specific format of syndication.
+ *
+ * @abstract
+ * @author Kai Blankenhorn <kaib@bitfolge.de>
+ * @since 1.4
+ */
+class FeedCreator extends HtmlDescribable {
+
+ /**
+ * Mandatory attributes of a feed.
+ */
+ var $title, $description, $link;
+
+
+ /**
+ * Optional attributes of a feed.
+ */
+ var $syndicationURL, $image, $language, $copyright, $pubDate, $lastBuildDate, $editor, $editorEmail, $webmaster, $category, $docs, $ttl, $rating, $skipHours, $skipDays;
+
+ /**
+ * The url of the external xsl stylesheet used to format the naked rss feed.
+ * Ignored in the output when empty.
+ */
+ var $xslStyleSheet = "";
+
+
+ /**
+ * @access private
+ */
+ var $items = Array();
+
+
+ /**
+ * This feed's MIME content type.
+ * @since 1.4
+ * @access private
+ */
+ var $contentType = "application/xml";
+
+
+ /**
+ * This feed's character encoding.
+ * @since 1.6.1
+ **/
+ var $encoding = "utf-8";
+
+
+ /**
+ * Any additional elements to include as an assiciated array. All $key => $value pairs
+ * will be included unencoded in the feed in the form
+ * <$key>$value</$key>
+ * Again: No encoding will be used! This means you can invalidate or enhance the feed
+ * if $value contains markup. This may be abused to embed tags not implemented by
+ * the FeedCreator class used.
+ */
+ var $additionalElements = Array();
+
+
+ /**
+ * Adds an FeedItem to the feed.
+ *
+ * @param object FeedItem $item The FeedItem to add to the feed.
+ * @access public
+ */
+ function addItem($item) {
+ $this->items[] = $item;
+ }
+
+
+ /**
+ * Truncates a string to a certain length at the most sensible point.
+ * First, if there's a '.' character near the end of the string, the string is truncated after this character.
+ * If there is no '.', the string is truncated after the last ' ' character.
+ * If the string is truncated, " ..." is appended.
+ * If the string is already shorter than $length, it is returned unchanged.
+ *
+ * @static
+ * @param string string A string to be truncated.
+ * @param int length the maximum length the string should be truncated to
+ * @return string the truncated string
+ */
+ function iTrunc($string, $length) {
+ if (strlen($string)<=$length) {
+ return $string;
+ }
+
+ $pos = strrpos($string,".");
+ if ($pos>=$length-4) {
+ $string = substr($string,0,$length-4);
+ $pos = strrpos($string,".");
+ }
+ if ($pos>=$length*0.4) {
+ return substr($string,0,$pos+1)." ...";
+ }
+
+ $pos = strrpos($string," ");
+ if ($pos>=$length-4) {
+ $string = substr($string,0,$length-4);
+ $pos = strrpos($string," ");
+ }
+ if ($pos>=$length*0.4) {
+ return substr($string,0,$pos)." ...";
+ }
+
+ return substr($string,0,$length-4)." ...";
+
+ }
+
+
+ /**
+ * Creates a comment indicating the generator of this feed.
+ * The format of this comment seems to be recognized by
+ * Syndic8.com.
+ */
+ function _createGeneratorComment() {
+ return "<!-- generator=\"".FEEDCREATOR_VERSION."\" -->\n";
+ }
+
+
+ /**
+ * Creates a string containing all additional elements specified in
+ * $additionalElements.
+ * @param elements array an associative array containing key => value pairs
+ * @param indentString string a string that will be inserted before every generated line
+ * @return string the XML tags corresponding to $additionalElements
+ */
+ function _createAdditionalElements($elements, $indentString="") {
+ $ae = "";
+ if (is_array($elements)) {
+ foreach($elements AS $key => $value) {
+ $ae.= $indentString."<$key>$value</$key>\n";
+ }
+ }
+ return $ae;
+ }
+
+ function _createStylesheetReferences() {
+ $xml = "";
+ if ($this->cssStyleSheet) $xml .= "<?xml-stylesheet href=\"".$this->cssStyleSheet."\" type=\"text/css\"?>\n";
+ if ($this->xslStyleSheet) $xml .= "<?xml-stylesheet href=\"".$this->xslStyleSheet."\" type=\"text/xsl\"?>\n";
+ return $xml;
+ }
+
+
+ /**
+ * Builds the feed's text.
+ * @abstract
+ * @return string the feed's complete text
+ */
+ function createFeed() {
+ }
+
+ /**
+ * Generate a filename for the feed cache file. The result will be $_SERVER["PHP_SELF"] with the extension changed to .xml.
+ * For example:
+ *
+ * echo $_SERVER["PHP_SELF"]."\n";
+ * echo FeedCreator::_generateFilename();
+ *
+ * would produce:
+ *
+ * /rss/latestnews.php
+ * latestnews.xml
+ *
+ * @return string the feed cache filename
+ * @since 1.4
+ * @access private
+ */
+ function _generateFilename() {
+ $fileInfo = pathinfo($_SERVER["PHP_SELF"]);
+ return substr($fileInfo["basename"],0,-(strlen($fileInfo["extension"])+1)).".xml";
+ }
+
+
+ /**
+ * @since 1.4
+ * @access private
+ */
+ function _redirect($filename) {
+ // attention, heavily-commented-out-area
+
+ // maybe use this in addition to file time checking
+ //Header("Expires: ".date("r",time()+$this->_timeout));
+
+ /* no caching at all, doesn't seem to work as good:
+ Header("Cache-Control: no-cache");
+ Header("Pragma: no-cache");
+ */
+
+ // HTTP redirect, some feed readers' simple HTTP implementations don't follow it
+ //Header("Location: ".$filename);
+
+ header("Content-Type: ".$this->contentType."; charset=".$this->encoding."; filename=".basename($filename));
+ header("Content-Disposition: inline; filename=".basename($filename));
+ readfile($filename, "r");
+ die();
+ }
+
+ /**
+ * Turns on caching and checks if there is a recent version of this feed in the cache.
+ * If there is, an HTTP redirect header is sent.
+ * To effectively use caching, you should create the FeedCreator object and call this method
+ * before anything else, especially before you do the time consuming task to build the feed
+ * (web fetching, for example).
+ * @since 1.4
+ * @param filename string optional the filename where a recent version of the feed is saved. If not specified, the filename is $_SERVER["PHP_SELF"] with the extension changed to .xml (see _generateFilename()).
+ * @param timeout int optional the timeout in seconds before a cached version is refreshed (defaults to 3600 = 1 hour)
+ */
+ function useCached($filename="", $timeout=3600) {
+ $this->_timeout = $timeout;
+ if ($filename=="") {
+ $filename = $this->_generateFilename();
+ }
+ if (file_exists($filename) AND (time()-filemtime($filename) < $timeout)) {
+ $this->_redirect($filename);
+ }
+ }
+
+
+ /**
+ * Saves this feed as a file on the local disk. After the file is saved, a redirect
+ * header may be sent to redirect the user to the newly created file.
+ * @since 1.4
+ *
+ * @param filename string optional the filename where a recent version of the feed is saved. If not specified, the filename is $_SERVER["PHP_SELF"] with the extension changed to .xml (see _generateFilename()).
+ * @param redirect boolean optional send an HTTP redirect header or not. If true, the user will be automatically redirected to the created file.
+ */
+ function saveFeed($filename="", $displayContents=true) {
+ if ($filename=="") {
+ $filename = $this->_generateFilename();
+ }
+ $feedFile = fopen($filename, "w+");
+ if ($feedFile) {
+ fputs($feedFile,$this->createFeed());
+ fclose($feedFile);
+ if ($displayContents) {
+ $this->_redirect($filename);
+ }
+ } else {
+ echo "<br /><b>Error creating feed file, please check write permissions.</b><br />";
+ }
+ }
+
+ /**
+ * Outputs this feed directly to the browser - for on-the-fly feed generation
+ * @since 1.7.2-mod
+ *
+ * still missing: proper header output - currently you have to add it manually
+ */
+ function outputFeed() {
+ echo $this->createFeed();
+ }
+
+
+}
+
+
+/**
+ * FeedDate is an internal class that stores a date for a feed or feed item.
+ * Usually, you won't need to use this.
+ */
+class FeedDate {
+ var $unix;
+
+ /**
+ * Creates a new instance of FeedDate representing a given date.
+ * Accepts RFC 822, ISO 8601 date formats as well as unix time stamps.
+ * @param mixed $dateString optional the date this FeedDate will represent. If not specified, the current date and time is used.
+ */
+ function FeedDate($dateString="") {
+ if ($dateString=="") $dateString = date("r");
+
+ if (is_numeric($dateString)) {
+ $this->unix = $dateString;
+ return;
+ }
+ if (preg_match("~(?:(?:Mon|Tue|Wed|Thu|Fri|Sat|Sun),\\s+)?(\\d{1,2})\\s+([a-zA-Z]{3})\\s+(\\d{4})\\s+(\\d{2}):(\\d{2}):(\\d{2})\\s+(.*)~",$dateString,$matches)) {
+ $months = Array("Jan"=>1,"Feb"=>2,"Mar"=>3,"Apr"=>4,"May"=>5,"Jun"=>6,"Jul"=>7,"Aug"=>8,"Sep"=>9,"Oct"=>10,"Nov"=>11,"Dec"=>12);
+ $this->unix = mktime($matches[4],$matches[5],$matches[6],$months[$matches[2]],$matches[1],$matches[3]);
+ if (substr($matches[7],0,1)=='+' OR substr($matches[7],0,1)=='-') {
+ $tzOffset = (substr($matches[7],0,3) * 60 + substr($matches[7],-2)) * 60;
+ } else {
+ if (strlen($matches[7])==1) {
+ $oneHour = 3600;
+ $ord = ord($matches[7]);
+ if ($ord < ord("M")) {
+ $tzOffset = (ord("A") - $ord - 1) * $oneHour;
+ } elseif ($ord >= ord("M") AND $matches[7]!="Z") {
+ $tzOffset = ($ord - ord("M")) * $oneHour;
+ } elseif ($matches[7]=="Z") {
+ $tzOffset = 0;
+ }
+ }
+ switch ($matches[7]) {
+ case "UT":
+ case "GMT": $tzOffset = 0;
+ }
+ }
+ $this->unix += $tzOffset;
+ return;
+ }
+ if (preg_match("~(\\d{4})-(\\d{2})-(\\d{2})T(\\d{2}):(\\d{2}):(\\d{2})(.*)~",$dateString,$matches)) {
+ $this->unix = mktime($matches[4],$matches[5],$matches[6],$matches[2],$matches[3],$matches[1]);
+ if (substr($matches[7],0,1)=='+' OR substr($matches[7],0,1)=='-') {
+ $tzOffset = (substr($matches[7],0,3) * 60 + substr($matches[7],-2)) * 60;
+ } else {
+ if ($matches[7]=="Z") {
+ $tzOffset = 0;
+ }
+ }
+ $this->unix += $tzOffset;
+ return;
+ }
+ $this->unix = 0;
+ }
+
+ /**
+ * Gets the date stored in this FeedDate as an RFC 822 date.
+ *
+ * @return a date in RFC 822 format
+ */
+ function rfc822() {
+ //return gmdate("r",$this->unix);
+ $date = gmdate("D, d M Y H:i:s", $this->unix);
+ if (TIME_ZONE!="") $date .= " ".str_replace(":","",TIME_ZONE);
+ return $date;
+ }
+
+ /**
+ * Gets the date stored in this FeedDate as an ISO 8601 date.
+ *
+ * @return a date in ISO 8601 (RFC 3339) format
+ */
+ function iso8601() {
+ $date = gmdate("Y-m-d\TH:i:sO",$this->unix);
+ if (TIME_ZONE!="") $date = str_replace("+0000",TIME_ZONE,$date);
+ $date = substr($date,0,22) . ':' . substr($date,-2);
+ return $date;
+ }
+
+
+ /**
+ * Gets the date stored in this FeedDate as unix time stamp.
+ *
+ * @return a date as a unix time stamp
+ */
+ function unix() {
+ return $this->unix;
+ }
+}
+
+
+/**
+ * RSSCreator10 is a FeedCreator that implements RDF Site Summary (RSS) 1.0.
+ *
+ * @see http://www.purl.org/rss/1.0/
+ * @since 1.3
+ * @author Kai Blankenhorn <kaib@bitfolge.de>
+ */
+class RSSCreator10 extends FeedCreator {
+
+ /**
+ * Builds the RSS feed's text. The feed will be compliant to RDF Site Summary (RSS) 1.0.
+ * The feed will contain all items previously added in the same order.
+ * @return string the feed's complete text
+ */
+ function createFeed() {
+ $feed = "<?xml version=\"1.0\" encoding=\"".$this->encoding."\"?>\n";
+ $feed.= $this->_createGeneratorComment();
+ if ($this->cssStyleSheet=="") {
+ $cssStyleSheet = "http://www.w3.org/2000/08/w3c-synd/style.css";
+ }
+ $feed.= $this->_createStylesheetReferences();
+ $feed.= "<rdf:RDF\n";
+ $feed.= " xmlns=\"http://purl.org/rss/1.0/\"\n";
+ $feed.= " xmlns:rdf=\"http://www.w3.org/1999/02/22-rdf-syntax-ns#\"\n";
+ $feed.= " xmlns:slash=\"http://purl.org/rss/1.0/modules/slash/\"\n";
+ $feed.= " xmlns:dc=\"http://purl.org/dc/elements/1.1/\">\n";
+ $feed.= " <channel rdf:about=\"".$this->syndicationURL."\">\n";
+ $feed.= " <title>".htmlspecialchars($this->title)."</title>\n";
+ $feed.= " <description>".htmlspecialchars($this->description)."</description>\n";
+ $feed.= " <link>".$this->link."</link>\n";
+ if ($this->image!=null) {
+ $feed.= " <image rdf:resource=\"".$this->image->url."\" />\n";
+ }
+ $now = new FeedDate();
+ $feed.= " <dc:date>".htmlspecialchars($now->iso8601())."</dc:date>\n";
+ $feed.= " <items>\n";
+ $feed.= " <rdf:Seq>\n";
+ for ($i=0;$i<count($this->items);$i++) {
+ $feed.= " <rdf:li rdf:resource=\"".htmlspecialchars($this->items[$i]->link)."\"/>\n";
+ }
+ $feed.= " </rdf:Seq>\n";
+ $feed.= " </items>\n";
+ $feed.= " </channel>\n";
+ if ($this->image!=null) {
+ $feed.= " <image rdf:about=\"".$this->image->url."\">\n";
+ $feed.= " <title>".htmlspecialchars($this->image->title)."</title>\n";
+ $feed.= " <link>".$this->image->link."</link>\n";
+ $feed.= " <url>".$this->image->url."</url>\n";
+ $feed.= " </image>\n";
+ }
+ $feed.= $this->_createAdditionalElements($this->additionalElements, " ");
+
+ for ($i=0;$i<count($this->items);$i++) {
+ $feed.= " <item rdf:about=\"".htmlspecialchars($this->items[$i]->link)."\">\n";
+ //$feed.= " <dc:type>Posting</dc:type>\n";
+ $feed.= " <dc:format>text/html</dc:format>\n";
+ if ($this->items[$i]->date!=null) {
+ $itemDate = new FeedDate($this->items[$i]->date);
+ $feed.= " <dc:date>".htmlspecialchars($itemDate->iso8601())."</dc:date>\n";
+ }
+ if ($this->items[$i]->source!="") {
+ $feed.= " <dc:source>".htmlspecialchars($this->items[$i]->source)."</dc:source>\n";
+ }
+ if ($this->items[$i]->author!="") {
+ $feed.= " <dc:creator>".htmlspecialchars($this->items[$i]->author)."</dc:creator>\n";
+ }
+ $feed.= " <title>".htmlspecialchars(strip_tags(strtr($this->items[$i]->title,"\n\r"," ")))."</title>\n";
+ $feed.= " <link>".htmlspecialchars($this->items[$i]->link)."</link>\n";
+ $feed.= " <description>".htmlspecialchars($this->items[$i]->description)."</description>\n";
+ $feed.= $this->_createAdditionalElements($this->items[$i]->additionalElements, " ");
+ $feed.= " </item>\n";
+ }
+ $feed.= "</rdf:RDF>\n";
+ return $feed;
+ }
+}
+
+
+
+/**
+ * RSSCreator091 is a FeedCreator that implements RSS 0.91 Spec, revision 3.
+ *
+ * @see http://my.netscape.com/publish/formats/rss-spec-0.91.html
+ * @since 1.3
+ * @author Kai Blankenhorn <kaib@bitfolge.de>
+ */
+class RSSCreator091 extends FeedCreator {
+
+ /**
+ * Stores this RSS feed's version number.
+ * @access private
+ */
+ var $RSSVersion;
+
+ function RSSCreator091() {
+ $this->_setRSSVersion("0.91");
+ $this->contentType = "application/rss+xml";
+ }
+
+ /**
+ * Sets this RSS feed's version number.
+ * @access private
+ */
+ function _setRSSVersion($version) {
+ $this->RSSVersion = $version;
+ }
+
+ /**
+ * Builds the RSS feed's text. The feed will be compliant to RDF Site Summary (RSS) 1.0.
+ * The feed will contain all items previously added in the same order.
+ * @return string the feed's complete text
+ */
+ function createFeed() {
+ $feed = "<?xml version=\"1.0\" encoding=\"".$this->encoding."\"?>\n";
+ $feed.= $this->_createGeneratorComment();
+ $feed.= $this->_createStylesheetReferences();
+ $feed.= "<rss version=\"".$this->RSSVersion."\">\n";
+ $feed.= " <channel>\n";
+ $feed.= " <title>".FeedCreator::iTrunc(htmlspecialchars($this->title),100)."</title>\n";
+ $this->descriptionTruncSize = 500;
+ $feed.= " <description>".$this->getDescription()."</description>\n";
+ $feed.= " <link>".$this->link."</link>\n";
+ $now = new FeedDate();
+ $feed.= " <lastBuildDate>".htmlspecialchars($now->rfc822())."</lastBuildDate>\n";
+ $feed.= " <generator>".FEEDCREATOR_VERSION."</generator>\n";
+
+ if ($this->image!=null) {
+ $feed.= " <image>\n";
+ $feed.= " <url>".$this->image->url."</url>\n";
+ $feed.= " <title>".FeedCreator::iTrunc(htmlspecialchars($this->image->title),100)."</title>\n";
+ $feed.= " <link>".$this->image->link."</link>\n";
+ if ($this->image->width!="") {
+ $feed.= " <width>".$this->image->width."</width>\n";
+ }
+ if ($this->image->height!="") {
+ $feed.= " <height>".$this->image->height."</height>\n";
+ }
+ if ($this->image->description!="") {
+ $feed.= " <description>".$this->image->getDescription()."</description>\n";
+ }
+ $feed.= " </image>\n";
+ }
+ if ($this->language!="") {
+ $feed.= " <language>".$this->language."</language>\n";
+ }
+ if ($this->copyright!="") {
+ $feed.= " <copyright>".FeedCreator::iTrunc(htmlspecialchars($this->copyright),100)."</copyright>\n";
+ }
+ if ($this->editor!="") {
+ $feed.= " <managingEditor>".FeedCreator::iTrunc(htmlspecialchars($this->editor),100)."</managingEditor>\n";
+ }
+ if ($this->webmaster!="") {
+ $feed.= " <webMaster>".FeedCreator::iTrunc(htmlspecialchars($this->webmaster),100)."</webMaster>\n";
+ }
+ if ($this->pubDate!="") {
+ $pubDate = new FeedDate($this->pubDate);
+ $feed.= " <pubDate>".htmlspecialchars($pubDate->rfc822())."</pubDate>\n";
+ }
+ if ($this->category!="") {
+ // Changed for DokuWiki: multiple categories are possible
+ if(is_array($this->category)) foreach($this->category as $cat){
+ $feed.= " <category>".htmlspecialchars($cat)."</category>\n";
+ }else{
+ $feed.= " <category>".htmlspecialchars($this->category)."</category>\n";
+ }
+ }
+ if ($this->docs!="") {
+ $feed.= " <docs>".FeedCreator::iTrunc(htmlspecialchars($this->docs),500)."</docs>\n";
+ }
+ if ($this->ttl!="") {
+ $feed.= " <ttl>".htmlspecialchars($this->ttl)."</ttl>\n";
+ }
+ if ($this->rating!="") {
+ $feed.= " <rating>".FeedCreator::iTrunc(htmlspecialchars($this->rating),500)."</rating>\n";
+ }
+ if ($this->skipHours!="") {
+ $feed.= " <skipHours>".htmlspecialchars($this->skipHours)."</skipHours>\n";
+ }
+ if ($this->skipDays!="") {
+ $feed.= " <skipDays>".htmlspecialchars($this->skipDays)."</skipDays>\n";
+ }
+ $feed.= $this->_createAdditionalElements($this->additionalElements, " ");
+
+ for ($i=0;$i<count($this->items);$i++) {
+ $feed.= " <item>\n";
+ $feed.= " <title>".FeedCreator::iTrunc(htmlspecialchars(strip_tags($this->items[$i]->title)),100)."</title>\n";
+ $feed.= " <link>".htmlspecialchars($this->items[$i]->link)."</link>\n";
+ $feed.= " <description>".$this->items[$i]->getDescription()."</description>\n";
+
+ if ($this->items[$i]->author!="") {
+ $feed.= " <author>".htmlspecialchars($this->items[$i]->author)."</author>\n";
+ }
+ /*
+ // on hold
+ if ($this->items[$i]->source!="") {
+ $feed.= " <source>".htmlspecialchars($this->items[$i]->source)."</source>\n";
+ }
+ */
+ if ($this->items[$i]->category!="") {
+ // Changed for DokuWiki: multiple categories are possible
+ if(is_array($this->items[$i]->category)) foreach($this->items[$i]->category as $cat){
+ $feed.= " <category>".htmlspecialchars($cat)."</category>\n";
+ }else{
+ $feed.= " <category>".htmlspecialchars($this->items[$i]->category)."</category>\n";
+ }
+ }
+
+ if ($this->items[$i]->comments!="") {
+ $feed.= " <comments>".htmlspecialchars($this->items[$i]->comments)."</comments>\n";
+ }
+ if ($this->items[$i]->date!="") {
+ $itemDate = new FeedDate($this->items[$i]->date);
+ $feed.= " <pubDate>".htmlspecialchars($itemDate->rfc822())."</pubDate>\n";
+ }
+ if ($this->items[$i]->guid!="") {
+ $feed.= " <guid>".htmlspecialchars($this->items[$i]->guid)."</guid>\n";
+ }
+ $feed.= $this->_createAdditionalElements($this->items[$i]->additionalElements, " ");
+
+ if ($this->RSSVersion == "2.0" && $this->items[$i]->enclosure != NULL)
+ {
+ $feed.= " <enclosure url=\"";
+ $feed.= $this->items[$i]->enclosure->url;
+ $feed.= "\" length=\"";
+ $feed.= $this->items[$i]->enclosure->length;
+ $feed.= "\" type=\"";
+ $feed.= $this->items[$i]->enclosure->type;
+ $feed.= "\"/>\n";
+ }
+
+
+
+ $feed.= " </item>\n";
+ }
+
+ $feed.= " </channel>\n";
+ $feed.= "</rss>\n";
+ return $feed;
+ }
+}
+
+
+
+/**
+ * RSSCreator20 is a FeedCreator that implements RDF Site Summary (RSS) 2.0.
+ *
+ * @see http://backend.userland.com/rss
+ * @since 1.3
+ * @author Kai Blankenhorn <kaib@bitfolge.de>
+ */
+class RSSCreator20 extends RSSCreator091 {
+
+ function RSSCreator20() {
+ parent::_setRSSVersion("2.0");
+ }
+
+}
+
+
+/**
+ * PIECreator01 is a FeedCreator that implements the emerging PIE specification,
+ * as in http://intertwingly.net/wiki/pie/Syntax.
+ *
+ * @deprecated
+ * @since 1.3
+ * @author Scott Reynen <scott@randomchaos.com> and Kai Blankenhorn <kaib@bitfolge.de>
+ */
+class PIECreator01 extends FeedCreator {
+
+ function PIECreator01() {
+ $this->encoding = "utf-8";
+ }
+
+ function createFeed() {
+ $feed = "<?xml version=\"1.0\" encoding=\"".$this->encoding."\"?>\n";
+ $feed.= $this->_createStylesheetReferences();
+ $feed.= "<feed version=\"0.1\" xmlns=\"http://example.com/newformat#\">\n";
+ $feed.= " <title>".FeedCreator::iTrunc(htmlspecialchars($this->title),100)."</title>\n";
+ $this->truncSize = 500;
+ $feed.= " <subtitle>".$this->getDescription()."</subtitle>\n";
+ $feed.= " <link>".$this->link."</link>\n";
+ for ($i=0;$i<count($this->items);$i++) {
+ $feed.= " <entry>\n";
+ $feed.= " <title>".FeedCreator::iTrunc(htmlspecialchars(strip_tags($this->items[$i]->title)),100)."</title>\n";
+ $feed.= " <link>".htmlspecialchars($this->items[$i]->link)."</link>\n";
+ $itemDate = new FeedDate($this->items[$i]->date);
+ $feed.= " <created>".htmlspecialchars($itemDate->iso8601())."</created>\n";
+ $feed.= " <issued>".htmlspecialchars($itemDate->iso8601())."</issued>\n";
+ $feed.= " <modified>".htmlspecialchars($itemDate->iso8601())."</modified>\n";
+ $feed.= " <id>".htmlspecialchars($this->items[$i]->guid)."</id>\n";
+ if ($this->items[$i]->author!="") {
+ $feed.= " <author>\n";
+ $feed.= " <name>".htmlspecialchars($this->items[$i]->author)."</name>\n";
+ if ($this->items[$i]->authorEmail!="") {
+ $feed.= " <email>".$this->items[$i]->authorEmail."</email>\n";
+ }
+ $feed.=" </author>\n";
+ }
+ $feed.= " <content type=\"text/html\" xml:lang=\"en-us\">\n";
+ $feed.= " <div xmlns=\"http://www.w3.org/1999/xhtml\">".$this->items[$i]->getDescription()."</div>\n";
+ $feed.= " </content>\n";
+ $feed.= " </entry>\n";
+ }
+ $feed.= "</feed>\n";
+ return $feed;
+ }
+}
+
+/**
+ * AtomCreator10 is a FeedCreator that implements the atom specification,
+ * as in http://www.atomenabled.org/developers/syndication/atom-format-spec.php
+ * Please note that just by using AtomCreator10 you won't automatically
+ * produce valid atom files. For example, you have to specify either an editor
+ * for the feed or an author for every single feed item.
+ *
+ * Some elements have not been implemented yet. These are (incomplete list):
+ * author URL, item author's email and URL, item contents, alternate links,
+ * other link content types than text/html. Some of them may be created with
+ * AtomCreator10::additionalElements.
+ *
+ * @see FeedCreator#additionalElements
+ * @since 1.7.2-mod (modified)
+ * @author Mohammad Hafiz Ismail (mypapit@gmail.com)
+ */
+ class AtomCreator10 extends FeedCreator {
+
+ function AtomCreator10() {
+ $this->contentType = "application/atom+xml";
+ $this->encoding = "utf-8";
+ }
+
+ function createFeed() {
+ $feed = "<?xml version=\"1.0\" encoding=\"".$this->encoding."\"?>\n";
+ $feed.= $this->_createGeneratorComment();
+ $feed.= $this->_createStylesheetReferences();
+ $feed.= "<feed xmlns=\"http://www.w3.org/2005/Atom\"";
+ if ($this->language!="") {
+ $feed.= " xml:lang=\"".$this->language."\"";
+ }
+ $feed.= ">\n";
+ $feed.= " <title>".htmlspecialchars($this->title)."</title>\n";
+ $feed.= " <subtitle>".htmlspecialchars($this->description)."</subtitle>\n";
+ $feed.= " <link rel=\"alternate\" type=\"text/html\" href=\"".htmlspecialchars($this->link)."\"/>\n";
+ $feed.= " <id>".htmlspecialchars($this->link)."</id>\n";
+ $now = new FeedDate();
+ $feed.= " <updated>".htmlspecialchars($now->iso8601())."</updated>\n";
+ if ($this->editor!="") {
+ $feed.= " <author>\n";
+ $feed.= " <name>".$this->editor."</name>\n";
+ if ($this->editorEmail!="") {
+ $feed.= " <email>".$this->editorEmail."</email>\n";
+ }
+ $feed.= " </author>\n";
+ }
+ $feed.= " <generator>".FEEDCREATOR_VERSION."</generator>\n";
+ $feed.= "<link rel=\"self\" type=\"application/atom+xml\" href=\"". $this->syndicationURL . "\" />\n";
+ $feed.= $this->_createAdditionalElements($this->additionalElements, " ");
+ for ($i=0;$i<count($this->items);$i++) {
+ $feed.= " <entry>\n";
+ $feed.= " <title>".htmlspecialchars(strip_tags($this->items[$i]->title))."</title>\n";
+ $feed.= " <link rel=\"alternate\" type=\"text/html\" href=\"".htmlspecialchars($this->items[$i]->link)."\"/>\n";
+ if ($this->items[$i]->date=="") {
+ $this->items[$i]->date = time();
+ }
+ $itemDate = new FeedDate($this->items[$i]->date);
+ $feed.= " <published>".htmlspecialchars($itemDate->iso8601())."</published>\n";
+ $feed.= " <updated>".htmlspecialchars($itemDate->iso8601())."</updated>\n";
+ $feed.= " <id>".htmlspecialchars($this->items[$i]->link)."</id>\n";
+ $feed.= $this->_createAdditionalElements($this->items[$i]->additionalElements, " ");
+ if ($this->items[$i]->author!="") {
+ $feed.= " <author>\n";
+ $feed.= " <name>".htmlspecialchars($this->items[$i]->author)."</name>\n";
+ $feed.= " </author>\n";
+ }
+ if ($this->items[$i]->description!="") {
+ $feed.= " <summary>".htmlspecialchars($this->items[$i]->description)."</summary>\n";
+ }
+ if ($this->items[$i]->enclosure != NULL) {
+ $feed.=" <link rel=\"enclosure\" href=\"". $this->items[$i]->enclosure->url ."\" type=\"". $this->items[$i]->enclosure->type."\" length=\"". $this->items[$i]->enclosure->length . "\" />\n";
+ }
+ $feed.= " </entry>\n";
+ }
+ $feed.= "</feed>\n";
+ return $feed;
+ }
+
+
+}
+
+
+/**
+ * AtomCreator03 is a FeedCreator that implements the atom specification,
+ * as in http://www.intertwingly.net/wiki/pie/FrontPage.
+ * Please note that just by using AtomCreator03 you won't automatically
+ * produce valid atom files. For example, you have to specify either an editor
+ * for the feed or an author for every single feed item.
+ *
+ * Some elements have not been implemented yet. These are (incomplete list):
+ * author URL, item author's email and URL, item contents, alternate links,
+ * other link content types than text/html. Some of them may be created with
+ * AtomCreator03::additionalElements.
+ *
+ * @see FeedCreator#additionalElements
+ * @since 1.6
+ * @author Kai Blankenhorn <kaib@bitfolge.de>, Scott Reynen <scott@randomchaos.com>
+ */
+class AtomCreator03 extends FeedCreator {
+
+ function AtomCreator03() {
+ $this->contentType = "application/atom+xml";
+ $this->encoding = "utf-8";
+ }
+
+ function createFeed() {
+ $feed = "<?xml version=\"1.0\" encoding=\"".$this->encoding."\"?>\n";
+ $feed.= $this->_createGeneratorComment();
+ $feed.= $this->_createStylesheetReferences();
+ $feed.= "<feed version=\"0.3\" xmlns=\"http://purl.org/atom/ns#\"";
+ if ($this->language!="") {
+ $feed.= " xml:lang=\"".$this->language."\"";
+ }
+ $feed.= ">\n";
+ $feed.= " <title>".htmlspecialchars($this->title)."</title>\n";
+ $feed.= " <tagline>".htmlspecialchars($this->description)."</tagline>\n";
+ $feed.= " <link rel=\"alternate\" type=\"text/html\" href=\"".htmlspecialchars($this->link)."\"/>\n";
+ $feed.= " <id>".htmlspecialchars($this->link)."</id>\n";
+ $now = new FeedDate();
+ $feed.= " <modified>".htmlspecialchars($now->iso8601())."</modified>\n";
+ if ($this->editor!="") {
+ $feed.= " <author>\n";
+ $feed.= " <name>".$this->editor."</name>\n";
+ if ($this->editorEmail!="") {
+ $feed.= " <email>".$this->editorEmail."</email>\n";
+ }
+ $feed.= " </author>\n";
+ }
+ $feed.= " <generator>".FEEDCREATOR_VERSION."</generator>\n";
+ $feed.= $this->_createAdditionalElements($this->additionalElements, " ");
+ for ($i=0;$i<count($this->items);$i++) {
+ $feed.= " <entry>\n";
+ $feed.= " <title>".htmlspecialchars(strip_tags($this->items[$i]->title))."</title>\n";
+ $feed.= " <link rel=\"alternate\" type=\"text/html\" href=\"".htmlspecialchars($this->items[$i]->link)."\"/>\n";
+ if ($this->items[$i]->date=="") {
+ $this->items[$i]->date = time();
+ }
+ $itemDate = new FeedDate($this->items[$i]->date);
+ $feed.= " <created>".htmlspecialchars($itemDate->iso8601())."</created>\n";
+ $feed.= " <issued>".htmlspecialchars($itemDate->iso8601())."</issued>\n";
+ $feed.= " <modified>".htmlspecialchars($itemDate->iso8601())."</modified>\n";
+ $feed.= " <id>".htmlspecialchars($this->items[$i]->link)."</id>\n";
+ $feed.= $this->_createAdditionalElements($this->items[$i]->additionalElements, " ");
+ if ($this->items[$i]->author!="") {
+ $feed.= " <author>\n";
+ $feed.= " <name>".htmlspecialchars($this->items[$i]->author)."</name>\n";
+ $feed.= " </author>\n";
+ }
+ if ($this->items[$i]->description!="") {
+ $feed.= " <summary>".htmlspecialchars($this->items[$i]->description)."</summary>\n";
+ }
+ $feed.= " </entry>\n";
+ }
+ $feed.= "</feed>\n";
+ return $feed;
+ }
+}
+
+
+/**
+ * MBOXCreator is a FeedCreator that implements the mbox format
+ * as described in http://www.qmail.org/man/man5/mbox.html
+ *
+ * @since 1.3
+ * @author Kai Blankenhorn <kaib@bitfolge.de>
+ */
+class MBOXCreator extends FeedCreator {
+
+ function MBOXCreator() {
+ $this->contentType = "text/plain";
+ $this->encoding = "utf-8";
+ }
+
+ function qp_enc($input = "", $line_max = 76) {
+ $hex = array('0','1','2','3','4','5','6','7','8','9','A','B','C','D','E','F');
+ $lines = preg_split("/(?:\r\n|\r|\n)/", $input);
+ $eol = "\r\n";
+ $escape = "=";
+ $output = "";
+ while( list(, $line) = each($lines) ) {
+ //$line = rtrim($line); // remove trailing white space -> no =20\r\n necessary
+ $linlen = strlen($line);
+ $newline = "";
+ for($i = 0; $i < $linlen; $i++) {
+ $c = substr($line, $i, 1);
+ $dec = ord($c);
+ if ( ($dec == 32) && ($i == ($linlen - 1)) ) { // convert space at eol only
+ $c = "=20";
+ } elseif ( ($dec == 61) || ($dec < 32 ) || ($dec > 126) ) { // always encode "\t", which is *not* required
+ $h2 = floor($dec/16); $h1 = floor($dec%16);
+ $c = $escape.$hex["$h2"].$hex["$h1"];
+ }
+ if ( (strlen($newline) + strlen($c)) >= $line_max ) { // CRLF is not counted
+ $output .= $newline.$escape.$eol; // soft line break; " =\r\n" is okay
+ $newline = "";
+ }
+ $newline .= $c;
+ } // end of for
+ $output .= $newline.$eol;
+ }
+ return trim($output);
+ }
+
+
+ /**
+ * Builds the MBOX contents.
+ * @return string the feed's complete text
+ */
+ function createFeed() {
+ for ($i=0;$i<count($this->items);$i++) {
+ if ($this->items[$i]->author!="") {
+ $from = $this->items[$i]->author;
+ } else {
+ $from = $this->title;
+ }
+ $itemDate = new FeedDate($this->items[$i]->date);
+ $feed.= "From ".strtr(MBOXCreator::qp_enc($from)," ","_")." ".date("D M d H:i:s Y",$itemDate->unix())."\n";
+ $feed.= "Content-Type: text/plain;\n";
+ $feed.= " charset=\"".$this->encoding."\"\n";
+ $feed.= "Content-Transfer-Encoding: quoted-printable\n";
+ $feed.= "Content-Type: text/plain\n";
+ $feed.= "From: \"".MBOXCreator::qp_enc($from)."\"\n";
+ $feed.= "Date: ".$itemDate->rfc822()."\n";
+ $feed.= "Subject: ".MBOXCreator::qp_enc(FeedCreator::iTrunc($this->items[$i]->title,100))."\n";
+ $feed.= "\n";
+ $body = chunk_split(MBOXCreator::qp_enc($this->items[$i]->description));
+ $feed.= preg_replace("~\nFrom ([^\n]*)(\n?)~","\n>From $1$2\n",$body);
+ $feed.= "\n";
+ $feed.= "\n";
+ }
+ return $feed;
+ }
+
+ /**
+ * Generate a filename for the feed cache file. Overridden from FeedCreator to prevent XML data types.
+ * @return string the feed cache filename
+ * @since 1.4
+ * @access private
+ */
+ function _generateFilename() {
+ $fileInfo = pathinfo($_SERVER["PHP_SELF"]);
+ return substr($fileInfo["basename"],0,-(strlen($fileInfo["extension"])+1)).".mbox";
+ }
+}
+
+
+/**
+ * OPMLCreator is a FeedCreator that implements OPML 1.0.
+ *
+ * @see http://opml.scripting.com/spec
+ * @author Dirk Clemens, Kai Blankenhorn
+ * @since 1.5
+ */
+class OPMLCreator extends FeedCreator {
+
+ function OPMLCreator() {
+ $this->encoding = "utf-8";
+ }
+
+ function createFeed() {
+ $feed = "<?xml version=\"1.0\" encoding=\"".$this->encoding."\"?>\n";
+ $feed.= $this->_createGeneratorComment();
+ $feed.= $this->_createStylesheetReferences();
+ $feed.= "<opml xmlns:xsd=\"http://www.w3.org/2001/XMLSchema\" xmlns:xsi=\"http://www.w3.org/2001/XMLSchema-instance\">\n";
+ $feed.= " <head>\n";
+ $feed.= " <title>".htmlspecialchars($this->title)."</title>\n";
+ if ($this->pubDate!="") {
+ $date = new FeedDate($this->pubDate);
+ $feed.= " <dateCreated>".$date->rfc822()."</dateCreated>\n";
+ }
+ if ($this->lastBuildDate!="") {
+ $date = new FeedDate($this->lastBuildDate);
+ $feed.= " <dateModified>".$date->rfc822()."</dateModified>\n";
+ }
+ if ($this->editor!="") {
+ $feed.= " <ownerName>".$this->editor."</ownerName>\n";
+ }
+ if ($this->editorEmail!="") {
+ $feed.= " <ownerEmail>".$this->editorEmail."</ownerEmail>\n";
+ }
+ $feed.= " </head>\n";
+ $feed.= " <body>\n";
+ for ($i=0;$i<count($this->items);$i++) {
+ $feed.= " <outline type=\"rss\" ";
+ $title = htmlspecialchars(strip_tags(strtr($this->items[$i]->title,"\n\r"," ")));
+ $feed.= " title=\"".$title."\"";
+ $feed.= " text=\"".$title."\"";
+ //$feed.= " description=\"".htmlspecialchars($this->items[$i]->description)."\"";
+ $feed.= " url=\"".htmlspecialchars($this->items[$i]->link)."\"";
+ $feed.= "/>\n";
+ }
+ $feed.= " </body>\n";
+ $feed.= "</opml>\n";
+ return $feed;
+ }
+}
+
+
+
+/**
+ * HTMLCreator is a FeedCreator that writes an HTML feed file to a specific
+ * location, overriding the createFeed method of the parent FeedCreator.
+ * The HTML produced can be included over http by scripting languages, or serve
+ * as the source for an IFrame.
+ * All output by this class is embedded in <div></div> tags to enable formatting
+ * using CSS.
+ *
+ * @author Pascal Van Hecke
+ * @since 1.7
+ */
+class HTMLCreator extends FeedCreator {
+
+ var $contentType = "text/html";
+
+ /**
+ * Contains HTML to be output at the start of the feed's html representation.
+ */
+ var $header;
+
+ /**
+ * Contains HTML to be output at the end of the feed's html representation.
+ */
+ var $footer ;
+
+ /**
+ * Contains HTML to be output between entries. A separator is only used in
+ * case of multiple entries.
+ */
+ var $separator;
+
+ /**
+ * Used to prefix the stylenames to make sure they are unique
+ * and do not clash with stylenames on the users' page.
+ */
+ var $stylePrefix;
+
+ /**
+ * Determines whether the links open in a new window or not.
+ */
+ var $openInNewWindow = true;
+
+ var $imageAlign ="right";
+
+ /**
+ * In case of very simple output you may want to get rid of the style tags,
+ * hence this variable. There's no equivalent on item level, but of course you can
+ * add strings to it while iterating over the items ($this->stylelessOutput .= ...)
+ * and when it is non-empty, ONLY the styleless output is printed, the rest is ignored
+ * in the function createFeed().
+ */
+ var $stylelessOutput ="";
+
+ /**
+ * Writes the HTML.
+ * @return string the scripts's complete text
+ */
+ function createFeed() {
+ // if there is styleless output, use the content of this variable and ignore the rest
+ if ($this->stylelessOutput!="") {
+ return $this->stylelessOutput;
+ }
+
+ //if no stylePrefix is set, generate it yourself depending on the script name
+ if ($this->stylePrefix=="") {
+ $this->stylePrefix = str_replace(".", "_", $this->_generateFilename())."_";
+ }
+
+ //set an openInNewWindow_token_to be inserted or not
+ if ($this->openInNewWindow) {
+ $targetInsert = " target='_blank'";
+ }
+
+ // use this array to put the lines in and implode later with "document.write" javascript
+ $feedArray = array();
+ if ($this->image!=null) {
+ $imageStr = "<a href='".$this->image->link."'".$targetInsert.">".
+ "<img src='".$this->image->url."' border='0' alt='".
+ FeedCreator::iTrunc(htmlspecialchars($this->image->title),100).
+ "' align='".$this->imageAlign."' ";
+ if ($this->image->width) {
+ $imageStr .=" width='".$this->image->width. "' ";
+ }
+ if ($this->image->height) {
+ $imageStr .=" height='".$this->image->height."' ";
+ }
+ $imageStr .="/></a>";
+ $feedArray[] = $imageStr;
+ }
+
+ if ($this->title) {
+ $feedArray[] = "<div class='".$this->stylePrefix."title'><a href='".$this->link."' ".$targetInsert." class='".$this->stylePrefix."title'>".
+ FeedCreator::iTrunc(htmlspecialchars($this->title),100)."</a></div>";
+ }
+ if ($this->getDescription()) {
+ $feedArray[] = "<div class='".$this->stylePrefix."description'>".
+ str_replace("]]>", "", str_replace("<![CDATA[", "", $this->getDescription())).
+ "</div>";
+ }
+
+ if ($this->header) {
+ $feedArray[] = "<div class='".$this->stylePrefix."header'>".$this->header."</div>";
+ }
+
+ for ($i=0;$i<count($this->items);$i++) {
+ if ($this->separator and $i > 0) {
+ $feedArray[] = "<div class='".$this->stylePrefix."separator'>".$this->separator."</div>";
+ }
+
+ if ($this->items[$i]->title) {
+ if ($this->items[$i]->link) {
+ $feedArray[] =
+ "<div class='".$this->stylePrefix."item_title'><a href='".$this->items[$i]->link."' class='".$this->stylePrefix.
+ "item_title'".$targetInsert.">".FeedCreator::iTrunc(htmlspecialchars(strip_tags($this->items[$i]->title)),100).
+ "</a></div>";
+ } else {
+ $feedArray[] =
+ "<div class='".$this->stylePrefix."item_title'>".
+ FeedCreator::iTrunc(htmlspecialchars(strip_tags($this->items[$i]->title)),100).
+ "</div>";
+ }
+ }
+ if ($this->items[$i]->getDescription()) {
+ $feedArray[] =
+ "<div class='".$this->stylePrefix."item_description'>".
+ str_replace("]]>", "", str_replace("<![CDATA[", "", $this->items[$i]->getDescription())).
+ "</div>";
+ }
+ }
+ if ($this->footer) {
+ $feedArray[] = "<div class='".$this->stylePrefix."footer'>".$this->footer."</div>";
+ }
+
+ $feed= "".join($feedArray, "\r\n");
+ return $feed;
+ }
+
+ /**
+ * Overrrides parent to produce .html extensions
+ *
+ * @return string the feed cache filename
+ * @since 1.4
+ * @access private
+ */
+ function _generateFilename() {
+ $fileInfo = pathinfo($_SERVER["PHP_SELF"]);
+ return substr($fileInfo["basename"],0,-(strlen($fileInfo["extension"])+1)).".html";
+ }
+}
+
+
+/**
+ * JSCreator is a class that writes a js file to a specific
+ * location, overriding the createFeed method of the parent HTMLCreator.
+ *
+ * @author Pascal Van Hecke
+ */
+class JSCreator extends HTMLCreator {
+ var $contentType = "text/javascript";
+
+ /**
+ * writes the javascript
+ * @return string the scripts's complete text
+ */
+ function createFeed()
+ {
+ $feed = parent::createFeed();
+ $feedArray = explode("\n",$feed);
+
+ $jsFeed = "";
+ foreach ($feedArray as $value) {
+ $jsFeed .= "document.write('".trim(addslashes($value))."');\n";
+ }
+ return $jsFeed;
+ }
+
+ /**
+ * Overrrides parent to produce .js extensions
+ *
+ * @return string the feed cache filename
+ * @since 1.4
+ * @access private
+ */
+ function _generateFilename() {
+ $fileInfo = pathinfo($_SERVER["PHP_SELF"]);
+ return substr($fileInfo["basename"],0,-(strlen($fileInfo["extension"])+1)).".js";
+ }
+
+}
+
+/**
+ * This class allows to override the hardcoded charset
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+class DokuWikiFeedCreator extends UniversalFeedCreator{
+ function createFeed($format = "RSS0.91",$encoding='iso-8859-15') {
+ $this->_setFormat($format);
+ $this->_feed->encoding = $encoding;
+ return $this->_feed->createFeed();
+ }
+}
+
+
+
+//Setup VIM: ex: et ts=4 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/form.php b/mod/dokuwiki/vendors/dokuwiki/inc/form.php
new file mode 100644
index 000000000..a514526b7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/form.php
@@ -0,0 +1,918 @@
+<?php
+/**
+ * DokuWiki XHTML Form
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+
+if(!defined('DOKU_INC')) die('meh.');
+require_once(DOKU_INC.'inc/html.php');
+
+/**
+ * Class for creating simple HTML forms.
+ *
+ * The forms is built from a list of pseudo-tags (arrays with expected keys).
+ * Every pseudo-tag must have the key '_elem' set to the name of the element.
+ * When printed, the form class calls functions named 'form_$type' for each
+ * element it contains.
+ *
+ * Standard practice is for non-attribute keys in a pseudo-element to start
+ * with '_'. Other keys are HTML attributes that will be included in the element
+ * tag. That way, the element output functions can pass the pseudo-element
+ * directly to buildAttributes.
+ *
+ * See the form_make* functions later in this file.
+ *
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+class Doku_Form {
+
+ // Form id attribute
+ var $params = array();
+
+ // Draw a border around form fields.
+ // Adds <fieldset></fieldset> around the elements
+ var $_infieldset = false;
+
+ // Hidden form fields.
+ var $_hidden = array();
+
+ // Array of pseudo-tags
+ var $_content = array();
+
+ /**
+ * Constructor
+ *
+ * Sets parameters and autoadds a security token. The old calling convention
+ * with up to four parameters is deprecated, instead the first parameter
+ * should be an array with parameters.
+ *
+ * @param mixed $params Parameters for the HTML form element; Using the
+ * deprecated calling convention this is the ID
+ * attribute of the form
+ * @param string $action (optional, deprecated) submit URL, defaults to
+ * current page
+ * @param string $method (optional, deprecated) 'POST' or 'GET', default
+ * is POST
+ * @param string $enctype (optional, deprecated) Encoding type of the
+ * data
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+ function Doku_Form($params, $action=false, $method=false, $enctype=false) {
+ if(!is_array($params)) {
+ $this->params = array('id' => $params);
+ if ($action !== false) $this->params['action'] = $action;
+ if ($method !== false) $this->params['method'] = strtolower($method);
+ if ($enctype !== false) $this->params['enctype'] = $enctype;
+ } else {
+ $this->params = $params;
+ }
+
+ if (!isset($this->params['method'])) {
+ $this->params['method'] = 'post';
+ } else {
+ $this->params['method'] = strtolower($this->params['method']);
+ }
+
+ if (!isset($this->params['action'])) {
+ $this->params['action'] = '';
+ }
+
+ $this->addHidden('sectok', getSecurityToken());
+ }
+
+ /**
+ * startFieldset
+ *
+ * Add <fieldset></fieldset> tags around fields.
+ * Usually results in a border drawn around the form.
+ *
+ * @param string $legend Label that will be printed with the border.
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+ function startFieldset($legend) {
+ if ($this->_infieldset) {
+ $this->addElement(array('_elem'=>'closefieldset'));
+ }
+ $this->addElement(array('_elem'=>'openfieldset', '_legend'=>$legend));
+ $this->_infieldset = true;
+ }
+
+ /**
+ * endFieldset
+ *
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+ function endFieldset() {
+ if ($this->_infieldset) {
+ $this->addElement(array('_elem'=>'closefieldset'));
+ }
+ $this->_infieldset = false;
+ }
+
+ /**
+ * addHidden
+ *
+ * Adds a name/value pair as a hidden field.
+ * The value of the field (but not the name) will be passed to
+ * formText() before printing.
+ *
+ * @param string $name Field name.
+ * @param string $value Field value. If null, remove a previously added field.
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+ function addHidden($name, $value) {
+ if (is_null($value))
+ unset($this->_hidden[$name]);
+ else
+ $this->_hidden[$name] = $value;
+ }
+
+ /**
+ * addElement
+ *
+ * Appends a content element to the form.
+ * The element can be either a pseudo-tag or string.
+ * If string, it is printed without escaping special chars. *
+ *
+ * @param string $elem Pseudo-tag or string to add to the form.
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+ function addElement($elem) {
+ $this->_content[] = $elem;
+ }
+
+ /**
+ * insertElement
+ *
+ * Inserts a content element at a position.
+ *
+ * @param string $pos 0-based index where the element will be inserted.
+ * @param string $elem Pseudo-tag or string to add to the form.
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+ function insertElement($pos, $elem) {
+ array_splice($this->_content, $pos, 0, array($elem));
+ }
+
+ /**
+ * replaceElement
+ *
+ * Replace with NULL to remove an element.
+ *
+ * @param int $pos 0-based index the element will be placed at.
+ * @param string $elem Pseudo-tag or string to add to the form.
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+ function replaceElement($pos, $elem) {
+ $rep = array();
+ if (!is_null($elem)) $rep[] = $elem;
+ array_splice($this->_content, $pos, 1, $rep);
+ }
+
+ /**
+ * findElementByType
+ *
+ * Gets the position of the first of a type of element.
+ *
+ * @param string $type Element type to look for.
+ * @return array pseudo-element if found, false otherwise
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+ function findElementByType($type) {
+ foreach ($this->_content as $pos=>$elem) {
+ if (is_array($elem) && $elem['_elem'] == $type)
+ return $pos;
+ }
+ return false;
+ }
+
+ /**
+ * findElementById
+ *
+ * Gets the position of the element with an ID attribute.
+ *
+ * @param string $id ID of the element to find.
+ * @return array pseudo-element if found, false otherwise
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+ function findElementById($id) {
+ foreach ($this->_content as $pos=>$elem) {
+ if (is_array($elem) && isset($elem['id']) && $elem['id'] == $id)
+ return $pos;
+ }
+ return false;
+ }
+
+ /**
+ * findElementByAttribute
+ *
+ * Gets the position of the first element with a matching attribute value.
+ *
+ * @param string $name Attribute name.
+ * @param string $value Attribute value.
+ * @return array pseudo-element if found, false otherwise
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+ function findElementByAttribute($name, $value) {
+ foreach ($this->_content as $pos=>$elem) {
+ if (is_array($elem) && isset($elem[$name]) && $elem[$name] == $value)
+ return $pos;
+ }
+ return false;
+ }
+
+ /**
+ * getElementAt
+ *
+ * Returns a reference to the element at a position.
+ * A position out-of-bounds will return either the
+ * first (underflow) or last (overflow) element.
+ *
+ * @param int $pos 0-based index
+ * @return arrayreference pseudo-element
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+ function &getElementAt($pos) {
+ if ($pos < 0) $pos = count($this->_content) + $pos;
+ if ($pos < 0) $pos = 0;
+ if ($pos >= count($this->_content)) $pos = count($this->_content) - 1;
+ return $this->_content[$pos];
+ }
+
+ /**
+ * Return the assembled HTML for the form.
+ *
+ * Each element in the form will be passed to a function named
+ * 'form_$type'. The function should return the HTML to be printed.
+ *
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+ function getForm() {
+ global $lang;
+ $form = '';
+ $this->params['accept-charset'] = $lang['encoding'];
+ $form .= '<form ' . html_attbuild($this->params) . '><div class="no">' . DOKU_LF;
+ if (!empty($this->_hidden)) {
+ foreach ($this->_hidden as $name=>$value)
+ $form .= form_hidden(array('name'=>$name, 'value'=>$value));
+ }
+ foreach ($this->_content as $element) {
+ if (is_array($element)) {
+ $elem_type = $element['_elem'];
+ if (function_exists('form_'.$elem_type)) {
+ $form .= call_user_func('form_'.$elem_type, $element).DOKU_LF;
+ }
+ } else {
+ $form .= $element;
+ }
+ }
+ if ($this->_infieldset) $form .= form_closefieldset().DOKU_LF;
+ $form .= '</div></form>'.DOKU_LF;
+
+ return $form;
+ }
+
+ /**
+ * Print the assembled form
+ *
+ * wraps around getForm()
+ */
+ function printForm(){
+ echo $this->getForm();
+ }
+
+}
+
+/**
+ * form_makeTag
+ *
+ * Create a form element for a non-specific empty tag.
+ *
+ * @param string $tag Tag name.
+ * @param array $attrs Optional attributes.
+ * @return array pseudo-tag
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function form_makeTag($tag, $attrs=array()) {
+ $elem = array('_elem'=>'tag', '_tag'=>$tag);
+ return array_merge($elem, $attrs);
+}
+
+/**
+ * form_makeOpenTag
+ *
+ * Create a form element for a non-specific opening tag.
+ * Remember to put a matching close tag after this as well.
+ *
+ * @param string $tag Tag name.
+ * @param array $attrs Optional attributes.
+ * @return array pseudo-tag
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function form_makeOpenTag($tag, $attrs=array()) {
+ $elem = array('_elem'=>'opentag', '_tag'=>$tag);
+ return array_merge($elem, $attrs);
+}
+
+/**
+ * form_makeCloseTag
+ *
+ * Create a form element for a non-specific closing tag.
+ * Careless use of this will result in invalid XHTML.
+ *
+ * @param string $tag Tag name.
+ * @return array pseudo-tag
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function form_makeCloseTag($tag) {
+ return array('_elem'=>'closetag', '_tag'=>$tag);
+}
+
+/**
+ * form_makeWikiText
+ *
+ * Create a form element for a textarea containing wiki text.
+ * Only one wikitext element is allowed on a page. It will have
+ * a name of 'wikitext' and id 'wiki__text'. The text will
+ * be passed to formText() before printing.
+ *
+ * @param string $text Text to fill the field with.
+ * @param array $attrs Optional attributes.
+ * @return array pseudo-tag
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function form_makeWikiText($text, $attrs=array()) {
+ $elem = array('_elem'=>'wikitext', '_text'=>$text,
+ 'class'=>'edit', 'cols'=>'80', 'rows'=>'10');
+ return array_merge($elem, $attrs);
+}
+
+/**
+ * form_makeButton
+ *
+ * Create a form element for an action button.
+ * A title will automatically be generated using the value and
+ * accesskey attributes, unless you provide one.
+ *
+ * @param string $type Type attribute. 'submit' or 'cancel'
+ * @param string $act Wiki action of the button, will be used as the do= parameter
+ * @param string $value (optional) Displayed label. Uses $act if not provided.
+ * @param array $attrs Optional attributes.
+ * @return array pseudo-tag
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function form_makeButton($type, $act, $value='', $attrs=array()) {
+ if ($value == '') $value = $act;
+ $elem = array('_elem'=>'button', 'type'=>$type, '_action'=>$act,
+ 'value'=>$value, 'class'=>'button');
+ if (!empty($attrs['accesskey']) && empty($attrs['title'])) {
+ $attrs['title'] = $value . ' ['.strtoupper($attrs['accesskey']).']';
+ }
+ return array_merge($elem, $attrs);
+}
+
+/**
+ * form_makeField
+ *
+ * Create a form element for a labelled input element.
+ * The label text will be printed before the input.
+ *
+ * @param string $type Type attribute of input.
+ * @param string $name Name attribute of the input.
+ * @param string $value (optional) Default value.
+ * @param string $class Class attribute of the label. If this is 'block',
+ * then a line break will be added after the field.
+ * @param string $label Label that will be printed before the input.
+ * @param string $id ID attribute of the input. If set, the label will
+ * reference it with a 'for' attribute.
+ * @param array $attrs Optional attributes.
+ * @return array pseudo-tag
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function form_makeField($type, $name, $value='', $label=null, $id='', $class='', $attrs=array()) {
+ if (is_null($label)) $label = $name;
+ $elem = array('_elem'=>'field', '_text'=>$label, '_class'=>$class,
+ 'type'=>$type, 'id'=>$id, 'name'=>$name, 'value'=>$value);
+ return array_merge($elem, $attrs);
+}
+
+/**
+ * form_makeFieldRight
+ *
+ * Create a form element for a labelled input element.
+ * The label text will be printed after the input.
+ *
+ * @see form_makeField
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function form_makeFieldRight($type, $name, $value='', $label=null, $id='', $class='', $attrs=array()) {
+ if (is_null($label)) $label = $name;
+ $elem = array('_elem'=>'fieldright', '_text'=>$label, '_class'=>$class,
+ 'type'=>$type, 'id'=>$id, 'name'=>$name, 'value'=>$value);
+ return array_merge($elem, $attrs);
+}
+
+/**
+ * form_makeTextField
+ *
+ * Create a form element for a text input element with label.
+ *
+ * @see form_makeField
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function form_makeTextField($name, $value='', $label=null, $id='', $class='', $attrs=array()) {
+ if (is_null($label)) $label = $name;
+ $elem = array('_elem'=>'textfield', '_text'=>$label, '_class'=>$class,
+ 'id'=>$id, 'name'=>$name, 'value'=>$value, 'class'=>'edit');
+ return array_merge($elem, $attrs);
+}
+
+/**
+ * form_makePasswordField
+ *
+ * Create a form element for a password input element with label.
+ * Password elements have no default value, for obvious reasons.
+ *
+ * @see form_makeField
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function form_makePasswordField($name, $label=null, $id='', $class='', $attrs=array()) {
+ if (is_null($label)) $label = $name;
+ $elem = array('_elem'=>'passwordfield', '_text'=>$label, '_class'=>$class,
+ 'id'=>$id, 'name'=>$name, 'class'=>'edit');
+ return array_merge($elem, $attrs);
+}
+
+/**
+ * form_makeFileField
+ *
+ * Create a form element for a file input element with label
+ *
+ * @see form_makeField
+ * @author Michael Klier <chi@chimeric.de>
+ */
+function form_makeFileField($name, $label=null, $id='', $class='', $attrs=array()) {
+ if (is_null($label)) $label = $name;
+ $elem = array('_elem'=>'filefield', '_text'=>$label, '_class'=>$class,
+ 'id'=>$id, 'name'=>$name, 'class'=>'edit');
+ return array_merge($elem, $attrs);
+}
+
+/**
+ * form_makeCheckboxField
+ *
+ * Create a form element for a checkbox input element with label.
+ *
+ * @see form_makeFieldRight
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function form_makeCheckboxField($name, $value='1', $label=null, $id='', $class='', $attrs=array()) {
+ if (is_null($label)) $label = $name;
+ if (is_null($value) || $value=='') $value='0';
+ $elem = array('_elem'=>'checkboxfield', '_text'=>$label, '_class'=>$class,
+ 'id'=>$id, 'name'=>$name, 'value'=>$value);
+ return array_merge($elem, $attrs);
+}
+
+/**
+ * form_makeRadioField
+ *
+ * Create a form element for a radio button input element with label.
+ *
+ * @see form_makeFieldRight
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function form_makeRadioField($name, $value='1', $label=null, $id='', $class='', $attrs=array()) {
+ if (is_null($label)) $label = $name;
+ if (is_null($value) || $value=='') $value='0';
+ $elem = array('_elem'=>'radiofield', '_text'=>$label, '_class'=>$class,
+ 'id'=>$id, 'name'=>$name, 'value'=>$value);
+ return array_merge($elem, $attrs);
+}
+
+/**
+ * form_makeMenuField
+ *
+ * Create a form element for a drop-down menu with label.
+ * The list of values can be strings, arrays of (value,text),
+ * or an associative array with the values as keys and labels as values.
+ * An item is selected by supplying its value or integer index.
+ * If the list of values is an associative array, the selected item must be
+ * a string.
+ *
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function form_makeMenuField($name, $values, $selected='', $label=null, $id='', $class='', $attrs=array()) {
+ if (is_null($label)) $label = $name;
+ $options = array();
+ reset($values);
+ // FIXME: php doesn't know the difference between a string and an integer
+ if (is_string(key($values))) {
+ foreach ($values as $val=>$text) {
+ $options[] = array($val,$text, (!is_null($selected) && $val==$selected));
+ }
+ } else {
+ if (is_integer($selected)) $selected = $values[$selected];
+ foreach ($values as $val) {
+ if (is_array($val))
+ @list($val,$text) = $val;
+ else
+ $text = null;
+ $options[] = array($val,$text,$val===$selected);
+ }
+ }
+ $elem = array('_elem'=>'menufield', '_options'=>$options, '_text'=>$label, '_class'=>$class,
+ 'id'=>$id, 'name'=>$name);
+ return array_merge($elem, $attrs);
+}
+
+/**
+ * form_makeListboxField
+ *
+ * Create a form element for a list box with label.
+ * The list of values can be strings, arrays of (value,text),
+ * or an associative array with the values as keys and labels as values.
+ * Items are selected by supplying its value or an array of values.
+ *
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function form_makeListboxField($name, $values, $selected='', $label=null, $id='', $class='', $attrs=array()) {
+ if (is_null($label)) $label = $name;
+ $options = array();
+ reset($values);
+ if (is_null($selected) || $selected == '')
+ $selected = array();
+ elseif (!is_array($selected))
+ $selected = array($selected);
+ // FIXME: php doesn't know the difference between a string and an integer
+ if (is_string(key($values))) {
+ foreach ($values as $val=>$text) {
+ $options[] = array($val,$text,in_array($val,$selected));
+ }
+ } else {
+ foreach ($values as $val) {
+ if (is_array($val))
+ @list($val,$text) = $val;
+ else
+ $text = null;
+ $options[] = array($val,$text,in_array($val,$selected));
+ }
+ }
+ $elem = array('_elem'=>'listboxfield', '_options'=>$options, '_text'=>$label, '_class'=>$class,
+ 'id'=>$id, 'name'=>$name);
+ return array_merge($elem, $attrs);
+}
+
+/**
+ * form_tag
+ *
+ * Print the HTML for a generic empty tag.
+ * Requires '_tag' key with name of the tag.
+ * Attributes are passed to buildAttributes()
+ *
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function form_tag($attrs) {
+ return '<'.$attrs['_tag'].' '.buildAttributes($attrs).'/>';
+}
+
+/**
+ * form_opentag
+ *
+ * Print the HTML for a generic opening tag.
+ * Requires '_tag' key with name of the tag.
+ * Attributes are passed to buildAttributes()
+ *
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function form_opentag($attrs) {
+ return '<'.$attrs['_tag'].' '.buildAttributes($attrs,true).'>';
+}
+
+/**
+ * form_closetag
+ *
+ * Print the HTML for a generic closing tag.
+ * Requires '_tag' key with name of the tag.
+ * There are no attributes.
+ *
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function form_closetag($attrs) {
+ return '</'.$attrs['_tag'].'>';
+}
+
+/**
+ * form_openfieldset
+ *
+ * Print the HTML for an opening fieldset tag.
+ * Uses the '_legend' key.
+ * Attributes are passed to buildAttributes()
+ *
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function form_openfieldset($attrs) {
+ $s = '<fieldset '.buildAttributes($attrs,true).'>';
+ if (!is_null($attrs['_legend'])) $s .= '<legend>'.$attrs['_legend'].'</legend>';
+ return $s;
+}
+
+/**
+ * form_closefieldset
+ *
+ * Print the HTML for a closing fieldset tag.
+ * There are no attributes.
+ *
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function form_closefieldset() {
+ return '</fieldset>';
+}
+
+/**
+ * form_hidden
+ *
+ * Print the HTML for a hidden input element.
+ * Uses only 'name' and 'value' attributes.
+ * Value is passed to formText()
+ *
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function form_hidden($attrs) {
+ return '<input type="hidden" name="'.$attrs['name'].'" value="'.formText($attrs['value']).'" />';
+}
+
+/**
+ * form_wikitext
+ *
+ * Print the HTML for the wiki textarea.
+ * Requires '_text' with default text of the field.
+ * Text will be passed to formText(), attributes to buildAttributes()
+ *
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function form_wikitext($attrs) {
+ // mandatory attributes
+ unset($attrs['name']);
+ unset($attrs['id']);
+ return '<textarea name="wikitext" id="wiki__text" '
+ .buildAttributes($attrs,true).'>'.DOKU_LF
+ .formText($attrs['_text'])
+ .'</textarea>';
+}
+
+/**
+ * form_button
+ *
+ * Print the HTML for a form button.
+ * If '_action' is set, the button name will be "do[_action]".
+ * Other attributes are passed to buildAttributes()
+ *
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function form_button($attrs) {
+ $p = (!empty($attrs['_action'])) ? 'name="do['.$attrs['_action'].']" ' : '';
+ return '<input '.$p.buildAttributes($attrs,true).'/>';
+}
+
+/**
+ * form_field
+ *
+ * Print the HTML for a form input field.
+ * _class : class attribute used on the label tag
+ * _text : Text to display before the input. Not escaped.
+ * Other attributes are passed to buildAttributes() for the input tag.
+ *
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function form_field($attrs) {
+ $s = '<label';
+ if ($attrs['_class']) $s .= ' class="'.$attrs['_class'].'"';
+ if (!empty($attrs['id'])) $s .= ' for="'.$attrs['id'].'"';
+ $s .= '><span>'.$attrs['_text'].'</span>';
+ $s .= ' <input '.buildAttributes($attrs,true).'/></label>';
+ if (preg_match('/(^| )block($| )/', $attrs['_class']))
+ $s .= '<br />';
+ return $s;
+}
+
+/**
+ * form_fieldright
+ *
+ * Print the HTML for a form input field. (right-aligned)
+ * _class : class attribute used on the label tag
+ * _text : Text to display after the input. Not escaped.
+ * Other attributes are passed to buildAttributes() for the input tag.
+ *
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function form_fieldright($attrs) {
+ $s = '<label';
+ if ($attrs['_class']) $s .= ' class="'.$attrs['_class'].'"';
+ if (!empty($attrs['id'])) $s .= ' for="'.$attrs['id'].'"';
+ $s .= '><input '.buildAttributes($attrs,true).'/>';
+ $s .= ' <span>'.$attrs['_text'].'</span></label>';
+ if (preg_match('/(^| )block($| )/', $attrs['_class']))
+ $s .= '<br />';
+ return $s;
+}
+
+/**
+ * form_textfield
+ *
+ * Print the HTML for a text input field.
+ * _class : class attribute used on the label tag
+ * _text : Text to display before the input. Not escaped.
+ * Other attributes are passed to buildAttributes() for the input tag.
+ *
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function form_textfield($attrs) {
+ // mandatory attributes
+ unset($attrs['type']);
+ $s = '<label';
+ if ($attrs['_class']) $s .= ' class="'.$attrs['_class'].'"';
+ if (!empty($attrs['id'])) $s .= ' for="'.$attrs['id'].'"';
+ $s .= '><span>'.$attrs['_text'].'</span> ';
+ $s .= '<input type="text" '.buildAttributes($attrs,true).'/></label>';
+ if (preg_match('/(^| )block($| )/', $attrs['_class']))
+ $s .= '<br />';
+ return $s;
+}
+
+/**
+ * form_passwordfield
+ *
+ * Print the HTML for a password input field.
+ * _class : class attribute used on the label tag
+ * _text : Text to display before the input. Not escaped.
+ * Other attributes are passed to buildAttributes() for the input tag.
+ *
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function form_passwordfield($attrs) {
+ // mandatory attributes
+ unset($attrs['type']);
+ $s = '<label';
+ if ($attrs['_class']) $s .= ' class="'.$attrs['_class'].'"';
+ if (!empty($attrs['id'])) $s .= ' for="'.$attrs['id'].'"';
+ $s .= '><span>'.$attrs['_text'].'</span> ';
+ $s .= '<input type="password" '.buildAttributes($attrs,true).'/></label>';
+ if (preg_match('/(^| )block($| )/', $attrs['_class']))
+ $s .= '<br />';
+ return $s;
+}
+
+/**
+ * form_filefield
+ *
+ * Print the HTML for a file input field.
+ * _class : class attribute used on the label tag
+ * _text : Text to display before the input. Not escaped
+ * _maxlength : Allowed size in byte
+ * _accept : Accepted mime-type
+ * Other attributes are passed to buildAttributes() for the input tag
+ *
+ * @author Michael Klier <chi@chimeric.de>
+ */
+function form_filefield($attrs) {
+ $s = '<label';
+ if ($attrs['_class']) $s .= ' class="'.$attrs['_class'].'"';
+ if (!empty($attrs['id'])) $s .= ' for="'.$attrs['id'].'"';
+ $s .= '><span>'.$attrs['_text'].'</span> ';
+ $s .= '<input type="file" '.buildAttributes($attrs,true);
+ if (!empty($attrs['_maxlength'])) $s .= ' maxlength="'.$attrs['_maxlength'].'"';
+ if (!empty($attrs['_accept'])) $s .= ' accept="'.$attrs['_accept'].'"';
+ $s .= '/></label>';
+ if (preg_match('/(^| )block($| )/', $attrs['_class']))
+ $s .= '<br />';
+ return $s;
+}
+
+/**
+ * form_checkboxfield
+ *
+ * Print the HTML for a checkbox input field.
+ * _class : class attribute used on the label tag
+ * _text : Text to display after the input. Not escaped.
+ * Other attributes are passed to buildAttributes() for the input tag.
+ *
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function form_checkboxfield($attrs) {
+ // mandatory attributes
+ unset($attrs['type']);
+ $s = '<label';
+ if ($attrs['_class']) $s .= ' class="'.$attrs['_class'].'"';
+ if (!empty($attrs['id'])) $s .= ' for="'.$attrs['id'].'"';
+ $s .= '><input type="checkbox" '.buildAttributes($attrs,true).'/>';
+ $s .= ' <span>'.$attrs['_text'].'</span></label>';
+ if (preg_match('/(^| )block($| )/', $attrs['_class']))
+ $s .= '<br />';
+ return $s;
+}
+
+/**
+ * form_radiofield
+ *
+ * Print the HTML for a radio button input field.
+ * _class : class attribute used on the label tag
+ * _text : Text to display after the input. Not escaped.
+ * Other attributes are passed to buildAttributes() for the input tag.
+ *
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function form_radiofield($attrs) {
+ // mandatory attributes
+ unset($attrs['type']);
+ $s = '<label';
+ if ($attrs['_class']) $s .= ' class="'.$attrs['_class'].'"';
+ if (!empty($attrs['id'])) $s .= ' for="'.$attrs['id'].'"';
+ $s .= '><input type="radio" '.buildAttributes($attrs,true).'/>';
+ $s .= ' <span>'.$attrs['_text'].'</span></label>';
+ if (preg_match('/(^| )block($| )/', $attrs['_class']))
+ $s .= '<br />';
+ return $s;
+}
+
+/**
+ * form_menufield
+ *
+ * Print the HTML for a drop-down menu.
+ * _options : Array of (value,text,selected) for the menu.
+ * Text can be omitted. Text and value are passed to formText()
+ * Only one item can be selected.
+ * _class : class attribute used on the label tag
+ * _text : Text to display before the menu. Not escaped.
+ * Other attributes are passed to buildAttributes() for the input tag.
+ *
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function form_menufield($attrs) {
+ $attrs['size'] = '1';
+ $s = '<label';
+ if ($attrs['_class']) $s .= ' class="'.$attrs['_class'].'"';
+ if (!empty($attrs['id'])) $s .= ' for="'.$attrs['id'].'"';
+ $s .= '><span>'.$attrs['_text'].'</span>';
+ $s .= ' <select '.buildAttributes($attrs,true).'>'.DOKU_LF;
+ if (!empty($attrs['_options'])) {
+ $selected = false;
+ for($n=0;$n<count($attrs['_options']);$n++){
+ @list($value,$text,$select) = $attrs['_options'][$n];
+ $p = '';
+ if (!is_null($text))
+ $p .= ' value="'.formText($value).'"';
+ else
+ $text = $value;
+ if (!empty($select) && !$selected) {
+ $p .= ' selected="selected"';
+ $selected = true;
+ }
+ $s .= '<option'.$p.'>'.formText($text).'</option>';
+ }
+ } else {
+ $s .= '<option></option>';
+ }
+ $s .= DOKU_LF.'</select></label>';
+ if (preg_match('/(^| )block($| )/', $attrs['_class']))
+ $s .= '<br />';
+ return $s;
+}
+
+/**
+ * form_listboxfield
+ *
+ * Print the HTML for a list box.
+ * _options : Array of (value,text,selected) for the list.
+ * Text can be omitted. Text and value are passed to formText()
+ * _class : class attribute used on the label tag
+ * _text : Text to display before the menu. Not escaped.
+ * Other attributes are passed to buildAttributes() for the input tag.
+ *
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function form_listboxfield($attrs) {
+ $s = '<label';
+ if ($attrs['_class']) $s .= ' class="'.$attrs['_class'].'"';
+ if (!empty($attrs['id'])) $s .= ' for="'.$attrs['id'].'"';
+ $s .= '><span>'.$attrs['_text'].'</span> ';
+ $s .= '<select '.buildAttributes($attrs,true).'>'.DOKU_LF;
+ if (!empty($attrs['_options'])) {
+ foreach ($attrs['_options'] as $opt) {
+ @list($value,$text,$select) = $opt;
+ $p = '';
+ if(is_null($text)) $text = $value;
+ $p .= ' value="'.formText($value).'"';
+ if (!empty($select)) $p .= ' selected="selected"';
+ $s .= '<option'.$p.'>'.formText($text).'</option>';
+ }
+ } else {
+ $s .= '<option></option>';
+ }
+ $s .= DOKU_LF.'</select></label>';
+ if (preg_match('/(^| )block($| )/', $attrs['_class']))
+ $s .= '<br />';
+ return $s;
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/fulltext.php b/mod/dokuwiki/vendors/dokuwiki/inc/fulltext.php
new file mode 100644
index 000000000..c8236e1d4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/fulltext.php
@@ -0,0 +1,722 @@
+<?php
+/**
+ * DokuWiki fulltextsearch functions using the index
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+
+if(!defined('DOKU_INC')) die('meh.');
+require_once(DOKU_INC.'inc/indexer.php');
+
+
+/**
+ * The fulltext search
+ *
+ * Returns a list of matching documents for the given query
+ *
+ * refactored into ft_pageSearch(), _ft_pageSearch() and trigger_event()
+ *
+ */
+function ft_pageSearch($query,&$highlight){
+
+ $data['query'] = $query;
+ $data['highlight'] =& $highlight;
+
+ return trigger_event('SEARCH_QUERY_FULLPAGE', $data, '_ft_pageSearch');
+}
+
+/**
+ * Returns a list of matching documents for the given query
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Kazutaka Miyasaka <kazmiya@gmail.com>
+ */
+function _ft_pageSearch(&$data) {
+ // parse the given query
+ $q = ft_queryParser($data['query']);
+ $data['highlight'] = $q['highlight'];
+
+ if (empty($q['parsed_ary'])) return array();
+
+ // lookup all words found in the query
+ $lookup = idx_lookup($q['words']);
+
+ // get all pages in this dokuwiki site (!: includes nonexistent pages)
+ $pages_all = array();
+ foreach (idx_getIndex('page', '') as $id) {
+ $pages_all[trim($id)] = 0; // base: 0 hit
+ }
+
+ // process the query
+ $stack = array();
+ foreach ($q['parsed_ary'] as $token) {
+ switch (substr($token, 0, 3)) {
+ case 'W+:':
+ case 'W-:':
+ case 'W_:': // word
+ $word = substr($token, 3);
+ $stack[] = (array) $lookup[$word];
+ break;
+ case 'P+:':
+ case 'P-:': // phrase
+ $phrase = substr($token, 3);
+ // since phrases are always parsed as ((W1)(W2)...(P)),
+ // the end($stack) always points the pages that contain
+ // all words in this phrase
+ $pages = end($stack);
+ $pages_matched = array();
+ foreach(array_keys($pages) as $id){
+ $text = utf8_strtolower(rawWiki($id));
+ if (strpos($text, $phrase) !== false) {
+ $pages_matched[$id] = 0; // phrase: always 0 hit
+ }
+ }
+ $stack[] = $pages_matched;
+ break;
+ case 'N+:':
+ case 'N-:': // namespace
+ $ns = substr($token, 3);
+ $pages_matched = array();
+ foreach (array_keys($pages_all) as $id) {
+ if (strpos($id, $ns) === 0) {
+ $pages_matched[$id] = 0; // namespace: always 0 hit
+ }
+ }
+ $stack[] = $pages_matched;
+ break;
+ case 'AND': // and operation
+ list($pages1, $pages2) = array_splice($stack, -2);
+ $stack[] = ft_resultCombine(array($pages1, $pages2));
+ break;
+ case 'OR': // or operation
+ list($pages1, $pages2) = array_splice($stack, -2);
+ $stack[] = ft_resultUnite(array($pages1, $pages2));
+ break;
+ case 'NOT': // not operation (unary)
+ $pages = array_pop($stack);
+ $stack[] = ft_resultComplement(array($pages_all, $pages));
+ break;
+ }
+ }
+ $docs = array_pop($stack);
+
+ if (empty($docs)) return array();
+
+ // check: settings, acls, existence
+ foreach (array_keys($docs) as $id) {
+ if (isHiddenPage($id) || auth_quickaclcheck($id) < AUTH_READ || !page_exists($id, '', false)) {
+ unset($docs[$id]);
+ }
+ }
+
+ // sort docs by count
+ arsort($docs);
+
+ return $docs;
+}
+
+/**
+ * Returns the backlinks for a given page
+ *
+ * Does a quick lookup with the fulltext index, then
+ * evaluates the instructions of the found pages
+ */
+function ft_backlinks($id){
+ global $conf;
+ $swfile = DOKU_INC.'inc/lang/'.$conf['lang'].'/stopwords.txt';
+ $stopwords = @file_exists($swfile) ? file($swfile) : array();
+
+ $result = array();
+
+ // quick lookup of the pagename
+ $page = noNS($id);
+ $matches = idx_lookup(idx_tokenizer($page,$stopwords)); // pagename may contain specials (_ or .)
+ $docs = array_keys(ft_resultCombine(array_values($matches)));
+ $docs = array_filter($docs,'isVisiblePage'); // discard hidden pages
+ if(!count($docs)) return $result;
+ require_once(DOKU_INC.'inc/parserutils.php');
+
+ // check metadata for matching links
+ foreach($docs as $match){
+ // metadata relation reference links are already resolved
+ $links = p_get_metadata($match,'relation references');
+ if (isset($links[$id])) $result[] = $match;
+ }
+
+ if(!count($result)) return $result;
+
+ // check ACL permissions
+ foreach(array_keys($result) as $idx){
+ if(auth_quickaclcheck($result[$idx]) < AUTH_READ){
+ unset($result[$idx]);
+ }
+ }
+
+ sort($result);
+ return $result;
+}
+
+/**
+ * Returns the pages that use a given media file
+ *
+ * Does a quick lookup with the fulltext index, then
+ * evaluates the instructions of the found pages
+ *
+ * Aborts after $max found results
+ */
+function ft_mediause($id,$max){
+ global $conf;
+ $swfile = DOKU_INC.'inc/lang/'.$conf['lang'].'/stopwords.txt';
+ $stopwords = @file_exists($swfile) ? file($swfile) : array();
+
+ if(!$max) $max = 1; // need to find at least one
+
+ $result = array();
+
+ // quick lookup of the mediafile
+ $media = noNS($id);
+ $matches = idx_lookup(idx_tokenizer($media,$stopwords));
+ $docs = array_keys(ft_resultCombine(array_values($matches)));
+ if(!count($docs)) return $result;
+
+ // go through all found pages
+ $found = 0;
+ $pcre = preg_quote($media,'/');
+ foreach($docs as $doc){
+ $ns = getNS($doc);
+ preg_match_all('/\{\{([^|}]*'.$pcre.'[^|}]*)(|[^}]+)?\}\}/i',rawWiki($doc),$matches);
+ foreach($matches[1] as $img){
+ $img = trim($img);
+ if(preg_match('/^https?:\/\//i',$img)) continue; // skip external images
+ list($img) = explode('?',$img); // remove any parameters
+ resolve_mediaid($ns,$img,$exists); // resolve the possibly relative img
+
+ if($img == $id){ // we have a match
+ $result[] = $doc;
+ $found++;
+ break;
+ }
+ }
+ if($found >= $max) break;
+ }
+
+ sort($result);
+ return $result;
+}
+
+
+
+/**
+ * Quicksearch for pagenames
+ *
+ * By default it only matches the pagename and ignores the
+ * namespace. This can be changed with the second parameter
+ *
+ * refactored into ft_pageLookup(), _ft_pageLookup() and trigger_event()
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function ft_pageLookup($id,$pageonly=true){
+ $data = array('id' => $id, 'pageonly' => $pageonly);
+ return trigger_event('SEARCH_QUERY_PAGELOOKUP',$data,'_ft_pageLookup');
+}
+
+function _ft_pageLookup(&$data){
+ // split out original parameterrs
+ $id = $data['id'];
+ $pageonly = $data['pageonly'];
+
+ global $conf;
+ $id = preg_quote($id,'/');
+ $pages = file($conf['indexdir'].'/page.idx');
+ if($id) $pages = array_values(preg_grep('/'.$id.'/',$pages));
+
+ $cnt = count($pages);
+ for($i=0; $i<$cnt; $i++){
+ if($pageonly){
+ if(!preg_match('/'.$id.'/',noNS($pages[$i]))){
+ unset($pages[$i]);
+ continue;
+ }
+ }
+ if(!page_exists($pages[$i])){
+ unset($pages[$i]);
+ continue;
+ }
+ }
+
+ $pages = array_filter($pages,'isVisiblePage'); // discard hidden pages
+ if(!count($pages)) return array();
+
+ // check ACL permissions
+ foreach(array_keys($pages) as $idx){
+ if(auth_quickaclcheck(trim($pages[$idx])) < AUTH_READ){
+ unset($pages[$idx]);
+ }
+ }
+
+ $pages = array_map('trim',$pages);
+ usort($pages,'ft_pagesorter');
+ return $pages;
+}
+
+/**
+ * Sort pages based on their namespace level first, then on their string
+ * values. This makes higher hierarchy pages rank higher than lower hierarchy
+ * pages.
+ */
+function ft_pagesorter($a, $b){
+ $ac = count(explode(':',$a));
+ $bc = count(explode(':',$b));
+ if($ac < $bc){
+ return -1;
+ }elseif($ac > $bc){
+ return 1;
+ }
+ return strcmp ($a,$b);
+}
+
+/**
+ * Creates a snippet extract
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @triggers FULLTEXT_SNIPPET_CREATE
+ */
+function ft_snippet($id,$highlight){
+ $text = rawWiki($id);
+ $evdata = array(
+ 'id' => $id,
+ 'text' => &$text,
+ 'highlight' => &$highlight,
+ 'snippet' => '',
+ );
+
+ $evt = new Doku_Event('FULLTEXT_SNIPPET_CREATE',$evdata);
+ if ($evt->advise_before()) {
+ $match = array();
+ $snippets = array();
+ $utf8_offset = $offset = $end = 0;
+ $len = utf8_strlen($text);
+
+ // build a regexp from the phrases to highlight
+ $re1 = '('.join('|',array_map('preg_quote_cb',array_filter((array) $highlight))).')';
+ $re2 = "$re1.{0,75}(?!\\1)$re1";
+ $re3 = "$re1.{0,45}(?!\\1)$re1.{0,45}(?!\\1)(?!\\2)$re1";
+
+ for ($cnt=4; $cnt--;) {
+ if (0) {
+ } else if (preg_match('/'.$re3.'/iu',$text,$match,PREG_OFFSET_CAPTURE,$offset)) {
+ } else if (preg_match('/'.$re2.'/iu',$text,$match,PREG_OFFSET_CAPTURE,$offset)) {
+ } else if (preg_match('/'.$re1.'/iu',$text,$match,PREG_OFFSET_CAPTURE,$offset)) {
+ } else {
+ break;
+ }
+
+ list($str,$idx) = $match[0];
+
+ // convert $idx (a byte offset) into a utf8 character offset
+ $utf8_idx = utf8_strlen(substr($text,0,$idx));
+ $utf8_len = utf8_strlen($str);
+
+ // establish context, 100 bytes surrounding the match string
+ // first look to see if we can go 100 either side,
+ // then drop to 50 adding any excess if the other side can't go to 50,
+ $pre = min($utf8_idx-$utf8_offset,100);
+ $post = min($len-$utf8_idx-$utf8_len,100);
+
+ if ($pre>50 && $post>50) {
+ $pre = $post = 50;
+ } else if ($pre>50) {
+ $pre = min($pre,100-$post);
+ } else if ($post>50) {
+ $post = min($post, 100-$pre);
+ } else {
+ // both are less than 50, means the context is the whole string
+ // make it so and break out of this loop - there is no need for the
+ // complex snippet calculations
+ $snippets = array($text);
+ break;
+ }
+
+ // establish context start and end points, try to append to previous
+ // context if possible
+ $start = $utf8_idx - $pre;
+ $append = ($start < $end) ? $end : false; // still the end of the previous context snippet
+ $end = $utf8_idx + $utf8_len + $post; // now set it to the end of this context
+
+ if ($append) {
+ $snippets[count($snippets)-1] .= utf8_substr($text,$append,$end-$append);
+ } else {
+ $snippets[] = utf8_substr($text,$start,$end-$start);
+ }
+
+ // set $offset for next match attempt
+ // substract strlen to avoid splitting a potential search success,
+ // this is an approximation as the search pattern may match strings
+ // of varying length and it will fail if the context snippet
+ // boundary breaks a matching string longer than the current match
+ $utf8_offset = $utf8_idx + $post;
+ $offset = $idx + strlen(utf8_substr($text,$utf8_idx,$post));
+ $offset = utf8_correctIdx($text,$offset);
+ }
+
+ $m = "\1";
+ $snippets = preg_replace('/'.$re1.'/iu',$m.'$1'.$m,$snippets);
+ $snippet = preg_replace('/'.$m.'([^'.$m.']*?)'.$m.'/iu','<strong class="search_hit">$1</strong>',hsc(join('... ',$snippets)));
+
+ $evdata['snippet'] = $snippet;
+ }
+ $evt->advise_after();
+ unset($evt);
+
+ return $evdata['snippet'];
+}
+
+/**
+ * Combine found documents and sum up their scores
+ *
+ * This function is used to combine searched words with a logical
+ * AND. Only documents available in all arrays are returned.
+ *
+ * based upon PEAR's PHP_Compat function for array_intersect_key()
+ *
+ * @param array $args An array of page arrays
+ */
+function ft_resultCombine($args){
+ $array_count = count($args);
+ if($array_count == 1){
+ return $args[0];
+ }
+
+ $result = array();
+ if ($array_count > 1) {
+ foreach ($args[0] as $key => $value) {
+ $result[$key] = $value;
+ for ($i = 1; $i !== $array_count; $i++) {
+ if (!isset($args[$i][$key])) {
+ unset($result[$key]);
+ break;
+ }
+ $result[$key] += $args[$i][$key];
+ }
+ }
+ }
+ return $result;
+}
+
+/**
+ * Unites found documents and sum up their scores
+ *
+ * based upon ft_resultCombine() function
+ *
+ * @param array $args An array of page arrays
+ * @author Kazutaka Miyasaka <kazmiya@gmail.com>
+ */
+function ft_resultUnite($args) {
+ $array_count = count($args);
+ if ($array_count === 1) {
+ return $args[0];
+ }
+
+ $result = $args[0];
+ for ($i = 1; $i !== $array_count; $i++) {
+ foreach (array_keys($args[$i]) as $id) {
+ $result[$id] += $args[$i][$id];
+ }
+ }
+ return $result;
+}
+
+/**
+ * Computes the difference of documents using page id for comparison
+ *
+ * nearly identical to PHP5's array_diff_key()
+ *
+ * @param array $args An array of page arrays
+ * @author Kazutaka Miyasaka <kazmiya@gmail.com>
+ */
+function ft_resultComplement($args) {
+ $array_count = count($args);
+ if ($array_count === 1) {
+ return $args[0];
+ }
+
+ $result = $args[0];
+ foreach (array_keys($result) as $id) {
+ for ($i = 1; $i !== $array_count; $i++) {
+ if (isset($args[$i][$id])) unset($result[$id]);
+ }
+ }
+ return $result;
+}
+
+/**
+ * Parses a search query and builds an array of search formulas
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Kazutaka Miyasaka <kazmiya@gmail.com>
+ */
+function ft_queryParser($query){
+ global $conf;
+ $swfile = DOKU_INC.'inc/lang/'.$conf['lang'].'/stopwords.txt';
+ $stopwords = @file_exists($swfile) ? file($swfile) : array();
+
+ /**
+ * parse a search query and transform it into intermediate representation
+ *
+ * in a search query, you can use the following expressions:
+ *
+ * words:
+ * include
+ * -exclude
+ * phrases:
+ * "phrase to be included"
+ * -"phrase you want to exclude"
+ * namespaces:
+ * @include:namespace (or ns:include:namespace)
+ * ^exclude:namespace (or -ns:exclude:namespace)
+ * groups:
+ * ()
+ * -()
+ * operators:
+ * and ('and' is the default operator: you can always omit this)
+ * or (or pipe symbol '|', lower precedence than 'and')
+ *
+ * e.g. a query [ aa "bb cc" @dd:ee ] means "search pages which contain
+ * a word 'aa', a phrase 'bb cc' and are within a namespace 'dd:ee'".
+ * this query is equivalent to [ -(-aa or -"bb cc" or -ns:dd:ee) ]
+ * as long as you don't mind hit counts.
+ *
+ * intermediate representation consists of the following parts:
+ *
+ * ( ) - group
+ * AND - logical and
+ * OR - logical or
+ * NOT - logical not
+ * W+:, W-:, W_: - word (underscore: no need to highlight)
+ * P+:, P-: - phrase (minus sign: logically in NOT group)
+ * N+:, N-: - namespace
+ */
+ $parsed_query = '';
+ $parens_level = 0;
+ $terms = preg_split('/(-?".*?")/u', utf8_strtolower($query), -1, PREG_SPLIT_DELIM_CAPTURE | PREG_SPLIT_NO_EMPTY);
+
+ foreach ($terms as $term) {
+ $parsed = '';
+ if (preg_match('/^(-?)"(.+)"$/u', $term, $matches)) {
+ // phrase-include and phrase-exclude
+ $not = $matches[1] ? 'NOT' : '';
+ $parsed = $not.ft_termParser($matches[2], $stopwords, false, true);
+ } else {
+ // fix incomplete phrase
+ $term = str_replace('"', ' ', $term);
+
+ // fix parentheses
+ $term = str_replace(')' , ' ) ', $term);
+ $term = str_replace('(' , ' ( ', $term);
+ $term = str_replace('- (', ' -(', $term);
+
+ // treat pipe symbols as 'OR' operators
+ $term = str_replace('|', ' or ', $term);
+
+ // treat ideographic spaces (U+3000) as search term separators
+ // FIXME: some more separators?
+ $term = preg_replace('/[ \x{3000}]+/u', ' ', $term);
+ $term = trim($term);
+ if ($term === '') continue;
+
+ $tokens = explode(' ', $term);
+ foreach ($tokens as $token) {
+ if ($token === '(') {
+ // parenthesis-include-open
+ $parsed .= '(';
+ ++$parens_level;
+ } elseif ($token === '-(') {
+ // parenthesis-exclude-open
+ $parsed .= 'NOT(';
+ ++$parens_level;
+ } elseif ($token === ')') {
+ // parenthesis-any-close
+ if ($parens_level === 0) continue;
+ $parsed .= ')';
+ $parens_level--;
+ } elseif ($token === 'and') {
+ // logical-and (do nothing)
+ } elseif ($token === 'or') {
+ // logical-or
+ $parsed .= 'OR';
+ } elseif (preg_match('/^(?:\^|-ns:)(.+)$/u', $token, $matches)) {
+ // namespace-exclude
+ $parsed .= 'NOT(N+:'.$matches[1].')';
+ } elseif (preg_match('/^(?:@|ns:)(.+)$/u', $token, $matches)) {
+ // namespace-include
+ $parsed .= '(N+:'.$matches[1].')';
+ } elseif (preg_match('/^-(.+)$/', $token, $matches)) {
+ // word-exclude
+ $parsed .= 'NOT('.ft_termParser($matches[1], $stopwords).')';
+ } else {
+ // word-include
+ $parsed .= ft_termParser($token, $stopwords);
+ }
+ }
+ }
+ $parsed_query .= $parsed;
+ }
+
+ // cleanup (very sensitive)
+ $parsed_query .= str_repeat(')', $parens_level);
+ do {
+ $parsed_query_old = $parsed_query;
+ $parsed_query = preg_replace('/(NOT)?\(\)/u', '', $parsed_query);
+ } while ($parsed_query !== $parsed_query_old);
+ $parsed_query = preg_replace('/(NOT|OR)+\)/u', ')' , $parsed_query);
+ $parsed_query = preg_replace('/(OR)+/u' , 'OR' , $parsed_query);
+ $parsed_query = preg_replace('/\(OR/u' , '(' , $parsed_query);
+ $parsed_query = preg_replace('/^OR|OR$/u' , '' , $parsed_query);
+ $parsed_query = preg_replace('/\)(NOT)?\(/u' , ')AND$1(', $parsed_query);
+
+ // adjustment: make highlightings right
+ $parens_level = 0;
+ $notgrp_levels = array();
+ $parsed_query_new = '';
+ $tokens = preg_split('/(NOT\(|[()])/u', $parsed_query, -1, PREG_SPLIT_DELIM_CAPTURE | PREG_SPLIT_NO_EMPTY);
+ foreach ($tokens as $token) {
+ if ($token === 'NOT(') {
+ $notgrp_levels[] = ++$parens_level;
+ } elseif ($token === '(') {
+ ++$parens_level;
+ } elseif ($token === ')') {
+ if ($parens_level-- === end($notgrp_levels)) array_pop($notgrp_levels);
+ } elseif (count($notgrp_levels) % 2 === 1) {
+ // turn highlight-flag off if terms are logically in "NOT" group
+ $token = preg_replace('/([WPN])\+\:/u', '$1-:', $token);
+ }
+ $parsed_query_new .= $token;
+ }
+ $parsed_query = $parsed_query_new;
+
+ /**
+ * convert infix notation string into postfix (Reverse Polish notation) array
+ * by Shunting-yard algorithm
+ *
+ * see: http://en.wikipedia.org/wiki/Reverse_Polish_notation
+ * see: http://en.wikipedia.org/wiki/Shunting-yard_algorithm
+ */
+ $parsed_ary = array();
+ $ope_stack = array();
+ $ope_precedence = array(')' => 1, 'OR' => 2, 'AND' => 3, 'NOT' => 4, '(' => 5);
+ $ope_regex = '/([()]|OR|AND|NOT)/u';
+
+ $tokens = preg_split($ope_regex, $parsed_query, -1, PREG_SPLIT_DELIM_CAPTURE | PREG_SPLIT_NO_EMPTY);
+ foreach ($tokens as $token) {
+ if (preg_match($ope_regex, $token)) {
+ // operator
+ $last_ope = end($ope_stack);
+ while ($ope_precedence[$token] <= $ope_precedence[$last_ope] && $last_ope != '(') {
+ $parsed_ary[] = array_pop($ope_stack);
+ $last_ope = end($ope_stack);
+ }
+ if ($token == ')') {
+ array_pop($ope_stack); // this array_pop always deletes '('
+ } else {
+ $ope_stack[] = $token;
+ }
+ } else {
+ // operand
+ $token_decoded = str_replace(array('OP', 'CP'), array('(', ')'), $token);
+ $parsed_ary[] = $token_decoded;
+ }
+ }
+ $parsed_ary = array_values(array_merge($parsed_ary, array_reverse($ope_stack)));
+
+ // cleanup: each double "NOT" in RPN array actually does nothing
+ $parsed_ary_count = count($parsed_ary);
+ for ($i = 1; $i < $parsed_ary_count; ++$i) {
+ if ($parsed_ary[$i] === 'NOT' && $parsed_ary[$i - 1] === 'NOT') {
+ unset($parsed_ary[$i], $parsed_ary[$i - 1]);
+ }
+ }
+ $parsed_ary = array_values($parsed_ary);
+
+ // build return value
+ $q = array();
+ $q['query'] = $query;
+ $q['parsed_str'] = $parsed_query;
+ $q['parsed_ary'] = $parsed_ary;
+
+ foreach ($q['parsed_ary'] as $token) {
+ if ($token[2] !== ':') continue;
+ $body = substr($token, 3);
+
+ switch (substr($token, 0, 3)) {
+ case 'N+:':
+ $q['ns'][] = $body; // for backward compatibility
+ break;
+ case 'N-:':
+ $q['notns'][] = $body; // for backward compatibility
+ break;
+ case 'W_:':
+ $q['words'][] = $body;
+ break;
+ case 'W-:':
+ $q['words'][] = $body;
+ $q['not'][] = $body; // for backward compatibility
+ break;
+ case 'W+:':
+ $q['words'][] = $body;
+ $q['highlight'][] = str_replace('*', '', $body);
+ $q['and'][] = $body; // for backward compatibility
+ break;
+ case 'P-:':
+ $q['phrases'][] = $body;
+ break;
+ case 'P+:':
+ $q['phrases'][] = $body;
+ $q['highlight'][] = str_replace('*', '', $body);
+ break;
+ }
+ }
+ foreach (array('words', 'phrases', 'highlight', 'ns', 'notns', 'and', 'not') as $key) {
+ $q[$key] = empty($q[$key]) ? array() : array_values(array_unique($q[$key]));
+ }
+
+ return $q;
+}
+
+/**
+ * Transforms given search term into intermediate representation
+ *
+ * This function is used in ft_queryParser() and not for general purpose use.
+ *
+ * @author Kazutaka Miyasaka <kazmiya@gmail.com>
+ */
+function ft_termParser($term, &$stopwords, $consider_asian = true, $phrase_mode = false) {
+ $parsed = '';
+ if ($consider_asian) {
+ // successive asian characters need to be searched as a phrase
+ $words = preg_split('/('.IDX_ASIAN.'+)/u', $term, -1, PREG_SPLIT_DELIM_CAPTURE | PREG_SPLIT_NO_EMPTY);
+ foreach ($words as $word) {
+ if (preg_match('/'.IDX_ASIAN.'/u', $word)) $phrase_mode = true;
+ $parsed .= ft_termParser($word, $stopwords, false, $phrase_mode);
+ }
+ } else {
+ $term_noparen = str_replace(array('(', ')'), ' ', $term);
+ $words = idx_tokenizer($term_noparen, $stopwords, true);
+
+ // W_: no need to highlight
+ if (empty($words)) {
+ $parsed = '()'; // important: do not remove
+ } elseif ($words[0] === $term) {
+ $parsed = '(W+:'.$words[0].')';
+ } elseif ($phrase_mode) {
+ $term_encoded = str_replace(array('(', ')'), array('OP', 'CP'), $term);
+ $parsed = '((W_:'.implode(')(W_:', $words).')(P+:'.$term_encoded.'))';
+ } else {
+ $parsed = '((W+:'.implode(')(W+:', $words).'))';
+ }
+ }
+ return $parsed;
+}
+
+//Setup VIM: ex: et ts=4 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi.php
new file mode 100644
index 000000000..abe69a2bd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi.php
@@ -0,0 +1,4644 @@
+<?php
+/**
+ * GeSHi - Generic Syntax Highlighter
+ *
+ * The GeSHi class for Generic Syntax Highlighting. Please refer to the
+ * documentation at http://qbnz.com/highlighter/documentation.php for more
+ * information about how to use this class.
+ *
+ * For changes, release notes, TODOs etc, see the relevant files in the docs/
+ * directory.
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ * @package geshi
+ * @subpackage core
+ * @author Nigel McNie <nigel@geshi.org>, Benny Baumann <BenBE@omorphia.de>
+ * @copyright (C) 2004 - 2007 Nigel McNie, (C) 2007 - 2008 Benny Baumann
+ * @license http://gnu.org/copyleft/gpl.html GNU GPL
+ *
+ */
+
+//
+// GeSHi Constants
+// You should use these constant names in your programs instead of
+// their values - you never know when a value may change in a future
+// version
+//
+
+/** The version of this GeSHi file */
+define('GESHI_VERSION', '1.0.8.4');
+
+// Define the root directory for the GeSHi code tree
+if (!defined('GESHI_ROOT')) {
+ /** The root directory for GeSHi */
+ define('GESHI_ROOT', dirname(__FILE__) . DIRECTORY_SEPARATOR);
+}
+/** The language file directory for GeSHi
+ @access private */
+define('GESHI_LANG_ROOT', GESHI_ROOT . 'geshi' . DIRECTORY_SEPARATOR);
+
+// Define if GeSHi should be paranoid about security
+if (!defined('GESHI_SECURITY_PARANOID')) {
+ /** Tells GeSHi to be paranoid about security settings */
+ define('GESHI_SECURITY_PARANOID', false);
+}
+
+// Line numbers - use with enable_line_numbers()
+/** Use no line numbers when building the result */
+define('GESHI_NO_LINE_NUMBERS', 0);
+/** Use normal line numbers when building the result */
+define('GESHI_NORMAL_LINE_NUMBERS', 1);
+/** Use fancy line numbers when building the result */
+define('GESHI_FANCY_LINE_NUMBERS', 2);
+
+// Container HTML type
+/** Use nothing to surround the source */
+define('GESHI_HEADER_NONE', 0);
+/** Use a "div" to surround the source */
+define('GESHI_HEADER_DIV', 1);
+/** Use a "pre" to surround the source */
+define('GESHI_HEADER_PRE', 2);
+/** Use a pre to wrap lines when line numbers are enabled or to wrap the whole code. */
+define('GESHI_HEADER_PRE_VALID', 3);
+/**
+ * Use a "table" to surround the source:
+ *
+ * <table>
+ * <thead><tr><td colspan="2">$header</td></tr></thead>
+ * <tbody><tr><td><pre>$linenumbers</pre></td><td><pre>$code></pre></td></tr></tbody>
+ * <tfooter><tr><td colspan="2">$footer</td></tr></tfoot>
+ * </table>
+ *
+ * this is essentially only a workaround for Firefox, see sf#1651996 or take a look at
+ * https://bugzilla.mozilla.org/show_bug.cgi?id=365805
+ * @note when linenumbers are disabled this is essentially the same as GESHI_HEADER_PRE
+ */
+define('GESHI_HEADER_PRE_TABLE', 4);
+
+// Capatalisation constants
+/** Lowercase keywords found */
+define('GESHI_CAPS_NO_CHANGE', 0);
+/** Uppercase keywords found */
+define('GESHI_CAPS_UPPER', 1);
+/** Leave keywords found as the case that they are */
+define('GESHI_CAPS_LOWER', 2);
+
+// Link style constants
+/** Links in the source in the :link state */
+define('GESHI_LINK', 0);
+/** Links in the source in the :hover state */
+define('GESHI_HOVER', 1);
+/** Links in the source in the :active state */
+define('GESHI_ACTIVE', 2);
+/** Links in the source in the :visited state */
+define('GESHI_VISITED', 3);
+
+// Important string starter/finisher
+// Note that if you change these, they should be as-is: i.e., don't
+// write them as if they had been run through htmlentities()
+/** The starter for important parts of the source */
+define('GESHI_START_IMPORTANT', '<BEGIN GeSHi>');
+/** The ender for important parts of the source */
+define('GESHI_END_IMPORTANT', '<END GeSHi>');
+
+/**#@+
+ * @access private
+ */
+// When strict mode applies for a language
+/** Strict mode never applies (this is the most common) */
+define('GESHI_NEVER', 0);
+/** Strict mode *might* apply, and can be enabled or
+ disabled by {@link GeSHi->enable_strict_mode()} */
+define('GESHI_MAYBE', 1);
+/** Strict mode always applies */
+define('GESHI_ALWAYS', 2);
+
+// Advanced regexp handling constants, used in language files
+/** The key of the regex array defining what to search for */
+define('GESHI_SEARCH', 0);
+/** The key of the regex array defining what bracket group in a
+ matched search to use as a replacement */
+define('GESHI_REPLACE', 1);
+/** The key of the regex array defining any modifiers to the regular expression */
+define('GESHI_MODIFIERS', 2);
+/** The key of the regex array defining what bracket group in a
+ matched search to put before the replacement */
+define('GESHI_BEFORE', 3);
+/** The key of the regex array defining what bracket group in a
+ matched search to put after the replacement */
+define('GESHI_AFTER', 4);
+/** The key of the regex array defining a custom keyword to use
+ for this regexp's html tag class */
+define('GESHI_CLASS', 5);
+
+/** Used in language files to mark comments */
+define('GESHI_COMMENTS', 0);
+
+/** Used to work around missing PHP features **/
+define('GESHI_PHP_PRE_433', !(version_compare(PHP_VERSION, '4.3.3') === 1));
+
+/** make sure we can call stripos **/
+if (!function_exists('stripos')) {
+ // the offset param of preg_match is not supported below PHP 4.3.3
+ if (GESHI_PHP_PRE_433) {
+ /**
+ * @ignore
+ */
+ function stripos($haystack, $needle, $offset = null) {
+ if (!is_null($offset)) {
+ $haystack = substr($haystack, $offset);
+ }
+ if (preg_match('/'. preg_quote($needle, '/') . '/', $haystack, $match, PREG_OFFSET_CAPTURE)) {
+ return $match[0][1];
+ }
+ return false;
+ }
+ }
+ else {
+ /**
+ * @ignore
+ */
+ function stripos($haystack, $needle, $offset = null) {
+ if (preg_match('/'. preg_quote($needle, '/') . '/', $haystack, $match, PREG_OFFSET_CAPTURE, $offset)) {
+ return $match[0][1];
+ }
+ return false;
+ }
+ }
+}
+
+/** some old PHP / PCRE subpatterns only support up to xxx subpatterns in
+ regular expressions. Set this to false if your PCRE lib is up to date
+ @see GeSHi->optimize_regexp_list()
+ **/
+define('GESHI_MAX_PCRE_SUBPATTERNS', 500);
+/** it's also important not to generate too long regular expressions
+ be generous here... but keep in mind, that when reaching this limit we
+ still have to close open patterns. 12k should do just fine on a 16k limit.
+ @see GeSHi->optimize_regexp_list()
+ **/
+define('GESHI_MAX_PCRE_LENGTH', 12288);
+
+//Number format specification
+/** Basic number format for integers */
+define('GESHI_NUMBER_INT_BASIC', 1); //Default integers \d+
+/** Enhanced number format for integers like seen in C */
+define('GESHI_NUMBER_INT_CSTYLE', 2); //Default C-Style \d+[lL]?
+/** Number format to highlight binary numbers with a suffix "b" */
+define('GESHI_NUMBER_BIN_SUFFIX', 16); //[01]+[bB]
+/** Number format to highlight binary numbers with a prefix % */
+define('GESHI_NUMBER_BIN_PREFIX_PERCENT', 32); //%[01]+
+/** Number format to highlight binary numbers with a prefix 0b (C) */
+define('GESHI_NUMBER_BIN_PREFIX_0B', 64); //0b[01]+
+/** Number format to highlight octal numbers with a leading zero */
+define('GESHI_NUMBER_OCT_PREFIX', 256); //0[0-7]+
+/** Number format to highlight octal numbers with a suffix of o */
+define('GESHI_NUMBER_OCT_SUFFIX', 512); //[0-7]+[oO]
+/** Number format to highlight hex numbers with a prefix 0x */
+define('GESHI_NUMBER_HEX_PREFIX', 4096); //0x[0-9a-fA-F]+
+/** Number format to highlight hex numbers with a suffix of h */
+define('GESHI_NUMBER_HEX_SUFFIX', 8192); //[0-9][0-9a-fA-F]*h
+/** Number format to highlight floating-point numbers without support for scientific notation */
+define('GESHI_NUMBER_FLT_NONSCI', 65536); //\d+\.\d+
+/** Number format to highlight floating-point numbers without support for scientific notation */
+define('GESHI_NUMBER_FLT_NONSCI_F', 131072); //\d+(\.\d+)?f
+/** Number format to highlight floating-point numbers with support for scientific notation (E) and optional leading zero */
+define('GESHI_NUMBER_FLT_SCI_SHORT', 262144); //\.\d+e\d+
+/** Number format to highlight floating-point numbers with support for scientific notation (E) and required leading digit */
+define('GESHI_NUMBER_FLT_SCI_ZERO', 524288); //\d+(\.\d+)?e\d+
+//Custom formats are passed by RX array
+
+// Error detection - use these to analyse faults
+/** No sourcecode to highlight was specified
+ * @deprecated
+ */
+define('GESHI_ERROR_NO_INPUT', 1);
+/** The language specified does not exist */
+define('GESHI_ERROR_NO_SUCH_LANG', 2);
+/** GeSHi could not open a file for reading (generally a language file) */
+define('GESHI_ERROR_FILE_NOT_READABLE', 3);
+/** The header type passed to {@link GeSHi->set_header_type()} was invalid */
+define('GESHI_ERROR_INVALID_HEADER_TYPE', 4);
+/** The line number type passed to {@link GeSHi->enable_line_numbers()} was invalid */
+define('GESHI_ERROR_INVALID_LINE_NUMBER_TYPE', 5);
+/**#@-*/
+
+
+/**
+ * The GeSHi Class.
+ *
+ * Please refer to the documentation for GeSHi 1.0.X that is available
+ * at http://qbnz.com/highlighter/documentation.php for more information
+ * about how to use this class.
+ *
+ * @package geshi
+ * @author Nigel McNie <nigel@geshi.org>, Benny Baumann <BenBE@omorphia.de>
+ * @copyright (C) 2004 - 2007 Nigel McNie, (C) 2007 - 2008 Benny Baumann
+ */
+class GeSHi {
+ /**#@+
+ * @access private
+ */
+ /**
+ * The source code to highlight
+ * @var string
+ */
+ var $source = '';
+
+ /**
+ * The language to use when highlighting
+ * @var string
+ */
+ var $language = '';
+
+ /**
+ * The data for the language used
+ * @var array
+ */
+ var $language_data = array();
+
+ /**
+ * The path to the language files
+ * @var string
+ */
+ var $language_path = GESHI_LANG_ROOT;
+
+ /**
+ * The error message associated with an error
+ * @var string
+ * @todo check err reporting works
+ */
+ var $error = false;
+
+ /**
+ * Possible error messages
+ * @var array
+ */
+ var $error_messages = array(
+ GESHI_ERROR_NO_SUCH_LANG => 'GeSHi could not find the language {LANGUAGE} (using path {PATH})',
+ GESHI_ERROR_FILE_NOT_READABLE => 'The file specified for load_from_file was not readable',
+ GESHI_ERROR_INVALID_HEADER_TYPE => 'The header type specified is invalid',
+ GESHI_ERROR_INVALID_LINE_NUMBER_TYPE => 'The line number type specified is invalid'
+ );
+
+ /**
+ * Whether highlighting is strict or not
+ * @var boolean
+ */
+ var $strict_mode = false;
+
+ /**
+ * Whether to use CSS classes in output
+ * @var boolean
+ */
+ var $use_classes = false;
+
+ /**
+ * The type of header to use. Can be one of the following
+ * values:
+ *
+ * - GESHI_HEADER_PRE: Source is outputted in a "pre" HTML element.
+ * - GESHI_HEADER_DIV: Source is outputted in a "div" HTML element.
+ * - GESHI_HEADER_NONE: No header is outputted.
+ *
+ * @var int
+ */
+ var $header_type = GESHI_HEADER_PRE;
+
+ /**
+ * Array of permissions for which lexics should be highlighted
+ * @var array
+ */
+ var $lexic_permissions = array(
+ 'KEYWORDS' => array(),
+ 'COMMENTS' => array('MULTI' => true),
+ 'REGEXPS' => array(),
+ 'ESCAPE_CHAR' => true,
+ 'BRACKETS' => true,
+ 'SYMBOLS' => false,
+ 'STRINGS' => true,
+ 'NUMBERS' => true,
+ 'METHODS' => true,
+ 'SCRIPT' => true
+ );
+
+ /**
+ * The time it took to parse the code
+ * @var double
+ */
+ var $time = 0;
+
+ /**
+ * The content of the header block
+ * @var string
+ */
+ var $header_content = '';
+
+ /**
+ * The content of the footer block
+ * @var string
+ */
+ var $footer_content = '';
+
+ /**
+ * The style of the header block
+ * @var string
+ */
+ var $header_content_style = '';
+
+ /**
+ * The style of the footer block
+ * @var string
+ */
+ var $footer_content_style = '';
+
+ /**
+ * Tells if a block around the highlighted source should be forced
+ * if not using line numbering
+ * @var boolean
+ */
+ var $force_code_block = false;
+
+ /**
+ * The styles for hyperlinks in the code
+ * @var array
+ */
+ var $link_styles = array();
+
+ /**
+ * Whether important blocks should be recognised or not
+ * @var boolean
+ * @deprecated
+ * @todo REMOVE THIS FUNCTIONALITY!
+ */
+ var $enable_important_blocks = false;
+
+ /**
+ * Styles for important parts of the code
+ * @var string
+ * @deprecated
+ * @todo As above - rethink the whole idea of important blocks as it is buggy and
+ * will be hard to implement in 1.2
+ */
+ var $important_styles = 'font-weight: bold; color: red;'; // Styles for important parts of the code
+
+ /**
+ * Whether CSS IDs should be added to the code
+ * @var boolean
+ */
+ var $add_ids = false;
+
+ /**
+ * Lines that should be highlighted extra
+ * @var array
+ */
+ var $highlight_extra_lines = array();
+
+ /**
+ * Styles of lines that should be highlighted extra
+ * @var array
+ */
+ var $highlight_extra_lines_styles = array();
+
+ /**
+ * Styles of extra-highlighted lines
+ * @var string
+ */
+ var $highlight_extra_lines_style = 'background-color: #ffc;';
+
+ /**
+ * The line ending
+ * If null, nl2br() will be used on the result string.
+ * Otherwise, all instances of \n will be replaced with $line_ending
+ * @var string
+ */
+ var $line_ending = null;
+
+ /**
+ * Number at which line numbers should start at
+ * @var int
+ */
+ var $line_numbers_start = 1;
+
+ /**
+ * The overall style for this code block
+ * @var string
+ */
+ var $overall_style = 'font-family:monospace;';
+
+ /**
+ * The style for the actual code
+ * @var string
+ */
+ var $code_style = 'font: normal normal 1em/1.2em monospace; margin:0; padding:0; background:none; vertical-align:top;';
+
+ /**
+ * The overall class for this code block
+ * @var string
+ */
+ var $overall_class = '';
+
+ /**
+ * The overall ID for this code block
+ * @var string
+ */
+ var $overall_id = '';
+
+ /**
+ * Line number styles
+ * @var string
+ */
+ var $line_style1 = 'font-weight: normal; vertical-align:top;';
+
+ /**
+ * Line number styles for fancy lines
+ * @var string
+ */
+ var $line_style2 = 'font-weight: bold; vertical-align:top;';
+
+ /**
+ * Style for line numbers when GESHI_HEADER_PRE_TABLE is chosen
+ * @var string
+ */
+ var $table_linenumber_style = 'width:1px;text-align:right;margin:0;padding:0 2px;vertical-align:top;';
+
+ /**
+ * Flag for how line numbers are displayed
+ * @var boolean
+ */
+ var $line_numbers = GESHI_NO_LINE_NUMBERS;
+
+ /**
+ * Flag to decide if multi line spans are allowed. Set it to false to make sure
+ * each tag is closed before and reopened after each linefeed.
+ * @var boolean
+ */
+ var $allow_multiline_span = true;
+
+ /**
+ * The "nth" value for fancy line highlighting
+ * @var int
+ */
+ var $line_nth_row = 0;
+
+ /**
+ * The size of tab stops
+ * @var int
+ */
+ var $tab_width = 8;
+
+ /**
+ * Should we use language-defined tab stop widths?
+ * @var int
+ */
+ var $use_language_tab_width = false;
+
+ /**
+ * Default target for keyword links
+ * @var string
+ */
+ var $link_target = '';
+
+ /**
+ * The encoding to use for entity encoding
+ * NOTE: Used with Escape Char Sequences to fix UTF-8 handling (cf. SF#2037598)
+ * @var string
+ */
+ var $encoding = 'utf-8';
+
+ /**
+ * Should keywords be linked?
+ * @var boolean
+ */
+ var $keyword_links = true;
+
+ /**
+ * Currently loaded language file
+ * @var string
+ * @since 1.0.7.22
+ */
+ var $loaded_language = '';
+
+ /**
+ * Wether the caches needed for parsing are built or not
+ *
+ * @var bool
+ * @since 1.0.8
+ */
+ var $parse_cache_built = false;
+
+ /**
+ * Work around for Suhosin Patch with disabled /e modifier
+ *
+ * Note from suhosins author in config file:
+ * <blockquote>
+ * The /e modifier inside <code>preg_replace()</code> allows code execution.
+ * Often it is the cause for remote code execution exploits. It is wise to
+ * deactivate this feature and test where in the application it is used.
+ * The developer using the /e modifier should be made aware that he should
+ * use <code>preg_replace_callback()</code> instead
+ * </blockquote>
+ *
+ * @var array
+ * @since 1.0.8
+ */
+ var $_kw_replace_group = 0;
+ var $_rx_key = 0;
+
+ /**
+ * some "callback parameters" for handle_multiline_regexps
+ *
+ * @since 1.0.8
+ * @access private
+ * @var string
+ */
+ var $_hmr_before = '';
+ var $_hmr_replace = '';
+ var $_hmr_after = '';
+ var $_hmr_key = 0;
+
+ /**#@-*/
+
+ /**
+ * Creates a new GeSHi object, with source and language
+ *
+ * @param string The source code to highlight
+ * @param string The language to highlight the source with
+ * @param string The path to the language file directory. <b>This
+ * is deprecated!</b> I've backported the auto path
+ * detection from the 1.1.X dev branch, so now it
+ * should be automatically set correctly. If you have
+ * renamed the language directory however, you will
+ * still need to set the path using this parameter or
+ * {@link GeSHi->set_language_path()}
+ * @since 1.0.0
+ */
+ function GeSHi($source = '', $language = '', $path = '') {
+ if (!empty($source)) {
+ $this->set_source($source);
+ }
+ if (!empty($language)) {
+ $this->set_language($language);
+ }
+ $this->set_language_path($path);
+ }
+
+ /**
+ * Returns an error message associated with the last GeSHi operation,
+ * or false if no error has occured
+ *
+ * @return string|false An error message if there has been an error, else false
+ * @since 1.0.0
+ */
+ function error() {
+ if ($this->error) {
+ //Put some template variables for debugging here ...
+ $debug_tpl_vars = array(
+ '{LANGUAGE}' => $this->language,
+ '{PATH}' => $this->language_path
+ );
+ $msg = str_replace(
+ array_keys($debug_tpl_vars),
+ array_values($debug_tpl_vars),
+ $this->error_messages[$this->error]);
+
+ return "<br /><strong>GeSHi Error:</strong> $msg (code {$this->error})<br />";
+ }
+ return false;
+ }
+
+ /**
+ * Gets a human-readable language name (thanks to Simon Patterson
+ * for the idea :))
+ *
+ * @return string The name for the current language
+ * @since 1.0.2
+ */
+ function get_language_name() {
+ if (GESHI_ERROR_NO_SUCH_LANG == $this->error) {
+ return $this->language_data['LANG_NAME'] . ' (Unknown Language)';
+ }
+ return $this->language_data['LANG_NAME'];
+ }
+
+ /**
+ * Sets the source code for this object
+ *
+ * @param string The source code to highlight
+ * @since 1.0.0
+ */
+ function set_source($source) {
+ $this->source = $source;
+ $this->highlight_extra_lines = array();
+ }
+
+ /**
+ * Sets the language for this object
+ *
+ * @note since 1.0.8 this function won't reset language-settings by default anymore!
+ * if you need this set $force_reset = true
+ *
+ * @param string The name of the language to use
+ * @since 1.0.0
+ */
+ function set_language($language, $force_reset = false) {
+ if ($force_reset) {
+ $this->loaded_language = false;
+ }
+
+ //Clean up the language name to prevent malicious code injection
+ $language = preg_replace('#[^a-zA-Z0-9\-_]#', '', $language);
+
+ $language = strtolower($language);
+
+ //Retreive the full filename
+ $file_name = $this->language_path . $language . '.php';
+ if ($file_name == $this->loaded_language) {
+ // this language is already loaded!
+ return;
+ }
+
+ $this->language = $language;
+
+ $this->error = false;
+ $this->strict_mode = GESHI_NEVER;
+
+ //Check if we can read the desired file
+ if (!is_readable($file_name)) {
+ $this->error = GESHI_ERROR_NO_SUCH_LANG;
+ return;
+ }
+
+ // Load the language for parsing
+ $this->load_language($file_name);
+ }
+
+ /**
+ * Sets the path to the directory containing the language files. Note
+ * that this path is relative to the directory of the script that included
+ * geshi.php, NOT geshi.php itself.
+ *
+ * @param string The path to the language directory
+ * @since 1.0.0
+ * @deprecated The path to the language files should now be automatically
+ * detected, so this method should no longer be needed. The
+ * 1.1.X branch handles manual setting of the path differently
+ * so this method will disappear in 1.2.0.
+ */
+ function set_language_path($path) {
+ if(strpos($path,':')) {
+ //Security Fix to prevent external directories using fopen wrappers.
+ if(DIRECTORY_SEPARATOR == "\\") {
+ if(!preg_match('#^[a-zA-Z]:#', $path) || false !== strpos($path, ':', 2)) {
+ return;
+ }
+ } else {
+ return;
+ }
+ }
+ if(preg_match('#[^/a-zA-Z0-9_\.\-\\\s:]#', $path)) {
+ //Security Fix to prevent external directories using fopen wrappers.
+ return;
+ }
+ if(GESHI_SECURITY_PARANOID && false !== strpos($path, '/.')) {
+ //Security Fix to prevent external directories using fopen wrappers.
+ return;
+ }
+ if(GESHI_SECURITY_PARANOID && false !== strpos($path, '..')) {
+ //Security Fix to prevent external directories using fopen wrappers.
+ return;
+ }
+ if ($path) {
+ $this->language_path = ('/' == $path[strlen($path) - 1]) ? $path : $path . '/';
+ $this->set_language($this->language); // otherwise set_language_path has no effect
+ }
+ }
+
+ /**
+ * Sets the type of header to be used.
+ *
+ * If GESHI_HEADER_DIV is used, the code is surrounded in a "div".This
+ * means more source code but more control over tab width and line-wrapping.
+ * GESHI_HEADER_PRE means that a "pre" is used - less source, but less
+ * control. Default is GESHI_HEADER_PRE.
+ *
+ * From 1.0.7.2, you can use GESHI_HEADER_NONE to specify that no header code
+ * should be outputted.
+ *
+ * @param int The type of header to be used
+ * @since 1.0.0
+ */
+ function set_header_type($type) {
+ //Check if we got a valid header type
+ if (!in_array($type, array(GESHI_HEADER_NONE, GESHI_HEADER_DIV,
+ GESHI_HEADER_PRE, GESHI_HEADER_PRE_VALID, GESHI_HEADER_PRE_TABLE))) {
+ $this->error = GESHI_ERROR_INVALID_HEADER_TYPE;
+ return;
+ }
+
+ //Set that new header type
+ $this->header_type = $type;
+ }
+
+ /**
+ * Sets the styles for the code that will be outputted
+ * when this object is parsed. The style should be a
+ * string of valid stylesheet declarations
+ *
+ * @param string The overall style for the outputted code block
+ * @param boolean Whether to merge the styles with the current styles or not
+ * @since 1.0.0
+ */
+ function set_overall_style($style, $preserve_defaults = false) {
+ if (!$preserve_defaults) {
+ $this->overall_style = $style;
+ } else {
+ $this->overall_style .= $style;
+ }
+ }
+
+ /**
+ * Sets the overall classname for this block of code. This
+ * class can then be used in a stylesheet to style this object's
+ * output
+ *
+ * @param string The class name to use for this block of code
+ * @since 1.0.0
+ */
+ function set_overall_class($class) {
+ $this->overall_class = $class;
+ }
+
+ /**
+ * Sets the overall id for this block of code. This id can then
+ * be used in a stylesheet to style this object's output
+ *
+ * @param string The ID to use for this block of code
+ * @since 1.0.0
+ */
+ function set_overall_id($id) {
+ $this->overall_id = $id;
+ }
+
+ /**
+ * Sets whether CSS classes should be used to highlight the source. Default
+ * is off, calling this method with no arguments will turn it on
+ *
+ * @param boolean Whether to turn classes on or not
+ * @since 1.0.0
+ */
+ function enable_classes($flag = true) {
+ $this->use_classes = ($flag) ? true : false;
+ }
+
+ /**
+ * Sets the style for the actual code. This should be a string
+ * containing valid stylesheet declarations. If $preserve_defaults is
+ * true, then styles are merged with the default styles, with the
+ * user defined styles having priority
+ *
+ * Note: Use this method to override any style changes you made to
+ * the line numbers if you are using line numbers, else the line of
+ * code will have the same style as the line number! Consult the
+ * GeSHi documentation for more information about this.
+ *
+ * @param string The style to use for actual code
+ * @param boolean Whether to merge the current styles with the new styles
+ * @since 1.0.2
+ */
+ function set_code_style($style, $preserve_defaults = false) {
+ if (!$preserve_defaults) {
+ $this->code_style = $style;
+ } else {
+ $this->code_style .= $style;
+ }
+ }
+
+ /**
+ * Sets the styles for the line numbers.
+ *
+ * @param string The style for the line numbers that are "normal"
+ * @param string|boolean If a string, this is the style of the line
+ * numbers that are "fancy", otherwise if boolean then this
+ * defines whether the normal styles should be merged with the
+ * new normal styles or not
+ * @param boolean If set, is the flag for whether to merge the "fancy"
+ * styles with the current styles or not
+ * @since 1.0.2
+ */
+ function set_line_style($style1, $style2 = '', $preserve_defaults = false) {
+ //Check if we got 2 or three parameters
+ if (is_bool($style2)) {
+ $preserve_defaults = $style2;
+ $style2 = '';
+ }
+
+ //Actually set the new styles
+ if (!$preserve_defaults) {
+ $this->line_style1 = $style1;
+ $this->line_style2 = $style2;
+ } else {
+ $this->line_style1 .= $style1;
+ $this->line_style2 .= $style2;
+ }
+ }
+
+ /**
+ * Sets whether line numbers should be displayed.
+ *
+ * Valid values for the first parameter are:
+ *
+ * - GESHI_NO_LINE_NUMBERS: Line numbers will not be displayed
+ * - GESHI_NORMAL_LINE_NUMBERS: Line numbers will be displayed
+ * - GESHI_FANCY_LINE_NUMBERS: Fancy line numbers will be displayed
+ *
+ * For fancy line numbers, the second parameter is used to signal which lines
+ * are to be fancy. For example, if the value of this parameter is 5 then every
+ * 5th line will be fancy.
+ *
+ * @param int How line numbers should be displayed
+ * @param int Defines which lines are fancy
+ * @since 1.0.0
+ */
+ function enable_line_numbers($flag, $nth_row = 5) {
+ if (GESHI_NO_LINE_NUMBERS != $flag && GESHI_NORMAL_LINE_NUMBERS != $flag
+ && GESHI_FANCY_LINE_NUMBERS != $flag) {
+ $this->error = GESHI_ERROR_INVALID_LINE_NUMBER_TYPE;
+ }
+ $this->line_numbers = $flag;
+ $this->line_nth_row = $nth_row;
+ }
+
+ /**
+ * Sets wether spans and other HTML markup generated by GeSHi can
+ * span over multiple lines or not. Defaults to true to reduce overhead.
+ * Set it to false if you want to manipulate the output or manually display
+ * the code in an ordered list.
+ *
+ * @param boolean Wether multiline spans are allowed or not
+ * @since 1.0.7.22
+ */
+ function enable_multiline_span($flag) {
+ $this->allow_multiline_span = (bool) $flag;
+ }
+
+ /**
+ * Get current setting for multiline spans, see GeSHi->enable_multiline_span().
+ *
+ * @see enable_multiline_span
+ * @return bool
+ */
+ function get_multiline_span() {
+ return $this->allow_multiline_span;
+ }
+
+ /**
+ * Sets the style for a keyword group. If $preserve_defaults is
+ * true, then styles are merged with the default styles, with the
+ * user defined styles having priority
+ *
+ * @param int The key of the keyword group to change the styles of
+ * @param string The style to make the keywords
+ * @param boolean Whether to merge the new styles with the old or just
+ * to overwrite them
+ * @since 1.0.0
+ */
+ function set_keyword_group_style($key, $style, $preserve_defaults = false) {
+ //Set the style for this keyword group
+ if (!$preserve_defaults) {
+ $this->language_data['STYLES']['KEYWORDS'][$key] = $style;
+ } else {
+ $this->language_data['STYLES']['KEYWORDS'][$key] .= $style;
+ }
+
+ //Update the lexic permissions
+ if (!isset($this->lexic_permissions['KEYWORDS'][$key])) {
+ $this->lexic_permissions['KEYWORDS'][$key] = true;
+ }
+ }
+
+ /**
+ * Turns highlighting on/off for a keyword group
+ *
+ * @param int The key of the keyword group to turn on or off
+ * @param boolean Whether to turn highlighting for that group on or off
+ * @since 1.0.0
+ */
+ function set_keyword_group_highlighting($key, $flag = true) {
+ $this->lexic_permissions['KEYWORDS'][$key] = ($flag) ? true : false;
+ }
+
+ /**
+ * Sets the styles for comment groups. If $preserve_defaults is
+ * true, then styles are merged with the default styles, with the
+ * user defined styles having priority
+ *
+ * @param int The key of the comment group to change the styles of
+ * @param string The style to make the comments
+ * @param boolean Whether to merge the new styles with the old or just
+ * to overwrite them
+ * @since 1.0.0
+ */
+ function set_comments_style($key, $style, $preserve_defaults = false) {
+ if (!$preserve_defaults) {
+ $this->language_data['STYLES']['COMMENTS'][$key] = $style;
+ } else {
+ $this->language_data['STYLES']['COMMENTS'][$key] .= $style;
+ }
+ }
+
+ /**
+ * Turns highlighting on/off for comment groups
+ *
+ * @param int The key of the comment group to turn on or off
+ * @param boolean Whether to turn highlighting for that group on or off
+ * @since 1.0.0
+ */
+ function set_comments_highlighting($key, $flag = true) {
+ $this->lexic_permissions['COMMENTS'][$key] = ($flag) ? true : false;
+ }
+
+ /**
+ * Sets the styles for escaped characters. If $preserve_defaults is
+ * true, then styles are merged with the default styles, with the
+ * user defined styles having priority
+ *
+ * @param string The style to make the escape characters
+ * @param boolean Whether to merge the new styles with the old or just
+ * to overwrite them
+ * @since 1.0.0
+ */
+ function set_escape_characters_style($style, $preserve_defaults = false, $group = 0) {
+ if (!$preserve_defaults) {
+ $this->language_data['STYLES']['ESCAPE_CHAR'][$group] = $style;
+ } else {
+ $this->language_data['STYLES']['ESCAPE_CHAR'][$group] .= $style;
+ }
+ }
+
+ /**
+ * Turns highlighting on/off for escaped characters
+ *
+ * @param boolean Whether to turn highlighting for escape characters on or off
+ * @since 1.0.0
+ */
+ function set_escape_characters_highlighting($flag = true) {
+ $this->lexic_permissions['ESCAPE_CHAR'] = ($flag) ? true : false;
+ }
+
+ /**
+ * Sets the styles for brackets. If $preserve_defaults is
+ * true, then styles are merged with the default styles, with the
+ * user defined styles having priority
+ *
+ * This method is DEPRECATED: use set_symbols_style instead.
+ * This method will be removed in 1.2.X
+ *
+ * @param string The style to make the brackets
+ * @param boolean Whether to merge the new styles with the old or just
+ * to overwrite them
+ * @since 1.0.0
+ * @deprecated In favour of set_symbols_style
+ */
+ function set_brackets_style($style, $preserve_defaults = false) {
+ if (!$preserve_defaults) {
+ $this->language_data['STYLES']['BRACKETS'][0] = $style;
+ } else {
+ $this->language_data['STYLES']['BRACKETS'][0] .= $style;
+ }
+ }
+
+ /**
+ * Turns highlighting on/off for brackets
+ *
+ * This method is DEPRECATED: use set_symbols_highlighting instead.
+ * This method will be remove in 1.2.X
+ *
+ * @param boolean Whether to turn highlighting for brackets on or off
+ * @since 1.0.0
+ * @deprecated In favour of set_symbols_highlighting
+ */
+ function set_brackets_highlighting($flag) {
+ $this->lexic_permissions['BRACKETS'] = ($flag) ? true : false;
+ }
+
+ /**
+ * Sets the styles for symbols. If $preserve_defaults is
+ * true, then styles are merged with the default styles, with the
+ * user defined styles having priority
+ *
+ * @param string The style to make the symbols
+ * @param boolean Whether to merge the new styles with the old or just
+ * to overwrite them
+ * @param int Tells the group of symbols for which style should be set.
+ * @since 1.0.1
+ */
+ function set_symbols_style($style, $preserve_defaults = false, $group = 0) {
+ // Update the style of symbols
+ if (!$preserve_defaults) {
+ $this->language_data['STYLES']['SYMBOLS'][$group] = $style;
+ } else {
+ $this->language_data['STYLES']['SYMBOLS'][$group] .= $style;
+ }
+
+ // For backward compatibility
+ if (0 == $group) {
+ $this->set_brackets_style ($style, $preserve_defaults);
+ }
+ }
+
+ /**
+ * Turns highlighting on/off for symbols
+ *
+ * @param boolean Whether to turn highlighting for symbols on or off
+ * @since 1.0.0
+ */
+ function set_symbols_highlighting($flag) {
+ // Update lexic permissions for this symbol group
+ $this->lexic_permissions['SYMBOLS'] = ($flag) ? true : false;
+
+ // For backward compatibility
+ $this->set_brackets_highlighting ($flag);
+ }
+
+ /**
+ * Sets the styles for strings. If $preserve_defaults is
+ * true, then styles are merged with the default styles, with the
+ * user defined styles having priority
+ *
+ * @param string The style to make the escape characters
+ * @param boolean Whether to merge the new styles with the old or just
+ * to overwrite them
+ * @since 1.0.0
+ */
+ function set_strings_style($style, $preserve_defaults = false) {
+ if (!$preserve_defaults) {
+ $this->language_data['STYLES']['STRINGS'][0] = $style;
+ } else {
+ $this->language_data['STYLES']['STRINGS'][0] .= $style;
+ }
+ }
+
+ /**
+ * Turns highlighting on/off for strings
+ *
+ * @param boolean Whether to turn highlighting for strings on or off
+ * @since 1.0.0
+ */
+ function set_strings_highlighting($flag) {
+ $this->lexic_permissions['STRINGS'] = ($flag) ? true : false;
+ }
+
+ /**
+ * Sets the styles for strict code blocks. If $preserve_defaults is
+ * true, then styles are merged with the default styles, with the
+ * user defined styles having priority
+ *
+ * @param string The style to make the script blocks
+ * @param boolean Whether to merge the new styles with the old or just
+ * to overwrite them
+ * @param int Tells the group of script blocks for which style should be set.
+ * @since 1.0.8.4
+ */
+ function set_script_style($style, $preserve_defaults = false, $group = 0) {
+ // Update the style of symbols
+ if (!$preserve_defaults) {
+ $this->language_data['STYLES']['SCRIPT'][$group] = $style;
+ } else {
+ $this->language_data['STYLES']['SCRIPT'][$group] .= $style;
+ }
+ }
+
+ /**
+ * Sets the styles for numbers. If $preserve_defaults is
+ * true, then styles are merged with the default styles, with the
+ * user defined styles having priority
+ *
+ * @param string The style to make the numbers
+ * @param boolean Whether to merge the new styles with the old or just
+ * to overwrite them
+ * @since 1.0.0
+ */
+ function set_numbers_style($style, $preserve_defaults = false) {
+ if (!$preserve_defaults) {
+ $this->language_data['STYLES']['NUMBERS'][0] = $style;
+ } else {
+ $this->language_data['STYLES']['NUMBERS'][0] .= $style;
+ }
+ }
+
+ /**
+ * Turns highlighting on/off for numbers
+ *
+ * @param boolean Whether to turn highlighting for numbers on or off
+ * @since 1.0.0
+ */
+ function set_numbers_highlighting($flag) {
+ $this->lexic_permissions['NUMBERS'] = ($flag) ? true : false;
+ }
+
+ /**
+ * Sets the styles for methods. $key is a number that references the
+ * appropriate "object splitter" - see the language file for the language
+ * you are highlighting to get this number. If $preserve_defaults is
+ * true, then styles are merged with the default styles, with the
+ * user defined styles having priority
+ *
+ * @param int The key of the object splitter to change the styles of
+ * @param string The style to make the methods
+ * @param boolean Whether to merge the new styles with the old or just
+ * to overwrite them
+ * @since 1.0.0
+ */
+ function set_methods_style($key, $style, $preserve_defaults = false) {
+ if (!$preserve_defaults) {
+ $this->language_data['STYLES']['METHODS'][$key] = $style;
+ } else {
+ $this->language_data['STYLES']['METHODS'][$key] .= $style;
+ }
+ }
+
+ /**
+ * Turns highlighting on/off for methods
+ *
+ * @param boolean Whether to turn highlighting for methods on or off
+ * @since 1.0.0
+ */
+ function set_methods_highlighting($flag) {
+ $this->lexic_permissions['METHODS'] = ($flag) ? true : false;
+ }
+
+ /**
+ * Sets the styles for regexps. If $preserve_defaults is
+ * true, then styles are merged with the default styles, with the
+ * user defined styles having priority
+ *
+ * @param string The style to make the regular expression matches
+ * @param boolean Whether to merge the new styles with the old or just
+ * to overwrite them
+ * @since 1.0.0
+ */
+ function set_regexps_style($key, $style, $preserve_defaults = false) {
+ if (!$preserve_defaults) {
+ $this->language_data['STYLES']['REGEXPS'][$key] = $style;
+ } else {
+ $this->language_data['STYLES']['REGEXPS'][$key] .= $style;
+ }
+ }
+
+ /**
+ * Turns highlighting on/off for regexps
+ *
+ * @param int The key of the regular expression group to turn on or off
+ * @param boolean Whether to turn highlighting for the regular expression group on or off
+ * @since 1.0.0
+ */
+ function set_regexps_highlighting($key, $flag) {
+ $this->lexic_permissions['REGEXPS'][$key] = ($flag) ? true : false;
+ }
+
+ /**
+ * Sets whether a set of keywords are checked for in a case sensitive manner
+ *
+ * @param int The key of the keyword group to change the case sensitivity of
+ * @param boolean Whether to check in a case sensitive manner or not
+ * @since 1.0.0
+ */
+ function set_case_sensitivity($key, $case) {
+ $this->language_data['CASE_SENSITIVE'][$key] = ($case) ? true : false;
+ }
+
+ /**
+ * Sets the case that keywords should use when found. Use the constants:
+ *
+ * - GESHI_CAPS_NO_CHANGE: leave keywords as-is
+ * - GESHI_CAPS_UPPER: convert all keywords to uppercase where found
+ * - GESHI_CAPS_LOWER: convert all keywords to lowercase where found
+ *
+ * @param int A constant specifying what to do with matched keywords
+ * @since 1.0.1
+ */
+ function set_case_keywords($case) {
+ if (in_array($case, array(
+ GESHI_CAPS_NO_CHANGE, GESHI_CAPS_UPPER, GESHI_CAPS_LOWER))) {
+ $this->language_data['CASE_KEYWORDS'] = $case;
+ }
+ }
+
+ /**
+ * Sets how many spaces a tab is substituted for
+ *
+ * Widths below zero are ignored
+ *
+ * @param int The tab width
+ * @since 1.0.0
+ */
+ function set_tab_width($width) {
+ $this->tab_width = intval($width);
+
+ //Check if it fit's the constraints:
+ if ($this->tab_width < 1) {
+ //Return it to the default
+ $this->tab_width = 8;
+ }
+ }
+
+ /**
+ * Sets whether or not to use tab-stop width specifed by language
+ *
+ * @param boolean Whether to use language-specific tab-stop widths
+ * @since 1.0.7.20
+ */
+ function set_use_language_tab_width($use) {
+ $this->use_language_tab_width = (bool) $use;
+ }
+
+ /**
+ * Returns the tab width to use, based on the current language and user
+ * preference
+ *
+ * @return int Tab width
+ * @since 1.0.7.20
+ */
+ function get_real_tab_width() {
+ if (!$this->use_language_tab_width ||
+ !isset($this->language_data['TAB_WIDTH'])) {
+ return $this->tab_width;
+ } else {
+ return $this->language_data['TAB_WIDTH'];
+ }
+ }
+
+ /**
+ * Enables/disables strict highlighting. Default is off, calling this
+ * method without parameters will turn it on. See documentation
+ * for more details on strict mode and where to use it.
+ *
+ * @param boolean Whether to enable strict mode or not
+ * @since 1.0.0
+ */
+ function enable_strict_mode($mode = true) {
+ if (GESHI_MAYBE == $this->language_data['STRICT_MODE_APPLIES']) {
+ $this->strict_mode = ($mode) ? GESHI_ALWAYS : GESHI_NEVER;
+ }
+ }
+
+ /**
+ * Disables all highlighting
+ *
+ * @since 1.0.0
+ * @todo Rewrite with array traversal
+ * @deprecated In favour of enable_highlighting
+ */
+ function disable_highlighting() {
+ $this->enable_highlighting(false);
+ }
+
+ /**
+ * Enables all highlighting
+ *
+ * The optional flag parameter was added in version 1.0.7.21 and can be used
+ * to enable (true) or disable (false) all highlighting.
+ *
+ * @since 1.0.0
+ * @param boolean A flag specifying whether to enable or disable all highlighting
+ * @todo Rewrite with array traversal
+ */
+ function enable_highlighting($flag = true) {
+ $flag = $flag ? true : false;
+ foreach ($this->lexic_permissions as $key => $value) {
+ if (is_array($value)) {
+ foreach ($value as $k => $v) {
+ $this->lexic_permissions[$key][$k] = $flag;
+ }
+ } else {
+ $this->lexic_permissions[$key] = $flag;
+ }
+ }
+
+ // Context blocks
+ $this->enable_important_blocks = $flag;
+ }
+
+ /**
+ * Given a file extension, this method returns either a valid geshi language
+ * name, or the empty string if it couldn't be found
+ *
+ * @param string The extension to get a language name for
+ * @param array A lookup array to use instead of the default one
+ * @since 1.0.5
+ * @todo Re-think about how this method works (maybe make it private and/or make it
+ * a extension->lang lookup?)
+ * @todo static?
+ */
+ function get_language_name_from_extension( $extension, $lookup = array() ) {
+ if ( !is_array($lookup) || empty($lookup)) {
+ $lookup = array(
+ 'abap' => array('abap'),
+ 'actionscript' => array('as'),
+ 'ada' => array('a', 'ada', 'adb', 'ads'),
+ 'apache' => array('conf'),
+ 'asm' => array('ash', 'asm', 'inc'),
+ 'asp' => array('asp'),
+ 'bash' => array('sh'),
+ 'bf' => array('bf'),
+ 'c' => array('c', 'h'),
+ 'c_mac' => array('c', 'h'),
+ 'caddcl' => array(),
+ 'cadlisp' => array(),
+ 'cdfg' => array('cdfg'),
+ 'cobol' => array('cbl'),
+ 'cpp' => array('cpp', 'hpp', 'C', 'H', 'CPP', 'HPP'),
+ 'csharp' => array('cs'),
+ 'css' => array('css'),
+ 'd' => array('d'),
+ 'delphi' => array('dpk', 'dpr', 'pp', 'pas'),
+ 'diff' => array('diff', 'patch'),
+ 'dos' => array('bat', 'cmd'),
+ 'gettext' => array('po', 'pot'),
+ 'gml' => array('gml'),
+ 'gnuplot' => array('plt'),
+ 'groovy' => array('groovy'),
+ 'haskell' => array('hs'),
+ 'html4strict' => array('html', 'htm'),
+ 'ini' => array('ini', 'desktop'),
+ 'java' => array('java'),
+ 'javascript' => array('js'),
+ 'klonec' => array('kl1'),
+ 'klonecpp' => array('klx'),
+ 'latex' => array('tex'),
+ 'lisp' => array('lisp'),
+ 'lua' => array('lua'),
+ 'matlab' => array('m'),
+ 'mpasm' => array(),
+ 'mysql' => array('sql'),
+ 'nsis' => array(),
+ 'objc' => array(),
+ 'oobas' => array(),
+ 'oracle8' => array(),
+ 'oracle10' => array(),
+ 'pascal' => array('pas'),
+ 'perl' => array('pl', 'pm'),
+ 'php' => array('php', 'php5', 'phtml', 'phps'),
+ 'povray' => array('pov'),
+ 'providex' => array('pvc', 'pvx'),
+ 'prolog' => array('pl'),
+ 'python' => array('py'),
+ 'qbasic' => array('bi'),
+ 'reg' => array('reg'),
+ 'ruby' => array('rb'),
+ 'sas' => array('sas'),
+ 'scala' => array('scala'),
+ 'scheme' => array('scm'),
+ 'scilab' => array('sci'),
+ 'smalltalk' => array('st'),
+ 'smarty' => array(),
+ 'tcl' => array('tcl'),
+ 'vb' => array('bas'),
+ 'vbnet' => array(),
+ 'visualfoxpro' => array(),
+ 'whitespace' => array('ws'),
+ 'xml' => array('xml', 'svg', 'xrc'),
+ 'z80' => array('z80', 'asm', 'inc')
+ );
+ }
+
+ foreach ($lookup as $lang => $extensions) {
+ if (in_array($extension, $extensions)) {
+ return $lang;
+ }
+ }
+ return '';
+ }
+
+ /**
+ * Given a file name, this method loads its contents in, and attempts
+ * to set the language automatically. An optional lookup table can be
+ * passed for looking up the language name. If not specified a default
+ * table is used
+ *
+ * The language table is in the form
+ * <pre>array(
+ * 'lang_name' => array('extension', 'extension', ...),
+ * 'lang_name' ...
+ * );</pre>
+ *
+ * @param string The filename to load the source from
+ * @param array A lookup array to use instead of the default one
+ * @todo Complete rethink of this and above method
+ * @since 1.0.5
+ */
+ function load_from_file($file_name, $lookup = array()) {
+ if (is_readable($file_name)) {
+ $this->set_source(file_get_contents($file_name));
+ $this->set_language($this->get_language_name_from_extension(substr(strrchr($file_name, '.'), 1), $lookup));
+ } else {
+ $this->error = GESHI_ERROR_FILE_NOT_READABLE;
+ }
+ }
+
+ /**
+ * Adds a keyword to a keyword group for highlighting
+ *
+ * @param int The key of the keyword group to add the keyword to
+ * @param string The word to add to the keyword group
+ * @since 1.0.0
+ */
+ function add_keyword($key, $word) {
+ if (!in_array($word, $this->language_data['KEYWORDS'][$key])) {
+ $this->language_data['KEYWORDS'][$key][] = $word;
+
+ //NEW in 1.0.8 don't recompile the whole optimized regexp, simply append it
+ if ($this->parse_cache_built) {
+ $subkey = count($this->language_data['CACHED_KEYWORD_LISTS'][$key]) - 1;
+ $this->language_data['CACHED_KEYWORD_LISTS'][$key][$subkey] .= '|' . preg_quote($word, '/');
+ }
+ }
+ }
+
+ /**
+ * Removes a keyword from a keyword group
+ *
+ * @param int The key of the keyword group to remove the keyword from
+ * @param string The word to remove from the keyword group
+ * @param bool Wether to automatically recompile the optimized regexp list or not.
+ * Note: if you set this to false and @see GeSHi->parse_code() was already called once,
+ * for the current language, you have to manually call @see GeSHi->optimize_keyword_group()
+ * or the removed keyword will stay in cache and still be highlighted! On the other hand
+ * it might be too expensive to recompile the regexp list for every removal if you want to
+ * remove a lot of keywords.
+ * @since 1.0.0
+ */
+ function remove_keyword($key, $word, $recompile = true) {
+ $key_to_remove = array_search($word, $this->language_data['KEYWORDS'][$key]);
+ if ($key_to_remove !== false) {
+ unset($this->language_data['KEYWORDS'][$key][$key_to_remove]);
+
+ //NEW in 1.0.8, optionally recompile keyword group
+ if ($recompile && $this->parse_cache_built) {
+ $this->optimize_keyword_group($key);
+ }
+ }
+ }
+
+ /**
+ * Creates a new keyword group
+ *
+ * @param int The key of the keyword group to create
+ * @param string The styles for the keyword group
+ * @param boolean Whether the keyword group is case sensitive ornot
+ * @param array The words to use for the keyword group
+ * @since 1.0.0
+ */
+ function add_keyword_group($key, $styles, $case_sensitive = true, $words = array()) {
+ $words = (array) $words;
+ if (empty($words)) {
+ // empty word lists mess up highlighting
+ return false;
+ }
+
+ //Add the new keyword group internally
+ $this->language_data['KEYWORDS'][$key] = $words;
+ $this->lexic_permissions['KEYWORDS'][$key] = true;
+ $this->language_data['CASE_SENSITIVE'][$key] = $case_sensitive;
+ $this->language_data['STYLES']['KEYWORDS'][$key] = $styles;
+
+ //NEW in 1.0.8, cache keyword regexp
+ if ($this->parse_cache_built) {
+ $this->optimize_keyword_group($key);
+ }
+ }
+
+ /**
+ * Removes a keyword group
+ *
+ * @param int The key of the keyword group to remove
+ * @since 1.0.0
+ */
+ function remove_keyword_group ($key) {
+ //Remove the keyword group internally
+ unset($this->language_data['KEYWORDS'][$key]);
+ unset($this->lexic_permissions['KEYWORDS'][$key]);
+ unset($this->language_data['CASE_SENSITIVE'][$key]);
+ unset($this->language_data['STYLES']['KEYWORDS'][$key]);
+
+ //NEW in 1.0.8
+ unset($this->language_data['CACHED_KEYWORD_LISTS'][$key]);
+ }
+
+ /**
+ * compile optimized regexp list for keyword group
+ *
+ * @param int The key of the keyword group to compile & optimize
+ * @since 1.0.8
+ */
+ function optimize_keyword_group($key) {
+ $this->language_data['CACHED_KEYWORD_LISTS'][$key] =
+ $this->optimize_regexp_list($this->language_data['KEYWORDS'][$key]);
+ $space_as_whitespace = false;
+ if(isset($this->language_data['PARSER_CONTROL'])) {
+ if(isset($this->language_data['PARSER_CONTROL']['KEYWORDS'])) {
+ if(isset($this->language_data['PARSER_CONTROL']['KEYWORDS']['SPACE_AS_WHITESPACE'])) {
+ $space_as_whitespace = $this->language_data['PARSER_CONTROL']['KEYWORDS']['SPACE_AS_WHITESPACE'];
+ }
+ if(isset($this->language_data['PARSER_CONTROL']['KEYWORDS'][$key]['SPACE_AS_WHITESPACE'])) {
+ if(isset($this->language_data['PARSER_CONTROL']['KEYWORDS'][$key]['SPACE_AS_WHITESPACE'])) {
+ $space_as_whitespace = $this->language_data['PARSER_CONTROL']['KEYWORDS'][$key]['SPACE_AS_WHITESPACE'];
+ }
+ }
+ }
+ }
+ if($space_as_whitespace) {
+ foreach($this->language_data['CACHED_KEYWORD_LISTS'][$key] as $rxk => $rxv) {
+ $this->language_data['CACHED_KEYWORD_LISTS'][$key][$rxk] =
+ str_replace(" ", "\\s+", $rxv);
+ }
+ }
+ }
+
+ /**
+ * Sets the content of the header block
+ *
+ * @param string The content of the header block
+ * @since 1.0.2
+ */
+ function set_header_content($content) {
+ $this->header_content = $content;
+ }
+
+ /**
+ * Sets the content of the footer block
+ *
+ * @param string The content of the footer block
+ * @since 1.0.2
+ */
+ function set_footer_content($content) {
+ $this->footer_content = $content;
+ }
+
+ /**
+ * Sets the style for the header content
+ *
+ * @param string The style for the header content
+ * @since 1.0.2
+ */
+ function set_header_content_style($style) {
+ $this->header_content_style = $style;
+ }
+
+ /**
+ * Sets the style for the footer content
+ *
+ * @param string The style for the footer content
+ * @since 1.0.2
+ */
+ function set_footer_content_style($style) {
+ $this->footer_content_style = $style;
+ }
+
+ /**
+ * Sets whether to force a surrounding block around
+ * the highlighted code or not
+ *
+ * @param boolean Tells whether to enable or disable this feature
+ * @since 1.0.7.20
+ */
+ function enable_inner_code_block($flag) {
+ $this->force_code_block = (bool)$flag;
+ }
+
+ /**
+ * Sets the base URL to be used for keywords
+ *
+ * @param int The key of the keyword group to set the URL for
+ * @param string The URL to set for the group. If {FNAME} is in
+ * the url somewhere, it is replaced by the keyword
+ * that the URL is being made for
+ * @since 1.0.2
+ */
+ function set_url_for_keyword_group($group, $url) {
+ $this->language_data['URLS'][$group] = $url;
+ }
+
+ /**
+ * Sets styles for links in code
+ *
+ * @param int A constant that specifies what state the style is being
+ * set for - e.g. :hover or :visited
+ * @param string The styles to use for that state
+ * @since 1.0.2
+ */
+ function set_link_styles($type, $styles) {
+ $this->link_styles[$type] = $styles;
+ }
+
+ /**
+ * Sets the target for links in code
+ *
+ * @param string The target for links in the code, e.g. _blank
+ * @since 1.0.3
+ */
+ function set_link_target($target) {
+ if (!$target) {
+ $this->link_target = '';
+ } else {
+ $this->link_target = ' target="' . $target . '"';
+ }
+ }
+
+ /**
+ * Sets styles for important parts of the code
+ *
+ * @param string The styles to use on important parts of the code
+ * @since 1.0.2
+ */
+ function set_important_styles($styles) {
+ $this->important_styles = $styles;
+ }
+
+ /**
+ * Sets whether context-important blocks are highlighted
+ *
+ * @param boolean Tells whether to enable or disable highlighting of important blocks
+ * @todo REMOVE THIS SHIZ FROM GESHI!
+ * @deprecated
+ * @since 1.0.2
+ */
+ function enable_important_blocks($flag) {
+ $this->enable_important_blocks = ( $flag ) ? true : false;
+ }
+
+ /**
+ * Whether CSS IDs should be added to each line
+ *
+ * @param boolean If true, IDs will be added to each line.
+ * @since 1.0.2
+ */
+ function enable_ids($flag = true) {
+ $this->add_ids = ($flag) ? true : false;
+ }
+
+ /**
+ * Specifies which lines to highlight extra
+ *
+ * The extra style parameter was added in 1.0.7.21.
+ *
+ * @param mixed An array of line numbers to highlight, or just a line
+ * number on its own.
+ * @param string A string specifying the style to use for this line.
+ * If null is specified, the default style is used.
+ * If false is specified, the line will be removed from
+ * special highlighting
+ * @since 1.0.2
+ * @todo Some data replication here that could be cut down on
+ */
+ function highlight_lines_extra($lines, $style = null) {
+ if (is_array($lines)) {
+ //Split up the job using single lines at a time
+ foreach ($lines as $line) {
+ $this->highlight_lines_extra($line, $style);
+ }
+ } else {
+ //Mark the line as being highlighted specially
+ $lines = intval($lines);
+ $this->highlight_extra_lines[$lines] = $lines;
+
+ //Decide on which style to use
+ if ($style === null) { //Check if we should use default style
+ unset($this->highlight_extra_lines_styles[$lines]);
+ } else if ($style === false) { //Check if to remove this line
+ unset($this->highlight_extra_lines[$lines]);
+ unset($this->highlight_extra_lines_styles[$lines]);
+ } else {
+ $this->highlight_extra_lines_styles[$lines] = $style;
+ }
+ }
+ }
+
+ /**
+ * Sets the style for extra-highlighted lines
+ *
+ * @param string The style for extra-highlighted lines
+ * @since 1.0.2
+ */
+ function set_highlight_lines_extra_style($styles) {
+ $this->highlight_extra_lines_style = $styles;
+ }
+
+ /**
+ * Sets the line-ending
+ *
+ * @param string The new line-ending
+ * @since 1.0.2
+ */
+ function set_line_ending($line_ending) {
+ $this->line_ending = (string)$line_ending;
+ }
+
+ /**
+ * Sets what number line numbers should start at. Should
+ * be a positive integer, and will be converted to one.
+ *
+ * <b>Warning:</b> Using this method will add the "start"
+ * attribute to the &lt;ol&gt; that is used for line numbering.
+ * This is <b>not</b> valid XHTML strict, so if that's what you
+ * care about then don't use this method. Firefox is getting
+ * support for the CSS method of doing this in 1.1 and Opera
+ * has support for the CSS method, but (of course) IE doesn't
+ * so it's not worth doing it the CSS way yet.
+ *
+ * @param int The number to start line numbers at
+ * @since 1.0.2
+ */
+ function start_line_numbers_at($number) {
+ $this->line_numbers_start = abs(intval($number));
+ }
+
+ /**
+ * Sets the encoding used for htmlspecialchars(), for international
+ * support.
+ *
+ * NOTE: This is not needed for now because htmlspecialchars() is not
+ * being used (it has a security hole in PHP4 that has not been patched).
+ * Maybe in a future version it may make a return for speed reasons, but
+ * I doubt it.
+ *
+ * @param string The encoding to use for the source
+ * @since 1.0.3
+ */
+ function set_encoding($encoding) {
+ if ($encoding) {
+ $this->encoding = strtolower($encoding);
+ }
+ }
+
+ /**
+ * Turns linking of keywords on or off.
+ *
+ * @param boolean If true, links will be added to keywords
+ * @since 1.0.2
+ */
+ function enable_keyword_links($enable = true) {
+ $this->keyword_links = (bool) $enable;
+ }
+
+ /**
+ * Setup caches needed for styling. This is automatically called in
+ * parse_code() and get_stylesheet() when appropriate. This function helps
+ * stylesheet generators as they rely on some style information being
+ * preprocessed
+ *
+ * @since 1.0.8
+ * @access private
+ */
+ function build_style_cache() {
+ //Build the style cache needed to highlight numbers appropriate
+ if($this->lexic_permissions['NUMBERS']) {
+ //First check what way highlighting information for numbers are given
+ if(!isset($this->language_data['NUMBERS'])) {
+ $this->language_data['NUMBERS'] = 0;
+ }
+
+ if(is_array($this->language_data['NUMBERS'])) {
+ $this->language_data['NUMBERS_CACHE'] = $this->language_data['NUMBERS'];
+ } else {
+ $this->language_data['NUMBERS_CACHE'] = array();
+ if(!$this->language_data['NUMBERS']) {
+ $this->language_data['NUMBERS'] =
+ GESHI_NUMBER_INT_BASIC |
+ GESHI_NUMBER_FLT_NONSCI;
+ }
+
+ for($i = 0, $j = $this->language_data['NUMBERS']; $j > 0; ++$i, $j>>=1) {
+ //Rearrange style indices if required ...
+ if(isset($this->language_data['STYLES']['NUMBERS'][1<<$i])) {
+ $this->language_data['STYLES']['NUMBERS'][$i] =
+ $this->language_data['STYLES']['NUMBERS'][1<<$i];
+ unset($this->language_data['STYLES']['NUMBERS'][1<<$i]);
+ }
+
+ //Check if this bit is set for highlighting
+ if($j&1) {
+ //So this bit is set ...
+ //Check if it belongs to group 0 or the actual stylegroup
+ if(isset($this->language_data['STYLES']['NUMBERS'][$i])) {
+ $this->language_data['NUMBERS_CACHE'][$i] = 1 << $i;
+ } else {
+ if(!isset($this->language_data['NUMBERS_CACHE'][0])) {
+ $this->language_data['NUMBERS_CACHE'][0] = 0;
+ }
+ $this->language_data['NUMBERS_CACHE'][0] |= 1 << $i;
+ }
+ }
+ }
+ }
+ }
+ }
+
+ /**
+ * Setup caches needed for parsing. This is automatically called in parse_code() when appropriate.
+ * This function makes stylesheet generators much faster as they do not need these caches.
+ *
+ * @since 1.0.8
+ * @access private
+ */
+ function build_parse_cache() {
+ // cache symbol regexp
+ //As this is a costy operation, we avoid doing it for multiple groups ...
+ //Instead we perform it for all symbols at once.
+ //
+ //For this to work, we need to reorganize the data arrays.
+ if ($this->lexic_permissions['SYMBOLS'] && !empty($this->language_data['SYMBOLS'])) {
+ $this->language_data['MULTIPLE_SYMBOL_GROUPS'] = count($this->language_data['STYLES']['SYMBOLS']) > 1;
+
+ $this->language_data['SYMBOL_DATA'] = array();
+ $symbol_preg_multi = array(); // multi char symbols
+ $symbol_preg_single = array(); // single char symbols
+ foreach ($this->language_data['SYMBOLS'] as $key => $symbols) {
+ if (is_array($symbols)) {
+ foreach ($symbols as $sym) {
+ $sym = $this->hsc($sym);
+ if (!isset($this->language_data['SYMBOL_DATA'][$sym])) {
+ $this->language_data['SYMBOL_DATA'][$sym] = $key;
+ if (isset($sym[1])) { // multiple chars
+ $symbol_preg_multi[] = preg_quote($sym, '/');
+ } else { // single char
+ if ($sym == '-') {
+ // don't trigger range out of order error
+ $symbol_preg_single[] = '\-';
+ } else {
+ $symbol_preg_single[] = preg_quote($sym, '/');
+ }
+ }
+ }
+ }
+ } else {
+ $symbols = $this->hsc($symbols);
+ if (!isset($this->language_data['SYMBOL_DATA'][$symbols])) {
+ $this->language_data['SYMBOL_DATA'][$symbols] = 0;
+ if (isset($symbols[1])) { // multiple chars
+ $symbol_preg_multi[] = preg_quote($symbols, '/');
+ } else if ($symbols == '-') {
+ // don't trigger range out of order error
+ $symbol_preg_single[] = '\-';
+ } else { // single char
+ $symbol_preg_single[] = preg_quote($symbols, '/');
+ }
+ }
+ }
+ }
+
+ //Now we have an array with each possible symbol as the key and the style as the actual data.
+ //This way we can set the correct style just the moment we highlight ...
+ //
+ //Now we need to rewrite our array to get a search string that
+ $symbol_preg = array();
+ if (!empty($symbol_preg_multi)) {
+ rsort($symbol_preg_multi);
+ $symbol_preg[] = implode('|', $symbol_preg_multi);
+ }
+ if (!empty($symbol_preg_single)) {
+ rsort($symbol_preg_single);
+ $symbol_preg[] = '[' . implode('', $symbol_preg_single) . ']';
+ }
+ $this->language_data['SYMBOL_SEARCH'] = implode("|", $symbol_preg);
+ }
+
+ // cache optimized regexp for keyword matching
+ // remove old cache
+ $this->language_data['CACHED_KEYWORD_LISTS'] = array();
+ foreach (array_keys($this->language_data['KEYWORDS']) as $key) {
+ if (!isset($this->lexic_permissions['KEYWORDS'][$key]) ||
+ $this->lexic_permissions['KEYWORDS'][$key]) {
+ $this->optimize_keyword_group($key);
+ }
+ }
+
+ // brackets
+ if ($this->lexic_permissions['BRACKETS']) {
+ $this->language_data['CACHE_BRACKET_MATCH'] = array('[', ']', '(', ')', '{', '}');
+ if (!$this->use_classes && isset($this->language_data['STYLES']['BRACKETS'][0])) {
+ $this->language_data['CACHE_BRACKET_REPLACE'] = array(
+ '<| style="' . $this->language_data['STYLES']['BRACKETS'][0] . '">&#91;|>',
+ '<| style="' . $this->language_data['STYLES']['BRACKETS'][0] . '">&#93;|>',
+ '<| style="' . $this->language_data['STYLES']['BRACKETS'][0] . '">&#40;|>',
+ '<| style="' . $this->language_data['STYLES']['BRACKETS'][0] . '">&#41;|>',
+ '<| style="' . $this->language_data['STYLES']['BRACKETS'][0] . '">&#123;|>',
+ '<| style="' . $this->language_data['STYLES']['BRACKETS'][0] . '">&#125;|>',
+ );
+ }
+ else {
+ $this->language_data['CACHE_BRACKET_REPLACE'] = array(
+ '<| class="br0">&#91;|>',
+ '<| class="br0">&#93;|>',
+ '<| class="br0">&#40;|>',
+ '<| class="br0">&#41;|>',
+ '<| class="br0">&#123;|>',
+ '<| class="br0">&#125;|>',
+ );
+ }
+ }
+
+ //Build the parse cache needed to highlight numbers appropriate
+ if($this->lexic_permissions['NUMBERS']) {
+ //Check if the style rearrangements have been processed ...
+ //This also does some preprocessing to check which style groups are useable ...
+ if(!isset($this->language_data['NUMBERS_CACHE'])) {
+ $this->build_style_cache();
+ }
+
+ //Number format specification
+ //All this formats are matched case-insensitively!
+ static $numbers_format = array(
+ GESHI_NUMBER_INT_BASIC =>
+ '(?<![0-9a-z_\.%])(?<![\d\.]e[+\-])([1-9]\d*?|0)(?![0-9a-z]|\.(?!(?m:$)))',
+ GESHI_NUMBER_INT_CSTYLE =>
+ '(?<![0-9a-z_\.%])(?<![\d\.]e[+\-])([1-9]\d*?|0)l(?![0-9a-z\.])',
+ GESHI_NUMBER_BIN_SUFFIX =>
+ '(?<![0-9a-z_\.])(?<![\d\.]e[+\-])[01]+?b(?![0-9a-z\.])',
+ GESHI_NUMBER_BIN_PREFIX_PERCENT =>
+ '(?<![0-9a-z_\.%])(?<![\d\.]e[+\-])%[01]+?(?![0-9a-z\.])',
+ GESHI_NUMBER_BIN_PREFIX_0B =>
+ '(?<![0-9a-z_\.%])(?<![\d\.]e[+\-])0b[01]+?(?![0-9a-z\.])',
+ GESHI_NUMBER_OCT_PREFIX =>
+ '(?<![0-9a-z_\.])(?<![\d\.]e[+\-])0[0-7]+?(?![0-9a-z\.])',
+ GESHI_NUMBER_OCT_SUFFIX =>
+ '(?<![0-9a-z_\.])(?<![\d\.]e[+\-])[0-7]+?o(?![0-9a-z\.])',
+ GESHI_NUMBER_HEX_PREFIX =>
+ '(?<![0-9a-z_\.])(?<![\d\.]e[+\-])0x[0-9a-f]+?(?![0-9a-z\.])',
+ GESHI_NUMBER_HEX_SUFFIX =>
+ '(?<![0-9a-z_\.])(?<![\d\.]e[+\-])\d[0-9a-f]*?h(?![0-9a-z\.])',
+ GESHI_NUMBER_FLT_NONSCI =>
+ '(?<![0-9a-z_\.])(?<![\d\.]e[+\-])\d+?\.\d+?(?![0-9a-z\.])',
+ GESHI_NUMBER_FLT_NONSCI_F =>
+ '(?<![0-9a-z_\.])(?<![\d\.]e[+\-])(?:\d+?(?:\.\d*?)?|\.\d+?)f(?![0-9a-z\.])',
+ GESHI_NUMBER_FLT_SCI_SHORT =>
+ '(?<![0-9a-z_\.])(?<![\d\.]e[+\-])\.\d+?(?:e[+\-]?\d+?)?(?![0-9a-z\.])',
+ GESHI_NUMBER_FLT_SCI_ZERO =>
+ '(?<![0-9a-z_\.])(?<![\d\.]e[+\-])(?:\d+?(?:\.\d*?)?|\.\d+?)(?:e[+\-]?\d+?)?(?![0-9a-z\.])'
+ );
+
+ //At this step we have an associative array with flag groups for a
+ //specific style or an string denoting a regexp given its index.
+ $this->language_data['NUMBERS_RXCACHE'] = array();
+ foreach($this->language_data['NUMBERS_CACHE'] as $key => $rxdata) {
+ if(is_string($rxdata)) {
+ $regexp = $rxdata;
+ } else {
+ //This is a bitfield of number flags to highlight:
+ //Build an array, implode them together and make this the actual RX
+ $rxuse = array();
+ for($i = 1; $i <= $rxdata; $i<<=1) {
+ if($rxdata & $i) {
+ $rxuse[] = $numbers_format[$i];
+ }
+ }
+ $regexp = implode("|", $rxuse);
+ }
+
+ $this->language_data['NUMBERS_RXCACHE'][$key] =
+ "/(?<!<\|\/)(?<!<\|!REG3XP)(?<!<\|\/NUM!)(?<!\d\/>)($regexp)(?!\|>)(?![^\"\|\>\<]+<)/i";
+ }
+ }
+
+ $this->parse_cache_built = true;
+ }
+
+ /**
+ * Returns the code in $this->source, highlighted and surrounded by the
+ * nessecary HTML.
+ *
+ * This should only be called ONCE, cos it's SLOW! If you want to highlight
+ * the same source multiple times, you're better off doing a whole lot of
+ * str_replaces to replace the &lt;span&gt;s
+ *
+ * @since 1.0.0
+ */
+ function parse_code () {
+ // Start the timer
+ $start_time = microtime();
+
+ // Firstly, if there is an error, we won't highlight
+ if ($this->error) {
+ //Escape the source for output
+ $result = $this->hsc($this->source);
+
+ //This fix is related to SF#1923020, but has to be applied regardless of
+ //actually highlighting symbols.
+ $result = str_replace(array('<SEMI>', '<PIPE>'), array(';', '|'), $result);
+
+ // Timing is irrelevant
+ $this->set_time($start_time, $start_time);
+ $this->finalise($result);
+ return $result;
+ }
+
+ // make sure the parse cache is up2date
+ if (!$this->parse_cache_built) {
+ $this->build_parse_cache();
+ }
+
+ // Replace all newlines to a common form.
+ $code = str_replace("\r\n", "\n", $this->source);
+ $code = str_replace("\r", "\n", $code);
+
+ // Add spaces for regular expression matching and line numbers
+// $code = "\n" . $code . "\n";
+
+ // Initialise various stuff
+ $length = strlen($code);
+ $COMMENT_MATCHED = false;
+ $stuff_to_parse = '';
+ $endresult = '';
+
+ // "Important" selections are handled like multiline comments
+ // @todo GET RID OF THIS SHIZ
+ if ($this->enable_important_blocks) {
+ $this->language_data['COMMENT_MULTI'][GESHI_START_IMPORTANT] = GESHI_END_IMPORTANT;
+ }
+
+ if ($this->strict_mode) {
+ // Break the source into bits. Each bit will be a portion of the code
+ // within script delimiters - for example, HTML between < and >
+ $k = 0;
+ $parts = array();
+ $matches = array();
+ $next_match_pointer = null;
+ // we use a copy to unset delimiters on demand (when they are not found)
+ $delim_copy = $this->language_data['SCRIPT_DELIMITERS'];
+ $i = 0;
+ while ($i < $length) {
+ $next_match_pos = $length + 1; // never true
+ foreach ($delim_copy as $dk => $delimiters) {
+ if(is_array($delimiters)) {
+ foreach ($delimiters as $open => $close) {
+ // make sure the cache is setup properly
+ if (!isset($matches[$dk][$open])) {
+ $matches[$dk][$open] = array(
+ 'next_match' => -1,
+ 'dk' => $dk,
+
+ 'open' => $open, // needed for grouping of adjacent code blocks (see below)
+ 'open_strlen' => strlen($open),
+
+ 'close' => $close,
+ 'close_strlen' => strlen($close),
+ );
+ }
+ // Get the next little bit for this opening string
+ if ($matches[$dk][$open]['next_match'] < $i) {
+ // only find the next pos if it was not already cached
+ $open_pos = strpos($code, $open, $i);
+ if ($open_pos === false) {
+ // no match for this delimiter ever
+ unset($delim_copy[$dk][$open]);
+ continue;
+ }
+ $matches[$dk][$open]['next_match'] = $open_pos;
+ }
+ if ($matches[$dk][$open]['next_match'] < $next_match_pos) {
+ //So we got a new match, update the close_pos
+ $matches[$dk][$open]['close_pos'] =
+ strpos($code, $close, $matches[$dk][$open]['next_match']+1);
+
+ $next_match_pointer =& $matches[$dk][$open];
+ $next_match_pos = $matches[$dk][$open]['next_match'];
+ }
+ }
+ } else {
+ //So we should match an RegExp as Strict Block ...
+ /**
+ * The value in $delimiters is expected to be an RegExp
+ * containing exactly 2 matching groups:
+ * - Group 1 is the opener
+ * - Group 2 is the closer
+ */
+ if(!GESHI_PHP_PRE_433 && //Needs proper rewrite to work with PHP >=4.3.0; 4.3.3 is guaranteed to work.
+ preg_match($delimiters, $code, $matches_rx, PREG_OFFSET_CAPTURE, $i)) {
+ //We got a match ...
+ if(isset($matches_rx['start']) && isset($matches_rx['end']))
+ {
+ $matches[$dk] = array(
+ 'next_match' => $matches_rx['start'][1],
+ 'dk' => $dk,
+
+ 'close_strlen' => strlen($matches_rx['end'][0]),
+ 'close_pos' => $matches_rx['end'][1],
+ );
+ } else {
+ $matches[$dk] = array(
+ 'next_match' => $matches_rx[1][1],
+ 'dk' => $dk,
+
+ 'close_strlen' => strlen($matches_rx[2][0]),
+ 'close_pos' => $matches_rx[2][1],
+ );
+ }
+ } else {
+ // no match for this delimiter ever
+ unset($delim_copy[$dk]);
+ continue;
+ }
+
+ if ($matches[$dk]['next_match'] <= $next_match_pos) {
+ $next_match_pointer =& $matches[$dk];
+ $next_match_pos = $matches[$dk]['next_match'];
+ }
+ }
+ }
+
+ // non-highlightable text
+ $parts[$k] = array(
+ 1 => substr($code, $i, $next_match_pos - $i)
+ );
+ ++$k;
+
+ if ($next_match_pos > $length) {
+ // out of bounds means no next match was found
+ break;
+ }
+
+ // highlightable code
+ $parts[$k][0] = $next_match_pointer['dk'];
+
+ //Only combine for non-rx script blocks
+ if(is_array($delim_copy[$next_match_pointer['dk']])) {
+ // group adjacent script blocks, e.g. <foobar><asdf> should be one block, not three!
+ $i = $next_match_pos + $next_match_pointer['open_strlen'];
+ while (true) {
+ $close_pos = strpos($code, $next_match_pointer['close'], $i);
+ if ($close_pos == false) {
+ break;
+ }
+ $i = $close_pos + $next_match_pointer['close_strlen'];
+ if ($i == $length) {
+ break;
+ }
+ if ($code[$i] == $next_match_pointer['open'][0] && ($next_match_pointer['open_strlen'] == 1 ||
+ substr($code, $i, $next_match_pointer['open_strlen']) == $next_match_pointer['open'])) {
+ // merge adjacent but make sure we don't merge things like <tag><!-- comment -->
+ foreach ($matches as $submatches) {
+ foreach ($submatches as $match) {
+ if ($match['next_match'] == $i) {
+ // a different block already matches here!
+ break 3;
+ }
+ }
+ }
+ } else {
+ break;
+ }
+ }
+ } else {
+ $close_pos = $next_match_pointer['close_pos'] + $next_match_pointer['close_strlen'];
+ $i = $close_pos;
+ }
+
+ if ($close_pos === false) {
+ // no closing delimiter found!
+ $parts[$k][1] = substr($code, $next_match_pos);
+ ++$k;
+ break;
+ } else {
+ $parts[$k][1] = substr($code, $next_match_pos, $i - $next_match_pos);
+ ++$k;
+ }
+ }
+ unset($delim_copy, $next_match_pointer, $next_match_pos, $matches);
+ $num_parts = $k;
+
+ if ($num_parts == 1 && $this->strict_mode == GESHI_MAYBE) {
+ // when we have only one part, we don't have anything to highlight at all.
+ // if we have a "maybe" strict language, this should be handled as highlightable code
+ $parts = array(
+ 0 => array(
+ 0 => '',
+ 1 => ''
+ ),
+ 1 => array(
+ 0 => null,
+ 1 => $parts[0][1]
+ )
+ );
+ $num_parts = 2;
+ }
+
+ } else {
+ // Not strict mode - simply dump the source into
+ // the array at index 1 (the first highlightable block)
+ $parts = array(
+ 0 => array(
+ 0 => '',
+ 1 => ''
+ ),
+ 1 => array(
+ 0 => null,
+ 1 => $code
+ )
+ );
+ $num_parts = 2;
+ }
+
+ //Unset variables we won't need any longer
+ unset($code);
+
+ //Preload some repeatedly used values regarding hardquotes ...
+ $hq = isset($this->language_data['HARDQUOTE']) ? $this->language_data['HARDQUOTE'][0] : false;
+ $hq_strlen = strlen($hq);
+
+ //Preload if line numbers are to be generated afterwards
+ //Added a check if line breaks should be forced even without line numbers, fixes SF#1727398
+ $check_linenumbers = $this->line_numbers != GESHI_NO_LINE_NUMBERS ||
+ !empty($this->highlight_extra_lines) || !$this->allow_multiline_span;
+
+ //preload the escape char for faster checking ...
+ $escaped_escape_char = $this->hsc($this->language_data['ESCAPE_CHAR']);
+
+ // this is used for single-line comments
+ $sc_disallowed_before = "";
+ $sc_disallowed_after = "";
+
+ if (isset($this->language_data['PARSER_CONTROL'])) {
+ if (isset($this->language_data['PARSER_CONTROL']['COMMENTS'])) {
+ if (isset($this->language_data['PARSER_CONTROL']['COMMENTS']['DISALLOWED_BEFORE'])) {
+ $sc_disallowed_before = $this->language_data['PARSER_CONTROL']['COMMENTS']['DISALLOWED_BEFORE'];
+ }
+ if (isset($this->language_data['PARSER_CONTROL']['COMMENTS']['DISALLOWED_AFTER'])) {
+ $sc_disallowed_after = $this->language_data['PARSER_CONTROL']['COMMENTS']['DISALLOWED_AFTER'];
+ }
+ }
+ }
+
+ //Fix for SF#1932083: Multichar Quotemarks unsupported
+ $is_string_starter = array();
+ if ($this->lexic_permissions['STRINGS']) {
+ foreach ($this->language_data['QUOTEMARKS'] as $quotemark) {
+ if (!isset($is_string_starter[$quotemark[0]])) {
+ $is_string_starter[$quotemark[0]] = (string)$quotemark;
+ } else if (is_string($is_string_starter[$quotemark[0]])) {
+ $is_string_starter[$quotemark[0]] = array(
+ $is_string_starter[$quotemark[0]],
+ $quotemark);
+ } else {
+ $is_string_starter[$quotemark[0]][] = $quotemark;
+ }
+ }
+ }
+
+ // Now we go through each part. We know that even-indexed parts are
+ // code that shouldn't be highlighted, and odd-indexed parts should
+ // be highlighted
+ for ($key = 0; $key < $num_parts; ++$key) {
+ $STRICTATTRS = '';
+
+ // If this block should be highlighted...
+ if (!($key & 1)) {
+ // Else not a block to highlight
+ $endresult .= $this->hsc($parts[$key][1]);
+ unset($parts[$key]);
+ continue;
+ }
+
+ $result = '';
+ $part = $parts[$key][1];
+
+ $highlight_part = true;
+ if ($this->strict_mode && !is_null($parts[$key][0])) {
+ // get the class key for this block of code
+ $script_key = $parts[$key][0];
+ $highlight_part = $this->language_data['HIGHLIGHT_STRICT_BLOCK'][$script_key];
+ if ($this->language_data['STYLES']['SCRIPT'][$script_key] != '' &&
+ $this->lexic_permissions['SCRIPT']) {
+ // Add a span element around the source to
+ // highlight the overall source block
+ if (!$this->use_classes &&
+ $this->language_data['STYLES']['SCRIPT'][$script_key] != '') {
+ $attributes = ' style="' . $this->language_data['STYLES']['SCRIPT'][$script_key] . '"';
+ } else {
+ $attributes = ' class="sc' . $script_key . '"';
+ }
+ $result .= "<span$attributes>";
+ $STRICTATTRS = $attributes;
+ }
+ }
+
+ if ($highlight_part) {
+ // Now, highlight the code in this block. This code
+ // is really the engine of GeSHi (along with the method
+ // parse_non_string_part).
+
+ // cache comment regexps incrementally
+ $next_comment_regexp_key = '';
+ $next_comment_regexp_pos = -1;
+ $next_comment_multi_pos = -1;
+ $next_comment_single_pos = -1;
+ $comment_regexp_cache_per_key = array();
+ $comment_multi_cache_per_key = array();
+ $comment_single_cache_per_key = array();
+ $next_open_comment_multi = '';
+ $next_comment_single_key = '';
+ $escape_regexp_cache_per_key = array();
+ $next_escape_regexp_key = '';
+ $next_escape_regexp_pos = -1;
+
+ $length = strlen($part);
+ for ($i = 0; $i < $length; ++$i) {
+ // Get the next char
+ $char = $part[$i];
+ $char_len = 1;
+
+ // update regexp comment cache if needed
+ if (isset($this->language_data['COMMENT_REGEXP']) && $next_comment_regexp_pos < $i) {
+ $next_comment_regexp_pos = $length;
+ foreach ($this->language_data['COMMENT_REGEXP'] as $comment_key => $regexp) {
+ $match_i = false;
+ if (isset($comment_regexp_cache_per_key[$comment_key]) &&
+ ($comment_regexp_cache_per_key[$comment_key]['pos'] >= $i ||
+ $comment_regexp_cache_per_key[$comment_key]['pos'] === false)) {
+ // we have already matched something
+ if ($comment_regexp_cache_per_key[$comment_key]['pos'] === false) {
+ // this comment is never matched
+ continue;
+ }
+ $match_i = $comment_regexp_cache_per_key[$comment_key]['pos'];
+ } else if (
+ //This is to allow use of the offset parameter in preg_match and stay as compatible with older PHP versions as possible
+ (GESHI_PHP_PRE_433 && preg_match($regexp, substr($part, $i), $match, PREG_OFFSET_CAPTURE)) ||
+ (!GESHI_PHP_PRE_433 && preg_match($regexp, $part, $match, PREG_OFFSET_CAPTURE, $i))
+ ) {
+ $match_i = $match[0][1];
+ if (GESHI_PHP_PRE_433) {
+ $match_i += $i;
+ }
+
+ $comment_regexp_cache_per_key[$comment_key] = array(
+ 'key' => $comment_key,
+ 'length' => strlen($match[0][0]),
+ 'pos' => $match_i
+ );
+ } else {
+ $comment_regexp_cache_per_key[$comment_key]['pos'] = false;
+ continue;
+ }
+
+ if ($match_i !== false && $match_i < $next_comment_regexp_pos) {
+ $next_comment_regexp_pos = $match_i;
+ $next_comment_regexp_key = $comment_key;
+ if ($match_i === $i) {
+ break;
+ }
+ }
+ }
+ }
+
+ $string_started = false;
+
+ if (isset($is_string_starter[$char])) {
+ // Possibly the start of a new string ...
+
+ //Check which starter it was ...
+ //Fix for SF#1932083: Multichar Quotemarks unsupported
+ if (is_array($is_string_starter[$char])) {
+ $char_new = '';
+ foreach ($is_string_starter[$char] as $testchar) {
+ if ($testchar === substr($part, $i, strlen($testchar)) &&
+ strlen($testchar) > strlen($char_new)) {
+ $char_new = $testchar;
+ $string_started = true;
+ }
+ }
+ if ($string_started) {
+ $char = $char_new;
+ }
+ } else {
+ $testchar = $is_string_starter[$char];
+ if ($testchar === substr($part, $i, strlen($testchar))) {
+ $char = $testchar;
+ $string_started = true;
+ }
+ }
+ $char_len = strlen($char);
+ }
+
+ if ($string_started && ($i != $next_comment_regexp_pos)) {
+ // Hand out the correct style information for this string
+ $string_key = array_search($char, $this->language_data['QUOTEMARKS']);
+ if (!isset($this->language_data['STYLES']['STRINGS'][$string_key]) ||
+ !isset($this->language_data['STYLES']['ESCAPE_CHAR'][$string_key])) {
+ $string_key = 0;
+ }
+
+ // parse the stuff before this
+ $result .= $this->parse_non_string_part($stuff_to_parse);
+ $stuff_to_parse = '';
+
+ if (!$this->use_classes) {
+ $string_attributes = ' style="' . $this->language_data['STYLES']['STRINGS'][$string_key] . '"';
+ } else {
+ $string_attributes = ' class="st'.$string_key.'"';
+ }
+
+ // now handle the string
+ $string = "<span$string_attributes>" . GeSHi::hsc($char);
+ $start = $i + $char_len;
+ $string_open = true;
+
+ if(empty($this->language_data['ESCAPE_REGEXP'])) {
+ $next_escape_regexp_pos = $length;
+ }
+
+ do {
+ //Get the regular ending pos ...
+ $close_pos = strpos($part, $char, $start);
+ if(false === $close_pos) {
+ $close_pos = $length;
+ }
+
+ if($this->lexic_permissions['ESCAPE_CHAR']) {
+ // update escape regexp cache if needed
+ if (isset($this->language_data['ESCAPE_REGEXP']) && $next_escape_regexp_pos < $start) {
+ $next_escape_regexp_pos = $length;
+ foreach ($this->language_data['ESCAPE_REGEXP'] as $escape_key => $regexp) {
+ $match_i = false;
+ if (isset($escape_regexp_cache_per_key[$escape_key]) &&
+ ($escape_regexp_cache_per_key[$escape_key]['pos'] >= $start ||
+ $escape_regexp_cache_per_key[$escape_key]['pos'] === false)) {
+ // we have already matched something
+ if ($escape_regexp_cache_per_key[$escape_key]['pos'] === false) {
+ // this comment is never matched
+ continue;
+ }
+ $match_i = $escape_regexp_cache_per_key[$escape_key]['pos'];
+ } else if (
+ //This is to allow use of the offset parameter in preg_match and stay as compatible with older PHP versions as possible
+ (GESHI_PHP_PRE_433 && preg_match($regexp, substr($part, $start), $match, PREG_OFFSET_CAPTURE)) ||
+ (!GESHI_PHP_PRE_433 && preg_match($regexp, $part, $match, PREG_OFFSET_CAPTURE, $start))
+ ) {
+ $match_i = $match[0][1];
+ if (GESHI_PHP_PRE_433) {
+ $match_i += $start;
+ }
+
+ $escape_regexp_cache_per_key[$escape_key] = array(
+ 'key' => $escape_key,
+ 'length' => strlen($match[0][0]),
+ 'pos' => $match_i
+ );
+ } else {
+ $escape_regexp_cache_per_key[$escape_key]['pos'] = false;
+ continue;
+ }
+
+ if ($match_i !== false && $match_i < $next_escape_regexp_pos) {
+ $next_escape_regexp_pos = $match_i;
+ $next_escape_regexp_key = $escape_key;
+ if ($match_i === $start) {
+ break;
+ }
+ }
+ }
+ }
+
+ //Find the next simple escape position
+ if('' != $this->language_data['ESCAPE_CHAR']) {
+ $simple_escape = strpos($part, $this->language_data['ESCAPE_CHAR'], $start);
+ if(false === $simple_escape) {
+ $simple_escape = $length;
+ }
+ } else {
+ $simple_escape = $length;
+ }
+ } else {
+ $next_escape_regexp_pos = $length;
+ $simple_escape = $length;
+ }
+
+ if($simple_escape < $next_escape_regexp_pos &&
+ $simple_escape < $length &&
+ $simple_escape < $close_pos) {
+ //The nexxt escape sequence is a simple one ...
+ $es_pos = $simple_escape;
+
+ //Add the stuff not in the string yet ...
+ $string .= $this->hsc(substr($part, $start, $es_pos - $start));
+
+ //Get the style for this escaped char ...
+ if (!$this->use_classes) {
+ $escape_char_attributes = ' style="' . $this->language_data['STYLES']['ESCAPE_CHAR'][0] . '"';
+ } else {
+ $escape_char_attributes = ' class="es0"';
+ }
+
+ //Add the style for the escape char ...
+ $string .= "<span$escape_char_attributes>" .
+ GeSHi::hsc($this->language_data['ESCAPE_CHAR']);
+
+ //Get the byte AFTER the ESCAPE_CHAR we just found
+ $es_char = $part[$es_pos + 1];
+ if ($es_char == "\n") {
+ // don't put a newline around newlines
+ $string .= "</span>\n";
+ $start = $es_pos + 2;
+ } else if (ord($es_char) >= 128) {
+ //This is an non-ASCII char (UTF8 or single byte)
+ //This code tries to work around SF#2037598 ...
+ if(function_exists('mb_substr')) {
+ $es_char_m = mb_substr(substr($part, $es_pos+1, 16), 0, 1, $this->encoding);
+ $string .= $es_char_m . '</span>';
+ } else if (!GESHI_PHP_PRE_433 && 'utf-8' == $this->encoding) {
+ if(preg_match("/[\xC2-\xDF][\x80-\xBF]".
+ "|\xE0[\xA0-\xBF][\x80-\xBF]".
+ "|[\xE1-\xEC\xEE\xEF][\x80-\xBF]{2}".
+ "|\xED[\x80-\x9F][\x80-\xBF]".
+ "|\xF0[\x90-\xBF][\x80-\xBF]{2}".
+ "|[\xF1-\xF3][\x80-\xBF]{3}".
+ "|\xF4[\x80-\x8F][\x80-\xBF]{2}/s",
+ $part, $es_char_m, null, $es_pos + 1)) {
+ $es_char_m = $es_char_m[0];
+ } else {
+ $es_char_m = $es_char;
+ }
+ $string .= $this->hsc($es_char_m) . '</span>';
+ } else {
+ $es_char_m = $this->hsc($es_char);
+ }
+ $start = $es_pos + strlen($es_char_m) + 1;
+ } else {
+ $string .= $this->hsc($es_char) . '</span>';
+ $start = $es_pos + 2;
+ }
+ } else if ($next_escape_regexp_pos < $length &&
+ $next_escape_regexp_pos < $close_pos) {
+ $es_pos = $next_escape_regexp_pos;
+ //Add the stuff not in the string yet ...
+ $string .= $this->hsc(substr($part, $start, $es_pos - $start));
+
+ //Get the key and length of this match ...
+ $escape = $escape_regexp_cache_per_key[$next_escape_regexp_key];
+ $escape_str = substr($part, $es_pos, $escape['length']);
+ $escape_key = $escape['key'];
+
+ //Get the style for this escaped char ...
+ if (!$this->use_classes) {
+ $escape_char_attributes = ' style="' . $this->language_data['STYLES']['ESCAPE_CHAR'][$escape_key] . '"';
+ } else {
+ $escape_char_attributes = ' class="es' . $escape_key . '"';
+ }
+
+ //Add the style for the escape char ...
+ $string .= "<span$escape_char_attributes>" .
+ $this->hsc($escape_str) . '</span>';
+
+ $start = $es_pos + $escape['length'];
+ } else {
+ //Copy the remainder of the string ...
+ $string .= $this->hsc(substr($part, $start, $close_pos - $start + $char_len)) . '</span>';
+ $start = $close_pos + $char_len;
+ $string_open = false;
+ }
+ } while($string_open);
+
+ if ($check_linenumbers) {
+ // Are line numbers used? If, we should end the string before
+ // the newline and begin it again (so when <li>s are put in the source
+ // remains XHTML compliant)
+ // note to self: This opens up possibility of config files specifying
+ // that languages can/cannot have multiline strings???
+ $string = str_replace("\n", "</span>\n<span$string_attributes>", $string);
+ }
+
+ $result .= $string;
+ $string = '';
+ $i = $start - 1;
+ continue;
+ } else if ($this->lexic_permissions['STRINGS'] && $hq && $hq[0] == $char &&
+ substr($part, $i, $hq_strlen) == $hq && ($i != $next_comment_regexp_pos)) {
+ // The start of a hard quoted string
+ if (!$this->use_classes) {
+ $string_attributes = ' style="' . $this->language_data['STYLES']['STRINGS']['HARD'] . '"';
+ $escape_char_attributes = ' style="' . $this->language_data['STYLES']['ESCAPE_CHAR']['HARD'] . '"';
+ } else {
+ $string_attributes = ' class="st_h"';
+ $escape_char_attributes = ' class="es_h"';
+ }
+ // parse the stuff before this
+ $result .= $this->parse_non_string_part($stuff_to_parse);
+ $stuff_to_parse = '';
+
+ // now handle the string
+ $string = '';
+
+ // look for closing quote
+ $start = $i + $hq_strlen;
+ while ($close_pos = strpos($part, $this->language_data['HARDQUOTE'][1], $start)) {
+ $start = $close_pos + 1;
+ if ($this->lexic_permissions['ESCAPE_CHAR'] && $part[$close_pos - 1] == $this->language_data['HARDCHAR']) {
+ // make sure this quote is not escaped
+ foreach ($this->language_data['HARDESCAPE'] as $hardescape) {
+ if (substr($part, $close_pos - 1, strlen($hardescape)) == $hardescape) {
+ // check wether this quote is escaped or if it is something like '\\'
+ $escape_char_pos = $close_pos - 1;
+ while ($escape_char_pos > 0
+ && $part[$escape_char_pos - 1] == $this->language_data['HARDCHAR']) {
+ --$escape_char_pos;
+ }
+ if (($close_pos - $escape_char_pos) & 1) {
+ // uneven number of escape chars => this quote is escaped
+ continue 2;
+ }
+ }
+ }
+ }
+
+ // found closing quote
+ break;
+ }
+
+ //Found the closing delimiter?
+ if (!$close_pos) {
+ // span till the end of this $part when no closing delimiter is found
+ $close_pos = $length;
+ }
+
+ //Get the actual string
+ $string = substr($part, $i, $close_pos - $i + 1);
+ $i = $close_pos;
+
+ // handle escape chars and encode html chars
+ // (special because when we have escape chars within our string they may not be escaped)
+ if ($this->lexic_permissions['ESCAPE_CHAR'] && $this->language_data['ESCAPE_CHAR']) {
+ $start = 0;
+ $new_string = '';
+ while ($es_pos = strpos($string, $this->language_data['ESCAPE_CHAR'], $start)) {
+ // hmtl escape stuff before
+ $new_string .= $this->hsc(substr($string, $start, $es_pos - $start));
+ // check if this is a hard escape
+ foreach ($this->language_data['HARDESCAPE'] as $hardescape) {
+ if (substr($string, $es_pos, strlen($hardescape)) == $hardescape) {
+ // indeed, this is a hardescape
+ $new_string .= "<span$escape_char_attributes>" .
+ $this->hsc($hardescape) . '</span>';
+ $start = $es_pos + strlen($hardescape);
+ continue 2;
+ }
+ }
+ // not a hard escape, but a normal escape
+ // they come in pairs of two
+ $c = 0;
+ while (isset($string[$es_pos + $c]) && isset($string[$es_pos + $c + 1])
+ && $string[$es_pos + $c] == $this->language_data['ESCAPE_CHAR']
+ && $string[$es_pos + $c + 1] == $this->language_data['ESCAPE_CHAR']) {
+ $c += 2;
+ }
+ if ($c) {
+ $new_string .= "<span$escape_char_attributes>" .
+ str_repeat($escaped_escape_char, $c) .
+ '</span>';
+ $start = $es_pos + $c;
+ } else {
+ // this is just a single lonely escape char...
+ $new_string .= $escaped_escape_char;
+ $start = $es_pos + 1;
+ }
+ }
+ $string = $new_string . $this->hsc(substr($string, $start));
+ } else {
+ $string = $this->hsc($string);
+ }
+
+ if ($check_linenumbers) {
+ // Are line numbers used? If, we should end the string before
+ // the newline and begin it again (so when <li>s are put in the source
+ // remains XHTML compliant)
+ // note to self: This opens up possibility of config files specifying
+ // that languages can/cannot have multiline strings???
+ $string = str_replace("\n", "</span>\n<span$string_attributes>", $string);
+ }
+
+ $result .= "<span$string_attributes>" . $string . '</span>';
+ $string = '';
+ continue;
+ } else {
+ //Have a look for regexp comments
+ if ($i == $next_comment_regexp_pos) {
+ $COMMENT_MATCHED = true;
+ $comment = $comment_regexp_cache_per_key[$next_comment_regexp_key];
+ $test_str = $this->hsc(substr($part, $i, $comment['length']));
+
+ //@todo If remove important do remove here
+ if ($this->lexic_permissions['COMMENTS']['MULTI']) {
+ if (!$this->use_classes) {
+ $attributes = ' style="' . $this->language_data['STYLES']['COMMENTS'][$comment['key']] . '"';
+ } else {
+ $attributes = ' class="co' . $comment['key'] . '"';
+ }
+
+ $test_str = "<span$attributes>" . $test_str . "</span>";
+
+ // Short-cut through all the multiline code
+ if ($check_linenumbers) {
+ // strreplace to put close span and open span around multiline newlines
+ $test_str = str_replace(
+ "\n", "</span>\n<span$attributes>",
+ str_replace("\n ", "\n&nbsp;", $test_str)
+ );
+ }
+ }
+
+ $i += $comment['length'] - 1;
+
+ // parse the rest
+ $result .= $this->parse_non_string_part($stuff_to_parse);
+ $stuff_to_parse = '';
+ }
+
+ // If we haven't matched a regexp comment, try multi-line comments
+ if (!$COMMENT_MATCHED) {
+ // Is this a multiline comment?
+ if (!empty($this->language_data['COMMENT_MULTI']) && $next_comment_multi_pos < $i) {
+ $next_comment_multi_pos = $length;
+ foreach ($this->language_data['COMMENT_MULTI'] as $open => $close) {
+ $match_i = false;
+ if (isset($comment_multi_cache_per_key[$open]) &&
+ ($comment_multi_cache_per_key[$open] >= $i ||
+ $comment_multi_cache_per_key[$open] === false)) {
+ // we have already matched something
+ if ($comment_multi_cache_per_key[$open] === false) {
+ // this comment is never matched
+ continue;
+ }
+ $match_i = $comment_multi_cache_per_key[$open];
+ } else if (($match_i = stripos($part, $open, $i)) !== false) {
+ $comment_multi_cache_per_key[$open] = $match_i;
+ } else {
+ $comment_multi_cache_per_key[$open] = false;
+ continue;
+ }
+ if ($match_i !== false && $match_i < $next_comment_multi_pos) {
+ $next_comment_multi_pos = $match_i;
+ $next_open_comment_multi = $open;
+ if ($match_i === $i) {
+ break;
+ }
+ }
+ }
+ }
+ if ($i == $next_comment_multi_pos) {
+ $open = $next_open_comment_multi;
+ $close = $this->language_data['COMMENT_MULTI'][$open];
+ $open_strlen = strlen($open);
+ $close_strlen = strlen($close);
+ $COMMENT_MATCHED = true;
+ $test_str_match = $open;
+ //@todo If remove important do remove here
+ if ($this->lexic_permissions['COMMENTS']['MULTI'] ||
+ $open == GESHI_START_IMPORTANT) {
+ if ($open != GESHI_START_IMPORTANT) {
+ if (!$this->use_classes) {
+ $attributes = ' style="' . $this->language_data['STYLES']['COMMENTS']['MULTI'] . '"';
+ } else {
+ $attributes = ' class="coMULTI"';
+ }
+ $test_str = "<span$attributes>" . $this->hsc($open);
+ } else {
+ if (!$this->use_classes) {
+ $attributes = ' style="' . $this->important_styles . '"';
+ } else {
+ $attributes = ' class="imp"';
+ }
+
+ // We don't include the start of the comment if it's an
+ // "important" part
+ $test_str = "<span$attributes>";
+ }
+ } else {
+ $test_str = $this->hsc($open);
+ }
+
+ $close_pos = strpos( $part, $close, $i + $open_strlen );
+
+ if ($close_pos === false) {
+ $close_pos = $length;
+ }
+
+ // Short-cut through all the multiline code
+ $rest_of_comment = $this->hsc(substr($part, $i + $open_strlen, $close_pos - $i - $open_strlen + $close_strlen));
+ if (($this->lexic_permissions['COMMENTS']['MULTI'] ||
+ $test_str_match == GESHI_START_IMPORTANT) &&
+ $check_linenumbers) {
+
+ // strreplace to put close span and open span around multiline newlines
+ $test_str .= str_replace(
+ "\n", "</span>\n<span$attributes>",
+ str_replace("\n ", "\n&nbsp;", $rest_of_comment)
+ );
+ } else {
+ $test_str .= $rest_of_comment;
+ }
+
+ if ($this->lexic_permissions['COMMENTS']['MULTI'] ||
+ $test_str_match == GESHI_START_IMPORTANT) {
+ $test_str .= '</span>';
+ }
+
+ $i = $close_pos + $close_strlen - 1;
+
+ // parse the rest
+ $result .= $this->parse_non_string_part($stuff_to_parse);
+ $stuff_to_parse = '';
+ }
+ }
+
+ // If we haven't matched a multiline comment, try single-line comments
+ if (!$COMMENT_MATCHED) {
+ // cache potential single line comment occurances
+ if (!empty($this->language_data['COMMENT_SINGLE']) && $next_comment_single_pos < $i) {
+ $next_comment_single_pos = $length;
+ foreach ($this->language_data['COMMENT_SINGLE'] as $comment_key => $comment_mark) {
+ $match_i = false;
+ if (isset($comment_single_cache_per_key[$comment_key]) &&
+ ($comment_single_cache_per_key[$comment_key] >= $i ||
+ $comment_single_cache_per_key[$comment_key] === false)) {
+ // we have already matched something
+ if ($comment_single_cache_per_key[$comment_key] === false) {
+ // this comment is never matched
+ continue;
+ }
+ $match_i = $comment_single_cache_per_key[$comment_key];
+ } else if (
+ // case sensitive comments
+ ($this->language_data['CASE_SENSITIVE'][GESHI_COMMENTS] &&
+ ($match_i = stripos($part, $comment_mark, $i)) !== false) ||
+ // non case sensitive
+ (!$this->language_data['CASE_SENSITIVE'][GESHI_COMMENTS] &&
+ (($match_i = strpos($part, $comment_mark, $i)) !== false))) {
+ $comment_single_cache_per_key[$comment_key] = $match_i;
+ } else {
+ $comment_single_cache_per_key[$comment_key] = false;
+ continue;
+ }
+ if ($match_i !== false && $match_i < $next_comment_single_pos) {
+ $next_comment_single_pos = $match_i;
+ $next_comment_single_key = $comment_key;
+ if ($match_i === $i) {
+ break;
+ }
+ }
+ }
+ }
+ if ($next_comment_single_pos == $i) {
+ $comment_key = $next_comment_single_key;
+ $comment_mark = $this->language_data['COMMENT_SINGLE'][$comment_key];
+ $com_len = strlen($comment_mark);
+
+ // This check will find special variables like $# in bash
+ // or compiler directives of Delphi beginning {$
+ if ((empty($sc_disallowed_before) || ($i == 0) ||
+ (false === strpos($sc_disallowed_before, $part[$i-1]))) &&
+ (empty($sc_disallowed_after) || ($length <= $i + $com_len) ||
+ (false === strpos($sc_disallowed_after, $part[$i + $com_len]))))
+ {
+ // this is a valid comment
+ $COMMENT_MATCHED = true;
+ if ($this->lexic_permissions['COMMENTS'][$comment_key]) {
+ if (!$this->use_classes) {
+ $attributes = ' style="' . $this->language_data['STYLES']['COMMENTS'][$comment_key] . '"';
+ } else {
+ $attributes = ' class="co' . $comment_key . '"';
+ }
+ $test_str = "<span$attributes>" . $this->hsc($this->change_case($comment_mark));
+ } else {
+ $test_str = $this->hsc($comment_mark);
+ }
+
+ //Check if this comment is the last in the source
+ $close_pos = strpos($part, "\n", $i);
+ $oops = false;
+ if ($close_pos === false) {
+ $close_pos = $length;
+ $oops = true;
+ }
+ $test_str .= $this->hsc(substr($part, $i + $com_len, $close_pos - $i - $com_len));
+ if ($this->lexic_permissions['COMMENTS'][$comment_key]) {
+ $test_str .= "</span>";
+ }
+
+ // Take into account that the comment might be the last in the source
+ if (!$oops) {
+ $test_str .= "\n";
+ }
+
+ $i = $close_pos;
+
+ // parse the rest
+ $result .= $this->parse_non_string_part($stuff_to_parse);
+ $stuff_to_parse = '';
+ }
+ }
+ }
+ }
+
+ // Where are we adding this char?
+ if (!$COMMENT_MATCHED) {
+ $stuff_to_parse .= $char;
+ } else {
+ $result .= $test_str;
+ unset($test_str);
+ $COMMENT_MATCHED = false;
+ }
+ }
+ // Parse the last bit
+ $result .= $this->parse_non_string_part($stuff_to_parse);
+ $stuff_to_parse = '';
+ } else {
+ $result .= $this->hsc($part);
+ }
+ // Close the <span> that surrounds the block
+ if ($STRICTATTRS != '') {
+ $result = str_replace("\n", "</span>\n<span$STRICTATTRS>", $result);
+ $result .= '</span>';
+ }
+
+ $endresult .= $result;
+ unset($part, $parts[$key], $result);
+ }
+
+ //This fix is related to SF#1923020, but has to be applied regardless of
+ //actually highlighting symbols.
+ /** NOTE: memorypeak #3 */
+ $endresult = str_replace(array('<SEMI>', '<PIPE>'), array(';', '|'), $endresult);
+
+// // Parse the last stuff (redundant?)
+// $result .= $this->parse_non_string_part($stuff_to_parse);
+
+ // Lop off the very first and last spaces
+// $result = substr($result, 1, -1);
+
+ // We're finished: stop timing
+ $this->set_time($start_time, microtime());
+
+ $this->finalise($endresult);
+ return $endresult;
+ }
+
+ /**
+ * Swaps out spaces and tabs for HTML indentation. Not needed if
+ * the code is in a pre block...
+ *
+ * @param string The source to indent (reference!)
+ * @since 1.0.0
+ * @access private
+ */
+ function indent(&$result) {
+ /// Replace tabs with the correct number of spaces
+ if (false !== strpos($result, "\t")) {
+ $lines = explode("\n", $result);
+ $result = null;//Save memory while we process the lines individually
+ $tab_width = $this->get_real_tab_width();
+ $tab_string = '&nbsp;' . str_repeat(' ', $tab_width);
+
+ for ($key = 0, $n = count($lines); $key < $n; $key++) {
+ $line = $lines[$key];
+ if (false === strpos($line, "\t")) {
+ continue;
+ }
+
+ $pos = 0;
+ $length = strlen($line);
+ $lines[$key] = ''; // reduce memory
+
+ $IN_TAG = false;
+ for ($i = 0; $i < $length; ++$i) {
+ $char = $line[$i];
+ // Simple engine to work out whether we're in a tag.
+ // If we are we modify $pos. This is so we ignore HTML
+ // in the line and only workout the tab replacement
+ // via the actual content of the string
+ // This test could be improved to include strings in the
+ // html so that < or > would be allowed in user's styles
+ // (e.g. quotes: '<' '>'; or similar)
+ if ($IN_TAG) {
+ if ('>' == $char) {
+ $IN_TAG = false;
+ }
+ $lines[$key] .= $char;
+ } else if ('<' == $char) {
+ $IN_TAG = true;
+ $lines[$key] .= '<';
+ } else if ('&' == $char) {
+ $substr = substr($line, $i + 3, 5);
+ $posi = strpos($substr, ';');
+ if (false === $posi) {
+ ++$pos;
+ } else {
+ $pos -= $posi+2;
+ }
+ $lines[$key] .= $char;
+ } else if ("\t" == $char) {
+ $str = '';
+ // OPTIMISE - move $strs out. Make an array:
+ // $tabs = array(
+ // 1 => '&nbsp;',
+ // 2 => '&nbsp; ',
+ // 3 => '&nbsp; &nbsp;' etc etc
+ // to use instead of building a string every time
+ $tab_end_width = $tab_width - ($pos % $tab_width); //Moved out of the look as it doesn't change within the loop
+ if (($pos & 1) || 1 == $tab_end_width) {
+ $str .= substr($tab_string, 6, $tab_end_width);
+ } else {
+ $str .= substr($tab_string, 0, $tab_end_width+5);
+ }
+ $lines[$key] .= $str;
+ $pos += $tab_end_width;
+
+ if (false === strpos($line, "\t", $i + 1)) {
+ $lines[$key] .= substr($line, $i + 1);
+ break;
+ }
+ } else if (0 == $pos && ' ' == $char) {
+ $lines[$key] .= '&nbsp;';
+ ++$pos;
+ } else {
+ $lines[$key] .= $char;
+ ++$pos;
+ }
+ }
+ }
+ $result = implode("\n", $lines);
+ unset($lines);//We don't need the lines separated beyond this --- free them!
+ }
+ // Other whitespace
+ // BenBE: Fix to reduce the number of replacements to be done
+ $result = preg_replace('/^ /m', '&nbsp;', $result);
+ $result = str_replace(' ', ' &nbsp;', $result);
+
+ if ($this->line_numbers == GESHI_NO_LINE_NUMBERS) {
+ if ($this->line_ending === null) {
+ $result = nl2br($result);
+ } else {
+ $result = str_replace("\n", $this->line_ending, $result);
+ }
+ }
+ }
+
+ /**
+ * Changes the case of a keyword for those languages where a change is asked for
+ *
+ * @param string The keyword to change the case of
+ * @return string The keyword with its case changed
+ * @since 1.0.0
+ * @access private
+ */
+ function change_case($instr) {
+ switch ($this->language_data['CASE_KEYWORDS']) {
+ case GESHI_CAPS_UPPER:
+ return strtoupper($instr);
+ case GESHI_CAPS_LOWER:
+ return strtolower($instr);
+ default:
+ return $instr;
+ }
+ }
+
+ /**
+ * Handles replacements of keywords to include markup and links if requested
+ *
+ * @param string The keyword to add the Markup to
+ * @return The HTML for the match found
+ * @since 1.0.8
+ * @access private
+ *
+ * @todo Get rid of ender in keyword links
+ */
+ function handle_keyword_replace($match) {
+ $k = $this->_kw_replace_group;
+ $keyword = $match[0];
+
+ $before = '';
+ $after = '';
+
+ if ($this->keyword_links) {
+ // Keyword links have been ebabled
+
+ if (isset($this->language_data['URLS'][$k]) &&
+ $this->language_data['URLS'][$k] != '') {
+ // There is a base group for this keyword
+
+ // Old system: strtolower
+ //$keyword = ( $this->language_data['CASE_SENSITIVE'][$group] ) ? $keyword : strtolower($keyword);
+ // New system: get keyword from language file to get correct case
+ if (!$this->language_data['CASE_SENSITIVE'][$k] &&
+ strpos($this->language_data['URLS'][$k], '{FNAME}') !== false) {
+ foreach ($this->language_data['KEYWORDS'][$k] as $word) {
+ if (strcasecmp($word, $keyword) == 0) {
+ break;
+ }
+ }
+ } else {
+ $word = $keyword;
+ }
+
+ $before = '<|UR1|"' .
+ str_replace(
+ array(
+ '{FNAME}',
+ '{FNAMEL}',
+ '{FNAMEU}',
+ '.'),
+ array(
+ str_replace('+', '%20', urlencode($this->hsc($word))),
+ str_replace('+', '%20', urlencode($this->hsc(strtolower($word)))),
+ str_replace('+', '%20', urlencode($this->hsc(strtoupper($word)))),
+ '<DOT>'),
+ $this->language_data['URLS'][$k]
+ ) . '">';
+ $after = '</a>';
+ }
+ }
+
+ return $before . '<|/'. $k .'/>' . $this->change_case($keyword) . '|>' . $after;
+ }
+
+ /**
+ * handles regular expressions highlighting-definitions with callback functions
+ *
+ * @note this is a callback, don't use it directly
+ *
+ * @param array the matches array
+ * @return The highlighted string
+ * @since 1.0.8
+ * @access private
+ */
+ function handle_regexps_callback($matches) {
+ // before: "' style=\"' . call_user_func(\"$func\", '\\1') . '\"\\1|>'",
+ return ' style="' . call_user_func($this->language_data['STYLES']['REGEXPS'][$this->_rx_key], $matches[1]) . '"'. $matches[1] . '|>';
+ }
+
+ /**
+ * handles newlines in REGEXPS matches. Set the _hmr_* vars before calling this
+ *
+ * @note this is a callback, don't use it directly
+ *
+ * @param array the matches array
+ * @return string
+ * @since 1.0.8
+ * @access private
+ */
+ function handle_multiline_regexps($matches) {
+ $before = $this->_hmr_before;
+ $after = $this->_hmr_after;
+ if ($this->_hmr_replace) {
+ $replace = $this->_hmr_replace;
+ $search = array();
+
+ foreach (array_keys($matches) as $k) {
+ $search[] = '\\' . $k;
+ }
+
+ $before = str_replace($search, $matches, $before);
+ $after = str_replace($search, $matches, $after);
+ $replace = str_replace($search, $matches, $replace);
+ } else {
+ $replace = $matches[0];
+ }
+ return $before
+ . '<|!REG3XP' . $this->_hmr_key .'!>'
+ . str_replace("\n", "|>\n<|!REG3XP" . $this->_hmr_key . '!>', $replace)
+ . '|>'
+ . $after;
+ }
+
+ /**
+ * Takes a string that has no strings or comments in it, and highlights
+ * stuff like keywords, numbers and methods.
+ *
+ * @param string The string to parse for keyword, numbers etc.
+ * @since 1.0.0
+ * @access private
+ * @todo BUGGY! Why? Why not build string and return?
+ */
+ function parse_non_string_part($stuff_to_parse) {
+ $stuff_to_parse = ' ' . $this->hsc($stuff_to_parse);
+
+ // Highlight keywords
+ $disallowed_before = "(?<![a-zA-Z0-9\$_\|\#;>|^&";
+ $disallowed_after = "(?![a-zA-Z0-9_\|%\\-&;";
+ if ($this->lexic_permissions['STRINGS']) {
+ $quotemarks = preg_quote(implode($this->language_data['QUOTEMARKS']), '/');
+ $disallowed_before .= $quotemarks;
+ $disallowed_after .= $quotemarks;
+ }
+ $disallowed_before .= "])";
+ $disallowed_after .= "])";
+
+ $parser_control_pergroup = false;
+ if (isset($this->language_data['PARSER_CONTROL'])) {
+ if (isset($this->language_data['PARSER_CONTROL']['KEYWORDS'])) {
+ $x = 0; // check wether per-keyword-group parser_control is enabled
+ if (isset($this->language_data['PARSER_CONTROL']['KEYWORDS']['DISALLOWED_BEFORE'])) {
+ $disallowed_before = $this->language_data['PARSER_CONTROL']['KEYWORDS']['DISALLOWED_BEFORE'];
+ ++$x;
+ }
+ if (isset($this->language_data['PARSER_CONTROL']['KEYWORDS']['DISALLOWED_AFTER'])) {
+ $disallowed_after = $this->language_data['PARSER_CONTROL']['KEYWORDS']['DISALLOWED_AFTER'];
+ ++$x;
+ }
+ $parser_control_pergroup = (count($this->language_data['PARSER_CONTROL']['KEYWORDS']) - $x) > 0;
+ }
+ }
+
+ foreach (array_keys($this->language_data['KEYWORDS']) as $k) {
+ if (!isset($this->lexic_permissions['KEYWORDS'][$k]) ||
+ $this->lexic_permissions['KEYWORDS'][$k]) {
+
+ $case_sensitive = $this->language_data['CASE_SENSITIVE'][$k];
+ $modifiers = $case_sensitive ? '' : 'i';
+
+ // NEW in 1.0.8 - per-keyword-group parser control
+ $disallowed_before_local = $disallowed_before;
+ $disallowed_after_local = $disallowed_after;
+ if ($parser_control_pergroup && isset($this->language_data['PARSER_CONTROL']['KEYWORDS'][$k])) {
+ if (isset($this->language_data['PARSER_CONTROL']['KEYWORDS'][$k]['DISALLOWED_BEFORE'])) {
+ $disallowed_before_local =
+ $this->language_data['PARSER_CONTROL']['KEYWORDS'][$k]['DISALLOWED_BEFORE'];
+ }
+
+ if (isset($this->language_data['PARSER_CONTROL']['KEYWORDS'][$k]['DISALLOWED_AFTER'])) {
+ $disallowed_after_local =
+ $this->language_data['PARSER_CONTROL']['KEYWORDS'][$k]['DISALLOWED_AFTER'];
+ }
+ }
+
+ $this->_kw_replace_group = $k;
+
+ //NEW in 1.0.8, the cached regexp list
+ // since we don't want PHP / PCRE to crash due to too large patterns we split them into smaller chunks
+ for ($set = 0, $set_length = count($this->language_data['CACHED_KEYWORD_LISTS'][$k]); $set < $set_length; ++$set) {
+ $keywordset =& $this->language_data['CACHED_KEYWORD_LISTS'][$k][$set];
+ // Might make a more unique string for putting the number in soon
+ // Basically, we don't put the styles in yet because then the styles themselves will
+ // get highlighted if the language has a CSS keyword in it (like CSS, for example ;))
+ $stuff_to_parse = preg_replace_callback(
+ "/$disallowed_before_local({$keywordset})(?!\<DOT\>(?:htm|php))$disallowed_after_local/$modifiers",
+ array($this, 'handle_keyword_replace'),
+ $stuff_to_parse
+ );
+ }
+ }
+ }
+
+ // Regular expressions
+ foreach ($this->language_data['REGEXPS'] as $key => $regexp) {
+ if ($this->lexic_permissions['REGEXPS'][$key]) {
+ if (is_array($regexp)) {
+ if ($this->line_numbers != GESHI_NO_LINE_NUMBERS) {
+ // produce valid HTML when we match multiple lines
+ $this->_hmr_replace = $regexp[GESHI_REPLACE];
+ $this->_hmr_before = $regexp[GESHI_BEFORE];
+ $this->_hmr_key = $key;
+ $this->_hmr_after = $regexp[GESHI_AFTER];
+ $stuff_to_parse = preg_replace_callback(
+ "/" . $regexp[GESHI_SEARCH] . "/{$regexp[GESHI_MODIFIERS]}",
+ array($this, 'handle_multiline_regexps'),
+ $stuff_to_parse);
+ $this->_hmr_replace = false;
+ $this->_hmr_before = '';
+ $this->_hmr_after = '';
+ } else {
+ $stuff_to_parse = preg_replace(
+ '/' . $regexp[GESHI_SEARCH] . '/' . $regexp[GESHI_MODIFIERS],
+ $regexp[GESHI_BEFORE] . '<|!REG3XP'. $key .'!>' . $regexp[GESHI_REPLACE] . '|>' . $regexp[GESHI_AFTER],
+ $stuff_to_parse);
+ }
+ } else {
+ if ($this->line_numbers != GESHI_NO_LINE_NUMBERS) {
+ // produce valid HTML when we match multiple lines
+ $this->_hmr_key = $key;
+ $stuff_to_parse = preg_replace_callback( "/(" . $regexp . ")/",
+ array($this, 'handle_multiline_regexps'), $stuff_to_parse);
+ $this->_hmr_key = '';
+ } else {
+ $stuff_to_parse = preg_replace( "/(" . $regexp . ")/", "<|!REG3XP$key!>\\1|>", $stuff_to_parse);
+ }
+ }
+ }
+ }
+
+ // Highlight numbers. As of 1.0.8 we support different types of numbers
+ $numbers_found = false;
+ if ($this->lexic_permissions['NUMBERS'] && preg_match('#\d#', $stuff_to_parse )) {
+ $numbers_found = true;
+
+ //For each of the formats ...
+ foreach($this->language_data['NUMBERS_RXCACHE'] as $id => $regexp) {
+ //Check if it should be highlighted ...
+ $stuff_to_parse = preg_replace($regexp, "<|/NUM!$id/>\\1|>", $stuff_to_parse);
+ }
+ }
+
+ //
+ // Now that's all done, replace /[number]/ with the correct styles
+ //
+ foreach (array_keys($this->language_data['KEYWORDS']) as $k) {
+ if (!$this->use_classes) {
+ $attributes = ' style="' .
+ (isset($this->language_data['STYLES']['KEYWORDS'][$k]) ?
+ $this->language_data['STYLES']['KEYWORDS'][$k] : "") . '"';
+ } else {
+ $attributes = ' class="kw' . $k . '"';
+ }
+ $stuff_to_parse = str_replace("<|/$k/>", "<|$attributes>", $stuff_to_parse);
+ }
+
+ if ($numbers_found) {
+ // Put number styles in
+ foreach($this->language_data['NUMBERS_RXCACHE'] as $id => $regexp) {
+ //Commented out for now, as this needs some review ...
+ // if ($numbers_permissions & $id) {
+ //Get the appropriate style ...
+ //Checking for unset styles is done by the style cache builder ...
+ if (!$this->use_classes) {
+ $attributes = ' style="' . $this->language_data['STYLES']['NUMBERS'][$id] . '"';
+ } else {
+ $attributes = ' class="nu'.$id.'"';
+ }
+
+ //Set in the correct styles ...
+ $stuff_to_parse = str_replace("/NUM!$id/", $attributes, $stuff_to_parse);
+ // }
+ }
+ }
+
+ // Highlight methods and fields in objects
+ if ($this->lexic_permissions['METHODS'] && $this->language_data['OOLANG']) {
+ $oolang_spaces = "[\s]*";
+ $oolang_before = "";
+ $oolang_after = "[a-zA-Z][a-zA-Z0-9_]*";
+ if (isset($this->language_data['PARSER_CONTROL'])) {
+ if (isset($this->language_data['PARSER_CONTROL']['OOLANG'])) {
+ if (isset($this->language_data['PARSER_CONTROL']['OOLANG']['MATCH_BEFORE'])) {
+ $oolang_before = $this->language_data['PARSER_CONTROL']['OOLANG']['MATCH_BEFORE'];
+ }
+ if (isset($this->language_data['PARSER_CONTROL']['OOLANG']['MATCH_AFTER'])) {
+ $oolang_after = $this->language_data['PARSER_CONTROL']['OOLANG']['MATCH_AFTER'];
+ }
+ if (isset($this->language_data['PARSER_CONTROL']['OOLANG']['MATCH_SPACES'])) {
+ $oolang_spaces = $this->language_data['PARSER_CONTROL']['OOLANG']['MATCH_SPACES'];
+ }
+ }
+ }
+
+ foreach ($this->language_data['OBJECT_SPLITTERS'] as $key => $splitter) {
+ if (false !== strpos($stuff_to_parse, $splitter)) {
+ if (!$this->use_classes) {
+ $attributes = ' style="' . $this->language_data['STYLES']['METHODS'][$key] . '"';
+ } else {
+ $attributes = ' class="me' . $key . '"';
+ }
+ $stuff_to_parse = preg_replace("/($oolang_before)(" . preg_quote($this->language_data['OBJECT_SPLITTERS'][$key], '/') . ")($oolang_spaces)($oolang_after)/", "\\1\\2\\3<|$attributes>\\4|>", $stuff_to_parse);
+ }
+ }
+ }
+
+ //
+ // Highlight brackets. Yes, I've tried adding a semi-colon to this list.
+ // You try it, and see what happens ;)
+ // TODO: Fix lexic permissions not converting entities if shouldn't
+ // be highlighting regardless
+ //
+ if ($this->lexic_permissions['BRACKETS']) {
+ $stuff_to_parse = str_replace( $this->language_data['CACHE_BRACKET_MATCH'],
+ $this->language_data['CACHE_BRACKET_REPLACE'], $stuff_to_parse );
+ }
+
+
+ //FIX for symbol highlighting ...
+ if ($this->lexic_permissions['SYMBOLS'] && !empty($this->language_data['SYMBOLS'])) {
+ //Get all matches and throw away those witin a block that is already highlighted... (i.e. matched by a regexp)
+ $n_symbols = preg_match_all("/<\|(?:<DOT>|[^>])+>(?:(?!\|>).*?)\|>|<\/a>|(?:" . $this->language_data['SYMBOL_SEARCH'] . ")+/", $stuff_to_parse, $pot_symbols, PREG_OFFSET_CAPTURE | PREG_SET_ORDER);
+ $global_offset = 0;
+ for ($s_id = 0; $s_id < $n_symbols; ++$s_id) {
+ $symbol_match = $pot_symbols[$s_id][0][0];
+ if (strpos($symbol_match, '<') !== false || strpos($symbol_match, '>') !== false) {
+ // already highlighted blocks _must_ include either < or >
+ // so if this conditional applies, we have to skip this match
+ // BenBE: UNLESS the block contains <SEMI> or <PIPE>
+ if(strpos($symbol_match, '<SEMI>') === false &&
+ strpos($symbol_match, '<PIPE>') === false) {
+ continue;
+ }
+ }
+
+ // if we reach this point, we have a valid match which needs to be highlighted
+
+ $symbol_length = strlen($symbol_match);
+ $symbol_offset = $pot_symbols[$s_id][0][1];
+ unset($pot_symbols[$s_id]);
+ $symbol_end = $symbol_length + $symbol_offset;
+ $symbol_hl = "";
+
+ // if we have multiple styles, we have to handle them properly
+ if ($this->language_data['MULTIPLE_SYMBOL_GROUPS']) {
+ $old_sym = -1;
+ // Split the current stuff to replace into its atomic symbols ...
+ preg_match_all("/" . $this->language_data['SYMBOL_SEARCH'] . "/", $symbol_match, $sym_match_syms, PREG_PATTERN_ORDER);
+ foreach ($sym_match_syms[0] as $sym_ms) {
+ //Check if consequtive symbols belong to the same group to save output ...
+ if (isset($this->language_data['SYMBOL_DATA'][$sym_ms])
+ && ($this->language_data['SYMBOL_DATA'][$sym_ms] != $old_sym)) {
+ if (-1 != $old_sym) {
+ $symbol_hl .= "|>";
+ }
+ $old_sym = $this->language_data['SYMBOL_DATA'][$sym_ms];
+ if (!$this->use_classes) {
+ $symbol_hl .= '<| style="' . $this->language_data['STYLES']['SYMBOLS'][$old_sym] . '">';
+ } else {
+ $symbol_hl .= '<| class="sy' . $old_sym . '">';
+ }
+ }
+ $symbol_hl .= $sym_ms;
+ }
+ unset($sym_match_syms);
+
+ //Close remaining tags and insert the replacement at the right position ...
+ //Take caution if symbol_hl is empty to avoid doubled closing spans.
+ if (-1 != $old_sym) {
+ $symbol_hl .= "|>";
+ }
+ } else {
+ if (!$this->use_classes) {
+ $symbol_hl = '<| style="' . $this->language_data['STYLES']['SYMBOLS'][0] . '">';
+ } else {
+ $symbol_hl = '<| class="sy0">';
+ }
+ $symbol_hl .= $symbol_match . '|>';
+ }
+
+ $stuff_to_parse = substr_replace($stuff_to_parse, $symbol_hl, $symbol_offset + $global_offset, $symbol_length);
+
+ // since we replace old text with something of different size,
+ // we'll have to keep track of the differences
+ $global_offset += strlen($symbol_hl) - $symbol_length;
+ }
+ }
+ //FIX for symbol highlighting ...
+
+ // Add class/style for regexps
+ foreach (array_keys($this->language_data['REGEXPS']) as $key) {
+ if ($this->lexic_permissions['REGEXPS'][$key]) {
+ if (is_callable($this->language_data['STYLES']['REGEXPS'][$key])) {
+ $this->_rx_key = $key;
+ $stuff_to_parse = preg_replace_callback("/!REG3XP$key!(.*)\|>/U",
+ array($this, 'handle_regexps_callback'),
+ $stuff_to_parse);
+ } else {
+ if (!$this->use_classes) {
+ $attributes = ' style="' . $this->language_data['STYLES']['REGEXPS'][$key] . '"';
+ } else {
+ if (is_array($this->language_data['REGEXPS'][$key]) &&
+ array_key_exists(GESHI_CLASS, $this->language_data['REGEXPS'][$key])) {
+ $attributes = ' class="' .
+ $this->language_data['REGEXPS'][$key][GESHI_CLASS] . '"';
+ } else {
+ $attributes = ' class="re' . $key . '"';
+ }
+ }
+ $stuff_to_parse = str_replace("!REG3XP$key!", "$attributes", $stuff_to_parse);
+ }
+ }
+ }
+
+ // Replace <DOT> with . for urls
+ $stuff_to_parse = str_replace('<DOT>', '.', $stuff_to_parse);
+ // Replace <|UR1| with <a href= for urls also
+ if (isset($this->link_styles[GESHI_LINK])) {
+ if ($this->use_classes) {
+ $stuff_to_parse = str_replace('<|UR1|', '<a' . $this->link_target . ' href=', $stuff_to_parse);
+ } else {
+ $stuff_to_parse = str_replace('<|UR1|', '<a' . $this->link_target . ' style="' . $this->link_styles[GESHI_LINK] . '" href=', $stuff_to_parse);
+ }
+ } else {
+ $stuff_to_parse = str_replace('<|UR1|', '<a' . $this->link_target . ' href=', $stuff_to_parse);
+ }
+
+ //
+ // NOW we add the span thingy ;)
+ //
+
+ $stuff_to_parse = str_replace('<|', '<span', $stuff_to_parse);
+ $stuff_to_parse = str_replace ( '|>', '</span>', $stuff_to_parse );
+ return substr($stuff_to_parse, 1);
+ }
+
+ /**
+ * Sets the time taken to parse the code
+ *
+ * @param microtime The time when parsing started
+ * @param microtime The time when parsing ended
+ * @since 1.0.2
+ * @access private
+ */
+ function set_time($start_time, $end_time) {
+ $start = explode(' ', $start_time);
+ $end = explode(' ', $end_time);
+ $this->time = $end[0] + $end[1] - $start[0] - $start[1];
+ }
+
+ /**
+ * Gets the time taken to parse the code
+ *
+ * @return double The time taken to parse the code
+ * @since 1.0.2
+ */
+ function get_time() {
+ return $this->time;
+ }
+
+ /**
+ * Merges arrays recursively, overwriting values of the first array with values of later arrays
+ *
+ * @since 1.0.8
+ * @access private
+ */
+ function merge_arrays() {
+ $arrays = func_get_args();
+ $narrays = count($arrays);
+
+ // check arguments
+ // comment out if more performance is necessary (in this case the foreach loop will trigger a warning if the argument is not an array)
+ for ($i = 0; $i < $narrays; $i ++) {
+ if (!is_array($arrays[$i])) {
+ // also array_merge_recursive returns nothing in this case
+ trigger_error('Argument #' . ($i+1) . ' is not an array - trying to merge array with scalar! Returning false!', E_USER_WARNING);
+ return false;
+ }
+ }
+
+ // the first array is in the output set in every case
+ $ret = $arrays[0];
+
+ // merege $ret with the remaining arrays
+ for ($i = 1; $i < $narrays; $i ++) {
+ foreach ($arrays[$i] as $key => $value) {
+ if (is_array($value) && isset($ret[$key])) {
+ // if $ret[$key] is not an array you try to merge an scalar value with an array - the result is not defined (incompatible arrays)
+ // in this case the call will trigger an E_USER_WARNING and the $ret[$key] will be false.
+ $ret[$key] = $this->merge_arrays($ret[$key], $value);
+ } else {
+ $ret[$key] = $value;
+ }
+ }
+ }
+
+ return $ret;
+ }
+
+ /**
+ * Gets language information and stores it for later use
+ *
+ * @param string The filename of the language file you want to load
+ * @since 1.0.0
+ * @access private
+ * @todo Needs to load keys for lexic permissions for keywords, regexps etc
+ */
+ function load_language($file_name) {
+ if ($file_name == $this->loaded_language) {
+ // this file is already loaded!
+ return;
+ }
+
+ //Prepare some stuff before actually loading the language file
+ $this->loaded_language = $file_name;
+ $this->parse_cache_built = false;
+ $this->enable_highlighting();
+ $language_data = array();
+
+ //Load the language file
+ require $file_name;
+
+ // Perhaps some checking might be added here later to check that
+ // $language data is a valid thing but maybe not
+ $this->language_data = $language_data;
+
+ // Set strict mode if should be set
+ $this->strict_mode = $this->language_data['STRICT_MODE_APPLIES'];
+
+ // Set permissions for all lexics to true
+ // so they'll be highlighted by default
+ foreach (array_keys($this->language_data['KEYWORDS']) as $key) {
+ if (!empty($this->language_data['KEYWORDS'][$key])) {
+ $this->lexic_permissions['KEYWORDS'][$key] = true;
+ } else {
+ $this->lexic_permissions['KEYWORDS'][$key] = false;
+ }
+ }
+
+ foreach (array_keys($this->language_data['COMMENT_SINGLE']) as $key) {
+ $this->lexic_permissions['COMMENTS'][$key] = true;
+ }
+ foreach (array_keys($this->language_data['REGEXPS']) as $key) {
+ $this->lexic_permissions['REGEXPS'][$key] = true;
+ }
+
+ // for BenBE and future code reviews:
+ // we can use empty here since we only check for existance and emptiness of an array
+ // if it is not an array at all but rather false or null this will work as intended as well
+ // even if $this->language_data['PARSER_CONTROL'] is undefined this won't trigger a notice
+ if (!empty($this->language_data['PARSER_CONTROL']['ENABLE_FLAGS'])) {
+ foreach ($this->language_data['PARSER_CONTROL']['ENABLE_FLAGS'] as $flag => $value) {
+ // it's either true or false and maybe is true as well
+ $perm = $value !== GESHI_NEVER;
+ if ($flag == 'ALL') {
+ $this->enable_highlighting($perm);
+ continue;
+ }
+ if (!isset($this->lexic_permissions[$flag])) {
+ // unknown lexic permission
+ continue;
+ }
+ if (is_array($this->lexic_permissions[$flag])) {
+ foreach ($this->lexic_permissions[$flag] as $key => $val) {
+ $this->lexic_permissions[$flag][$key] = $perm;
+ }
+ } else {
+ $this->lexic_permissions[$flag] = $perm;
+ }
+ }
+ unset($this->language_data['PARSER_CONTROL']['ENABLE_FLAGS']);
+ }
+
+ //Fix: Problem where hardescapes weren't handled if no ESCAPE_CHAR was given
+ //You need to set one for HARDESCAPES only in this case.
+ if(!isset($this->language_data['HARDCHAR'])) {
+ $this->language_data['HARDCHAR'] = $this->language_data['ESCAPE_CHAR'];
+ }
+
+ //NEW in 1.0.8: Allow styles to be loaded from a separate file to override defaults
+ $style_filename = substr($file_name, 0, -4) . '.style.php';
+ if (is_readable($style_filename)) {
+ //Clear any style_data that could have been set before ...
+ if (isset($style_data)) {
+ unset($style_data);
+ }
+
+ //Read the Style Information from the style file
+ include $style_filename;
+
+ //Apply the new styles to our current language styles
+ if (isset($style_data) && is_array($style_data)) {
+ $this->language_data['STYLES'] =
+ $this->merge_arrays($this->language_data['STYLES'], $style_data);
+ }
+ }
+ }
+
+ /**
+ * Takes the parsed code and various options, and creates the HTML
+ * surrounding it to make it look nice.
+ *
+ * @param string The code already parsed (reference!)
+ * @since 1.0.0
+ * @access private
+ */
+ function finalise(&$parsed_code) {
+ // Remove end parts of important declarations
+ // This is BUGGY!! My fault for bad code: fix coming in 1.2
+ // @todo Remove this crap
+ if ($this->enable_important_blocks &&
+ (strpos($parsed_code, $this->hsc(GESHI_START_IMPORTANT)) === false)) {
+ $parsed_code = str_replace($this->hsc(GESHI_END_IMPORTANT), '', $parsed_code);
+ }
+
+ // Add HTML whitespace stuff if we're using the <div> header
+ if ($this->header_type != GESHI_HEADER_PRE && $this->header_type != GESHI_HEADER_PRE_VALID) {
+ $this->indent($parsed_code);
+ }
+
+ // purge some unnecessary stuff
+ /** NOTE: memorypeak #1 */
+ $parsed_code = preg_replace('#<span[^>]+>(\s*)</span>#', '\\1', $parsed_code);
+
+ // If we are using IDs for line numbers, there needs to be an overall
+ // ID set to prevent collisions.
+ if ($this->add_ids && !$this->overall_id) {
+ $this->overall_id = 'geshi-' . substr(md5(microtime()), 0, 4);
+ }
+
+ // Get code into lines
+ /** NOTE: memorypeak #2 */
+ $code = explode("\n", $parsed_code);
+ $parsed_code = $this->header();
+
+ // If we're using line numbers, we insert <li>s and appropriate
+ // markup to style them (otherwise we don't need to do anything)
+ if ($this->line_numbers != GESHI_NO_LINE_NUMBERS && $this->header_type != GESHI_HEADER_PRE_TABLE) {
+ // If we're using the <pre> header, we shouldn't add newlines because
+ // the <pre> will line-break them (and the <li>s already do this for us)
+ $ls = ($this->header_type != GESHI_HEADER_PRE && $this->header_type != GESHI_HEADER_PRE_VALID) ? "\n" : '';
+
+ // Set vars to defaults for following loop
+ $i = 0;
+
+ // Foreach line...
+ for ($i = 0, $n = count($code); $i < $n;) {
+ //Reset the attributes for a new line ...
+ $attrs = array();
+
+ // Make lines have at least one space in them if they're empty
+ // BenBE: Checking emptiness using trim instead of relying on blanks
+ if ('' == trim($code[$i])) {
+ $code[$i] = '&nbsp;';
+ }
+
+ // If this is a "special line"...
+ if ($this->line_numbers == GESHI_FANCY_LINE_NUMBERS &&
+ $i % $this->line_nth_row == ($this->line_nth_row - 1)) {
+ // Set the attributes to style the line
+ if ($this->use_classes) {
+ //$attr = ' class="li2"';
+ $attrs['class'][] = 'li2';
+ $def_attr = ' class="de2"';
+ } else {
+ //$attr = ' style="' . $this->line_style2 . '"';
+ $attrs['style'][] = $this->line_style2;
+ // This style "covers up" the special styles set for special lines
+ // so that styles applied to special lines don't apply to the actual
+ // code on that line
+ $def_attr = ' style="' . $this->code_style . '"';
+ }
+ } else {
+ if ($this->use_classes) {
+ //$attr = ' class="li1"';
+ $attrs['class'][] = 'li1';
+ $def_attr = ' class="de1"';
+ } else {
+ //$attr = ' style="' . $this->line_style1 . '"';
+ $attrs['style'][] = $this->line_style1;
+ $def_attr = ' style="' . $this->code_style . '"';
+ }
+ }
+
+ //Check which type of tag to insert for this line
+ if ($this->header_type == GESHI_HEADER_PRE_VALID) {
+ $start = "<pre$def_attr>";
+ $end = '</pre>';
+ } else {
+ // Span or div?
+ $start = "<div$def_attr>";
+ $end = '</div>';
+ }
+
+ ++$i;
+
+ // Are we supposed to use ids? If so, add them
+ if ($this->add_ids) {
+ $attrs['id'][] = "$this->overall_id-$i";
+ }
+
+ //Is this some line with extra styles???
+ if (in_array($i, $this->highlight_extra_lines)) {
+ if ($this->use_classes) {
+ if (isset($this->highlight_extra_lines_styles[$i])) {
+ $attrs['class'][] = "lx$i";
+ } else {
+ $attrs['class'][] = "ln-xtra";
+ }
+ } else {
+ array_push($attrs['style'], $this->get_line_style($i));
+ }
+ }
+
+ // Add in the line surrounded by appropriate list HTML
+ $attr_string = '';
+ foreach ($attrs as $key => $attr) {
+ $attr_string .= ' ' . $key . '="' . implode(' ', $attr) . '"';
+ }
+
+ $parsed_code .= "<li$attr_string>$start{$code[$i-1]}$end</li>$ls";
+ unset($code[$i - 1]);
+ }
+ } else {
+ $n = count($code);
+ if ($this->use_classes) {
+ $attributes = ' class="de1"';
+ } else {
+ $attributes = ' style="'. $this->code_style .'"';
+ }
+ if ($this->header_type == GESHI_HEADER_PRE_VALID) {
+ $parsed_code .= '<pre'. $attributes .'>';
+ } elseif ($this->header_type == GESHI_HEADER_PRE_TABLE) {
+ if ($this->line_numbers != GESHI_NO_LINE_NUMBERS) {
+ if ($this->use_classes) {
+ $attrs = ' class="ln"';
+ } else {
+ $attrs = ' style="'. $this->table_linenumber_style .'"';
+ }
+ $parsed_code .= '<td'.$attrs.'><pre'.$attributes.'>';
+ // get linenumbers
+ // we don't merge it with the for below, since it should be better for
+ // memory consumption this way
+ // @todo: but... actually it would still be somewhat nice to merge the two loops
+ // the mem peaks are at different positions
+ for ($i = 0; $i < $n; ++$i) {
+ $close = 0;
+ // fancy lines
+ if ($this->line_numbers == GESHI_FANCY_LINE_NUMBERS &&
+ $i % $this->line_nth_row == ($this->line_nth_row - 1)) {
+ // Set the attributes to style the line
+ if ($this->use_classes) {
+ $parsed_code .= '<span class="xtra li2"><span class="de2">';
+ } else {
+ // This style "covers up" the special styles set for special lines
+ // so that styles applied to special lines don't apply to the actual
+ // code on that line
+ $parsed_code .= '<span style="display:block;' . $this->line_style2 . '">'
+ .'<span style="' . $this->code_style .'">';
+ }
+ $close += 2;
+ }
+ //Is this some line with extra styles???
+ if (in_array($i + 1, $this->highlight_extra_lines)) {
+ if ($this->use_classes) {
+ if (isset($this->highlight_extra_lines_styles[$i])) {
+ $parsed_code .= "<span class=\"xtra lx$i\">";
+ } else {
+ $parsed_code .= "<span class=\"xtra ln-xtra\">";
+ }
+ } else {
+ $parsed_code .= "<span style=\"display:block;" . $this->get_line_style($i) . "\">";
+ }
+ ++$close;
+ }
+ $parsed_code .= $this->line_numbers_start + $i;
+ if ($close) {
+ $parsed_code .= str_repeat('</span>', $close);
+ } else if ($i != $n) {
+ $parsed_code .= "\n";
+ }
+ }
+ $parsed_code .= '</pre></td><td'.$attributes.'>';
+ }
+ $parsed_code .= '<pre'. $attributes .'>';
+ }
+ // No line numbers, but still need to handle highlighting lines extra.
+ // Have to use divs so the full width of the code is highlighted
+ $close = 0;
+ for ($i = 0; $i < $n; ++$i) {
+ // Make lines have at least one space in them if they're empty
+ // BenBE: Checking emptiness using trim instead of relying on blanks
+ if ('' == trim($code[$i])) {
+ $code[$i] = '&nbsp;';
+ }
+ // fancy lines
+ if ($this->line_numbers == GESHI_FANCY_LINE_NUMBERS &&
+ $i % $this->line_nth_row == ($this->line_nth_row - 1)) {
+ // Set the attributes to style the line
+ if ($this->use_classes) {
+ $parsed_code .= '<span class="xtra li2"><span class="de2">';
+ } else {
+ // This style "covers up" the special styles set for special lines
+ // so that styles applied to special lines don't apply to the actual
+ // code on that line
+ $parsed_code .= '<span style="display:block;' . $this->line_style2 . '">'
+ .'<span style="' . $this->code_style .'">';
+ }
+ $close += 2;
+ }
+ //Is this some line with extra styles???
+ if (in_array($i + 1, $this->highlight_extra_lines)) {
+ if ($this->use_classes) {
+ if (isset($this->highlight_extra_lines_styles[$i])) {
+ $parsed_code .= "<span class=\"xtra lx$i\">";
+ } else {
+ $parsed_code .= "<span class=\"xtra ln-xtra\">";
+ }
+ } else {
+ $parsed_code .= "<span style=\"display:block;" . $this->get_line_style($i) . "\">";
+ }
+ ++$close;
+ }
+
+ $parsed_code .= $code[$i];
+
+ if ($close) {
+ $parsed_code .= str_repeat('</span>', $close);
+ $close = 0;
+ }
+ elseif ($i + 1 < $n) {
+ $parsed_code .= "\n";
+ }
+ unset($code[$i]);
+ }
+
+ if ($this->header_type == GESHI_HEADER_PRE_VALID || $this->header_type == GESHI_HEADER_PRE_TABLE) {
+ $parsed_code .= '</pre>';
+ }
+ if ($this->header_type == GESHI_HEADER_PRE_TABLE && $this->line_numbers != GESHI_NO_LINE_NUMBERS) {
+ $parsed_code .= '</td>';
+ }
+ }
+
+ $parsed_code .= $this->footer();
+ }
+
+ /**
+ * Creates the header for the code block (with correct attributes)
+ *
+ * @return string The header for the code block
+ * @since 1.0.0
+ * @access private
+ */
+ function header() {
+ // Get attributes needed
+ /**
+ * @todo Document behaviour change - class is outputted regardless of whether
+ * we're using classes or not. Same with style
+ */
+ $attributes = ' class="' . $this->language;
+ if ($this->overall_class != '') {
+ $attributes .= " ".$this->overall_class;
+ }
+ $attributes .= '"';
+
+ if ($this->overall_id != '') {
+ $attributes .= " id=\"{$this->overall_id}\"";
+ }
+ if ($this->overall_style != '') {
+ $attributes .= ' style="' . $this->overall_style . '"';
+ }
+
+ $ol_attributes = '';
+
+ if ($this->line_numbers_start != 1) {
+ $ol_attributes .= ' start="' . $this->line_numbers_start . '"';
+ }
+
+ // Get the header HTML
+ $header = $this->header_content;
+ if ($header) {
+ if ($this->header_type == GESHI_HEADER_PRE || $this->header_type == GESHI_HEADER_PRE_VALID) {
+ $header = str_replace("\n", '', $header);
+ }
+ $header = $this->replace_keywords($header);
+
+ if ($this->use_classes) {
+ $attr = ' class="head"';
+ } else {
+ $attr = " style=\"{$this->header_content_style}\"";
+ }
+ if ($this->header_type == GESHI_HEADER_PRE_TABLE && $this->line_numbers != GESHI_NO_LINE_NUMBERS) {
+ $header = "<thead><tr><td colspan=\"2\" $attr>$header</td></tr></thead>";
+ } else {
+ $header = "<div$attr>$header</div>";
+ }
+ }
+
+ if (GESHI_HEADER_NONE == $this->header_type) {
+ if ($this->line_numbers != GESHI_NO_LINE_NUMBERS) {
+ return "$header<ol$attributes$ol_attributes>";
+ }
+ return $header . ($this->force_code_block ? '<div>' : '');
+ }
+
+ // Work out what to return and do it
+ if ($this->line_numbers != GESHI_NO_LINE_NUMBERS) {
+ if ($this->header_type == GESHI_HEADER_PRE) {
+ return "<pre$attributes>$header<ol$ol_attributes>";
+ } else if ($this->header_type == GESHI_HEADER_DIV ||
+ $this->header_type == GESHI_HEADER_PRE_VALID) {
+ return "<div$attributes>$header<ol$ol_attributes>";
+ } else if ($this->header_type == GESHI_HEADER_PRE_TABLE) {
+ return "<table$attributes>$header<tbody><tr class=\"li1\">";
+ }
+ } else {
+ if ($this->header_type == GESHI_HEADER_PRE) {
+ return "<pre$attributes>$header" .
+ ($this->force_code_block ? '<div>' : '');
+ } else {
+ return "<div$attributes>$header" .
+ ($this->force_code_block ? '<div>' : '');
+ }
+ }
+ }
+
+ /**
+ * Returns the footer for the code block.
+ *
+ * @return string The footer for the code block
+ * @since 1.0.0
+ * @access private
+ */
+ function footer() {
+ $footer = $this->footer_content;
+ if ($footer) {
+ if ($this->header_type == GESHI_HEADER_PRE) {
+ $footer = str_replace("\n", '', $footer);;
+ }
+ $footer = $this->replace_keywords($footer);
+
+ if ($this->use_classes) {
+ $attr = ' class="foot"';
+ } else {
+ $attr = " style=\"{$this->footer_content_style}\"";
+ }
+ if ($this->header_type == GESHI_HEADER_PRE_TABLE && $this->line_numbers != GESHI_NO_LINE_NUMBERS) {
+ $footer = "<tfoot><tr><td colspan=\"2\">$footer</td></tr></tfoot>";
+ } else {
+ $footer = "<div$attr>$footer</div>";
+ }
+ }
+
+ if (GESHI_HEADER_NONE == $this->header_type) {
+ return ($this->line_numbers != GESHI_NO_LINE_NUMBERS) ? '</ol>' . $footer : $footer;
+ }
+
+ if ($this->header_type == GESHI_HEADER_DIV || $this->header_type == GESHI_HEADER_PRE_VALID) {
+ if ($this->line_numbers != GESHI_NO_LINE_NUMBERS) {
+ return "</ol>$footer</div>";
+ }
+ return ($this->force_code_block ? '</div>' : '') .
+ "$footer</div>";
+ }
+ elseif ($this->header_type == GESHI_HEADER_PRE_TABLE) {
+ if ($this->line_numbers != GESHI_NO_LINE_NUMBERS) {
+ return "</tr></tbody>$footer</table>";
+ }
+ return ($this->force_code_block ? '</div>' : '') .
+ "$footer</div>";
+ }
+ else {
+ if ($this->line_numbers != GESHI_NO_LINE_NUMBERS) {
+ return "</ol>$footer</pre>";
+ }
+ return ($this->force_code_block ? '</div>' : '') .
+ "$footer</pre>";
+ }
+ }
+
+ /**
+ * Replaces certain keywords in the header and footer with
+ * certain configuration values
+ *
+ * @param string The header or footer content to do replacement on
+ * @return string The header or footer with replaced keywords
+ * @since 1.0.2
+ * @access private
+ */
+ function replace_keywords($instr) {
+ $keywords = $replacements = array();
+
+ $keywords[] = '<TIME>';
+ $keywords[] = '{TIME}';
+ $replacements[] = $replacements[] = number_format($time = $this->get_time(), 3);
+
+ $keywords[] = '<LANGUAGE>';
+ $keywords[] = '{LANGUAGE}';
+ $replacements[] = $replacements[] = $this->language_data['LANG_NAME'];
+
+ $keywords[] = '<VERSION>';
+ $keywords[] = '{VERSION}';
+ $replacements[] = $replacements[] = GESHI_VERSION;
+
+ $keywords[] = '<SPEED>';
+ $keywords[] = '{SPEED}';
+ if ($time <= 0) {
+ $speed = 'N/A';
+ } else {
+ $speed = strlen($this->source) / $time;
+ if ($speed >= 1024) {
+ $speed = sprintf("%.2f KB/s", $speed / 1024.0);
+ } else {
+ $speed = sprintf("%.0f B/s", $speed);
+ }
+ }
+ $replacements[] = $replacements[] = $speed;
+
+ return str_replace($keywords, $replacements, $instr);
+ }
+
+ /**
+ * Secure replacement for PHP built-in function htmlspecialchars().
+ *
+ * See ticket #427 (http://wush.net/trac/wikka/ticket/427) for the rationale
+ * for this replacement function.
+ *
+ * The INTERFACE for this function is almost the same as that for
+ * htmlspecialchars(), with the same default for quote style; however, there
+ * is no 'charset' parameter. The reason for this is as follows:
+ *
+ * The PHP docs say:
+ * "The third argument charset defines character set used in conversion."
+ *
+ * I suspect PHP's htmlspecialchars() is working at the byte-value level and
+ * thus _needs_ to know (or asssume) a character set because the special
+ * characters to be replaced could exist at different code points in
+ * different character sets. (If indeed htmlspecialchars() works at
+ * byte-value level that goes some way towards explaining why the
+ * vulnerability would exist in this function, too, and not only in
+ * htmlentities() which certainly is working at byte-value level.)
+ *
+ * This replacement function however works at character level and should
+ * therefore be "immune" to character set differences - so no charset
+ * parameter is needed or provided. If a third parameter is passed, it will
+ * be silently ignored.
+ *
+ * In the OUTPUT there is a minor difference in that we use '&#39;' instead
+ * of PHP's '&#039;' for a single quote: this provides compatibility with
+ * get_html_translation_table(HTML_SPECIALCHARS, ENT_QUOTES)
+ * (see comment by mikiwoz at yahoo dot co dot uk on
+ * http://php.net/htmlspecialchars); it also matches the entity definition
+ * for XML 1.0
+ * (http://www.w3.org/TR/xhtml1/dtds.html#a_dtd_Special_characters).
+ * Like PHP we use a numeric character reference instead of '&apos;' for the
+ * single quote. For the other special characters we use the named entity
+ * references, as PHP is doing.
+ *
+ * @author {@link http://wikkawiki.org/JavaWoman Marjolein Katsma}
+ *
+ * @license http://www.gnu.org/copyleft/lgpl.html
+ * GNU Lesser General Public License
+ * @copyright Copyright 2007, {@link http://wikkawiki.org/CreditsPage
+ * Wikka Development Team}
+ *
+ * @access private
+ * @param string $string string to be converted
+ * @param integer $quote_style
+ * - ENT_COMPAT: escapes &, <, > and double quote (default)
+ * - ENT_NOQUOTES: escapes only &, < and >
+ * - ENT_QUOTES: escapes &, <, >, double and single quotes
+ * @return string converted string
+ * @since 1.0.7.18
+ */
+ function hsc($string, $quote_style = ENT_COMPAT) {
+ // init
+ static $aTransSpecchar = array(
+ '&' => '&amp;',
+ '"' => '&quot;',
+ '<' => '&lt;',
+ '>' => '&gt;',
+
+ //This fix is related to SF#1923020, but has to be applied
+ //regardless of actually highlighting symbols.
+
+ //Circumvent a bug with symbol highlighting
+ //This is required as ; would produce undesirable side-effects if it
+ //was not to be processed as an entity.
+ ';' => '<SEMI>', // Force ; to be processed as entity
+ '|' => '<PIPE>' // Force | to be processed as entity
+ ); // ENT_COMPAT set
+
+ switch ($quote_style) {
+ case ENT_NOQUOTES: // don't convert double quotes
+ unset($aTransSpecchar['"']);
+ break;
+ case ENT_QUOTES: // convert single quotes as well
+ $aTransSpecchar["'"] = '&#39;'; // (apos) htmlspecialchars() uses '&#039;'
+ break;
+ }
+
+ // return translated string
+ return strtr($string, $aTransSpecchar);
+ }
+
+ /**
+ * Returns a stylesheet for the highlighted code. If $economy mode
+ * is true, we only return the stylesheet declarations that matter for
+ * this code block instead of the whole thing
+ *
+ * @param boolean Whether to use economy mode or not
+ * @return string A stylesheet built on the data for the current language
+ * @since 1.0.0
+ */
+ function get_stylesheet($economy_mode = true) {
+ // If there's an error, chances are that the language file
+ // won't have populated the language data file, so we can't
+ // risk getting a stylesheet...
+ if ($this->error) {
+ return '';
+ }
+
+ //Check if the style rearrangements have been processed ...
+ //This also does some preprocessing to check which style groups are useable ...
+ if(!isset($this->language_data['NUMBERS_CACHE'])) {
+ $this->build_style_cache();
+ }
+
+ // First, work out what the selector should be. If there's an ID,
+ // that should be used, the same for a class. Otherwise, a selector
+ // of '' means that these styles will be applied anywhere
+ if ($this->overall_id) {
+ $selector = '#' . $this->overall_id;
+ } else {
+ $selector = '.' . $this->language;
+ if ($this->overall_class) {
+ $selector .= '.' . $this->overall_class;
+ }
+ }
+ $selector .= ' ';
+
+ // Header of the stylesheet
+ if (!$economy_mode) {
+ $stylesheet = "/**\n".
+ " * GeSHi Dynamically Generated Stylesheet\n".
+ " * --------------------------------------\n".
+ " * Dynamically generated stylesheet for {$this->language}\n".
+ " * CSS class: {$this->overall_class}, CSS id: {$this->overall_id}\n".
+ " * GeSHi (C) 2004 - 2007 Nigel McNie, 2007 - 2008 Benny Baumann\n" .
+ " * (http://qbnz.com/highlighter/ and http://geshi.org/)\n".
+ " * --------------------------------------\n".
+ " */\n";
+ } else {
+ $stylesheet = "/**\n".
+ " * GeSHi (C) 2004 - 2007 Nigel McNie, 2007 - 2008 Benny Baumann\n" .
+ " * (http://qbnz.com/highlighter/ and http://geshi.org/)\n".
+ " */\n";
+ }
+
+ // Set the <ol> to have no effect at all if there are line numbers
+ // (<ol>s have margins that should be destroyed so all layout is
+ // controlled by the set_overall_style method, which works on the
+ // <pre> or <div> container). Additionally, set default styles for lines
+ if (!$economy_mode || $this->line_numbers != GESHI_NO_LINE_NUMBERS) {
+ //$stylesheet .= "$selector, {$selector}ol, {$selector}ol li {margin: 0;}\n";
+ $stylesheet .= "$selector.de1, $selector.de2 {{$this->code_style}}\n";
+ }
+
+ // Add overall styles
+ // note: neglect economy_mode, empty styles are meaningless
+ if ($this->overall_style != '') {
+ $stylesheet .= "$selector {{$this->overall_style}}\n";
+ }
+
+ // Add styles for links
+ // note: economy mode does not make _any_ sense here
+ // either the style is empty and thus no selector is needed
+ // or the appropriate key is given.
+ foreach ($this->link_styles as $key => $style) {
+ if ($style != '') {
+ switch ($key) {
+ case GESHI_LINK:
+ $stylesheet .= "{$selector}a:link {{$style}}\n";
+ break;
+ case GESHI_HOVER:
+ $stylesheet .= "{$selector}a:hover {{$style}}\n";
+ break;
+ case GESHI_ACTIVE:
+ $stylesheet .= "{$selector}a:active {{$style}}\n";
+ break;
+ case GESHI_VISITED:
+ $stylesheet .= "{$selector}a:visited {{$style}}\n";
+ break;
+ }
+ }
+ }
+
+ // Header and footer
+ // note: neglect economy_mode, empty styles are meaningless
+ if ($this->header_content_style != '') {
+ $stylesheet .= "$selector.head {{$this->header_content_style}}\n";
+ }
+ if ($this->footer_content_style != '') {
+ $stylesheet .= "$selector.foot {{$this->footer_content_style}}\n";
+ }
+
+ // Styles for important stuff
+ // note: neglect economy_mode, empty styles are meaningless
+ if ($this->important_styles != '') {
+ $stylesheet .= "$selector.imp {{$this->important_styles}}\n";
+ }
+
+ // Simple line number styles
+ if ((!$economy_mode || $this->line_numbers != GESHI_NO_LINE_NUMBERS) && $this->line_style1 != '') {
+ $stylesheet .= "{$selector}li, {$selector}.li1 {{$this->line_style1}}\n";
+ }
+ if ((!$economy_mode || $this->line_numbers != GESHI_NO_LINE_NUMBERS) && $this->table_linenumber_style != '') {
+ $stylesheet .= "{$selector}.ln {{$this->table_linenumber_style}}\n";
+ }
+ // If there is a style set for fancy line numbers, echo it out
+ if ((!$economy_mode || $this->line_numbers == GESHI_FANCY_LINE_NUMBERS) && $this->line_style2 != '') {
+ $stylesheet .= "{$selector}.li2 {{$this->line_style2}}\n";
+ }
+
+ // note: empty styles are meaningless
+ foreach ($this->language_data['STYLES']['KEYWORDS'] as $group => $styles) {
+ if ($styles != '' && (!$economy_mode ||
+ (isset($this->lexic_permissions['KEYWORDS'][$group]) &&
+ $this->lexic_permissions['KEYWORDS'][$group]))) {
+ $stylesheet .= "$selector.kw$group {{$styles}}\n";
+ }
+ }
+ foreach ($this->language_data['STYLES']['COMMENTS'] as $group => $styles) {
+ if ($styles != '' && (!$economy_mode ||
+ (isset($this->lexic_permissions['COMMENTS'][$group]) &&
+ $this->lexic_permissions['COMMENTS'][$group]) ||
+ (!empty($this->language_data['COMMENT_REGEXP']) &&
+ !empty($this->language_data['COMMENT_REGEXP'][$group])))) {
+ $stylesheet .= "$selector.co$group {{$styles}}\n";
+ }
+ }
+ foreach ($this->language_data['STYLES']['ESCAPE_CHAR'] as $group => $styles) {
+ if ($styles != '' && (!$economy_mode || $this->lexic_permissions['ESCAPE_CHAR'])) {
+ // NEW: since 1.0.8 we have to handle hardescapes
+ if ($group === 'HARD') {
+ $group = '_h';
+ }
+ $stylesheet .= "$selector.es$group {{$styles}}\n";
+ }
+ }
+ foreach ($this->language_data['STYLES']['BRACKETS'] as $group => $styles) {
+ if ($styles != '' && (!$economy_mode || $this->lexic_permissions['BRACKETS'])) {
+ $stylesheet .= "$selector.br$group {{$styles}}\n";
+ }
+ }
+ foreach ($this->language_data['STYLES']['SYMBOLS'] as $group => $styles) {
+ if ($styles != '' && (!$economy_mode || $this->lexic_permissions['SYMBOLS'])) {
+ $stylesheet .= "$selector.sy$group {{$styles}}\n";
+ }
+ }
+ foreach ($this->language_data['STYLES']['STRINGS'] as $group => $styles) {
+ if ($styles != '' && (!$economy_mode || $this->lexic_permissions['STRINGS'])) {
+ // NEW: since 1.0.8 we have to handle hardquotes
+ if ($group === 'HARD') {
+ $group = '_h';
+ }
+ $stylesheet .= "$selector.st$group {{$styles}}\n";
+ }
+ }
+ foreach ($this->language_data['STYLES']['NUMBERS'] as $group => $styles) {
+ if ($styles != '' && (!$economy_mode || $this->lexic_permissions['NUMBERS'])) {
+ $stylesheet .= "$selector.nu$group {{$styles}}\n";
+ }
+ }
+ foreach ($this->language_data['STYLES']['METHODS'] as $group => $styles) {
+ if ($styles != '' && (!$economy_mode || $this->lexic_permissions['METHODS'])) {
+ $stylesheet .= "$selector.me$group {{$styles}}\n";
+ }
+ }
+ // note: neglect economy_mode, empty styles are meaningless
+ foreach ($this->language_data['STYLES']['SCRIPT'] as $group => $styles) {
+ if ($styles != '') {
+ $stylesheet .= "$selector.sc$group {{$styles}}\n";
+ }
+ }
+ foreach ($this->language_data['STYLES']['REGEXPS'] as $group => $styles) {
+ if ($styles != '' && (!$economy_mode ||
+ (isset($this->lexic_permissions['REGEXPS'][$group]) &&
+ $this->lexic_permissions['REGEXPS'][$group]))) {
+ if (is_array($this->language_data['REGEXPS'][$group]) &&
+ array_key_exists(GESHI_CLASS, $this->language_data['REGEXPS'][$group])) {
+ $stylesheet .= "$selector.";
+ $stylesheet .= $this->language_data['REGEXPS'][$group][GESHI_CLASS];
+ $stylesheet .= " {{$styles}}\n";
+ } else {
+ $stylesheet .= "$selector.re$group {{$styles}}\n";
+ }
+ }
+ }
+ // Styles for lines being highlighted extra
+ if (!$economy_mode || (count($this->highlight_extra_lines)!=count($this->highlight_extra_lines_styles))) {
+ $stylesheet .= "{$selector}.ln-xtra, {$selector}li.ln-xtra, {$selector}div.ln-xtra {{$this->highlight_extra_lines_style}}\n";
+ }
+ $stylesheet .= "{$selector}span.xtra { display:block; }\n";
+ foreach ($this->highlight_extra_lines_styles as $lineid => $linestyle) {
+ $stylesheet .= "{$selector}.lx$lineid, {$selector}li.lx$lineid, {$selector}div.lx$lineid {{$linestyle}}\n";
+ }
+
+ return $stylesheet;
+ }
+
+ /**
+ * Get's the style that is used for the specified line
+ *
+ * @param int The line number information is requested for
+ * @access private
+ * @since 1.0.7.21
+ */
+ function get_line_style($line) {
+ //$style = null;
+ $style = null;
+ if (isset($this->highlight_extra_lines_styles[$line])) {
+ $style = $this->highlight_extra_lines_styles[$line];
+ } else { // if no "extra" style assigned
+ $style = $this->highlight_extra_lines_style;
+ }
+
+ return $style;
+ }
+
+ /**
+ * this functions creates an optimized regular expression list
+ * of an array of strings.
+ *
+ * Example:
+ * <code>$list = array('faa', 'foo', 'foobar');
+ * => string 'f(aa|oo(bar)?)'</code>
+ *
+ * @param $list array of (unquoted) strings
+ * @param $regexp_delimiter your regular expression delimiter, @see preg_quote()
+ * @return string for regular expression
+ * @author Milian Wolff <mail@milianw.de>
+ * @since 1.0.8
+ * @access private
+ */
+ function optimize_regexp_list($list, $regexp_delimiter = '/') {
+ $regex_chars = array('.', '\\', '+', '*', '?', '[', '^', ']', '$',
+ '(', ')', '{', '}', '=', '!', '<', '>', '|', ':', $regexp_delimiter);
+ sort($list);
+ $regexp_list = array('');
+ $num_subpatterns = 0;
+ $list_key = 0;
+
+ // the tokens which we will use to generate the regexp list
+ $tokens = array();
+ $prev_keys = array();
+ // go through all entries of the list and generate the token list
+ $cur_len = 0;
+ for ($i = 0, $i_max = count($list); $i < $i_max; ++$i) {
+ if ($cur_len > GESHI_MAX_PCRE_LENGTH) {
+ // seems like the length of this pcre is growing exorbitantly
+ $regexp_list[++$list_key] = $this->_optimize_regexp_list_tokens_to_string($tokens);
+ $num_subpatterns = substr_count($regexp_list[$list_key], '(?:');
+ $tokens = array();
+ $cur_len = 0;
+ }
+ $level = 0;
+ $entry = preg_quote((string) $list[$i], $regexp_delimiter);
+ $pointer = &$tokens;
+ // properly assign the new entry to the correct position in the token array
+ // possibly generate smaller common denominator keys
+ while (true) {
+ // get the common denominator
+ if (isset($prev_keys[$level])) {
+ if ($prev_keys[$level] == $entry) {
+ // this is a duplicate entry, skip it
+ continue 2;
+ }
+ $char = 0;
+ while (isset($entry[$char]) && isset($prev_keys[$level][$char])
+ && $entry[$char] == $prev_keys[$level][$char]) {
+ ++$char;
+ }
+ if ($char > 0) {
+ // this entry has at least some chars in common with the current key
+ if ($char == strlen($prev_keys[$level])) {
+ // current key is totally matched, i.e. this entry has just some bits appended
+ $pointer = &$pointer[$prev_keys[$level]];
+ } else {
+ // only part of the keys match
+ $new_key_part1 = substr($prev_keys[$level], 0, $char);
+ $new_key_part2 = substr($prev_keys[$level], $char);
+
+ if (in_array($new_key_part1[0], $regex_chars)
+ || in_array($new_key_part2[0], $regex_chars)) {
+ // this is bad, a regex char as first character
+ $pointer[$entry] = array('' => true);
+ array_splice($prev_keys, $level, count($prev_keys), $entry);
+ $cur_len += strlen($entry);
+ continue;
+ } else {
+ // relocate previous tokens
+ $pointer[$new_key_part1] = array($new_key_part2 => $pointer[$prev_keys[$level]]);
+ unset($pointer[$prev_keys[$level]]);
+ $pointer = &$pointer[$new_key_part1];
+ // recreate key index
+ array_splice($prev_keys, $level, count($prev_keys), array($new_key_part1, $new_key_part2));
+ $cur_len += strlen($new_key_part2);
+ }
+ }
+ ++$level;
+ $entry = substr($entry, $char);
+ continue;
+ }
+ // else: fall trough, i.e. no common denominator was found
+ }
+ if ($level == 0 && !empty($tokens)) {
+ // we can dump current tokens into the string and throw them away afterwards
+ $new_entry = $this->_optimize_regexp_list_tokens_to_string($tokens);
+ $new_subpatterns = substr_count($new_entry, '(?:');
+ if (GESHI_MAX_PCRE_SUBPATTERNS && $num_subpatterns + $new_subpatterns > GESHI_MAX_PCRE_SUBPATTERNS) {
+ $regexp_list[++$list_key] = $new_entry;
+ $num_subpatterns = $new_subpatterns;
+ } else {
+ if (!empty($regexp_list[$list_key])) {
+ $new_entry = '|' . $new_entry;
+ }
+ $regexp_list[$list_key] .= $new_entry;
+ $num_subpatterns += $new_subpatterns;
+ }
+ $tokens = array();
+ $cur_len = 0;
+ }
+ // no further common denominator found
+ $pointer[$entry] = array('' => true);
+ array_splice($prev_keys, $level, count($prev_keys), $entry);
+
+ $cur_len += strlen($entry);
+ break;
+ }
+ unset($list[$i]);
+ }
+ // make sure the last tokens get converted as well
+ $new_entry = $this->_optimize_regexp_list_tokens_to_string($tokens);
+ if (GESHI_MAX_PCRE_SUBPATTERNS && $num_subpatterns + substr_count($new_entry, '(?:') > GESHI_MAX_PCRE_SUBPATTERNS) {
+ $regexp_list[++$list_key] = $new_entry;
+ } else {
+ if (!empty($regexp_list[$list_key])) {
+ $new_entry = '|' . $new_entry;
+ }
+ $regexp_list[$list_key] .= $new_entry;
+ }
+ return $regexp_list;
+ }
+ /**
+ * this function creates the appropriate regexp string of an token array
+ * you should not call this function directly, @see $this->optimize_regexp_list().
+ *
+ * @param &$tokens array of tokens
+ * @param $recursed bool to know wether we recursed or not
+ * @return string
+ * @author Milian Wolff <mail@milianw.de>
+ * @since 1.0.8
+ * @access private
+ */
+ function _optimize_regexp_list_tokens_to_string(&$tokens, $recursed = false) {
+ $list = '';
+ foreach ($tokens as $token => $sub_tokens) {
+ $list .= $token;
+ $close_entry = isset($sub_tokens['']);
+ unset($sub_tokens['']);
+ if (!empty($sub_tokens)) {
+ $list .= '(?:' . $this->_optimize_regexp_list_tokens_to_string($sub_tokens, true) . ')';
+ if ($close_entry) {
+ // make sub_tokens optional
+ $list .= '?';
+ }
+ }
+ $list .= '|';
+ }
+ if (!$recursed) {
+ // do some optimizations
+ // common trailing strings
+ // BUGGY!
+ //$list = preg_replace_callback('#(?<=^|\:|\|)\w+?(\w+)(?:\|.+\1)+(?=\|)#', create_function(
+ // '$matches', 'return "(?:" . preg_replace("#" . preg_quote($matches[1], "#") . "(?=\||$)#", "", $matches[0]) . ")" . $matches[1];'), $list);
+ // (?:p)? => p?
+ $list = preg_replace('#\(\?\:(.)\)\?#', '\1?', $list);
+ // (?:a|b|c|d|...)? => [abcd...]?
+ // TODO: a|bb|c => [ac]|bb
+ static $callback_2;
+ if (!isset($callback_2)) {
+ $callback_2 = create_function('$matches', 'return "[" . str_replace("|", "", $matches[1]) . "]";');
+ }
+ $list = preg_replace_callback('#\(\?\:((?:.\|)+.)\)#', $callback_2, $list);
+ }
+ // return $list without trailing pipe
+ return substr($list, 0, -1);
+ }
+} // End Class GeSHi
+
+
+if (!function_exists('geshi_highlight')) {
+ /**
+ * Easy way to highlight stuff. Behaves just like highlight_string
+ *
+ * @param string The code to highlight
+ * @param string The language to highlight the code in
+ * @param string The path to the language files. You can leave this blank if you need
+ * as from version 1.0.7 the path should be automatically detected
+ * @param boolean Whether to return the result or to echo
+ * @return string The code highlighted (if $return is true)
+ * @since 1.0.2
+ */
+ function geshi_highlight($string, $language, $path = null, $return = false) {
+ $geshi = new GeSHi($string, $language, $path);
+ $geshi->set_header_type(GESHI_HEADER_NONE);
+
+ if ($return) {
+ return '<code>' . $geshi->parse_code() . '</code>';
+ }
+
+ echo '<code>' . $geshi->parse_code() . '</code>';
+
+ if ($geshi->error()) {
+ return false;
+ }
+ return true;
+ }
+}
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/abap.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/abap.php
new file mode 100644
index 000000000..229de9891
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/abap.php
@@ -0,0 +1,1409 @@
+<?php
+/*************************************************************************************
+ * abap.php
+ * --------
+ * Author: Andres Picazo (andres@andrespicazo.com)
+ * Contributors:
+ * - Sandra Rossi (sandra.rossi@gmail.com)
+ * - Jacob Laursen (jlu@kmd.dk)
+ * Copyright: (c) 2007 Andres Picazo
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/06/04
+ *
+ * ABAP language file for GeSHi.
+ *
+ * Reference abap language documentation (abap 7.1) : http://help.sap.com/abapdocu/en/ABENABAP_INDEX.htm
+ *
+ * ABAP syntax is highly complex, several problems could not be addressed, see TODO below if you dare ;-)
+ * Be aware that in ABAP language, keywords may be composed of several tokens,
+ * separated by one or more spaces or carriage returns
+ * (for example CONCATENATE 'hello' 'world' INTO string SEPARATED BY ' ')
+ * it's why we must decode them with REGEXPS. As there are many keywords with several tokens,
+ * I had to create a separate section in the code to simplify the reading.
+ * Be aware that some words may be highlighted several times like for "ref to data", which is first
+ * highlighted for "ref to data", then secondly for "ref to". It is very important to
+ * position "ref to" after "ref to data" otherwise "data" wouldn't be highlighted because
+ * of the previous highlight.
+ * Control, declarative and other statements are assigned URLs to sap documentation website:
+ * http://help.sap.com/abapdocu/en/ABAP<statement_name>.htm
+ *
+ * CHANGES
+ * -------
+ * 2009/02/25 (1.0.8.3)
+ * - Some more rework of the language file
+ * 2009/01/04 (1.0.8.2)
+ * - Major Release, more than 1000 statements and keywords added = whole abap 7.1 (Sandra Rossi)
+ * 2007/06/27 (1.0.0)
+ * - First Release
+ *
+ * TODO
+ * ----
+ * - in DATA data TYPE type, 2nd "data" and 2nd "type" are highlighted with data
+ * style, but should be ignored. Same problem for all words!!! This is quite impossible to
+ * solve it as we should define syntaxes of all statements (huge effort!) and use a lex
+ * or something like that instead of regexp I guess.
+ * - Some words are considered as being statement names (report, tables, etc.) though they
+ * are used as keyword in some statements. For example: FORM xxxx TABLES itab. It was
+ * arbitrary decided to define them as statement instead of keyword, because it may be
+ * useful to have the URL to SAP help for some of them.
+ * - if a comment is between 2 words of a keyword (for example SEPARATED "comment \n BY),
+ * it is not considered as a keyword, but it should!
+ * - for statements like "READ DATASET", GeSHi does not allow to set URLs because these
+ * statements are determined by REGEXPS. For "READ DATASET", the URL should be
+ * ABAPREAD_DATASET.htm. If a technical solution is found, be careful : URLs
+ * are sometimes not valid because the URL does not exist. For example, for "AT NEW"
+ * statement, the URL should be ABAPAT_ITAB.htm (not ABAPAT_NEW.htm).
+ * There are many other exceptions.
+ * Note: for adding this functionality within your php program, you can execute this code:
+ * function add_urls_to_multi_tokens( $matches ) {
+ * $url = preg_replace( "/[ \n]+/" , "_" , $matches[3] );
+ * if( $url == $matches[3] ) return $matches[0] ;
+ * else return $matches[1]."<a href=\"http://help.sap.com/abapdocu/en/ABAP".strtoupper($url).".htm\">".$matches[3]."</a>".$matches[4];
+ * }
+ * $html = $geshi->parse_code();
+ * $html = preg_replace_callback( "拢(zzz:(control|statement|data);\">)(.+?)(</span>)拢s", "add_urls_to_multi_tokens", $html );
+ * echo $html;
+ * - Numbers followed by a dot terminating the statement are not properly recognized
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array(
+ 'LANG_NAME' => 'ABAP',
+ 'COMMENT_SINGLE' => array(
+ 1 => '"'
+ ),
+ 'COMMENT_MULTI' => array(),
+ 'COMMENT_REGEXP' => array(
+ // lines beginning with star at 1st position are comments
+ // (star anywhere else is not a comment, especially be careful with
+ // "assign dref->* to <fs>" statement)
+ 2 => '/^\*.*?$/m'
+ ),
+ 'CASE_KEYWORDS' => 0,
+ 'QUOTEMARKS' => array(
+ 1 => "'",
+ 2 => "`"
+ ),
+ 'ESCAPE_CHAR' => '',
+
+ 'KEYWORDS' => array(
+ //***********************************************
+ // Section 2 : process sequences of several tokens
+ //***********************************************
+
+ 7 => array(
+ 'at new',
+ 'at end of',
+ 'at first',
+ 'at last',
+ 'loop at',
+ 'loop at screen',
+ ),
+
+ 8 => array(
+ 'private section',
+ 'protected section',
+ 'public section',
+ 'at line-selection',
+ 'at selection-screen',
+ 'at user-command',
+ 'assign component',
+ 'assign table field',
+ 'call badi',
+ 'call customer-function',
+ 'call customer subscreen',
+ 'call dialog',
+ 'call function',
+ 'call method',
+ 'call screen',
+ 'call selection-screen',
+ 'call transaction',
+ 'call transformation',
+ 'close cursor',
+ 'close dataset',
+ 'commit work',
+ 'convert date',
+ 'convert text',
+ 'convert time stamp',
+ 'create data',
+ 'create object',
+ 'delete dataset',
+ 'delete from',
+ 'describe distance',
+ 'describe field',
+ 'describe list',
+ 'describe table',
+ 'exec sql',
+ 'exit from sql',
+ 'exit from step-loop',
+ 'export dynpro',
+ 'export nametab',
+ 'free memory',
+ 'generate subroutine-pool',
+ 'get badi',
+ 'get bit',
+ 'get cursor',
+ 'get dataset',
+ 'get locale',
+ 'get parameter',
+ 'get pf-status',
+ 'get property',
+ 'get reference',
+ 'get run time',
+ 'get time',
+ 'get time stamp',
+ 'import directory',
+ 'insert report',
+ 'insert text-pool',
+ 'leave list-processing',
+ 'leave program',
+ 'leave screen',
+ 'leave to list-processing',
+ 'leave to transaction',
+ 'modify line',
+ 'modify screen',
+ 'move percentage',
+ 'open cursor',
+ 'open dataset',
+ 'raise event',
+ 'raise exception',
+ 'read dataset',
+ 'read line',
+ 'read report',
+ 'read table',
+ 'read textpool',
+ 'receive results from function',
+ 'refresh control',
+ 'rollback work',
+ 'set bit',
+ 'set blank lines',
+ 'set country',
+ 'set cursor',
+ 'set dataset',
+ 'set extended check',
+ 'set handler',
+ 'set hold data',
+ 'set language',
+ 'set left scroll-boundary',
+ 'set locale',
+ 'set margin',
+ 'set parameter',
+ 'set pf-status',
+ 'set property',
+ 'set run time analyzer',
+ 'set run time clock',
+ 'set screen',
+ 'set titlebar',
+ 'set update task',
+ 'set user-command',
+ 'suppress dialog',
+ 'truncate dataset',
+ 'wait until',
+ 'wait up to',
+ ),
+
+ 9 => array(
+ 'accepting duplicate keys',
+ 'accepting padding',
+ 'accepting truncation',
+ 'according to',
+ 'actual length',
+ 'adjacent duplicates',
+ 'after input',
+ 'all blob columns',
+ 'all clob columns',
+ 'all fields',
+ 'all methods',
+ 'all other columns',
+ 'and mark',
+ 'and return to screen',
+ 'and return',
+ 'and skip first screen',
+ 'and wait',
+ 'any table',
+ 'appendage type',
+ 'archive mode',
+ 'archiving parameters',
+ 'area handle',
+ 'as checkbox',
+ 'as icon',
+ 'as line',
+ 'as listbox',
+ 'as person table',
+ 'as search patterns',
+ 'as separate unit',
+ 'as subscreen',
+ 'as symbol',
+ 'as text',
+ 'as window',
+ 'at cursor-selection',
+ 'at exit-command',
+ 'at next application statement',
+ 'at position',
+
+ 'backup into',
+ 'before output',
+ 'before unwind',
+ 'begin of block',
+ 'begin of common part',
+ 'begin of line',
+ 'begin of screen',
+ 'begin of tabbed block',
+ 'begin of version',
+ 'begin of',
+ 'big endian',
+ 'binary mode',
+ 'binary search',
+ 'by kernel module',
+ 'bypassing buffer',
+
+ 'client specified',
+ 'code page',
+ 'code page hint',
+ 'code page into',
+ 'color black',
+ 'color blue',
+ 'color green',
+ 'color pink',
+ 'color red',
+ 'color yellow',
+ 'compression off',
+ 'compression on',
+ 'connect to',
+ 'corresponding fields of table',
+ 'corresponding fields of',
+ 'cover page',
+ 'cover text',
+ 'create package',
+ 'create private',
+ 'create protected',
+ 'create public',
+ 'current position',
+
+ 'data buffer',
+ 'data values',
+ 'dataset expiration',
+ 'daylight saving time',
+ 'default key',
+ 'default program',
+ 'default screen',
+ 'defining database',
+ 'deleting leading',
+ 'deleting trailing',
+ 'directory entry',
+ 'display like',
+ 'display offset',
+ 'during line-selection',
+ 'dynamic selections',
+
+ 'edit mask',
+ 'end of block',
+ 'end of common part',
+ 'end of file',
+ 'end of line',
+ 'end of screen',
+ 'end of tabbed block',
+ 'end of version',
+ 'end of',
+ 'endian into',
+ 'ending at',
+ 'enhancement options into',
+ 'enhancement into',
+ 'environment time format',
+ 'execute procedure',
+ 'exporting list to memory',
+ 'extension type',
+
+ 'field format',
+ 'field selection',
+ 'field value into',
+ 'final methods',
+ 'first occurrence of',
+ 'fixed-point arithmetic',
+ 'for all entries',
+ 'for all instances',
+ 'for appending',
+ 'for columns',
+ 'for event of',
+ 'for field',
+ 'for high',
+ 'for input',
+ 'for lines',
+ 'for low',
+ 'for node',
+ 'for output',
+ 'for select',
+ 'for table',
+ 'for testing',
+ 'for update',
+ 'for user',
+ 'frame entry',
+ 'frame program from',
+ 'from code page',
+ 'from context',
+ 'from database',
+ 'from logfile id',
+ 'from number format',
+ 'from screen',
+ 'from table',
+ 'function key',
+
+ 'get connection',
+ 'global friends',
+ 'group by',
+
+ 'hashed table of',
+ 'hashed table',
+
+ 'if found',
+ 'ignoring case',
+ 'ignoring conversion errors',
+ 'ignoring structure boundaries',
+ 'implementations from',
+ 'in background',
+ 'in background task',
+ 'in background unit',
+ 'in binary mode',
+ 'in byte mode',
+ 'in char-to-hex mode',
+ 'in character mode',
+ 'in group',
+ 'in legacy binary mode',
+ 'in legacy text mode',
+ 'in program',
+ 'in remote task',
+ 'in text mode',
+ 'in table',
+ 'in update task',
+ 'include bound',
+ 'include into',
+ 'include program from',
+ 'include structure',
+ 'include type',
+ 'including gaps',
+ 'index table',
+ 'inheriting from',
+ 'init destination',
+ 'initial line of',
+ 'initial line',
+ 'initial size',
+ 'internal table',
+ 'into sortable code',
+
+ 'keep in spool',
+ 'keeping directory entry',
+ 'keeping logical unit of work',
+ 'keeping task',
+ 'keywords from',
+
+ 'left margin',
+ 'left outer',
+ 'levels into',
+ 'line format',
+ 'line into',
+ 'line of',
+ 'line page',
+ 'line value from',
+ 'line value into',
+ 'lines of',
+ 'list authority',
+ 'list dataset',
+ 'list name',
+ 'little endian',
+ 'lob handle for',
+ 'local friends',
+ 'locator for',
+ 'lower case',
+
+ 'main table field',
+ 'match count',
+ 'match length',
+ 'match line',
+ 'match offset',
+ 'matchcode object',
+ 'maximum length',
+ 'maximum width into',
+ 'memory id',
+ 'message into',
+ 'messages into',
+ 'modif id',
+
+ 'nesting level',
+ 'new list identification',
+ 'next cursor',
+ 'no database selection',
+ 'no dialog',
+ 'no end of line',
+ 'no fields',
+ 'no flush',
+ 'no intervals',
+ 'no intervals off',
+ 'no standard page heading',
+ 'no-extension off',
+ 'non-unique key',
+ 'non-unique sorted key',
+ 'not at end of mode',
+ 'number of lines',
+ 'number of pages',
+
+ 'object key',
+ 'obligatory off',
+ 'of current page',
+ 'of page',
+ 'of program',
+ 'offset into',
+ 'on block',
+ 'on commit',
+ 'on end of task',
+ 'on end of',
+ 'on exit-command',
+ 'on help-request for',
+ 'on radiobutton group',
+ 'on rollback',
+ 'on value-request for',
+ 'open for package',
+ 'option class-coding',
+ 'option class',
+ 'option coding',
+ 'option expand',
+ 'option syncpoints',
+ 'options from',
+ 'order by',
+ 'overflow into',
+
+ 'package section',
+ 'package size',
+ 'preferred parameter',
+ 'preserving identifier escaping',
+ 'primary key',
+ 'print off',
+ 'print on',
+ 'program from',
+ 'program type',
+
+ 'radiobutton groups',
+ 'radiobutton group',
+ 'range of',
+ 'reader for',
+ 'receive buffer',
+ 'reduced functionality',
+ 'ref to data',
+ 'ref to object',
+ 'ref to',
+
+ 'reference into',
+ 'renaming with suffix',
+ 'replacement character',
+ 'replacement count',
+ 'replacement length',
+ 'replacement line',
+ 'replacement offset',
+ 'respecting blanks',
+ 'respecting case',
+ 'result into',
+ 'risk level',
+
+ 'sap cover page',
+ 'search fkeq',
+ 'search fkge',
+ 'search gkeq',
+ 'search gkge',
+ 'section of',
+ 'send buffer',
+ 'separated by',
+ 'shared buffer',
+ 'shared memory',
+ 'shared memory enabled',
+ 'skipping byte-order mark',
+ 'sorted by',
+ 'sorted table of',
+ 'sorted table',
+ 'spool parameters',
+ 'standard table of',
+ 'standard table',
+ 'starting at',
+ 'starting new task',
+ 'statements into',
+ 'structure default',
+ 'structures into',
+
+ 'table field',
+ 'table of',
+ 'text mode',
+ 'time stamp',
+ 'time zone',
+ 'to code page',
+ 'to column',
+ 'to context',
+ 'to first page',
+ 'to last page',
+ 'to last line',
+ 'to line',
+ 'to lower case',
+ 'to number format',
+ 'to page',
+ 'to sap spool',
+ 'to upper case',
+ 'tokens into',
+ 'transporting no fields',
+ 'type tableview',
+ 'type tabstrip',
+
+ 'unicode enabling',
+ 'up to',
+ 'upper case',
+ 'using edit mask',
+ 'using key',
+ 'using no edit mask',
+ 'using screen',
+ 'using selection-screen',
+ 'using selection-set',
+ 'using selection-sets of program',
+
+ 'valid between',
+ 'valid from',
+ 'value check',
+ 'via job',
+ 'via selection-screen',
+ 'visible length',
+
+ 'whenever found',
+ 'with analysis',
+ 'with byte-order mark',
+ 'with comments',
+ 'with current switchstates',
+ 'with explicit enhancements',
+ 'with frame',
+ 'with free selections',
+ 'with further secondary keys',
+ 'with header line',
+ 'with hold',
+ 'with implicit enhancements',
+ 'with inactive enhancements',
+ 'with includes',
+ 'with key',
+ 'with linefeed',
+ 'with list tokenization',
+ 'with native linefeed',
+ 'with non-unique key',
+ 'with null',
+ 'with pragmas',
+ 'with precompiled headers',
+ 'with selection-table',
+ 'with smart linefeed',
+ 'with table key',
+ 'with test code',
+ 'with type-pools',
+ 'with unique key',
+ 'with unix linefeed',
+ 'with windows linefeed',
+ 'without further secondary keys',
+ 'without selection-screen',
+ 'without spool dynpro',
+ 'without trmac',
+ 'word into',
+ 'writer for'
+ ),
+
+ //**********************************************************
+ // Other abap statements
+ //**********************************************************
+ 3 => array(
+ 'add',
+ 'add-corresponding',
+ 'aliases',
+ 'append',
+ 'assign',
+ 'at',
+ 'authority-check',
+
+ 'break-point',
+
+ 'clear',
+ 'collect',
+ 'compute',
+ 'concatenate',
+ 'condense',
+ 'class',
+ 'class-events',
+ 'class-methods',
+ 'class-pool',
+
+ 'define',
+ 'delete',
+ 'demand',
+ 'detail',
+ 'divide',
+ 'divide-corresponding',
+
+ 'editor-call',
+ 'end-of-file',
+ 'end-enhancement-section',
+ 'end-of-definition',
+ 'end-of-page',
+ 'end-of-selection',
+ 'endclass',
+ 'endenhancement',
+ 'endexec',
+ 'endform',
+ 'endfunction',
+ 'endinterface',
+ 'endmethod',
+ 'endmodule',
+ 'endon',
+ 'endprovide',
+ 'endselect',
+ 'enhancement',
+ 'enhancement-point',
+ 'enhancement-section',
+ 'export',
+ 'extract',
+ 'events',
+
+ 'fetch',
+ 'field-groups',
+ 'find',
+ 'format',
+ 'form',
+ 'free',
+ 'function-pool',
+ 'function',
+
+ 'get',
+
+ 'hide',
+
+ 'import',
+ 'infotypes',
+ 'input',
+ 'insert',
+ 'include',
+ 'initialization',
+ 'interface',
+ 'interface-pool',
+ 'interfaces',
+
+ 'leave',
+ 'load-of-program',
+ 'log-point',
+
+ 'maximum',
+ 'message',
+ 'methods',
+ 'method',
+ 'minimum',
+ 'modify',
+ 'move',
+ 'move-corresponding',
+ 'multiply',
+ 'multiply-corresponding',
+
+ 'new-line',
+ 'new-page',
+ 'new-section',
+
+ 'overlay',
+
+ 'pack',
+ 'perform',
+ 'position',
+ 'print-control',
+ 'program',
+ 'provide',
+ 'put',
+
+ 'raise',
+ 'refresh',
+ 'reject',
+ 'replace',
+ 'report',
+ 'reserve',
+
+ 'scroll',
+ 'search',
+ 'select',
+ 'selection-screen',
+ 'shift',
+ 'skip',
+ 'sort',
+ 'split',
+ 'start-of-selection',
+ 'submit',
+ 'subtract',
+ 'subtract-corresponding',
+ 'sum',
+ 'summary',
+ 'summing',
+ 'supply',
+ 'syntax-check',
+
+ 'top-of-page',
+ 'transfer',
+ 'translate',
+ 'type-pool',
+
+ 'uline',
+ 'unpack',
+ 'update',
+
+ 'window',
+ 'write'
+
+ ),
+
+ //**********************************************************
+ // keywords
+ //**********************************************************
+
+ 4 => array(
+ 'abbreviated',
+ 'abstract',
+ 'accept',
+ 'acos',
+ 'activation',
+ 'alias',
+ 'align',
+ 'all',
+ 'allocate',
+ 'and',
+ 'assigned',
+ 'any',
+ 'appending',
+ 'area',
+ 'as',
+ 'ascending',
+ 'asin',
+ 'assigning',
+ 'atan',
+ 'attributes',
+ 'avg',
+
+ 'backward',
+ 'between',
+ 'bit-and',
+ 'bit-not',
+ 'bit-or',
+ 'bit-set',
+ 'bit-xor',
+ 'boolc',
+ 'boolx',
+ 'bound',
+ 'bt',
+ 'blocks',
+ 'bounds',
+ 'boxed',
+ 'by',
+ 'byte-ca',
+ 'byte-cn',
+ 'byte-co',
+ 'byte-cs',
+ 'byte-na',
+ 'byte-ns',
+
+ 'ca',
+ 'calling',
+ 'casting',
+ 'ceil',
+ 'center',
+ 'centered',
+ 'changing',
+ 'char_off',
+ 'charlen',
+ 'circular',
+ 'class_constructor',
+ 'client',
+ 'clike',
+ 'close',
+ 'cmax',
+ 'cmin',
+ 'cn',
+ 'cnt',
+ 'co',
+ 'col_background',
+ 'col_group',
+ 'col_heading',
+ 'col_key',
+ 'col_negative',
+ 'col_normal',
+ 'col_positive',
+ 'col_total',
+ 'color',
+ 'column',
+ 'comment',
+ 'comparing',
+ 'components',
+ 'condition',
+ 'context',
+ 'copies',
+ 'count',
+ 'country',
+ 'cpi',
+ 'creating',
+ 'critical',
+ 'concat_lines_of',
+ 'cos',
+ 'cosh',
+ 'count_any_not_of',
+ 'count_any_of',
+ 'cp',
+ 'cs',
+ 'csequence',
+ 'currency',
+ 'current',
+ 'cx_static_check',
+ 'cx_root',
+ 'cx_dynamic_check',
+
+ 'dangerous',
+ 'database',
+ 'datainfo',
+ 'date',
+ 'dbmaxlen',
+ 'dd/mm/yy',
+ 'dd/mm/yyyy',
+ 'ddmmyy',
+ 'deallocate',
+ 'decfloat',
+ 'decfloat16',
+ 'decfloat34',
+ 'decimals',
+ 'default',
+ 'deferred',
+ 'definition',
+ 'department',
+ 'descending',
+ 'destination',
+ 'disconnect',
+ 'display-mode',
+ 'distance',
+ 'distinct',
+ 'div',
+ 'dummy',
+
+ 'encoding',
+ 'end-lines',
+ 'engineering',
+ 'environment',
+ 'eq',
+ 'equiv',
+ 'error_message',
+ 'errormessage',
+ 'escape',
+ 'exact',
+ 'exception-table',
+ 'exceptions',
+ 'exclude',
+ 'excluding',
+ 'exists',
+ 'exp',
+ 'exponent',
+ 'exporting',
+ 'extended_monetary',
+
+ 'field',
+ 'filter-table',
+ 'filters',
+ 'filter',
+ 'final',
+ 'find_any_not_of',
+ 'find_any_of',
+ 'find_end',
+ 'floor',
+ 'first-line',
+ 'font',
+ 'forward',
+ 'for',
+ 'frac',
+ 'from_mixed',
+ 'friends',
+ 'from',
+
+ 'giving',
+ 'ge',
+ 'gt',
+
+ 'handle',
+ 'harmless',
+ 'having',
+ 'head-lines',
+ 'help-id',
+ 'help-request',
+ 'high',
+ 'hold',
+ 'hotspot',
+
+ 'id',
+ 'ids',
+ 'immediately',
+ 'implementation',
+ 'importing',
+ 'in',
+ 'initial',
+ 'incl',
+ 'including',
+ 'increment',
+ 'index',
+ 'index-line',
+ 'inner',
+ 'inout',
+ 'intensified',
+ 'into',
+ 'inverse',
+ 'is',
+ 'iso',
+
+ 'join',
+
+ 'key',
+ 'kind',
+
+ 'log10',
+ 'language',
+ 'late',
+ 'layout',
+ 'le',
+ 'lt',
+ 'left-justified',
+ 'leftplus',
+ 'leftspace',
+ 'left',
+ 'length',
+ 'level',
+ 'like',
+ 'line-count',
+ 'line-size',
+ 'lines',
+ 'line',
+ 'load',
+ 'long',
+ 'lower',
+ 'low',
+ 'lpi',
+
+ 'matches',
+ 'match',
+ 'mail',
+ 'major-id',
+ 'max',
+ 'medium',
+ 'memory',
+ 'message-id',
+ 'module',
+ 'minor-id',
+ 'min',
+ 'mm/dd/yyyy',
+ 'mm/dd/yy',
+ 'mmddyy',
+ 'mode',
+ 'modifier',
+ 'mod',
+ 'monetary',
+
+ 'name',
+ 'nb',
+ 'ne',
+ 'next',
+ 'no-display',
+ 'no-extension',
+ 'no-gap',
+ 'no-gaps',
+ 'no-grouping',
+ 'no-heading',
+ 'no-scrolling',
+ 'no-sign',
+ 'no-title',
+ 'no-topofpage',
+ 'no-zero',
+ 'nodes',
+ 'non-unicode',
+ 'no',
+ 'number',
+ 'nmax',
+ 'nmin',
+ 'not',
+ 'null',
+ 'numeric',
+ 'numofchar',
+
+ 'o',
+ 'objects',
+ 'obligatory',
+ 'occurs',
+ 'offset',
+ 'off',
+ 'of',
+ 'only',
+ 'open',
+ 'option',
+ 'optional',
+ 'options',
+ 'output-length',
+ 'output',
+ 'out',
+ 'on change of',
+ 'or',
+ 'others',
+
+ 'pad',
+ 'page',
+ 'pages',
+ 'parameter-table',
+ 'part',
+ 'performing',
+ 'pos_high',
+ 'pos_low',
+ 'priority',
+ 'public',
+ 'pushbutton',
+
+ 'queue-only',
+ 'quickinfo',
+
+ 'raising',
+ 'range',
+ 'read-only',
+ 'received',
+ 'receiver',
+ 'receiving',
+ 'redefinition',
+ 'reference',
+ 'regex',
+ 'replacing',
+ 'reset',
+ 'responsible',
+ 'result',
+ 'results',
+ 'resumable',
+ 'returncode',
+ 'returning',
+ 'right',
+ 'right-specified',
+ 'rightplus',
+ 'rightspace',
+ 'round',
+ 'rows',
+ 'repeat',
+ 'requested',
+ 'rescale',
+ 'reverse',
+
+ 'scale_preserving',
+ 'scale_preserving_scientific',
+ 'scientific',
+ 'scientific_with_leading_zero',
+ 'screen',
+ 'scrolling',
+ 'seconds',
+ 'segment',
+ 'shift_left',
+ 'shift_right',
+ 'sign',
+ 'simple',
+ 'sin',
+ 'sinh',
+ 'short',
+ 'shortdump-id',
+ 'sign_as_postfix',
+ 'single',
+ 'size',
+ 'some',
+ 'source',
+ 'space',
+ 'spots',
+ 'stable',
+ 'state',
+ 'static',
+ 'statusinfo',
+ 'sqrt',
+ 'string',
+ 'strlen',
+ 'structure',
+ 'style',
+ 'subkey',
+ 'submatches',
+ 'substring',
+ 'substring_after',
+ 'substring_before',
+ 'substring_from',
+ 'substring_to',
+ 'super',
+ 'supplied',
+ 'switch',
+
+ 'tan',
+ 'tanh',
+ 'table_line',
+ 'table',
+ 'tab',
+ 'then',
+ 'timestamp',
+ 'times',
+ 'time',
+ 'timezone',
+ 'title-lines',
+ 'title',
+ 'top-lines',
+ 'to',
+ 'to_lower',
+ 'to_mixed',
+ 'to_upper',
+ 'trace-file',
+ 'trace-table',
+ 'transporting',
+ 'trunc',
+ 'type',
+
+ 'under',
+ 'unique',
+ 'unit',
+ 'user-command',
+ 'using',
+ 'utf-8',
+
+ 'valid',
+ 'value',
+ 'value-request',
+ 'values',
+ 'vary',
+ 'varying',
+ 'version',
+
+ 'warning',
+ 'where',
+ 'width',
+ 'with',
+ 'word',
+ 'with-heading',
+ 'with-title',
+
+ 'xsequence',
+ 'xstring',
+ 'xstrlen',
+
+ 'yes',
+ 'yymmdd',
+
+ 'z',
+ 'zero'
+
+ ),
+
+ //**********************************************************
+ // screen statements
+ //**********************************************************
+
+ 5 => array(
+ 'call subscreen',
+ 'chain',
+ 'endchain',
+ 'on chain-input',
+ 'on chain-request',
+ 'on help-request',
+ 'on input',
+ 'on request',
+ 'on value-request',
+ 'process'
+ ),
+
+ //**********************************************************
+ // internal statements
+ //**********************************************************
+
+ 6 => array(
+ 'generate dynpro',
+ 'generate report',
+ 'import dynpro',
+ 'import nametab',
+ 'include methods',
+ 'load report',
+ 'scan abap-source',
+ 'scan and check abap-source',
+ 'syntax-check for dynpro',
+ 'syntax-check for program',
+ 'syntax-trace',
+ 'system-call',
+ 'system-exit',
+ 'verification-message'
+ ),
+
+ //**********************************************************
+ // Control statements
+ //**********************************************************
+
+ 1 => array(
+ 'assert',
+ 'case',
+ 'catch',
+ 'check',
+ 'cleanup',
+ 'continue',
+ 'do',
+ 'else',
+ 'elseif',
+ 'endat',
+ 'endcase',
+ 'endcatch',
+ 'endif',
+ 'enddo',
+ 'endloop',
+ 'endtry',
+ 'endwhile',
+ 'exit',
+ 'if',
+ 'loop',
+ 'resume',
+ 'retry',
+ 'return',
+ 'stop',
+ 'try',
+ 'when',
+ 'while'
+
+ ),
+
+ //**********************************************************
+ // variable declaration statements
+ //**********************************************************
+
+ 2 => array(
+ 'class-data',
+ 'controls',
+ 'constants',
+ 'data',
+ 'field-symbols',
+ 'fields',
+ 'local',
+ 'parameters',
+ 'ranges',
+ 'select-options',
+ 'statics',
+ 'tables',
+ 'type-pools',
+ 'types'
+ )
+ ),
+ 'SYMBOLS' => array(
+ 0 => array(
+ '->*', '->', '=>',
+ '(', ')', '{', '}', '[', ']', '+', '-', '*', '/', '!', '%', '^', '&', ':', ',', '.'
+ ),
+ 1 => array(
+ '>=', '<=', '<', '>', '='
+ ),
+ 2 => array(
+ '?='
+ )
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => false,
+ 5 => false,
+ 6 => false,
+ 7 => false,
+ 8 => false,
+ 9 => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #000066; text-transform: uppercase; font-weight: bold; zzz:control;', //control statements
+ 2 => 'color: #cc4050; text-transform: uppercase; font-weight: bold; zzz:data;', //data statements
+ 3 => 'color: #005066; text-transform: uppercase; font-weight: bold; zzz:statement;', //first token of other statements
+ 4 => 'color: #500066; text-transform: uppercase; font-weight: bold; zzz:keyword;', // next tokens of other statements ("keywords")
+ 5 => 'color: #005066; text-transform: uppercase; font-weight: bold; zzz:statement;',
+ 6 => 'color: #000066; text-transform: uppercase; font-weight: bold; zzz:control;',
+ 7 => 'color: #000066; text-transform: uppercase; font-weight: bold; zzz:control;',
+ 8 => 'color: #005066; text-transform: uppercase; font-weight: bold; zzz:statement;',
+ 9 => 'color: #500066; text-transform: uppercase; font-weight: bold; zzz:keyword;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #808080; font-style: italic;',
+ 2 => 'color: #339933;',
+ 'MULTI' => 'color: #808080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #808080;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #4da619;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #3399ff;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #202020;',
+ 2 => 'color: #202020;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #808080;',
+ 1 => 'color: #800080;',
+ 2 => 'color: #0000ff;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => 'http://help.sap.com/abapdocu/en/ABAP{FNAMEU}.htm',
+ 2 => 'http://help.sap.com/abapdocu/en/ABAP{FNAMEU}.htm',
+ 3 => 'http://help.sap.com/abapdocu/en/ABAP{FNAMEU}.htm',
+ 4 => '',
+ 5 => '',
+ 6 => '',
+ 7 => '',
+ 8 => '',
+ 9 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '-&gt;',
+ 2 => '=&gt;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'PARSER_CONTROL' => array(
+ 'KEYWORDS' => array(
+ 7 => array(
+ 'SPACE_AS_WHITESPACE' => true
+ ),
+ 8 => array(
+ 'SPACE_AS_WHITESPACE' => true
+ ),
+ 9 => array(
+ 'SPACE_AS_WHITESPACE' => true
+ )
+ )
+ ),
+ 'TAB_WIDTH' => 4
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/actionscript-french.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/actionscript-french.php
new file mode 100644
index 000000000..e81605098
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/actionscript-french.php
@@ -0,0 +1,957 @@
+<?php
+/*************************************************************************************
+ * actionscript.php
+ * ----------------
+ * Author: Steffen Krause (Steffen.krause@muse.de)
+ * Copyright: (c) 2004 Steffen Krause, Nigel McNie (http://qbnz.com/highlighter)
+ * Release Version: 1.0.7.9
+ * CVS Revision Version: $Revision: 1.9 $
+ * Date Started: 2004/06/20
+ * Last Modified: $Date: 2006/04/23 01:14:41 $
+ *
+ * Actionscript language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2005/08/25 (1.0.2)
+ * Author [ NikO ] - http://niko.informatif.org
+ * - add full link for myInstance.methods to http://wiki.media-box.net/documentation/flash
+ * 2004/11/27 (1.0.1)
+ * - Added support for multiple object splitters
+ * 2004/10/27 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2004/11/27)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Actionscript',
+ 'COMMENT_SINGLE' => array(1 => '//', 2 => '#'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ '#include',
+ 'for',
+ 'foreach',
+ 'if',
+ 'elseif',
+ 'else',
+ 'while',
+ 'do',
+ 'dowhile',
+ 'endwhile',
+ 'endif',
+ 'switch',
+ 'case',
+ 'endswitch',
+ 'break',
+ 'continue',
+ 'in',
+ 'null',
+ 'false',
+ 'true',
+ 'var',
+ 'default',
+ 'new',
+ '_global',
+ 'undefined',
+ 'super'
+ ),
+ 2 => array(
+ 'static',
+ 'private',
+ 'public',
+ 'class',
+ 'extends',
+ 'implements',
+ 'import',
+ 'return',
+ 'trace',
+ '_quality',
+ '_root',
+ 'set',
+ 'setInterval',
+ 'setProperty',
+ 'stopAllSounds',
+ 'targetPath',
+ 'this',
+ 'typeof',
+ 'unescape',
+ 'updateAfterEvent'
+ ),
+ 3 => array (
+ 'Accessibility',
+ 'Array',
+ 'Boolean',
+ 'Button',
+ 'Camera',
+ 'Color',
+ 'ContextMenuItem',
+ 'ContextMenu',
+ 'Cookie',
+ 'Date',
+ 'Error',
+ 'function',
+ 'FWEndCommand',
+ 'FWJavascript',
+ 'Key',
+ 'LoadMovieNum',
+ 'LoadMovie',
+ 'LoadVariablesNum',
+ 'LoadVariables',
+ 'LoadVars',
+ 'LocalConnection',
+ 'Math',
+ 'Microphone',
+ 'MMExecute',
+ 'MMEndCommand',
+ 'MMSave',
+ 'Mouse',
+ 'MovieClipLoader',
+ 'MovieClip',
+ 'NetConnexion',
+ 'NetStream',
+ 'Number',
+ 'Object',
+ 'printAsBitmapNum',
+ 'printNum',
+ 'printAsBitmap',
+ 'printJob',
+ 'print',
+ 'Selection',
+ 'SharedObject',
+ 'Sound',
+ 'Stage',
+ 'String',
+ 'System',
+ 'TextField',
+ 'TextFormat',
+ 'Tween',
+ 'Video',
+ 'XMLUI',
+ 'XMLNode',
+ 'XMLSocket',
+ 'XML'
+ ),
+ 4 => array (
+ 'isactive',
+ 'updateProperties'
+ ),
+ 5 => array (
+ 'callee',
+ 'caller',
+ ),
+ 6 => array (
+ 'concat',
+ 'join',
+ 'pop',
+ 'push',
+ 'reverse',
+ 'shift',
+ 'slice',
+ 'sort',
+ 'sortOn',
+ 'splice',
+ 'toString',
+ 'unshift'
+ ),
+ 7 => array (
+ 'valueOf'
+ ),
+ 8 => array (
+ 'onDragOut',
+ 'onDragOver',
+ 'onKeyUp',
+ 'onKillFocus',
+ 'onPress',
+ 'onRelease',
+ 'onReleaseOutside',
+ 'onRollOut',
+ 'onRollOver',
+ 'onSetFocus'
+ ),
+ 9 => array (
+ 'setMode',
+ 'setMotionLevel',
+ 'setQuality',
+ 'activityLevel',
+ 'bandwidth',
+ 'currentFps',
+ 'fps',
+ 'index',
+ 'motionLevel',
+ 'motionTimeOut',
+ 'muted',
+ 'names',
+ 'quality',
+ 'onActivity',
+ 'onStatus'
+ ),
+ 10 => array (
+ 'getRGB',
+ 'setRGB',
+ 'getTransform',
+ 'setTransform'
+ ),
+ 11 => array (
+ 'caption',
+ 'enabled',
+ 'separatorBefore',
+ 'visible',
+ 'onSelect'
+ ),
+ 12 => array (
+ 'setCookie',
+ 'getcookie'
+ ),
+ 13 => array (
+ 'hideBuiltInItems',
+ 'builtInItems',
+ 'customItems',
+ 'onSelect'
+ ),
+ 14 => array (
+ 'CustomActions.get',
+ 'CustomActions.install',
+ 'CustomActions.list',
+ 'CustomActions.uninstall',
+ ),
+ 15 => array (
+ 'getDate',
+ 'getDay',
+ 'getFullYear',
+ 'getHours',
+ 'getMilliseconds',
+ 'getMinutes',
+ 'getMonth',
+ 'getSeconds',
+ 'getTime',
+ 'getTimezoneOffset',
+ 'getUTCDate',
+ 'getUTCDay',
+ 'getUTCFullYear',
+ 'getUTCHours',
+ 'getUTCMinutes',
+ 'getUTCMilliseconds',
+ 'getUTCMonth',
+ 'getUTCSeconds',
+ 'getYear',
+ 'setDate',
+ 'setFullYear',
+ 'setHours',
+ 'setMilliseconds',
+ 'setMinutes',
+ 'setMonth',
+ 'setSeconds',
+ 'setTime',
+ 'setUTCDate',
+ 'setUTCDay',
+ 'setUTCFullYear',
+ 'setUTCHours',
+ 'setUTCMinutes',
+ 'setUTCMilliseconds',
+ 'setUTCMonth',
+ 'setUTCSeconds',
+ 'setYear',
+ 'UTC'
+ ),
+ 16 => array (
+ 'message',
+ 'name',
+ 'throw',
+ 'try',
+ 'catch',
+ 'finally'
+ ),
+ 17 => array (
+ 'apply',
+ 'call'
+ ),
+ 18 => array (
+ 'BACKSPACE',
+ 'CAPSLOCK',
+ 'CONTROL',
+ 'DELETEKEY',
+ 'DOWN',
+ 'END',
+ 'ENTER',
+ 'ESCAPE',
+ 'getAscii',
+ 'getCode',
+ 'HOME',
+ 'INSERT',
+ 'isDown',
+ 'isToggled',
+ 'LEFT',
+ 'onKeyDown',
+ 'onKeyUp',
+ 'PGDN',
+ 'PGUP',
+ 'RIGHT',
+ 'SPACE',
+ 'TAB',
+ 'UP'
+ ),
+ 19 => array (
+ 'addRequestHeader',
+ 'contentType',
+ 'decode'
+ ),
+ 20 => array (
+ 'allowDomain',
+ 'allowInsecureDomain',
+ 'close',
+ 'domain'
+ ),
+ 21 => array (
+ 'abs',
+ 'acos',
+ 'asin',
+ 'atan',
+ 'atan2',
+ 'ceil',
+ 'cos',
+ 'exp',
+ 'floor',
+ 'log',
+ 'LN2',
+ 'LN10',
+ 'LOG2E',
+ 'LOG10E',
+ 'max',
+ 'min',
+ 'PI',
+ 'pow',
+ 'random',
+ 'sin',
+ 'SQRT1_2',
+ 'sqrt',
+ 'tan',
+ 'round',
+ 'SQRT2'
+ ),
+ 22 => array (
+ 'activityLevel',
+ 'muted',
+ 'names',
+ 'onActivity',
+ 'onStatus',
+ 'setRate',
+ 'setGain',
+ 'gain',
+ 'rate',
+ 'setSilenceLevel',
+ 'setUseEchoSuppression',
+ 'silenceLevel',
+ 'silenceTimeOut',
+ 'useEchoSuppression'
+ ),
+ 23 => array (
+ 'hide',
+ 'onMouseDown',
+ 'onMouseMove',
+ 'onMouseUp',
+ 'onMouseWeel',
+ 'show'
+ ),
+ 24 => array (
+ '_alpha',
+ 'attachAudio',
+ 'attachMovie',
+ 'beginFill',
+ 'beginGradientFill',
+ 'clear',
+ 'createEmptyMovieClip',
+ 'createTextField',
+ '_current',
+ 'curveTo',
+ '_dropTarget',
+ 'duplicateMovieClip',
+ 'endFill',
+ 'focusEnabled',
+ 'enabled',
+ '_focusrec',
+ '_framesLoaded',
+ 'getBounds',
+ 'getBytesLoaded',
+ 'getBytesTotal',
+ 'getDepth',
+ 'getInstanceAtDepth',
+ 'getNextHighestDepth',
+ 'getSWFVersion',
+ 'getTextSnapshot',
+ 'getURL',
+ 'globalToLocal',
+ 'gotoAndPlay',
+ 'gotoAndStop',
+ '_height',
+ 'hitArea',
+ 'hitTest',
+ 'lineStyle',
+ 'lineTo',
+ 'localToGlobal',
+ '_lockroot',
+ 'menu',
+ 'onUnload',
+ '_parent',
+ 'play',
+ 'prevFrame',
+ '_quality',
+ 'removeMovieClip',
+ '_rotation',
+ 'setMask',
+ '_soundbuftime',
+ 'startDrag',
+ 'stopDrag',
+ 'stop',
+ 'swapDepths',
+ 'tabChildren',
+ '_target',
+ '_totalFrames',
+ 'trackAsMenu',
+ 'unloadMovie',
+ 'useHandCursor',
+ '_visible',
+ '_width',
+ '_xmouse',
+ '_xscale',
+ '_x',
+ '_ymouse',
+ '_yscale',
+ '_y'
+ ),
+ 25 => array (
+ 'getProgress',
+ 'loadClip',
+ 'onLoadComplete',
+ 'onLoadError',
+ 'onLoadInit',
+ 'onLoadProgress',
+ 'onLoadStart'
+ ),
+ 26 => array (
+ 'bufferLength',
+ 'currentFps',
+ 'seek',
+ 'setBufferTime',
+ 'bufferTime',
+ 'time',
+ 'pause'
+ ),
+ 27 => array (
+ 'MAX_VALUE',
+ 'MIN_VALUE',
+ 'NEGATIVE_INFINITY',
+ 'POSITIVE_INFINITY'
+ ),
+ 28 => array (
+ 'addProperty',
+ 'constructor',
+ '__proto__',
+ 'registerClass',
+ '__resolve',
+ 'unwatch',
+ 'watch',
+ 'onUpDate'
+ ),
+ 29 => array (
+ 'addPage'
+ ),
+ 30 => array (
+ 'getBeginIndex',
+ 'getCaretIndex',
+ 'getEndIndex',
+ 'setSelection'
+ ),
+ 31 => array (
+ 'flush',
+ 'getLocal',
+ 'getSize'
+ ),
+ 32 => array (
+ 'attachSound',
+ 'duration',
+ 'getPan',
+ 'getVolume',
+ 'onID3',
+ 'loadSound',
+ 'id3',
+ 'onSoundComplete',
+ 'position',
+ 'setPan',
+ 'setVolume'
+ ),
+ 33 => array (
+ 'getBeginIndex',
+ 'getCaretIndex',
+ 'getEndIndex',
+ 'setSelection'
+ ),
+ 34 => array (
+ 'getEndIndex',
+ ),
+ 35 => array (
+ 'align',
+ 'height',
+ 'width',
+ 'onResize',
+ 'scaleMode',
+ 'showMenu'
+ ),
+ 36 => array (
+ 'charAt',
+ 'charCodeAt',
+ 'concat',
+ 'fromCharCode',
+ 'indexOf',
+ 'lastIndexOf',
+ 'substr',
+ 'substring',
+ 'toLowerCase',
+ 'toUpperCase'
+ ),
+ 37 => array (
+ 'avHardwareDisable',
+ 'hasAccessibility',
+ 'hasAudioEncoder',
+ 'hasAudio',
+ 'hasEmbeddedVideo',
+ 'hasMP3',
+ 'hasPrinting',
+ 'hasScreenBroadcast',
+ 'hasScreenPlayback',
+ 'hasStreamingAudio',
+ 'hasStreamingVideo',
+ 'hasVideoEncoder',
+ 'isDebugger',
+ 'language',
+ 'localFileReadDisable',
+ 'manufacturer',
+ 'os',
+ 'pixelAspectRatio',
+ 'playerType',
+ 'screenColor',
+ 'screenDPI',
+ 'screenResolutionX',
+ 'screenResolutionY',
+ 'serverString',
+ 'version'
+ ),
+ 38 => array (
+ 'allowDomain',
+ 'allowInsecureDomain',
+ 'loadPolicyFile'
+ ),
+ 39 => array (
+ 'exactSettings',
+ 'setClipboard',
+ 'showSettings',
+ 'useCodepage'
+ ),
+ 40 => array (
+ 'getStyle',
+ 'getStyleNames',
+ 'parseCSS',
+ 'setStyle',
+ 'transform'
+ ),
+ 41 => array (
+ 'autoSize',
+ 'background',
+ 'backgroundColor',
+ 'border',
+ 'borderColor',
+ 'bottomScroll',
+ 'condenseWhite',
+ 'embedFonts',
+ 'getFontList',
+ 'getNewTextFormat',
+ 'getTextFormat',
+ 'hscroll',
+ 'htmlText',
+ 'html',
+ 'maxChars',
+ 'maxhscroll',
+ 'maxscroll',
+ 'mouseWheelEnabled',
+ 'multiline',
+ 'onScroller',
+ 'password',
+ 'removeTextField',
+ 'replaceSel',
+ 'replaceText',
+ 'restrict',
+ 'scroll',
+ 'selectable',
+ 'setNewTextFormat',
+ 'setTextFormat',
+ 'styleSheet',
+ 'tabEnabled',
+ 'tabIndex',
+ 'textColor',
+ 'textHeight',
+ 'textWidth',
+ 'text',
+ 'type',
+ '_url',
+ 'variable',
+ 'wordWrap'
+ ),
+ 42 => array (
+ 'blockIndent',
+ 'bold',
+ 'bullet',
+ 'font',
+ 'getTextExtent',
+ 'indent',
+ 'italic',
+ 'leading',
+ 'leftMargin',
+ 'rightMargin',
+ 'size',
+ 'tabStops',
+ 'underline'
+ ),
+ 43 => array (
+ 'findText',
+ 'getCount',
+ 'getSelected',
+ 'getSelectedText',
+ 'getText',
+ 'hitTestTextNearPos',
+ 'setSelectColor',
+ 'setSelected'
+ ),
+ 44 => array (
+ 'begin',
+ 'change',
+ 'continueTo',
+ 'fforward',
+ 'finish',
+ 'func',
+ 'FPS',
+ 'getPosition',
+ 'isPlaying',
+ 'looping',
+ 'obj',
+ 'onMotionChanged',
+ 'onMotionFinished',
+ 'onMotionLooped',
+ 'onMotionStarted',
+ 'onMotionResumed',
+ 'onMotionStopped',
+ 'prop',
+ 'rewind',
+ 'resume',
+ 'setPosition',
+ 'time',
+ 'userSeconds',
+ 'yoyo'
+ ),
+ 45 => array (
+ 'attachVideo',
+ 'deblocking',
+ 'smoothing'
+ ),
+ 46 => array (
+ 'addRequestHeader',
+ 'appendChild',
+ 'attributes',
+ 'childNodes',
+ 'cloneNode',
+ 'contentType',
+ 'createElement',
+ 'createTextNode',
+ 'docTypeDecl',
+ 'firstChild',
+ 'hasChildNodes',
+ 'ignoreWhite',
+ 'insertBefore',
+ 'lastChild',
+ 'nextSibling',
+ 'nodeName',
+ 'nodeType',
+ 'nodeValue',
+ 'parentNode',
+ 'parseXML',
+ 'previousSibling',
+ 'removeNode',
+ 'xmlDecl'
+ ),
+ 47 => array (
+ 'onClose',
+ 'onXML'
+ ),
+ 48 => array (
+ 'add',
+ 'and',
+ '_highquality',
+ 'chr',
+ 'eq',
+ 'ge',
+ 'ifFrameLoaded',
+ 'int',
+ 'le',
+ 'it',
+ 'mbchr',
+ 'mblength',
+ 'mbord',
+ 'ne',
+ 'not',
+ 'or',
+ 'ord',
+ 'tellTarget',
+ 'toggleHighQuality'
+ ),
+ 49 => array (
+ 'ASSetPropFlags',
+ 'ASnative',
+ 'ASconstructor',
+ 'AsSetupError',
+ 'FWEndCommand',
+ 'FWJavascript',
+ 'MMEndCommand',
+ 'MMSave',
+ 'XMLUI'
+ ),
+ 50 => array (
+ 'System.capabilities'
+ ),
+ 51 => array (
+ 'System.security'
+ ),
+ 52 => array (
+ 'TextField.StyleSheet'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '[', ']', '{', '}', '!', '@', '%', '&', '*', '|', '/', '<', '>','='
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => true,
+ 2 => true,
+ 3 => true,
+ 4 => true,
+ 5 => true,
+ 6 => true,
+ 7 => true,
+ 8 => true,
+ 9 => true,
+ 10 => true,
+ 11 => true,
+ 12 => true,
+ 13 => true,
+ 14 => true,
+ 15 => true,
+ 16 => true,
+ 17 => true,
+ 18 => true,
+ 19 => true,
+ 20 => true,
+ 21 => true,
+ 22 => true,
+ 23 => true,
+ 24 => true,
+ 25 => true,
+ 26 => true,
+ 27 => true,
+ 28 => true,
+ 29 => true,
+ 30 => true,
+ 31 => true,
+ 32 => true,
+ 33 => true,
+ 34 => true,
+ 35 => true,
+ 36 => true,
+ 37 => true,
+ 38 => true,
+ 39 => true,
+ 40 => true,
+ 41 => true,
+ 42 => true,
+ 43 => true,
+ 44 => true,
+ 45 => true,
+ 46 => true,
+ 47 => true,
+ 48 => true,
+ 49 => true,
+ 50 => true,
+ 51 => true,
+ 52 => true
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #0000ff;',
+ 2 => 'color: #006600;',
+ 3 => 'color: #000080;',
+ 4 => 'color: #006600;',
+ 5 => 'color: #006600;',
+ 6 => 'color: #006600;',
+ 7 => 'color: #006600;',
+ 8 => 'color: #006600;',
+ 9 => 'color: #006600;',
+ 10 => 'color: #006600;',
+ 11 => 'color: #006600;',
+ 12 => 'color: #006600;',
+ 13 => 'color: #006600;',
+ 14 => 'color: #006600;',
+ 15 => 'color: #006600;',
+ 16 => 'color: #006600;',
+ 17 => 'color: #006600;',
+ 18 => 'color: #006600;',
+ 19 => 'color: #006600;',
+ 20 => 'color: #006600;',
+ 21 => 'color: #006600;',
+ 22 => 'color: #006600;',
+ 23 => 'color: #006600;',
+ 24 => 'color: #006600;',
+ 25 => 'color: #006600;',
+ 26 => 'color: #006600;',
+ 27 => 'color: #006600;',
+ 28 => 'color: #006600;',
+ 29 => 'color: #006600;',
+ 30 => 'color: #006600;',
+ 31 => 'color: #006600;',
+ 32 => 'color: #006600;',
+ 33 => 'color: #006600;',
+ 34 => 'color: #006600;',
+ 35 => 'color: #006600;',
+ 36 => 'color: #006600;',
+ 37 => 'color: #006600;',
+ 38 => 'color: #006600;',
+ 39 => 'color: #006600;',
+ 40 => 'color: #006600;',
+ 41 => 'color: #006600;',
+ 42 => 'color: #006600;',
+ 43 => 'color: #006600;',
+ 44 => 'color: #006600;',
+ 45 => 'color: #006600;',
+ 46 => 'color: #006600;',
+ 47 => 'color: #006600;',
+ 48 => 'color: #CC0000;',
+ 49 => 'color: #5700d1;',
+ 50 => 'color: #006600;',
+ 51 => 'color: #006600;',
+ 52 => 'color: #CC0000;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #ff8000; font-style: italic;',
+ 2 => 'color: #ff8000; font-style: italic;',
+ 'MULTI' => 'color: #ff8000; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #333333;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #333333; background-color: #eeeeee;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #c50000;'
+ ),
+
+ 'SYMBOLS' => array(
+ 0 => 'color: #000000;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #006600;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => 'http://wiki.media-box.net/documentation/flash/{FNAME}',
+ 2 => 'http://wiki.media-box.net/documentation/flash/{FNAME}',
+ 3 => 'http://wiki.media-box.net/documentation/flash/{FNAME}',
+ 4 => 'http://wiki.media-box.net/documentation/flash/accessibility/{FNAME}',
+ 5 => 'http://wiki.media-box.net/documentation/flash/arguments/{FNAME}',
+ 6 => 'http://wiki.media-box.net/documentation/flash/array/{FNAME}',
+ 7 => 'http://wiki.media-box.net/documentation/flash/boolean/{FNAME}',
+ 8 => 'http://wiki.media-box.net/documentation/flash/button/{FNAME}',
+ 9 => 'http://wiki.media-box.net/documentation/flash/camera/{FNAME}',
+ 10 => 'http://wiki.media-box.net/documentation/flash/color/{FNAME}',
+ 11 => 'http://wiki.media-box.net/documentation/flash/contextmenuitem/{FNAME}',
+ 12 => 'http://wiki.media-box.net/documentation/flash/contextmenu/{FNAME}',
+ 13 => 'http://wiki.media-box.net/documentation/flash/cookie/{FNAME}',
+ 14 => 'http://wiki.media-box.net/documentation/flash/customactions/{FNAME}',
+ 15 => 'http://wiki.media-box.net/documentation/flash/date/{FNAME}',
+ 16 => 'http://wiki.media-box.net/documentation/flash/error/{FNAME}',
+ 17 => 'http://wiki.media-box.net/documentation/flash/function/{FNAME}',
+ 18 => 'http://wiki.media-box.net/documentation/flash/key/{FNAME}',
+ 19 => 'http://wiki.media-box.net/documentation/flash/loadvars/{FNAME}',
+ 20 => 'http://wiki.media-box.net/documentation/flash/localconnection/{FNAME}',
+ 21 => 'http://wiki.media-box.net/documentation/flash/math/{FNAME}',
+ 22 => 'http://wiki.media-box.net/documentation/flash/microphone/{FNAME}',
+ 23 => 'http://wiki.media-box.net/documentation/flash/mouse/{FNAME}',
+ 24 => 'http://wiki.media-box.net/documentation/flash/movieclip/{FNAME}',
+ 25 => 'http://wiki.media-box.net/documentation/flash/moviecliploader/{FNAME}',
+ 26 => 'http://wiki.media-box.net/documentation/flash/netstream/{FNAME}',
+ 27 => 'http://wiki.media-box.net/documentation/flash/number/{FNAME}',
+ 28 => 'http://wiki.media-box.net/documentation/flash/object/{FNAME}',
+ 29 => 'http://wiki.media-box.net/documentation/flash/printJob/{FNAME}',
+ 30 => 'http://wiki.media-box.net/documentation/flash/selection/{FNAME}',
+ 31 => 'http://wiki.media-box.net/documentation/flash/sharedobject/{FNAME}',
+ 32 => 'http://wiki.media-box.net/documentation/flash/sound/{FNAME}',
+ 33 => 'http://wiki.media-box.net/documentation/flash/selection/{FNAME}',
+ 34 => 'http://wiki.media-box.net/documentation/flash/sharedobject/{FNAME}',
+ 35 => 'http://wiki.media-box.net/documentation/flash/stage/{FNAME}',
+ 36 => 'http://wiki.media-box.net/documentation/flash/string/{FNAME}',
+ 37 => 'http://wiki.media-box.net/documentation/flash/system/capabilities/{FNAME}',
+ 38 => 'http://wiki.media-box.net/documentation/flash/system/security/{FNAME}',
+ 39 => 'http://wiki.media-box.net/documentation/flash/system/{FNAME}',
+ 40 => 'http://wiki.media-box.net/documentation/flash/textfield/stylesheet/{FNAME}',
+ 41 => 'http://wiki.media-box.net/documentation/flash/textfield/{FNAME}',
+ 42 => 'http://wiki.media-box.net/documentation/flash/textformat/{FNAME}',
+ 43 => 'http://wiki.media-box.net/documentation/flash/textsnapshot/{FNAME}',
+ 44 => 'http://wiki.media-box.net/documentation/flash/tween/{FNAME}',
+ 45 => 'http://wiki.media-box.net/documentation/flash/video/{FNAME}',
+ 46 => 'http://wiki.media-box.net/documentation/flash/xml/{FNAME}',
+ 47 => 'http://wiki.media-box.net/documentation/flash/xmlsocket/{FNAME}',
+ 48 => 'http://wiki.media-box.net/documentation/flash/{FNAME}',
+ 49 => 'http://wiki.media-box.net/documentation/flash/{FNAME}',
+ 50 => 'http://wiki.media-box.net/documentation/flash/system/capabilities',
+ 51 => 'http://wiki.media-box.net/documentation/flash/system/security',
+ 52 => 'http://wiki.media-box.net/documentation/flash/textfield/stylesheet'
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(),
+ 'HIGHLIGHT_STRICT_BLOCK' => array()
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/actionscript.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/actionscript.php
new file mode 100644
index 000000000..016dc8e90
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/actionscript.php
@@ -0,0 +1,197 @@
+<?php
+/*************************************************************************************
+ * actionscript.php
+ * ----------------
+ * Author: Steffen Krause (Steffen.krause@muse.de)
+ * Copyright: (c) 2004 Steffen Krause, Nigel McNie (http://qbnz.com/highlighter)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/06/20
+ *
+ * Actionscript language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2004/11/27 (1.0.1)
+ * - Added support for multiple object splitters
+ * 2004/10/27 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2004/11/27)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'ActionScript',
+ 'COMMENT_SINGLE' => array(1 => '//', 2 => '#'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ '#include', 'for', 'foreach', 'each', 'if', 'elseif', 'else', 'while', 'do', 'dowhile',
+ 'endwhile', 'endif', 'switch', 'case', 'endswitch', 'return', 'break', 'continue', 'in'
+ ),
+ 2 => array(
+ 'null', 'false', 'true', 'var',
+ 'default', 'function', 'class',
+ 'new', '_global'
+ ),
+ 3 => array(
+ '#endinitclip', '#initclip', '__proto__', '_accProps', '_alpha', '_currentframe',
+ '_droptarget', '_focusrect', '_framesloaded', '_height', '_highquality', '_lockroot',
+ '_name', '_parent', '_quality', '_root', '_rotation', '_soundbuftime', '_target', '_totalframes',
+ '_url', '_visible', '_width', '_x', '_xmouse', '_xscale', '_y', '_ymouse', '_yscale', 'abs',
+ 'Accessibility', 'acos', 'activityLevel', 'add', 'addListener', 'addPage', 'addProperty',
+ 'addRequestHeader', 'align', 'allowDomain', 'allowInsecureDomain', 'and', 'appendChild',
+ 'apply', 'Arguments', 'Array', 'asfunction', 'asin', 'atan', 'atan2', 'attachAudio', 'attachMovie',
+ 'attachSound', 'attachVideo', 'attributes', 'autosize', 'avHardwareDisable', 'background',
+ 'backgroundColor', 'BACKSPACE', 'bandwidth', 'beginFill', 'beginGradientFill', 'blockIndent',
+ 'bold', 'Boolean', 'border', 'borderColor', 'bottomScroll', 'bufferLength', 'bufferTime',
+ 'builtInItems', 'bullet', 'Button', 'bytesLoaded', 'bytesTotal', 'call', 'callee', 'caller',
+ 'Camera', 'capabilities', 'CAPSLOCK', 'caption', 'catch', 'ceil', 'charAt', 'charCodeAt',
+ 'childNodes', 'chr', 'clear', 'clearInterval', 'cloneNode', 'close', 'Color', 'concat',
+ 'connect', 'condenseWhite', 'constructor', 'contentType', 'ContextMenu', 'ContextMenuItem',
+ 'CONTROL', 'copy', 'cos', 'createElement', 'createEmptyMovieClip', 'createTextField',
+ 'createTextNode', 'currentFps', 'curveTo', 'CustomActions', 'customItems', 'data', 'Date',
+ 'deblocking', 'delete', 'DELETEKEY', 'docTypeDecl', 'domain', 'DOWN',
+ 'duplicateMovieClip', 'duration', 'dynamic', 'E', 'embedFonts', 'enabled',
+ 'END', 'endFill', 'ENTER', 'eq', 'Error', 'ESCAPE(Konstante)', 'escape(Funktion)', 'eval',
+ 'exactSettings', 'exp', 'extends', 'finally', 'findText', 'firstChild', 'floor',
+ 'flush', 'focusEnabled', 'font', 'fps', 'fromCharCode', 'fscommand',
+ 'gain', 'ge', 'get', 'getAscii', 'getBeginIndex', 'getBounds', 'getBytesLoaded', 'getBytesTotal',
+ 'getCaretIndex', 'getCode', 'getCount', 'getDate', 'getDay', 'getDepth', 'getEndIndex', 'getFocus',
+ 'getFontList', 'getFullYear', 'getHours', 'getInstanceAtDepth', 'getLocal', 'getMilliseconds',
+ 'getMinutes', 'getMonth', 'getNewTextFormat', 'getNextHighestDepth', 'getPan', 'getProgress',
+ 'getProperty', 'getRGB', 'getSeconds', 'getSelected', 'getSelectedText', 'getSize', 'getStyle',
+ 'getStyleNames', 'getSWFVersion', 'getText', 'getTextExtent', 'getTextFormat', 'getTextSnapshot',
+ 'getTime', 'getTimer', 'getTimezoneOffset', 'getTransform', 'getURL', 'getUTCDate', 'getUTCDay',
+ 'getUTCFullYear', 'getUTCHours', 'getUTCMilliseconds', 'getUTCMinutes', 'getUTCMonth', 'getUTCSeconds',
+ 'getVersion', 'getVolume', 'getYear', 'globalToLocal', 'goto', 'gotoAndPlay', 'gotoAndStop',
+ 'hasAccessibility', 'hasAudio', 'hasAudioEncoder', 'hasChildNodes', 'hasEmbeddedVideo', 'hasMP3',
+ 'hasPrinting', 'hasScreenBroadcast', 'hasScreenPlayback', 'hasStreamingAudio', 'hasStreamingVideo',
+ 'hasVideoEncoder', 'height', 'hide', 'hideBuiltInItems', 'hitArea', 'hitTest', 'hitTestTextNearPos',
+ 'HOME', 'hscroll', 'html', 'htmlText', 'ID3', 'ifFrameLoaded', 'ignoreWhite', 'implements',
+ 'import', 'indent', 'index', 'indexOf', 'Infinity', '-Infinity', 'INSERT', 'insertBefore', 'install',
+ 'instanceof', 'int', 'interface', 'isActive', 'isDebugger', 'isDown', 'isFinite', 'isNaN', 'isToggled',
+ 'italic', 'join', 'Key', 'language', 'lastChild', 'lastIndexOf', 'le', 'leading', 'LEFT', 'leftMargin',
+ 'length', 'level', 'lineStyle', 'lineTo', 'list', 'LN10', 'LN2', 'load', 'loadClip', 'loaded', 'loadMovie',
+ 'loadMovieNum', 'loadSound', 'loadVariables', 'loadVariablesNum', 'LoadVars', 'LocalConnection',
+ 'localFileReadDisable', 'localToGlobal', 'log', 'LOG10E', 'LOG2E', 'manufacturer', 'Math', 'max',
+ 'MAX_VALUE', 'maxChars', 'maxhscroll', 'maxscroll', 'mbchr', 'mblength', 'mbord', 'mbsubstring', 'menu',
+ 'message', 'Microphone', 'min', 'MIN_VALUE', 'MMExecute', 'motionLevel', 'motionTimeOut', 'Mouse',
+ 'mouseWheelEnabled', 'moveTo', 'Movieclip', 'MovieClipLoader', 'multiline', 'muted', 'name', 'names', 'NaN',
+ 'ne', 'NEGATIVE_INFINITY', 'NetConnection', 'NetStream', 'newline', 'nextFrame',
+ 'nextScene', 'nextSibling', 'nodeName', 'nodeType', 'nodeValue', 'not', 'Number', 'Object',
+ 'on', 'onActivity', 'onChanged', 'onClipEvent', 'onClose', 'onConnect', 'onData', 'onDragOut',
+ 'onDragOver', 'onEnterFrame', 'onID3', 'onKeyDown', 'onKeyUp', 'onKillFocus', 'onLoad', 'onLoadComplete',
+ 'onLoadError', 'onLoadInit', 'onLoadProgress', 'onLoadStart', 'onMouseDown', 'onMouseMove', 'onMouseUp',
+ 'onMouseWheel', 'onPress', 'onRelease', 'onReleaseOutside', 'onResize', 'onRollOut', 'onRollOver',
+ 'onScroller', 'onSelect', 'onSetFocus', 'onSoundComplete', 'onStatus', 'onUnload', 'onUpdate', 'onXML',
+ 'or(logischesOR)', 'ord', 'os', 'parentNode', 'parseCSS', 'parseFloat', 'parseInt', 'parseXML', 'password',
+ 'pause', 'PGDN', 'PGUP', 'PI', 'pixelAspectRatio', 'play', 'playerType', 'pop', 'position',
+ 'POSITIVE_INFINITY', 'pow', 'prevFrame', 'previousSibling', 'prevScene', 'print', 'printAsBitmap',
+ 'printAsBitmapNum', 'PrintJob', 'printNum', 'private', 'prototype', 'public', 'push', 'quality',
+ 'random', 'rate', 'registerClass', 'removeListener', 'removeMovieClip', 'removeNode', 'removeTextField',
+ 'replaceSel', 'replaceText', 'resolutionX', 'resolutionY', 'restrict', 'reverse', 'RIGHT',
+ 'rightMargin', 'round', 'scaleMode', 'screenColor', 'screenDPI', 'screenResolutionX', 'screenResolutionY',
+ 'scroll', 'seek', 'selectable', 'Selection', 'send', 'sendAndLoad', 'separatorBefore', 'serverString',
+ 'set', 'setvariable', 'setBufferTime', 'setClipboard', 'setDate', 'setFocus', 'setFullYear', 'setGain',
+ 'setHours', 'setInterval', 'setMask', 'setMilliseconds', 'setMinutes', 'setMode', 'setMonth',
+ 'setMotionLevel', 'setNewTextFormat', 'setPan', 'setProperty', 'setQuality', 'setRate', 'setRGB',
+ 'setSeconds', 'setSelectColor', 'setSelected', 'setSelection', 'setSilenceLevel', 'setStyle',
+ 'setTextFormat', 'setTime', 'setTransform', 'setUseEchoSuppression', 'setUTCDate', 'setUTCFullYear',
+ 'setUTCHours', 'setUTCMilliseconds', 'setUTCMinutes', 'setUTCMonth', 'setUTCSeconds', 'setVolume',
+ 'setYear', 'SharedObject', 'SHIFT(Konstante)', 'shift(Methode)', 'show', 'showMenu', 'showSettings',
+ 'silenceLevel', 'silenceTimeout', 'sin', 'size', 'slice', 'smoothing', 'sort', 'sortOn', 'Sound', 'SPACE',
+ 'splice', 'split', 'sqrt', 'SQRT1_2', 'SQRT2', 'Stage', 'start', 'startDrag', 'static', 'status', 'stop',
+ 'stopAllSounds', 'stopDrag', 'String', 'StyleSheet(Klasse)', 'styleSheet(Eigenschaft)', 'substr',
+ 'substring', 'super', 'swapDepths', 'System', 'TAB', 'tabChildren', 'tabEnabled', 'tabIndex',
+ 'tabStops', 'tan', 'target', 'targetPath', 'tellTarget', 'text', 'textColor', 'TextField', 'TextFormat',
+ 'textHeight', 'TextSnapshot', 'textWidth', 'this', 'throw', 'time', 'toggleHighQuality', 'toLowerCase',
+ 'toString', 'toUpperCase', 'trace', 'trackAsMenu', 'try', 'type', 'typeof', 'undefined',
+ 'underline', 'unescape', 'uninstall', 'unloadClip', 'unloadMovie', 'unLoadMovieNum', 'unshift', 'unwatch',
+ 'UP', 'updateAfterEvent', 'updateProperties', 'url', 'useCodePage', 'useEchoSuppression', 'useHandCursor',
+ 'UTC', 'valueOf', 'variable', 'version', 'Video', 'visible', 'void', 'watch', 'width',
+ 'with', 'wordwrap', 'XML', 'xmlDecl', 'XMLNode', 'XMLSocket'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '[', ']', '{', '}', '!', '@', '%', '&', '*', '|', '/', '<', '>'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #b1b100;',
+ 2 => 'color: #000000; font-weight: bold;',
+ 3 => 'color: #0066CC;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #808080; font-style: italic;',
+ 2 => 'color: #808080; font-style: italic;',
+ 'MULTI' => 'color: #808080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #006600;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(),
+ 'HIGHLIGHT_STRICT_BLOCK' => array()
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/actionscript3.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/actionscript3.php
new file mode 100644
index 000000000..a54e9d4df
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/actionscript3.php
@@ -0,0 +1,473 @@
+<?php
+/*************************************************************************************
+ * actionscript3.php
+ * ----------------
+ * Author: Jordi Boggiano (j.boggiano@seld.be)
+ * Copyright: (c) 2007 Jordi Boggiano (http://www.seld.be/), Benny Baumann (http://qbnz.com/highlighter)
+ * Release Version: 1.0.8.4
+ * Date Started: 2007/11/26
+ *
+ * ActionScript3 language file for GeSHi.
+ *
+ * All keywords scraped from the Flex 2.0.1 Documentation
+ *
+ * The default style is based on FlexBuilder2 coloring, with the addition of class, package, method and
+ * constant names that are highlighted to help identifying problem when used on public pastebins.
+ *
+ * For styling, keywords data from 0 to 1 (accessible through .kw1, etc.) are described here :
+ *
+ * 1 : operators
+ * 2 : 'var' keyword
+ * 3 : 'function' keyword
+ * 4 : 'class' and 'package' keywords
+ * 5 : all flash.* class names plus Top Level classes, mx are excluded
+ * 6 : all flash.* package names, mx are excluded
+ * 7 : valid flash method names and properties (there is no type checks sadly, for example String().x will be highlighted as 'x' is valid, but obviously strings don't have a x property)
+ * 8 : valid flash constant names (again, no type check)
+ *
+ *
+ * CHANGES
+ * -------
+ * 2007/12/06 (1.0.7.22)
+ * - Added the 'this' keyword (oops)
+ *
+ * TODO (updated 2007/11/30)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'ActionScript 3',
+ 'COMMENT_SINGLE' => array(1 => '//'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'COMMENT_REGEXP' => array(
+ //Regular expressions
+ 2 => "/(?<=[\\s^])(s|tr|y)\\/(?:\\\\.|(?!\n)[^\\/\\\\])+\\/(?:\\\\.|(?!\n)[^\\/\\\\])*\\/[msixpogcde]*(?=[\\s$\\.\\;])|(?<=[\\s^(=])(m|q[qrwx]?)?\\/(?:\\\\.|(?!\n)[^\\/\\\\])+\\/[msixpogc]*(?=[\\s$\\.\\,\\;\\)])/iU",
+ ),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'with', 'while', 'void', 'undefined', 'typeof', 'try', 'true',
+ 'throw', 'this', 'switch', 'super', 'set', 'return', 'public', 'protected',
+ 'private', 'null', 'new', 'is', 'internal', 'instanceof', 'in',
+ 'import', 'if', 'get', 'for', 'false', 'else', 'each', 'do',
+ 'delete', 'default', 'continue', 'catch', 'case', 'break', 'as',
+ 'extends'
+ ),
+ 2 => array(
+ 'var'
+ ),
+ 3 => array(
+ 'function'
+ ),
+ 4 => array(
+ 'class', 'package'
+ ),
+ 6 => array(
+ 'flash.xml', 'flash.utils', 'flash.ui', 'flash.text',
+ 'flash.system', 'flash.profiler', 'flash.printing', 'flash.net',
+ 'flash.media', 'flash.geom', 'flash.filters', 'flash.external',
+ 'flash.events', 'flash.errors', 'flash.display',
+ 'flash.accessibility'
+ ),
+ 7 => array(
+ 'zoom', 'year', 'y', 'xmlDecl', 'x', 'writeUnsignedInt',
+ 'writeUTFBytes', 'writeUTF', 'writeShort', 'writeObject',
+ 'writeMultiByte', 'writeInt', 'writeFloat', 'writeExternal',
+ 'writeDynamicProperty', 'writeDynamicProperties', 'writeDouble',
+ 'writeBytes', 'writeByte', 'writeBoolean', 'wordWrap',
+ 'willTrigger', 'width', 'volume', 'visible', 'videoWidth',
+ 'videoHeight', 'version', 'valueOf', 'value', 'usingTLS',
+ 'useRichTextClipboard', 'useHandCursor', 'useEchoSuppression',
+ 'useCodePage', 'url', 'uri', 'uploadCompleteData', 'upload',
+ 'updateProperties', 'updateAfterEvent', 'upState', 'unshift',
+ 'unlock', 'unload', 'union', 'unescapeMultiByte', 'unescape',
+ 'underline', 'uncompress', 'type', 'ty', 'tx', 'transparent',
+ 'translate', 'transformPoint', 'transform', 'trackAsMenu', 'track',
+ 'trace', 'totalMemory', 'totalFrames', 'topLeft', 'top',
+ 'togglePause', 'toXMLString', 'toUpperCase', 'toUTCString',
+ 'toTimeString', 'toString', 'toPrecision', 'toLowerCase',
+ 'toLocaleUpperCase', 'toLocaleTimeString', 'toLocaleString',
+ 'toLocaleLowerCase', 'toLocaleDateString', 'toFixed',
+ 'toExponential', 'toDateString', 'timezoneOffset', 'timerComplete',
+ 'timer', 'time', 'threshold', 'thickness', 'textWidth',
+ 'textSnapshot', 'textInput', 'textHeight', 'textColor', 'text',
+ 'test', 'target', 'tan', 'tabStops', 'tabIndexChange', 'tabIndex',
+ 'tabEnabledChange', 'tabEnabled', 'tabChildrenChange',
+ 'tabChildren', 'sync', 'swfVersion', 'swapChildrenAt',
+ 'swapChildren', 'subtract', 'substring', 'substr', 'styleSheet',
+ 'styleNames', 'strength', 'stopPropagation',
+ 'stopImmediatePropagation', 'stopDrag', 'stopAll', 'stop', 'status',
+ 'startDrag', 'start', 'stageY', 'stageX', 'stageWidth',
+ 'stageHeight', 'stageFocusRect', 'stage', 'sqrt', 'split', 'splice',
+ 'source', 'soundTransform', 'soundComplete', 'sortOn', 'sort',
+ 'songName', 'some', 'socketData', 'smoothing', 'slice', 'size',
+ 'sin', 'silent', 'silenceTimeout', 'silenceLevel', 'showSettings',
+ 'showRedrawRegions', 'showDefaultContextMenu', 'show', 'shortcut',
+ 'shiftKey', 'shift', 'sharpness', 'sharedEvents', 'shadowColor',
+ 'shadowAlpha', 'settings', 'setUseEchoSuppression', 'setUTCSeconds',
+ 'setUTCMonth', 'setUTCMinutes', 'setUTCMilliseconds', 'setUTCHours',
+ 'setUTCFullYear', 'setUTCDate', 'setTimeout', 'setTime',
+ 'setTextFormat', 'setStyle', 'setSilenceLevel', 'setSettings',
+ 'setSelection', 'setSelected', 'setSelectColor', 'setSeconds',
+ 'setQuality', 'setPropertyIsEnumerable', 'setProperty', 'setPixels',
+ 'setPixel32', 'setPixel', 'setNamespace', 'setName',
+ 'setMotionLevel', 'setMonth', 'setMode', 'setMinutes',
+ 'setMilliseconds', 'setLoopback', 'setLoopBack', 'setLocalName',
+ 'setKeyFrameInterval', 'setInterval', 'setHours', 'setFullYear',
+ 'setEmpty', 'setDirty', 'setDate', 'setCompositionString',
+ 'setClipboard', 'setChildren', 'setChildIndex',
+ 'setAdvancedAntiAliasingTable', 'serverString', 'separatorBefore',
+ 'sendToURL', 'send', 'selectionEndIndex', 'selectionBeginIndex',
+ 'selectable', 'select', 'seek', 'securityError', 'securityDomain',
+ 'secondsUTC', 'seconds', 'search', 'scrollV', 'scrollRect',
+ 'scrollH', 'scroll', 'screenResolutionY', 'screenResolutionX',
+ 'screenDPI', 'screenColor', 'scenes', 'scaleY', 'scaleX',
+ 'scaleMode', 'scale9Grid', 'scale', 'save', 'sandboxType',
+ 'sameDomain', 'running', 'round', 'rotation', 'rotate', 'root',
+ 'rollOver', 'rollOut', 'rightToRight', 'rightToLeft', 'rightPeak',
+ 'rightMargin', 'right', 'rewind', 'reverse', 'resume', 'restrict',
+ 'resize', 'reset', 'requestHeaders', 'replaceText',
+ 'replaceSelectedText', 'replace', 'repeatCount', 'render',
+ 'removedFromStage', 'removed', 'removeNode', 'removeNamespace',
+ 'removeEventListener', 'removeChildAt', 'removeChild',
+ 'relatedObject', 'registerFont', 'registerClassAlias', 'redOffset',
+ 'redMultiplier', 'rect', 'receiveVideo', 'receiveAudio',
+ 'readUnsignedShort', 'readUnsignedInt', 'readUnsignedByte',
+ 'readUTFBytes', 'readUTF', 'readShort', 'readObject',
+ 'readMultiByte', 'readInt', 'readFloat', 'readExternal',
+ 'readDouble', 'readBytes', 'readByte', 'readBoolean', 'ratios',
+ 'rate', 'random', 'quality', 'push', 'publish', 'proxyType',
+ 'prototype', 'propertyIsEnumerable', 'progress',
+ 'processingInstructions', 'printAsBitmap', 'print',
+ 'previousSibling', 'preventDefault', 'prevScene', 'prevFrame',
+ 'prettyPrinting', 'prettyIndent', 'preserveAlpha', 'prependChild',
+ 'prefix', 'pow', 'position', 'pop', 'polar', 'playerType', 'play',
+ 'pixelSnapping', 'pixelDissolve', 'pixelBounds', 'pixelAspectRatio',
+ 'perlinNoise', 'pause', 'parseXML', 'parseInt', 'parseFloat',
+ 'parseCSS', 'parse', 'parentNode', 'parentDomain',
+ 'parentAllowsChild', 'parent', 'parameters', 'paperWidth',
+ 'paperHeight', 'pan', 'paletteMap', 'pageWidth', 'pageHeight',
+ 'overState', 'outsideCutoff', 'os', 'orientation', 'open',
+ 'opaqueBackground', 'onPlayStatus', 'onMetaData', 'onCuePoint',
+ 'offsetPoint', 'offset', 'objectID', 'objectEncoding', 'numLock',
+ 'numLines', 'numFrames', 'numChildren', 'normalize', 'noise',
+ 'nodeValue', 'nodeType', 'nodeName', 'nodeKind', 'noAutoLabeling',
+ 'nextValue', 'nextSibling', 'nextScene', 'nextNameIndex',
+ 'nextName', 'nextFrame', 'netStatus', 'navigateToURL',
+ 'namespaceURI', 'namespaceDeclarations', 'namespace', 'names',
+ 'name', 'muted', 'multiline', 'moveTo', 'mouseY', 'mouseX',
+ 'mouseWheelEnabled', 'mouseWheel', 'mouseUp', 'mouseTarget',
+ 'mouseOver', 'mouseOut', 'mouseMove', 'mouseLeave',
+ 'mouseFocusChange', 'mouseEnabled', 'mouseDown', 'mouseChildren',
+ 'motionTimeout', 'motionLevel', 'monthUTC', 'month',
+ 'modificationDate', 'mode', 'minutesUTC', 'minutes', 'min',
+ 'millisecondsUTC', 'milliseconds', 'method', 'message', 'merge',
+ 'menuSelect', 'menuItemSelect', 'maxScrollV', 'maxScrollH',
+ 'maxLevel', 'maxChars', 'max', 'matrixY', 'matrixX', 'matrix',
+ 'match', 'mask', 'mapPoint', 'mapBitmap', 'map', 'manufacturer',
+ 'macType', 'loopback', 'loop', 'log', 'lock', 'localeCompare',
+ 'localY', 'localX', 'localToGlobal', 'localName',
+ 'localFileReadDisable', 'loaderURL', 'loaderInfo', 'loader',
+ 'loadPolicyFile', 'loadBytes', 'load', 'liveDelay', 'link',
+ 'lineTo', 'lineStyle', 'lineGradientStyle', 'level',
+ 'letterSpacing', 'length', 'leftToRight', 'leftToLeft', 'leftPeak',
+ 'leftMargin', 'left', 'leading', 'lastIndexOf', 'lastIndex',
+ 'lastChild', 'language', 'labels', 'knockout', 'keyUp',
+ 'keyLocation', 'keyFrameInterval', 'keyFocusChange', 'keyDown',
+ 'keyCode', 'kerning', 'join', 'italic', 'isXMLName',
+ 'isPrototypeOf', 'isNaN', 'isFocusInaccessible', 'isFinite',
+ 'isEmpty', 'isDefaultPrevented', 'isDebugger', 'isBuffering',
+ 'isAttribute', 'isAccessible', 'ioError', 'invert', 'invalidate',
+ 'intersects', 'intersection', 'interpolate', 'insideCutoff',
+ 'insertChildBefore', 'insertChildAfter', 'insertBefore', 'inner',
+ 'init', 'info', 'inflatePoint', 'inflate', 'indexOf', 'index',
+ 'indent', 'inScopeNamespaces', 'imeComposition', 'ime',
+ 'ignoreWhitespace', 'ignoreWhite', 'ignoreProcessingInstructions',
+ 'ignoreComments', 'ignoreCase', 'identity', 'idMap', 'id3',
+ 'httpStatus', 'htmlText', 'hoursUTC', 'hours', 'hitTestTextNearPos',
+ 'hitTestState', 'hitTestPoint', 'hitTestObject', 'hitTest',
+ 'hitArea', 'highlightColor', 'highlightAlpha', 'hideObject',
+ 'hideBuiltInItems', 'hide', 'height', 'hasVideoEncoder', 'hasTLS',
+ 'hasStreamingVideo', 'hasStreamingAudio', 'hasSimpleContent',
+ 'hasScreenPlayback', 'hasScreenBroadcast', 'hasProperty',
+ 'hasPrinting', 'hasOwnProperty', 'hasMP3', 'hasIME', 'hasGlyphs',
+ 'hasEventListener', 'hasEmbeddedVideo', 'hasDefinition',
+ 'hasComplexContent', 'hasChildNodes', 'hasAudioEncoder', 'hasAudio',
+ 'hasAccessibility', 'gridFitType', 'greenOffset', 'greenMultiplier',
+ 'graphics', 'gotoAndStop', 'gotoAndPlay', 'globalToLocal', 'global',
+ 'getUTCSeconds', 'getUTCMonth', 'getUTCMinutes',
+ 'getUTCMilliseconds', 'getUTCHours', 'getUTCFullYear', 'getUTCDay',
+ 'getUTCDate', 'getTimezoneOffset', 'getTimer', 'getTime',
+ 'getTextRunInfo', 'getTextFormat', 'getText', 'getStyle',
+ 'getStackTrace', 'getSelectedText', 'getSelected', 'getSeconds',
+ 'getRemote', 'getRect', 'getQualifiedSuperclassName',
+ 'getQualifiedClassName', 'getProperty', 'getPrefixForNamespace',
+ 'getPixels', 'getPixel32', 'getPixel', 'getParagraphLength',
+ 'getObjectsUnderPoint', 'getNamespaceForPrefix', 'getMonth',
+ 'getMinutes', 'getMilliseconds', 'getMicrophone', 'getLocal',
+ 'getLineText', 'getLineOffset', 'getLineMetrics', 'getLineLength',
+ 'getLineIndexOfChar', 'getLineIndexAtPoint', 'getImageReference',
+ 'getHours', 'getFullYear', 'getFirstCharInParagraph',
+ 'getDescendants', 'getDefinitionByName', 'getDefinition', 'getDay',
+ 'getDate', 'getColorBoundsRect', 'getClassByAlias', 'getChildIndex',
+ 'getChildByName', 'getChildAt', 'getCharIndexAtPoint',
+ 'getCharBoundaries', 'getCamera', 'getBounds', 'genre',
+ 'generateFilterRect', 'gain', 'fullYearUTC', 'fullYear',
+ 'fullScreen', 'fscommand', 'fromCharCode', 'framesLoaded',
+ 'frameRate', 'frame', 'fps', 'forwardAndBack', 'formatToString',
+ 'forceSimple', 'forEach', 'fontType', 'fontStyle', 'fontSize',
+ 'fontName', 'font', 'focusRect', 'focusOut', 'focusIn', 'focus',
+ 'flush', 'floor', 'floodFill', 'firstChild', 'findText', 'filters',
+ 'filter', 'fillRect', 'fileList', 'extension', 'extended', 'exp',
+ 'exec', 'exactSettings', 'every', 'eventPhase', 'escapeMultiByte',
+ 'escape', 'errorID', 'error', 'equals', 'enumerateFonts',
+ 'enterFrame', 'endian', 'endFill', 'encodeURIComponent',
+ 'encodeURI', 'enabled', 'embedFonts', 'elements',
+ 'dynamicPropertyWriter', 'dropTarget', 'drawRoundRect', 'drawRect',
+ 'drawEllipse', 'drawCircle', 'draw', 'download', 'downState',
+ 'doubleClickEnabled', 'doubleClick', 'dotall', 'domain',
+ 'docTypeDecl', 'doConversion', 'divisor', 'distance', 'dispose',
+ 'displayState', 'displayMode', 'displayAsPassword', 'dispatchEvent',
+ 'description', 'describeType', 'descent', 'descendants',
+ 'deltaTransformPoint', 'delta', 'deleteProperty', 'delay',
+ 'defaultTextFormat', 'defaultSettings', 'defaultObjectEncoding',
+ 'decodeURIComponent', 'decodeURI', 'decode', 'deblocking',
+ 'deactivate', 'dayUTC', 'day', 'dateUTC', 'date', 'dataFormat',
+ 'data', 'd', 'customItems', 'curveTo', 'currentTarget',
+ 'currentScene', 'currentLabels', 'currentLabel', 'currentFrame',
+ 'currentFPS', 'currentDomain', 'currentCount', 'ctrlKey', 'creator',
+ 'creationDate', 'createTextNode', 'createGradientBox',
+ 'createElement', 'createBox', 'cos', 'copyPixels', 'copyChannel',
+ 'copy', 'conversionMode', 'contextMenuOwner', 'contextMenu',
+ 'contentType', 'contentLoaderInfo', 'content', 'containsRect',
+ 'containsPoint', 'contains', 'constructor', 'connectedProxyType',
+ 'connected', 'connect', 'condenseWhite', 'concatenatedMatrix',
+ 'concatenatedColorTransform', 'concat', 'computeSpectrum',
+ 'compress', 'componentY', 'componentX', 'complete', 'compare',
+ 'comments', 'comment', 'colors', 'colorTransform', 'color', 'code',
+ 'close', 'cloneNode', 'clone', 'client', 'click', 'clearTimeout',
+ 'clearInterval', 'clear', 'clamp', 'children', 'childNodes',
+ 'childIndex', 'childAllowsParent', 'child', 'checkPolicyFile',
+ 'charCount', 'charCodeAt', 'charCode', 'charAt', 'changeList',
+ 'change', 'ceil', 'caretIndex', 'caption', 'capsLock', 'cancelable',
+ 'cancel', 'callee', 'callProperty', 'call', 'cacheAsBitmap', 'c',
+ 'bytesTotal', 'bytesLoaded', 'bytesAvailable', 'buttonMode',
+ 'buttonDown', 'bullet', 'builtInItems', 'bufferTime',
+ 'bufferLength', 'bubbles', 'browse', 'bottomScrollV', 'bottomRight',
+ 'bottom', 'borderColor', 'border', 'bold', 'blurY', 'blurX',
+ 'blueOffset', 'blueMultiplier', 'blockIndent', 'blendMode',
+ 'bitmapData', 'bias', 'beginGradientFill', 'beginFill',
+ 'beginBitmapFill', 'bandwidth', 'backgroundColor', 'background',
+ 'b', 'available', 'avHardwareDisable', 'autoSize', 'attributes',
+ 'attribute', 'attachNetStream', 'attachCamera', 'attachAudio',
+ 'atan2', 'atan', 'asyncError', 'asin', 'ascent', 'artist',
+ 'areSoundsInaccessible', 'areInaccessibleObjectsUnderPoint',
+ 'applyFilter', 'apply', 'applicationDomain', 'appendText',
+ 'appendChild', 'antiAliasType', 'angle', 'alwaysShowSelection',
+ 'altKey', 'alphas', 'alphaOffset', 'alphaMultiplier', 'alpha',
+ 'allowInsecureDomain', 'allowDomain', 'align', 'album',
+ 'addedToStage', 'added', 'addPage', 'addNamespace', 'addHeader',
+ 'addEventListener', 'addChildAt', 'addChild', 'addCallback', 'add',
+ 'activityLevel', 'activity', 'active', 'activating', 'activate',
+ 'actionScriptVersion', 'acos', 'accessibilityProperties', 'abs'
+ ),
+ 8 => array(
+ 'WRAP', 'VERTICAL', 'VARIABLES',
+ 'UTC', 'UPLOAD_COMPLETE_DATA', 'UP', 'UNLOAD', 'UNKNOWN',
+ 'UNIQUESORT', 'TOP_RIGHT', 'TOP_LEFT', 'TOP', 'TIMER_COMPLETE',
+ 'TIMER', 'TEXT_NODE', 'TEXT_INPUT', 'TEXT', 'TAB_INDEX_CHANGE',
+ 'TAB_ENABLED_CHANGE', 'TAB_CHILDREN_CHANGE', 'TAB', 'SYNC',
+ 'SUBTRACT', 'SUBPIXEL', 'STATUS', 'STANDARD', 'SQUARE', 'SQRT2',
+ 'SQRT1_2', 'SPACE', 'SOUND_COMPLETE', 'SOCKET_DATA', 'SHOW_ALL',
+ 'SHIFT', 'SETTINGS_MANAGER', 'SELECT', 'SECURITY_ERROR', 'SCROLL',
+ 'SCREEN', 'ROUND', 'ROLL_OVER', 'ROLL_OUT', 'RIGHT', 'RGB',
+ 'RETURNINDEXEDARRAY', 'RESIZE', 'REPEAT', 'RENDER',
+ 'REMOVED_FROM_STAGE', 'REMOVED', 'REMOTE', 'REGULAR', 'REFLECT',
+ 'RED', 'RADIAL', 'PROGRESS', 'PRIVACY', 'POST', 'POSITIVE_INFINITY',
+ 'PORTRAIT', 'PIXEL', 'PI', 'PENDING', 'PAGE_UP', 'PAGE_DOWN', 'PAD',
+ 'OVERLAY', 'OUTER', 'OPEN', 'NaN', 'NUM_PAD', 'NUMPAD_SUBTRACT',
+ 'NUMPAD_MULTIPLY', 'NUMPAD_ENTER', 'NUMPAD_DIVIDE',
+ 'NUMPAD_DECIMAL', 'NUMPAD_ADD', 'NUMPAD_9', 'NUMPAD_8', 'NUMPAD_7',
+ 'NUMPAD_6', 'NUMPAD_5', 'NUMPAD_4', 'NUMPAD_3', 'NUMPAD_2',
+ 'NUMPAD_1', 'NUMPAD_0', 'NUMERIC', 'NO_SCALE', 'NO_BORDER',
+ 'NORMAL', 'NONE', 'NEVER', 'NET_STATUS', 'NEGATIVE_INFINITY',
+ 'MULTIPLY', 'MOUSE_WHEEL', 'MOUSE_UP', 'MOUSE_OVER', 'MOUSE_OUT',
+ 'MOUSE_MOVE', 'MOUSE_LEAVE', 'MOUSE_FOCUS_CHANGE', 'MOUSE_DOWN',
+ 'MITER', 'MIN_VALUE', 'MICROPHONE', 'MENU_SELECT',
+ 'MENU_ITEM_SELECT', 'MEDIUM', 'MAX_VALUE', 'LOW', 'LOG2E', 'LOG10E',
+ 'LOCAL_WITH_NETWORK', 'LOCAL_WITH_FILE', 'LOCAL_TRUSTED',
+ 'LOCAL_STORAGE', 'LN2', 'LN10', 'LITTLE_ENDIAN', 'LINK',
+ 'LINEAR_RGB', 'LINEAR', 'LIGHT_COLOR', 'LIGHTEN', 'LEFT', 'LCD',
+ 'LAYER', 'LANDSCAPE', 'KOREAN', 'KEY_UP', 'KEY_FOCUS_CHANGE',
+ 'KEY_DOWN', 'JUSTIFY', 'JAPANESE_KATAKANA_HALF',
+ 'JAPANESE_KATAKANA_FULL', 'JAPANESE_HIRAGANA', 'Infinity', 'ITALIC',
+ 'IO_ERROR', 'INVERT', 'INSERT', 'INPUT', 'INNER', 'INIT',
+ 'IME_COMPOSITION', 'IGNORE', 'ID3', 'HTTP_STATUS', 'HORIZONTAL',
+ 'HOME', 'HIGH', 'HARDLIGHT', 'GREEN', 'GET', 'FULLSCREEN', 'FULL',
+ 'FOCUS_OUT', 'FOCUS_IN', 'FLUSHED', 'FLASH9', 'FLASH8', 'FLASH7',
+ 'FLASH6', 'FLASH5', 'FLASH4', 'FLASH3', 'FLASH2', 'FLASH1', 'F9',
+ 'F8', 'F7', 'F6', 'F5', 'F4', 'F3', 'F2', 'F15', 'F14', 'F13',
+ 'F12', 'F11', 'F10', 'F1', 'EXACT_FIT', 'ESCAPE', 'ERROR', 'ERASE',
+ 'ENTER_FRAME', 'ENTER', 'END', 'EMBEDDED', 'ELEMENT_NODE', 'E',
+ 'DYNAMIC', 'DOWN', 'DOUBLE_CLICK', 'DIFFERENCE', 'DEVICE',
+ 'DESCENDING', 'DELETE', 'DEFAULT', 'DEACTIVATE', 'DATA',
+ 'DARK_COLOR', 'DARKEN', 'CRT', 'CONTROL', 'CONNECT', 'COMPLETE',
+ 'COLOR', 'CLOSE', 'CLICK', 'CLAMP', 'CHINESE', 'CHANGE', 'CENTER',
+ 'CASEINSENSITIVE', 'CAPTURING_PHASE', 'CAPS_LOCK', 'CANCEL',
+ 'CAMERA', 'BUBBLING_PHASE', 'BOTTOM_RIGHT', 'BOTTOM_LEFT', 'BOTTOM',
+ 'BOLD_ITALIC', 'BOLD', 'BLUE', 'BINARY', 'BIG_ENDIAN', 'BEVEL',
+ 'BEST', 'BACKSPACE', 'AUTO', 'AT_TARGET', 'ASYNC_ERROR', 'AMF3',
+ 'AMF0', 'ALWAYS', 'ALPHANUMERIC_HALF', 'ALPHANUMERIC_FULL', 'ALPHA',
+ 'ADVANCED', 'ADDED_TO_STAGE', 'ADDED', 'ADD', 'ACTIVITY',
+ 'ACTIONSCRIPT3', 'ACTIONSCRIPT2'
+ ),
+ //FIX: Must be last in order to avoid conflicts with keywords present
+ //in other keyword groups, that might get highlighted as part of the URL.
+ //I know this is not a proper work-around, but should do just fine.
+ 5 => array(
+ 'uint', 'int', 'arguments', 'XMLSocket', 'XMLNodeType', 'XMLNode',
+ 'XMLList', 'XMLDocument', 'XML', 'Video', 'VerifyError',
+ 'URLVariables', 'URLStream', 'URLRequestMethod', 'URLRequestHeader',
+ 'URLRequest', 'URLLoaderDataFormat', 'URLLoader', 'URIError',
+ 'TypeError', 'Transform', 'TimerEvent', 'Timer', 'TextSnapshot',
+ 'TextRenderer', 'TextLineMetrics', 'TextFormatAlign', 'TextFormat',
+ 'TextFieldType', 'TextFieldAutoSize', 'TextField', 'TextEvent',
+ 'TextDisplayMode', 'TextColorType', 'System', 'SyntaxError',
+ 'SyncEvent', 'StyleSheet', 'String', 'StatusEvent', 'StaticText',
+ 'StageScaleMode', 'StageQuality', 'StageAlign', 'Stage',
+ 'StackOverflowError', 'Sprite', 'SpreadMethod', 'SoundTransform',
+ 'SoundMixer', 'SoundLoaderContext', 'SoundChannel', 'Sound',
+ 'Socket', 'SimpleButton', 'SharedObjectFlushStatus', 'SharedObject',
+ 'Shape', 'SecurityPanel', 'SecurityErrorEvent', 'SecurityError',
+ 'SecurityDomain', 'Security', 'ScriptTimeoutError', 'Scene',
+ 'SWFVersion', 'Responder', 'RegExp', 'ReferenceError', 'Rectangle',
+ 'RangeError', 'QName', 'Proxy', 'ProgressEvent',
+ 'PrintJobOrientation', 'PrintJobOptions', 'PrintJob', 'Point',
+ 'PixelSnapping', 'ObjectEncoding', 'Object', 'Number', 'NetStream',
+ 'NetStatusEvent', 'NetConnection', 'Namespace', 'MovieClip',
+ 'MouseEvent', 'Mouse', 'MorphShape', 'Microphone', 'MemoryError',
+ 'Matrix', 'Math', 'LocalConnection', 'LoaderInfo', 'LoaderContext',
+ 'Loader', 'LineScaleMode', 'KeyboardEvent', 'Keyboard',
+ 'KeyLocation', 'JointStyle', 'InvalidSWFError',
+ 'InterpolationMethod', 'InteractiveObject', 'IllegalOperationError',
+ 'IOErrorEvent', 'IOError', 'IMEEvent', 'IMEConversionMode', 'IME',
+ 'IExternalizable', 'IEventDispatcher', 'IDynamicPropertyWriter',
+ 'IDynamicPropertyOutput', 'IDataOutput', 'IDataInput', 'ID3Info',
+ 'IBitmapDrawable', 'HTTPStatusEvent', 'GridFitType', 'Graphics',
+ 'GradientType', 'GradientGlowFilter', 'GradientBevelFilter',
+ 'GlowFilter', 'Function', 'FrameLabel', 'FontType', 'FontStyle',
+ 'Font', 'FocusEvent', 'FileReferenceList', 'FileReference',
+ 'FileFilter', 'ExternalInterface', 'EventPhase', 'EventDispatcher',
+ 'Event', 'EvalError', 'ErrorEvent', 'Error', 'Endian', 'EOFError',
+ 'DropShadowFilter', 'DisplayObjectContainer', 'DisplayObject',
+ 'DisplacementMapFilterMode', 'DisplacementMapFilter', 'Dictionary',
+ 'DefinitionError', 'Date', 'DataEvent', 'ConvolutionFilter',
+ 'ContextMenuItem', 'ContextMenuEvent', 'ContextMenuBuiltInItems',
+ 'ContextMenu', 'ColorTransform', 'ColorMatrixFilter', 'Class',
+ 'CapsStyle', 'Capabilities', 'Camera', 'CSMSettings', 'ByteArray',
+ 'Boolean', 'BlurFilter', 'BlendMode', 'BitmapFilterType',
+ 'BitmapFilterQuality', 'BitmapFilter', 'BitmapDataChannel',
+ 'BitmapData', 'Bitmap', 'BevelFilter', 'AsyncErrorEvent', 'Array',
+ 'ArgumentError', 'ApplicationDomain', 'AntiAliasType',
+ 'ActivityEvent', 'ActionScriptVersion', 'AccessibilityProperties',
+ 'Accessibility', 'AVM1Movie'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '[', ']', '{', '}', '!', '%', '&', '*', '|', '/', '<', '>', '^', '-', '+', '~', '?', ':', ';', '.', ','
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => true,
+ 2 => true,
+ 3 => true,
+ 4 => true,
+ 5 => true,
+ 6 => true,
+ 7 => true,
+ 8 => true
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #0033ff; font-weight: bold;',
+ 2 => 'color: #6699cc; font-weight: bold;',
+ 3 => 'color: #339966; font-weight: bold;',
+ 4 => 'color: #9900cc; font-weight: bold;',
+ 5 => 'color: #004993;',
+ 6 => 'color: #004993;',
+ 7 => 'color: #004993;',
+ 8 => 'color: #004993;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #009900; font-style: italic;',
+ 2 => 'color: #009966; font-style: italic;',
+ 'MULTI' => 'color: #3f5fbf;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => ''
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #000000;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #990000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #000000; font-weight:bold;'
+ ),
+ 'METHODS' => array(
+ 0 => 'color: #000000;',
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #000066; font-weight: bold;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => '',
+ 5 => 'http://www.google.com/search?q={FNAMEL}%20inurl:http://livedocs.adobe.com/flex/201/langref/%20inurl:{FNAMEL}.html',
+ 6 => '',
+ 7 => '',
+ 8 => ''
+ ),
+ 'OOLANG' => false,//Save some time as OO identifiers aren't used
+ 'OBJECT_SPLITTERS' => array(
+ // commented out because it's not very relevant for AS, as all properties, methods and constants are dot-accessed.
+ // I believe it's preferable to have package highlighting for example, which is not possible with this enabled.
+ // 0 => '.'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(),
+ 'HIGHLIGHT_STRICT_BLOCK' => array()
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/ada.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/ada.php
new file mode 100644
index 000000000..530ed5e4c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/ada.php
@@ -0,0 +1,133 @@
+<?php
+/*************************************************************************************
+ * ada.php
+ * -------
+ * Author: Tux (tux@inmail.cz)
+ * Copyright: (c) 2004 Tux (http://tux.a4.cz/), Nigel McNie (http://qbnz.com/highlighter)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/07/29
+ *
+ * Ada language file for GeSHi.
+ * Words are from SciTe configuration file
+ *
+ * CHANGES
+ * -------
+ * 2004/11/27 (1.0.2)
+ * - Added support for multiple object splitters
+ * 2004/10/27 (1.0.1)
+ * - Removed apostrophe as string delimiter
+ * - Added URL support
+ * 2004/08/05 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2004/11/27)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Ada',
+ 'COMMENT_SINGLE' => array(1 => '--'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'begin', 'declare', 'do', 'else', 'elsif', 'exception', 'for', 'if',
+ 'is', 'loop', 'while', 'then', 'end', 'select', 'case', 'until',
+ 'goto', 'return'
+ ),
+ 2 => array(
+ 'abs', 'and', 'mod', 'not', 'or', 'rem', 'xor'
+ ),
+ 3 => array(
+ 'abort', 'abstract', 'accept', 'access', 'aliased', 'all', 'array', 'at', 'body',
+ 'constant', 'delay', 'delta', 'digits', 'entry', 'exit',
+ 'function', 'generic', 'in', 'limited', 'new', 'null', 'of', 'others', 'out', 'package', 'pragma',
+ 'private', 'procedure', 'protected', 'raise', 'range', 'record', 'renames', 'requeue', 'reverse',
+ 'separate', 'subtype', 'tagged', 'task', 'terminate', 'type', 'use', 'when', 'with'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #00007f;',
+ 2 => 'color: #0000ff;',
+ 3 => 'color: #46aa03; font-weight:bold;',
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #adadad; font-style: italic;',
+ 'MULTI' => 'color: #808080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #7f007f;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #202020;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/apache.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/apache.php
new file mode 100644
index 000000000..f319e3e3c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/apache.php
@@ -0,0 +1,480 @@
+<?php
+/*************************************************************************************
+ * apache.php
+ * ----------
+ * Author: Tux (tux@inmail.cz)
+ * Copyright: (c) 2004 Tux (http://tux.a4.cz/), Nigel McNie (http://qbnz.com/highlighter)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/29/07
+ *
+ * Apache language file for GeSHi.
+ * Words are from SciTe configuration file
+ *
+ * CHANGES
+ * -------
+ * 2008/17/06 (1.0.8)
+ * - Added support for apache configuration sections (milian)
+ * - Added missing php keywords (milian)
+ * - Added some more keywords
+ * - Disabled highlighting of brackets by default
+ * 2004/11/27 (1.0.2)
+ * - Added support for multiple object splitters
+ * 2004/10/27 (1.0.1)
+ * - Added support for URLs
+ * 2004/08/05 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2004/07/29)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Apache configuration',
+ 'COMMENT_SINGLE' => array(1 => '#'),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ /*keywords*/
+ 1 => array(
+ //core.c
+ 'AcceptFilter','AcceptPathInfo','AccessConfig','AccessFileName',
+ 'AddDefaultCharset','AddOutputFilterByType','AllowEncodedSlashes',
+ 'AllowOverride','AuthName','AuthType','ContentDigest',
+ 'CoreDumpDirectory','DefaultType','DocumentRoot','EnableMMAP',
+ 'EnableSendfile','ErrorDocument','ErrorLog','FileETag','ForceType',
+ 'HostnameLookups','Include','LimitInternalRecursion',
+ 'LimitRequestBody','LimitRequestFields','LimitRequestFieldsize',
+ 'LimitRequestLine','LimitXMLRequestBody','LogLevel','MaxMemFree',
+ 'MaxRequestsPerChild','NameVirtualHost','Options','PidFile','Port',
+ 'Protocol','Require','RLimitCPU','RLimitMEM','RLimitNPROC',
+ 'Satisfy','ScoreBoardFile','ServerAdmin','ServerAlias','ServerName',
+ 'ServerPath','ServerRoot','ServerSignature','ServerTokens',
+ 'SetHandler','SetInputFilter','SetOutputFilter','ThreadStackSize',
+ 'Timeout','TraceEnable','UseCanonicalName',
+ 'UseCanonicalPhysicalPort',
+
+ //http_core.c
+ 'KeepAlive','KeepAliveTimeout','MaxKeepAliveRequests',
+
+ //mod_actions.c
+ 'Action','Script',
+
+ //mod_alias.c
+ 'Alias','AliasMatch','Redirect','RedirectMatch','RedirectPermanent',
+ 'RedirectTemp','ScriptAlias','ScriptAliasMatch',
+
+ //mod_asis.c
+
+ //mod_auth_basic.c
+ 'AuthBasicAuthoritative','AuthBasicProvider',
+
+ //mod_auth_digest.c
+ 'AuthDigestAlgorithm','AuthDigestDomain','AuthDigestNcCheck',
+ 'AuthDigestNonceFormat','AuthDigestNonceLifetime',
+ 'AuthDigestProvider','AuthDigestQop','AuthDigestShmemSize',
+
+ //mod_authn_alias.c
+
+ //mod_authn_anon.c
+ 'Anonymous','Anonymous_LogEmail','Anonymous_MustGiveEmail',
+ 'Anonymous_NoUserId','Anonymous_VerifyEmail',
+
+ //mod_authn_dbd.c
+ 'AuthDBDUserPWQuery','AuthDBDUserRealmQuery',
+
+ //mod_authn_dbm.c
+ 'AuthDBMType','AuthDBMUserFile',
+
+ //mod_authn_default.c
+ 'AuthDefaultAuthoritative',
+
+ //mod_authn_file.c
+ 'AuthUserFile',
+
+ //mod_authnz_ldap.c
+ 'AuthLDAPBindDN','AuthLDAPBindPassword','AuthLDAPCharsetConfig',
+ 'AuthLDAPCompareDNOnServer','AuthLDAPDereferenceAliases',
+ 'AuthLDAPGroupAttribute','AuthLDAPGroupAttributeIsDN',
+ 'AuthLDAPRemoteUserAttribute','AuthLDAPRemoteUserIsDN',
+ 'AuthLDAPURL','AuthzLDAPAuthoritative',
+
+ //mod_authz_dbm.c
+ 'AuthDBMGroupFile','AuthzDBMAuthoritative','AuthzDBMType',
+
+ //mod_authz_default.c
+ 'AuthzDefaultAuthoritative',
+
+ //mod_authz_groupfile.c
+ 'AuthGroupFile','AuthzGroupFileAuthoritative',
+
+ //mod_authz_host.c
+ 'Allow','Deny','Order',
+
+ //mod_authz_owner.c
+ 'AuthzOwnerAuthoritative',
+
+ //mod_authz_svn.c
+ 'AuthzForceUsernameCase','AuthzSVNAccessFile','AuthzSVNAnonymous',
+ 'AuthzSVNAuthoritative','AuthzSVNNoAuthWhenAnonymousAllowed',
+
+ //mod_authz_user.c
+ 'AuthzUserAuthoritative',
+
+ //mod_autoindex.c
+ 'AddAlt','AddAltByEncoding','AddAltByType','AddDescription',
+ 'AddIcon','AddIconByEncoding','AddIconByType','DefaultIcon',
+ 'FancyIndexing','HeaderName','IndexHeadInsert','IndexIgnore',
+ 'IndexOptions','IndexOrderDefault','IndexStyleSheet','ReadmeName',
+
+ //mod_bt.c
+ 'Tracker','TrackerDetailURL','TrackerFlags','TrackerHashMaxAge',
+ 'TrackerHashMinAge','TrackerHashWatermark','TrackerHome',
+ 'TrackerReturnInterval','TrackerReturnMax',
+ 'TrackerReturnPeerFactor','TrackerReturnPeers','TrackerRootInclude',
+ 'TrackerStyleSheet',
+
+ //mod_bw.c
+ 'BandWidth','BandWidthError','BandWidthModule','BandWidthPacket',
+ 'ForceBandWidthModule','LargeFileLimit','MaxConnection',
+ 'MinBandWidth',
+
+ //mod_cache.c
+ 'CacheDefaultExpire','CacheDisable','CacheEnable',
+ 'CacheIgnoreCacheControl','CacheIgnoreHeaders',
+ 'CacheIgnoreNoLastMod','CacheIgnoreQueryString',
+ 'CacheLastModifiedFactor','CacheMaxExpire','CacheStoreNoStore',
+ 'CacheStorePrivate',
+
+ //mod_cern_meta.c
+ 'MetaDir','MetaFiles','MetaSuffix',
+
+ //mod_cgi.c
+ 'ScriptLog','ScriptLogBuffer','ScriptLogLength',
+
+ //mod_charset_lite.c
+ 'CharsetDefault','CharsetOptions','CharsetSourceEnc',
+
+ //mod_dav.c
+ 'DAV','DAVDepthInfinity','DAVMinTimeout',
+
+ //mod_dav_fs.c
+ 'DAVLockDB',
+
+ //mod_dav_lock.c
+ 'DAVGenericLockDB',
+
+ //mod_dav_svn.c
+ 'SVNActivitiesDB','SVNAllowBulkUpdates','SVNAutoversioning',
+ 'SVNIndexXSLT','SVNListParentPath','SVNMasterURI','SVNParentPath',
+ 'SVNPath','SVNPathAuthz','SVNReposName','SVNSpecialURI',
+
+ //mod_dbd.c
+ 'DBDExptime','DBDKeep','DBDMax','DBDMin','DBDParams','DBDPersist',
+ 'DBDPrepareSQL','DBDriver',
+
+ //mod_deflate.c
+ 'DeflateBufferSize','DeflateCompressionLevel','DeflateFilterNote',
+ 'DeflateMemLevel','DeflateWindowSize',
+
+ //mod_dir.c
+ 'DirectoryIndex','DirectorySlash',
+
+ //mod_disk_cache.c
+ 'CacheDirLength','CacheDirLevels','CacheMaxFileSize',
+ 'CacheMinFileSize','CacheRoot',
+
+ //mod_dumpio.c
+ 'DumpIOInput','DumpIOLogLevel','DumpIOOutput',
+
+ //mod_env.c
+ 'PassEnv','SetEnv','UnsetEnv',
+
+ //mod_expires.c
+ 'ExpiresActive','ExpiresByType','ExpiresDefault',
+
+ //mod_ext_filter.c
+ 'ExtFilterDefine','ExtFilterOptions',
+
+ //mod_file_cache.c
+ 'cachefile','mmapfile',
+
+ //mod_filter.c
+ 'FilterChain','FilterDeclare','FilterProtocol','FilterProvider',
+ 'FilterTrace',
+
+ //mod_gnutls.c
+ 'GnuTLSCache','GnuTLSCacheTimeout','GnuTLSCertificateFile',
+ 'GnuTLSKeyFile','GnuTLSPGPCertificateFile','GnuTLSPGPKeyFile',
+ 'GnuTLSClientVerify','GnuTLSClientCAFile','GnuTLSPGPKeyringFile',
+ 'GnuTLSEnable','GnuTLSDHFile','GnuTLSRSAFile','GnuTLSSRPPasswdFile',
+ 'GnuTLSSRPPasswdConfFile','GnuTLSPriorities',
+ 'GnuTLSExportCertificates',
+
+ //mod_headers.c
+ 'Header','RequestHeader',
+
+ //mod_imagemap.c
+ 'ImapBase','ImapDefault','ImapMenu',
+
+ //mod_include.c
+ 'SSIAccessEnable','SSIEndTag','SSIErrorMsg','SSIStartTag',
+ 'SSITimeFormat','SSIUndefinedEcho','XBitHack',
+
+ //mod_ident.c
+ 'IdentityCheck','IdentityCheckTimeout',
+
+ //mod_info.c
+ 'AddModuleInfo',
+
+ //mod_isapi.c
+ 'ISAPIAppendLogToErrors','ISAPIAppendLogToQuery','ISAPICacheFile',
+ 'ISAPIFakeAsync','ISAPILogNotSupported','ISAPIReadAheadBuffer',
+
+ //mod_log_config.c
+ 'BufferedLogs','CookieLog','CustomLog','LogFormat','TransferLog',
+
+ //mod_log_forensic.c
+ 'ForensicLog',
+
+ //mod_log_rotate.c
+ 'RotateInterval','RotateLogs','RotateLogsLocalTime',
+
+ //mod_logio.c
+
+ //mod_mem_cache.c
+ 'MCacheMaxObjectCount','MCacheMaxObjectSize',
+ 'MCacheMaxStreamingBuffer','MCacheMinObjectSize',
+ 'MCacheRemovalAlgorithm','MCacheSize',
+
+ //mod_mime.c
+ 'AddCharset','AddEncoding','AddHandler','AddInputFilter',
+ 'AddLanguage','AddOutputFilter','AddType','DefaultLanguage',
+ 'ModMimeUsePathInfo','MultiviewsMatch','RemoveCharset',
+ 'RemoveEncoding','RemoveHandler','RemoveInputFilter',
+ 'RemoveLanguage','RemoveOutputFilter','RemoveType','TypesConfig',
+
+ //mod_mime_magic.c
+ 'MimeMagicFile',
+
+ //mod_negotiation.c
+ 'CacheNegotiatedDocs','ForceLanguagePriority','LanguagePriority',
+
+ //mod_php5.c
+ 'php_admin_flag','php_admin_value','php_flag','php_value',
+ 'PHPINIDir',
+
+ //mod_proxy.c
+ 'AllowCONNECT','BalancerMember','NoProxy','ProxyBadHeader',
+ 'ProxyBlock','ProxyDomain','ProxyErrorOverride',
+ 'ProxyFtpDirCharset','ProxyIOBufferSize','ProxyMaxForwards',
+ 'ProxyPass','ProxyPassInterpolateEnv','ProxyPassMatch',
+ 'ProxyPassReverse','ProxyPassReverseCookieDomain',
+ 'ProxyPassReverseCookiePath','ProxyPreserveHost',
+ 'ProxyReceiveBufferSize','ProxyRemote','ProxyRemoteMatch',
+ 'ProxyRequests','ProxySet','ProxyStatus','ProxyTimeout','ProxyVia',
+
+ //mod_proxy_ajp.c
+
+ //mod_proxy_balancer.c
+
+ //mod_proxy_connect.c
+
+ //mod_proxy_ftp.c
+
+ //mod_proxy_http.c
+
+ //mod_rewrite.c
+ 'RewriteBase','RewriteCond','RewriteEngine','RewriteLock',
+ 'RewriteLog','RewriteLogLevel','RewriteMap','RewriteOptions',
+ 'RewriteRule',
+
+ //mod_setenvif.c
+ 'BrowserMatch','BrowserMatchNoCase','SetEnvIf','SetEnvIfNoCase',
+
+ //mod_so.c
+ 'LoadFile','LoadModule',
+
+ //mod_speling.c
+ 'CheckCaseOnly','CheckSpelling',
+
+ //mod_ssl.c
+ 'SSLCACertificateFile','SSLCACertificatePath','SSLCADNRequestFile',
+ 'SSLCADNRequestPath','SSLCARevocationFile','SSLCARevocationPath',
+ 'SSLCertificateChainFile','SSLCertificateFile',
+ 'SSLCertificateKeyFile','SSLCipherSuite','SSLCryptoDevice',
+ 'SSLEngine','SSLHonorCipherOrder','SSLMutex','SSLOptions',
+ 'SSLPassPhraseDialog','SSLProtocol','SSLProxyCACertificateFile',
+ 'SSLProxyCACertificatePath','SSLProxyCARevocationFile',
+ 'SSLProxyCARevocationPath','SSLProxyCipherSuite','SSLProxyEngine',
+ 'SSLProxyMachineCertificateFile','SSLProxyMachineCertificatePath',
+ 'SSLProxyProtocol','SSLProxyVerify','SSLProxyVerifyDepth',
+ 'SSLRandomSeed','SSLRenegBufferSize','SSLRequire','SSLRequireSSL',
+ 'SSLSessionCache','SSLSessionCacheTimeout','SSLUserName',
+ 'SSLVerifyClient','SSLVerifyDepth',
+
+ //mod_status.c
+ 'ExtendedStatus','SeeRequestTail',
+
+ //mod_substitute.c
+ 'Substitute',
+
+ //mod_suexec.c
+ 'SuexecUserGroup',
+
+ //mod_unique_id.c
+
+ //mod_userdir.c
+ 'UserDir',
+
+ //mod_usertrack.c
+ 'CookieDomain','CookieExpires','CookieName','CookieStyle',
+ 'CookieTracking',
+
+ //mod_version.c
+
+ //mod_vhost_alias.c
+ 'VirtualDocumentRoot','VirtualDocumentRootIP',
+ 'VirtualScriptAlias','VirtualScriptAliasIP',
+
+ //mod_view.c
+ 'ViewEnable',
+
+ //mod_win32.c
+ 'ScriptInterpreterSource',
+
+ //mpm_winnt.c
+ 'Listen','ListenBacklog','ReceiveBufferSize','SendBufferSize',
+ 'ThreadLimit','ThreadsPerChild','Win32DisableAcceptEx',
+
+ //mpm_common.c
+ 'AcceptMutex','AddModule','ClearModuleList','EnableExceptionHook',
+ 'Group','LockFile','MaxClients','MaxSpareServers','MaxSpareThreads',
+ 'MinSpareServers','MinSpareThreads','ServerLimit','StartServers',
+ 'StartThreads','User',
+
+ //util_ldap.c
+ 'LDAPCacheEntries','LDAPCacheTTL','LDAPConnectionTimeout',
+ 'LDAPOpCacheEntries','LDAPOpCacheTTL','LDAPSharedCacheFile',
+ 'LDAPSharedCacheSize','LDAPTrustedClientCert',
+ 'LDAPTrustedGlobalCert','LDAPTrustedMode','LDAPVerifyServerCert',
+
+ //Unknown Mods ...
+ 'AgentLog','BindAddress','bs2000account','CacheForceCompletion',
+ 'CacheGCInterval','CacheSize','NoCache','qsc','RefererIgnore',
+ 'RefererLog','Resourceconfig','ServerType','SingleListen'
+ ),
+ /*keywords 2*/
+ 2 => array(
+ 'all','on','off','standalone','inetd','indexes',
+ 'force-response-1.0','downgrade-1.0','nokeepalive',
+ 'includes','followsymlinks','none',
+ 'x-compress','x-gzip'
+ ),
+ /*keywords 3*/
+ 3 => array(
+ //core.c
+ 'Directory','DirectoryMatch','Files','FilesMatch','IfDefine',
+ 'IfModule','Limit','LimitExcept','Location','LocationMatch',
+ 'VirtualHost',
+
+ //mod_authn_alias.c
+ 'AuthnProviderAlias',
+
+ //mod_proxy.c
+ 'Proxy','ProxyMatch',
+
+ //mod_version.c
+ 'IfVersion'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '+', '-'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #00007f;',
+ 2 => 'color: #0000ff;',
+ 3 => 'color: #000000; font-weight:bold;',
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #adadad; font-style: italic;',
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #339933;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #7f007f;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #008000;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'PARSER_CONTROL' => array(
+ 'ENABLE_FLAGS' => array(
+ 'BRACKETS' => GESHI_NEVER,
+ 'SYMBOLS' => GESHI_NEVER
+ ),
+ 'KEYWORDS' => array(
+ 3 => array(
+ 'DISALLOWED_BEFORE' => '(?<=&lt;|&lt;\/)',
+ 'DISALLOWED_AFTER' => '(?=\s|\/|&gt;)',
+ )
+ )
+ )
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/applescript.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/applescript.php
new file mode 100644
index 000000000..85e3d6d0d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/applescript.php
@@ -0,0 +1,157 @@
+<?php
+/*************************************************************************************
+ * applescript.php
+ * --------
+ * Author: Stephan Klimek (http://www.initware.org)
+ * Copyright: Stephan Klimek (http://www.initware.org)
+ * Release Version: 1.0.8.4
+ * Date Started: 2005/07/20
+ *
+ * AppleScript language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/05/23 (1.0.7.22)
+ * - Added description of extra language features (SF#1970248)
+ *
+ * TODO
+ * -------------------------
+ * URL settings to references
+ *
+ **************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'AppleScript',
+ 'COMMENT_SINGLE' => array(1 => '--'),
+ 'COMMENT_MULTI' => array( '(*' => '*)'),
+ 'COMMENT_REGEXP' => array(
+ 2 => '/(?<=[a-z])\'/i',
+ 3 => '/(?<![a-z])\'.*?\'/i',
+ ),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'application','close','count','delete','duplicate','exists','launch','make','move','open',
+ 'print','quit','reopen','run','save','saving', 'idle', 'path to', 'number', 'alias', 'list', 'text', 'string',
+ 'integer', 'it','me','version','pi','result','space','tab','anything','case','diacriticals','expansion',
+ 'hyphens','punctuation','bold','condensed','expanded','hidden','italic','outline','plain',
+ 'shadow','strikethrough','subscript','superscript','underline','ask','no','yes','false', 'id',
+ 'true','weekday','monday','mon','tuesday','tue','wednesday','wed','thursday','thu','friday',
+ 'fri','saturday','sat','sunday','sun','month','january','jan','february','feb','march',
+ 'mar','april','apr','may','june','jun','july','jul','august','aug','september', 'quote', 'do JavaScript',
+ 'sep','october','oct','november','nov','december','dec','minutes','hours', 'name', 'default answer',
+ 'days','weeks', 'folder', 'folders', 'file', 'files', 'window', 'eject', 'disk', 'reveal', 'sleep',
+ 'shut down', 'restart', 'display dialog', 'buttons', 'invisibles', 'item', 'items', 'delimiters', 'offset of',
+ 'AppleScript\'s', 'choose file', 'choose folder', 'choose from list', 'beep', 'contents', 'do shell script',
+ 'paragraph', 'paragraphs', 'missing value', 'quoted form', 'desktop', 'POSIX path', 'POSIX file',
+ 'activate', 'document', 'adding', 'receiving', 'content', 'new', 'properties', 'info for', 'bounds',
+ 'selection', 'extension', 'into', 'onto', 'by', 'between', 'against', 'set the clipboard to', 'the clipboard'
+ ),
+ 2 => array(
+ 'each','some','every','whose','where','index','first','second','third','fourth',
+ 'fifth','sixth','seventh','eighth','ninth','tenth','last','front','back','st','nd',
+ 'rd','th','middle','named','through','thru','before','after','beginning','the', 'as',
+ 'div','mod','and','not','or','contains','equal','equals','isnt', 'less', 'greater'
+ ),
+ 3 => array(
+ 'script','property','prop','end','to','set','global','local','on','of',
+ 'in','given','with','without','return','continue','tell','if','then','else','repeat',
+ 'times','while','until','from','exit','try','error','considering','ignoring','timeout',
+ 'transaction','my','get','put','is', 'copy'
+ )
+ ),
+ 'SYMBOLS' => array(
+ ')','+','-','^','*','/','&','<','>=','<','<=','=','锟'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #0066ff;',
+ 2 => 'color: #ff0033;',
+ 3 => 'color: #ff0033; font-weight: bold;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #808080; font-style: italic;',
+ 2 => '',
+ 3 => 'color: #ff0000;',
+ 'MULTI' => 'color: #808080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000000; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #000000;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #009900;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #000000;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #006600;',
+ 2 => 'color: #006600;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #000000;'
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #339933;',
+ 4 => 'color: #0066ff;',
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => ',+-=&lt;&gt;/?^&amp;*'
+ ),
+ 'REGEXPS' => array(
+ //Variables
+ 0 => '[\\$%@]+[a-zA-Z_][a-zA-Z0-9_]*',
+ //File descriptors
+ 4 => '&lt;[a-zA-Z_][a-zA-Z0-9_]*&gt;',
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'PARSER_CONTROL' => array(
+ 'KEYWORDS' => array(
+ 'SPACE_AS_WHITESPACE' => true
+ )
+ )
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/apt_sources.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/apt_sources.php
new file mode 100644
index 000000000..891c10e5e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/apt_sources.php
@@ -0,0 +1,144 @@
+<?php
+/*************************************************************************************
+ * apt_sources.php
+ * ----------
+ * Author: Milian Wolff (mail@milianw.de)
+ * Copyright: (c) 2008 Milian Wolff (http://milianw.de)
+ * Release Version: 1.0.8.4
+ * Date Started: 2008/06/17
+ *
+ * Apt sources.list language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/06/17 (1.0.8)
+ * - Initial import
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Apt sources',
+ 'COMMENT_SINGLE' => array(1 => '#'),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array(),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ /*keywords*/
+ 1 => array(
+ 'deb-src', 'deb'
+ ),
+ 2 => array(
+ //Generic
+ 'stable', 'old-stable', 'testing', 'testing-proposed-updates',
+ 'unstable', 'unstable-proposed-updates', 'experimental',
+ 'non-US', 'security', 'volatile', 'volatile-sloppy',
+ 'apt-build',
+ 'stable/updates',
+ //Debian
+ 'buzz', 'rex', 'bo', 'hamm', 'slink', 'potato', 'woody', 'sarge',
+ 'etch', 'lenny', 'sid',
+ //Ubuntu
+ 'warty', 'warty-updates', 'warty-security', 'warty-proposed', 'warty-backports',
+ 'hoary', 'hoary-updates', 'hoary-security', 'hoary-proposed', 'hoary-backports',
+ 'breezy', 'breezy-updates', 'breezy-security', 'breezy-proposed', 'breezy-backports',
+ 'dapper', 'dapper-updates', 'dapper-security', 'dapper-proposed', 'dapper-backports',
+ 'edgy', 'edgy-updates', 'edgy-security', 'edgy-proposed', 'edgy-backports',
+ 'feisty', 'feisty-updates', 'feisty-security', 'feisty-proposed', 'feisty-backports',
+ 'gutsy', 'gutsy-updates', 'gutsy-security', 'gutsy-proposed', 'gutsy-backports',
+ 'hardy', 'hardy-updates', 'hardy-security', 'hardy-proposed', 'hardy-backports',
+ 'intrepid', 'intrepid-updates', 'intrepid-security', 'intrepid-proposed', 'intrepid-backports'
+ ),
+ 3 => array(
+ 'main', 'restricted', 'preview', 'contrib', 'non-free',
+ 'commercial', 'universe', 'multiverse'
+ )
+ ),
+ 'REGEXPS' => array(
+ 0 => "(((http|ftp):\/\/|file:\/)[^\s]+)|(cdrom:\[[^\]]*\][^\s]*)",
+ ),
+ 'SYMBOLS' => array(
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => true,
+ 3 => true
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #00007f;',
+ 2 => 'color: #b1b100;',
+ 3 => 'color: #b16000;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #adadad; font-style: italic;',
+ ),
+ 'ESCAPE_CHAR' => array(
+ ),
+ 'BRACKETS' => array(
+ ),
+ 'STRINGS' => array(
+ ),
+ 'NUMBERS' => array(
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #009900;',
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'PARSER_CONTROL' => array(
+ 'ENABLE_FLAGS' => array(
+ 'NUMBERS' => GESHI_NEVER,
+ 'METHODS' => GESHI_NEVER,
+ 'SCRIPT' => GESHI_NEVER,
+ 'SYMBOLS' => GESHI_NEVER,
+ 'ESCAPE_CHAR' => GESHI_NEVER,
+ 'BRACKETS' => GESHI_NEVER,
+ 'STRINGS' => GESHI_NEVER,
+ ),
+ 'KEYWORDS' => array(
+ 'DISALLOWED_BEFORE' => '(?<![a-zA-Z0-9\$_\|\#;>|^\/])',
+ 'DISALLOWED_AFTER' => '(?![a-zA-Z0-9_\|%\\-&\.])'
+ )
+ ),
+ 'TAB_WIDTH' => 4
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/asm.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/asm.php
new file mode 100644
index 000000000..2efeac9dc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/asm.php
@@ -0,0 +1,225 @@
+<?php
+/*************************************************************************************
+ * asm.php
+ * -------
+ * Author: Tux (tux@inmail.cz)
+ * Copyright: (c) 2004 Tux (http://tux.a4.cz/), Nigel McNie (http://qbnz.com/highlighter)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/07/27
+ *
+ * x86 Assembler language file for GeSHi.
+ * Words are from SciTe configuration file (based on NASM syntax)
+ *
+ * CHANGES
+ * -------
+ * 2008/05/23 (1.0.7.22)
+ * - Added description of extra language features (SF#1970248)
+ * 2004/11/27 (1.0.2)
+ * - Added support for multiple object splitters
+ * 2004/10/27 (1.0.1)
+ * - Added support for URLs
+ * - Added binary and hexadecimal regexps
+ * 2004/08/05 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2004/11/27)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'ASM',
+ 'COMMENT_SINGLE' => array(1 => ';'),
+ 'COMMENT_MULTI' => array(),
+ //Line address prefix suppression
+ 'COMMENT_REGEXP' => array(2 => "/^(?:[0-9a-f]{0,4}:)?[0-9a-f]{4}(?:[0-9a-f]{4})?/mi"),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ /*CPU*/
+ 1 => array(
+ 'aaa','aad','aam','aas','adc','add','and','call','cbw','clc','cld','cli','cmc','cmp',
+ 'cmps','cmpsb','cmpsw','cwd','daa','das','dec','div','esc','hlt','idiv','imul','in','inc',
+ 'int','into','iret','ja','jae','jb','jbe','jc','jcxz','je','jg','jge','jl','jle','jmp',
+ 'jna','jnae','jnb','jnbe','jnc','jne','jng','jnge','jnl','jnle','jno','jnp','jns','jnz',
+ 'jo','jp','jpe','jpo','js','jz','lahf','lds','lea','les','lods','lodsb','lodsw','loop',
+ 'loope','loopew','loopne','loopnew','loopnz','loopnzw','loopw','loopz','loopzw','mov',
+ 'movs','movsb','movsw','mul','neg','nop','not','or','out','pop','popf','push','pushf',
+ 'rcl','rcr','ret','retf','retn','rol','ror','sahf','sal','sar','sbb','scas','scasb','scasw',
+ 'shl','shr','stc','std','sti','stos','stosb','stosw','sub','test','wait','xchg','xlat',
+ 'xlatb','xor','bound','enter','ins','insb','insw','leave','outs','outsb','outsw','popa','pusha','pushw',
+ 'arpl','lar','lsl','sgdt','sidt','sldt','smsw','str','verr','verw','clts','lgdt','lidt','lldt','lmsw','ltr',
+ 'bsf','bsr','bt','btc','btr','bts','cdq','cmpsd','cwde','insd','iretd','iretdf','iretf',
+ 'jecxz','lfs','lgs','lodsd','loopd','looped','loopned','loopnzd','loopzd','lss','movsd',
+ 'movsx','movzx','outsd','popad','popfd','pushad','pushd','pushfd','scasd','seta','setae',
+ 'setb','setbe','setc','sete','setg','setge','setl','setle','setna','setnae','setnb','setnbe',
+ 'setnc','setne','setng','setnge','setnl','setnle','setno','setnp','setns','setnz','seto','setp',
+ 'setpe','setpo','sets','setz','shld','shrd','stosd','bswap','cmpxchg','invd','invlpg','wbinvd','xadd','lock',
+ 'rep','repe','repne','repnz','repz'
+ ),
+ /*FPU*/
+ 2 => array(
+ 'f2xm1','fabs','fadd','faddp','fbld','fbstp','fchs','fclex','fcom','fcomp','fcompp','fdecstp',
+ 'fdisi','fdiv','fdivp','fdivr','fdivrp','feni','ffree','fiadd','ficom','ficomp','fidiv',
+ 'fidivr','fild','fimul','fincstp','finit','fist','fistp','fisub','fisubr','fld','fld1',
+ 'fldcw','fldenv','fldenvw','fldl2e','fldl2t','fldlg2','fldln2','fldpi','fldz','fmul',
+ 'fmulp','fnclex','fndisi','fneni','fninit','fnop','fnsave','fnsavew','fnstcw','fnstenv',
+ 'fnstenvw','fnstsw','fpatan','fprem','fptan','frndint','frstor','frstorw','fsave',
+ 'fsavew','fscale','fsqrt','fst','fstcw','fstenv','fstenvw','fstp','fstsw','fsub','fsubp',
+ 'fsubr','fsubrp','ftst','fwait','fxam','fxch','fxtract','fyl2x','fyl2xp1',
+ 'fsetpm','fcos','fldenvd','fnsaved','fnstenvd','fprem1','frstord','fsaved','fsin','fsincos',
+ 'fstenvd','fucom','fucomp','fucompp'
+ ),
+ /*registers*/
+ 3 => array(
+ 'ah','al','ax','bh','bl','bp','bx','ch','cl','cr0','cr2','cr3','cs','cx','dh','di','dl',
+ 'dr0','dr1','dr2','dr3','dr6','dr7','ds','dx','eax','ebp','ebx','ecx','edi','edx',
+ 'es','esi','esp','fs','gs','si','sp','ss','st','tr3','tr4','tr5','tr6','tr7'
+ ),
+ /*Directive*/
+ 4 => array(
+ '186','286','286c','286p','287','386','386c','386p','387','486','486p',
+ '8086','8087','alpha','break','code','const','continue','cref','data','data?',
+ 'dosseg','else','elseif','endif','endw','err','err1','err2','errb',
+ 'errdef','errdif','errdifi','erre','erridn','erridni','errnb','errndef',
+ 'errnz','exit','fardata','fardata?','if','lall','lfcond','list','listall',
+ 'listif','listmacro','listmacroall',' model','no87','nocref','nolist',
+ 'nolistif','nolistmacro','radix','repeat','sall','seq','sfcond','stack',
+ 'startup','tfcond','type','until','untilcxz','while','xall','xcref',
+ 'xlist','alias','align','assume','catstr','comm','comment','db','dd','df','dq',
+ 'dt','dup','dw','echo','elseif1','elseif2','elseifb','elseifdef','elseifdif',
+ 'elseifdifi','elseife','elseifidn','elseifidni','elseifnb','elseifndef','end',
+ 'endm','endp','ends','eq',' equ','even','exitm','extern','externdef','extrn','for',
+ 'forc','ge','goto','group','high','highword','if1','if2','ifb','ifdef','ifdif',
+ 'ifdifi','ife',' ifidn','ifidni','ifnb','ifndef','include','includelib','instr','invoke',
+ 'irp','irpc','label','le','length','lengthof','local','low','lowword','lroffset',
+ 'macro','mask','mod','msfloat','name','ne','offset','opattr','option','org','%out',
+ 'page','popcontext','private','proc','proto','ptr','public','purge','pushcontext','record',
+ 'rept','seg','segment','short','size','sizeof','sizestr','struc','struct',
+ 'substr','subtitle','subttl','textequ','this','title','typedef','union','width',
+ '.model', '.stack', '.code', '.data'
+ ),
+ /*Operands*/
+ 5 => array(
+ '@b','@f','addr','basic','byte','c','carry?','dword',
+ 'far','far16','fortran','fword','near','near16','overflow?','parity?','pascal','qword',
+ 'real4',' real8','real10','sbyte','sdword','sign?','stdcall','sword','syscall','tbyte',
+ 'vararg','word','zero?','flat','near32','far32',
+ 'abs','all','assumes','at','casemap','common','compact',
+ 'cpu','dotname','emulator','epilogue','error','export','expr16','expr32','farstack',
+ 'forceframe','huge','language','large','listing','ljmp','loadds','m510','medium','memory',
+ 'nearstack','nodotname','noemulator','nokeyword','noljmp','nom510','none','nonunique',
+ 'nooldmacros','nooldstructs','noreadonly','noscoped','nosignextend','nothing',
+ 'notpublic','oldmacros','oldstructs','os_dos','para','prologue',
+ 'readonly','req','scoped','setif2','smallstack','tiny','use16','use32','uses'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '[', ']', '(', ')',
+ '+', '-', '*', '/', '%',
+ '.', ',', ';', ':'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => false,
+ 5 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #00007f; font-weight: bold;',
+ 2 => 'color: #0000ff; font-weight: bold;',
+ 3 => 'color: #00007f;',
+ 4 => 'color: #000000; font-weight: bold;',
+ 5 => 'color: #000000; font-weight: bold;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #666666; font-style: italic;',
+ 2 => 'color: #adadad; font-style: italic;',
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #009900; font-weight: bold;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #7f007f;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #0000ff;'
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #339933;'
+ ),
+ 'REGEXPS' => array(
+// 0 => 'color: #0000ff;',
+// 1 => 'color: #0000ff;'
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => '',
+ 5 => ''
+ ),
+ 'NUMBERS' =>
+ GESHI_NUMBER_BIN_PREFIX_PERCENT |
+ GESHI_NUMBER_BIN_SUFFIX |
+ GESHI_NUMBER_HEX_PREFIX |
+ GESHI_NUMBER_HEX_SUFFIX |
+ GESHI_NUMBER_OCT_SUFFIX |
+ GESHI_NUMBER_INT_BASIC |
+ GESHI_NUMBER_FLT_NONSCI |
+ GESHI_NUMBER_FLT_NONSCI_F |
+ GESHI_NUMBER_FLT_SCI_ZERO,
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ //Hex numbers
+// 0 => /* */ "(?<=([\\s\\(\\)\\[\\],;.:+\\-\\/*]))(?:[0-9][0-9a-fA-F]{0,31}[hH]|0x[0-9a-fA-F]{1,32})(?=([\\s\\(\\)\\[\\],;.:+\\-\\/*]))",
+ //Binary numbers
+// 1 => "(?<=([\\s\\(\\)\\[\\],;.:+\\-\\/*]))[01]{1,64}[bB](?=([\\s\\(\\)\\[\\],;.:+\\-\\/*]))"
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'TAB_WIDTH' => 8,
+ 'PARSER_CONTROL' => array(
+ 'KEYWORDS' => array(
+ 'DISALLOWED_BEFORE' => "(?<![a-zA-Z0-9\$_\|\#>|^])",
+ 'DISALLOWED_AFTER' => "(?![a-zA-Z0-9_<\|%])"
+ )
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/asp.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/asp.php
new file mode 100644
index 000000000..7bfec11e1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/asp.php
@@ -0,0 +1,164 @@
+<?php
+/*************************************************************************************
+ * asp.php
+ * --------
+ * Author: Amit Gupta (http://blog.igeek.info/)
+ * Copyright: (c) 2004 Amit Gupta (http://blog.igeek.info/), Nigel McNie (http://qbnz.com/highlighter)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/08/13
+ *
+ * ASP language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2005/12/30 (1.0.3)
+ * - Strings only delimited by ", comments by '
+ * 2004/11/27 (1.0.2)
+ * - Added support for multiple object splitters
+ * 2004/10/27 (1.0.1)
+ * - Added support for URLs
+ * 2004/08/13 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2004/11/27)
+ * -------------------------
+ * * Include all the functions, keywords etc that I have missed
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'ASP',
+ 'COMMENT_SINGLE' => array(1 => "'", 2 => '//'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'include', 'file', 'Const', 'Dim', 'Option', 'Explicit', 'Implicit', 'Set', 'Select', 'ReDim', 'Preserve',
+ 'ByVal', 'ByRef', 'End', 'Private', 'Public', 'If', 'Then', 'Else', 'ElseIf', 'Case', 'With', 'NOT',
+ 'While', 'Wend', 'For', 'Loop', 'Do', 'Request', 'Response', 'Server', 'ADODB', 'Session', 'Application',
+ 'Each', 'In', 'Get', 'Next', 'INT', 'CINT', 'CBOOL', 'CDATE', 'CBYTE', 'CCUR', 'CDBL', 'CLNG', 'CSNG',
+ 'CSTR', 'Fix', 'Is', 'Sgn', 'String', 'Boolean', 'Currency', 'Me', 'Single', 'Long', 'Integer', 'Byte',
+ 'Variant', 'Double', 'To', 'Let', 'Xor', 'Resume', 'On', 'Error', 'Imp', 'GoTo', 'Call', 'Global'
+ ),
+ 2 => array(
+ 'Null', 'Nothing', 'And',
+ 'False',
+ 'True', 'var', 'Or', 'BOF', 'EOF', 'xor',
+ 'Function', 'Class', 'New', 'Sub'
+ ),
+ 3 => array(
+ 'CreateObject', 'Write', 'Redirect', 'Cookies', 'BinaryRead', 'ClientCertificate', 'Form', 'QueryString',
+ 'ServerVariables', 'TotalBytes', 'AddHeader', 'AppendToLog', 'BinaryWrite', 'Buffer', 'CacheControl',
+ 'Charset', 'Clear', 'ContentType', 'End()', 'Expires', 'ExpiresAbsolute', 'Flush()', 'IsClientConnected',
+ 'PICS', 'Status', 'Connection', 'Recordset', 'Execute', 'Abandon', 'Lock', 'UnLock', 'Command', 'Fields',
+ 'Properties', 'Property', 'Send', 'Replace', 'InStr', 'TRIM', 'NOW', 'Day', 'Month', 'Hour', 'Minute', 'Second',
+ 'Year', 'MonthName', 'LCase', 'UCase', 'Abs', 'Array', 'As', 'LEN', 'MoveFirst', 'MoveLast', 'MovePrevious',
+ 'MoveNext', 'LBound', 'UBound', 'Transfer', 'Open', 'Close', 'MapPath', 'FileExists', 'OpenTextFile', 'ReadAll'
+ )
+ ),
+ 'SYMBOLS' => array(
+ 1 => array(
+ '<%', '%>'
+ ),
+ 0 => array(
+ '(', ')', '[', ']', '!', '@', '%', '&', '*', '|', '/', '<', '>',
+ ';', ':', '?', '='),
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #990099; font-weight: bold;',
+ 2 => 'color: #0000ff; font-weight: bold;',
+ 3 => 'color: #330066;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #008000;',
+ 2 => 'color: #ff6600;',
+ 'MULTI' => 'color: #008000;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #006600; font-weight:bold;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #cc0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #800000;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #9900cc;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #006600; font-weight: bold;',
+ 1 => 'color: #000000; font-weight: bold;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ 0 => '',
+ 1 => '',
+ 2 => '',
+ 3 => ''
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_MAYBE,
+ 'SCRIPT_DELIMITERS' => array(
+ 0 => array(
+ '<%' => '%>'
+ ),
+ 1 => array(
+ '<script language="vbscript" runat="server">' => '</script>'
+ ),
+ 2 => array(
+ '<script language="javascript" runat="server">' => '</script>'
+ ),
+ 3 => "/(?<start><%=?)(?:\"[^\"]*?\"|\/\*(?!\*\/).*?\*\/|.)*?(?<end>%>|\Z)/sm"
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ 0 => true,
+ 1 => true,
+ 2 => true,
+ 3 => true
+ )
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/autoit.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/autoit.php
new file mode 100644
index 000000000..526fe5d99
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/autoit.php
@@ -0,0 +1,1171 @@
+<?php
+/*************************************************************************************
+ * autoit.php
+ * --------
+ * Author: big_daddy (robert.i.anthony@gmail.com)
+ * Copyright: (c) 2006 and to GESHi ;)
+ * Release Version: 1.0.8.4
+ * Date Started: 2006/01/26
+ *
+ * AutoIT language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * Release 1.0.8.1 (2008/09/15)
+ * - Updated on 22.03.2008 By Tlem (tlem@tuxolem.fr)
+ * - The link on functions will now correctly re-direct to
+ * - http://www.autoitscript.com/autoit3/docs/functions/{FNAME}.htm
+ * - Updated whith au3.api (09.02.2008).
+ * - Updated - 16 Mai 2008 - v3.2.12.0
+ * - Updated - 12 June 2008 - v3.2.12.1
+ * Release 1.0.7.20 (2006/01/26)
+ * - First Release
+ *
+ * Current bugs & todo:
+ * ----------
+ * - not sure how to get sendkeys to work " {!}, {SPACE} etc... "
+ * - just copyied the regexp for variable from php so this HAVE to be checked and fixed to a better one ;)
+ *
+ * Reference: http://www.autoitscript.com/autoit3/docs/
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License,
+or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not,
+write to the Free Software
+ * Foundation,
+Inc.,
+59 Temple Place,
+Suite 330,
+Boston,
+MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'AutoIt',
+ 'COMMENT_SINGLE' => array(';'),
+ 'COMMENT_MULTI' => array(
+ '#comments-start' => '#comments-end',
+ '#cs' => '#ce'),
+ 'COMMENT_REGEXP' => array(0 => '/(?<!#)#(\s.*)?$/m'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'And','ByRef','Case','Const','ContinueCase','ContinueLoop',
+ 'Default','Dim','Do','Else','ElseIf','EndFunc','EndIf','EndSelect',
+ 'EndSwitch','EndWith','Enum','Exit','ExitLoop','False','For','Func',
+ 'Global','If','In','Local','Next','Not','Or','ReDim','Return',
+ 'Select','Step','Switch','Then','To','True','Until','WEnd','While',
+ 'With'
+ ),
+ 2 => array(
+ '@AppDataCommonDir','@AppDataDir','@AutoItExe','@AutoItPID',
+ '@AutoItUnicode','@AutoItVersion','@AutoItX64','@COM_EventObj',
+ '@CommonFilesDir','@Compiled','@ComputerName','@ComSpec','@CR',
+ '@CRLF','@DesktopCommonDir','@DesktopDepth','@DesktopDir',
+ '@DesktopHeight','@DesktopRefresh','@DesktopWidth',
+ '@DocumentsCommonDir','@error','@exitCode','@exitMethod',
+ '@extended','@FavoritesCommonDir','@FavoritesDir','@GUI_CtrlHandle',
+ '@GUI_CtrlId','@GUI_DragFile','@GUI_DragId','@GUI_DropId',
+ '@GUI_WinHandle','@HomeDrive','@HomePath','@HomeShare',
+ '@HotKeyPressed','@HOUR','@InetGetActive','@InetGetBytesRead',
+ '@IPAddress1','@IPAddress2','@IPAddress3','@IPAddress4','@KBLayout',
+ '@LF','@LogonDNSDomain','@LogonDomain','@LogonServer','@MDAY',
+ '@MIN','@MON','@MyDocumentsDir','@NumParams','@OSBuild','@OSLang',
+ '@OSServicePack','@OSTYPE','@OSVersion','@ProcessorArch',
+ '@ProgramFilesDir','@ProgramsCommonDir','@ProgramsDir','@ScriptDir',
+ '@ScriptFullPath','@ScriptLineNumber','@ScriptName','@SEC',
+ '@StartMenuCommonDir','@StartMenuDir','@StartupCommonDir',
+ '@StartupDir','@SW_DISABLE','@SW_ENABLE','@SW_HIDE','@SW_LOCK',
+ '@SW_MAXIMIZE','@SW_MINIMIZE','@SW_RESTORE','@SW_SHOW',
+ '@SW_SHOWDEFAULT','@SW_SHOWMAXIMIZED','@SW_SHOWMINIMIZED',
+ '@SW_SHOWMINNOACTIVE','@SW_SHOWNA','@SW_SHOWNOACTIVATE',
+ '@SW_SHOWNORMAL','@SW_UNLOCK','@SystemDir','@TAB','@TempDir',
+ '@TRAY_ID','@TrayIconFlashing','@TrayIconVisible','@UserName',
+ '@UserProfileDir','@WDAY','@WindowsDir','@WorkingDir','@YDAY',
+ '@YEAR'
+ ),
+ 3 => array(
+ 'Abs','ACos','AdlibDisable','AdlibEnable','Asc','AscW','ASin',
+ 'Assign','ATan','AutoItSetOption','AutoItWinGetTitle',
+ 'AutoItWinSetTitle','Beep','Binary','BinaryLen','BinaryMid',
+ 'BinaryToString','BitAND','BitNOT','BitOR','BitRotate','BitShift',
+ 'BitXOR','BlockInput','Break','Call','CDTray','Ceiling','Chr',
+ 'ChrW','ClipGet','ClipPut','ConsoleRead','ConsoleWrite',
+ 'ConsoleWriteError','ControlClick','ControlCommand',
+ 'ControlDisable','ControlEnable','ControlFocus','ControlGetFocus',
+ 'ControlGetHandle','ControlGetPos','ControlGetText','ControlHide',
+ 'ControlListView','ControlMove','ControlSend','ControlSetText',
+ 'ControlShow','ControlTreeView','Cos','Dec','DirCopy','DirCreate',
+ 'DirGetSize','DirMove','DirRemove','DllCall','DllCallbackFree',
+ 'DllCallbackGetPtr','DllCallbackRegister','DllClose','DllOpen',
+ 'DllStructCreate','DllStructGetData','DllStructGetPtr',
+ 'DllStructGetSize','DllStructSetData','DriveGetDrive',
+ 'DriveGetFileSystem','DriveGetLabel','DriveGetSerial',
+ 'DriveGetType','DriveMapAdd','DriveMapDel','DriveMapGet',
+ 'DriveSetLabel','DriveSpaceFree','DriveSpaceTotal','DriveStatus',
+ 'EnvGet','EnvSet','EnvUpdate','Eval','Execute','Exp',
+ 'FileChangeDir','FileClose','FileCopy','FileCreateNTFSLink',
+ 'FileCreateShortcut','FileDelete','FileExists','FileFindFirstFile',
+ 'FileFindNextFile','FileGetAttrib','FileGetLongName',
+ 'FileGetShortcut','FileGetShortName','FileGetSize','FileGetTime',
+ 'FileGetVersion','FileInstall','FileMove','FileOpen',
+ 'FileOpenDialog','FileRead','FileReadLine','FileRecycle',
+ 'FileRecycleEmpty','FileSaveDialog','FileSelectFolder',
+ 'FileSetAttrib','FileSetTime','FileWrite','FileWriteLine','Floor',
+ 'FtpSetProxy','GUICreate','GUICtrlCreateAvi','GUICtrlCreateButton',
+ 'GUICtrlCreateCheckbox','GUICtrlCreateCombo',
+ 'GUICtrlCreateContextMenu','GUICtrlCreateDate','GUICtrlCreateDummy',
+ 'GUICtrlCreateEdit','GUICtrlCreateGraphic','GUICtrlCreateGroup',
+ 'GUICtrlCreateIcon','GUICtrlCreateInput','GUICtrlCreateLabel',
+ 'GUICtrlCreateList','GUICtrlCreateListView',
+ 'GUICtrlCreateListViewItem','GUICtrlCreateMenu',
+ 'GUICtrlCreateMenuItem','GUICtrlCreateMonthCal','GUICtrlCreateObj',
+ 'GUICtrlCreatePic','GUICtrlCreateProgress','GUICtrlCreateRadio',
+ 'GUICtrlCreateSlider','GUICtrlCreateTab','GUICtrlCreateTabItem',
+ 'GUICtrlCreateTreeView','GUICtrlCreateTreeViewItem',
+ 'GUICtrlCreateUpdown','GUICtrlDelete','GUICtrlGetHandle',
+ 'GUICtrlGetState','GUICtrlRead','GUICtrlRecvMsg',
+ 'GUICtrlRegisterListViewSort','GUICtrlSendMsg','GUICtrlSendToDummy',
+ 'GUICtrlSetBkColor','GUICtrlSetColor','GUICtrlSetCursor',
+ 'GUICtrlSetData','GUICtrlSetFont','GUICtrlSetDefColor',
+ 'GUICtrlSetDefBkColor','GUICtrlSetGraphic','GUICtrlSetImage',
+ 'GUICtrlSetLimit','GUICtrlSetOnEvent','GUICtrlSetPos',
+ 'GUICtrlSetResizing','GUICtrlSetState','GUICtrlSetStyle',
+ 'GUICtrlSetTip','GUIDelete','GUIGetCursorInfo','GUIGetMsg',
+ 'GUIGetStyle','GUIRegisterMsg','GUISetAccelerators()',
+ 'GUISetBkColor','GUISetCoord','GUISetCursor','GUISetFont',
+ 'GUISetHelp','GUISetIcon','GUISetOnEvent','GUISetState',
+ 'GUISetStyle','GUIStartGroup','GUISwitch','Hex','HotKeySet',
+ 'HttpSetProxy','HWnd','InetGet','InetGetSize','IniDelete','IniRead',
+ 'IniReadSection','IniReadSectionNames','IniRenameSection',
+ 'IniWrite','IniWriteSection','InputBox','Int','IsAdmin','IsArray',
+ 'IsBinary','IsBool','IsDeclared','IsDllStruct','IsFloat','IsHWnd',
+ 'IsInt','IsKeyword','IsNumber','IsObj','IsPtr','IsString','Log',
+ 'MemGetStats','Mod','MouseClick','MouseClickDrag','MouseDown',
+ 'MouseGetCursor','MouseGetPos','MouseMove','MouseUp','MouseWheel',
+ 'MsgBox','Number','ObjCreate','ObjEvent','ObjGet','ObjName','Opt',
+ 'Ping','PixelChecksum','PixelGetColor','PixelSearch','PluginClose',
+ 'PluginOpen','ProcessClose','ProcessExists','ProcessGetStats',
+ 'ProcessList','ProcessSetPriority','ProcessWait','ProcessWaitClose',
+ 'ProgressOff','ProgressOn','ProgressSet','Ptr','Random','RegDelete',
+ 'RegEnumKey','RegEnumVal','RegRead','RegWrite','Round','Run',
+ 'RunAs','RunAsWait','RunWait','Send','SendKeepActive','SetError',
+ 'SetExtended','ShellExecute','ShellExecuteWait','Shutdown','Sin',
+ 'Sleep','SoundPlay','SoundSetWaveVolume','SplashImageOn',
+ 'SplashOff','SplashTextOn','Sqrt','SRandom','StatusbarGetText',
+ 'StderrRead','StdinWrite','StdioClose','StdoutRead','String',
+ 'StringAddCR','StringCompare','StringFormat','StringInStr',
+ 'StringIsAlNum','StringIsAlpha','StringIsASCII','StringIsDigit',
+ 'StringIsFloat','StringIsInt','StringIsLower','StringIsSpace',
+ 'StringIsUpper','StringIsXDigit','StringLeft','StringLen',
+ 'StringLower','StringMid','StringRegExp','StringRegExpReplace',
+ 'StringReplace','StringRight','StringSplit','StringStripCR',
+ 'StringStripWS','StringToBinary','StringTrimLeft','StringTrimRight',
+ 'StringUpper','Tan','TCPAccept','TCPCloseSocket','TCPConnect',
+ 'TCPListen','TCPNameToIP','TCPRecv','TCPSend','TCPShutdown',
+ 'TCPStartup','TimerDiff','TimerInit','ToolTip','TrayCreateItem',
+ 'TrayCreateMenu','TrayGetMsg','TrayItemDelete','TrayItemGetHandle',
+ 'TrayItemGetState','TrayItemGetText','TrayItemSetOnEvent',
+ 'TrayItemSetState','TrayItemSetText','TraySetClick','TraySetIcon',
+ 'TraySetOnEvent','TraySetPauseIcon','TraySetState','TraySetToolTip',
+ 'TrayTip','UBound','UDPBind','UDPCloseSocket','UDPOpen','UDPRecv',
+ 'UDPSend','UDPShutdown','UDPStartup','VarGetType','WinActivate',
+ 'WinActive','WinClose','WinExists','WinFlash','WinGetCaretPos',
+ 'WinGetClassList','WinGetClientSize','WinGetHandle','WinGetPos',
+ 'WinGetProcess','WinGetState','WinGetText','WinGetTitle','WinKill',
+ 'WinList','WinMenuSelectItem','WinMinimizeAll','WinMinimizeAllUndo',
+ 'WinMove','WinSetOnTop','WinSetState','WinSetTitle','WinSetTrans',
+ 'WinWait','WinWaitActive','WinWaitClose','WinWaitNotActive'
+ ),
+ 4 => array(
+ 'ArrayAdd','ArrayBinarySearch','ArrayConcatenate','ArrayDelete',
+ 'ArrayDisplay','ArrayFindAll','ArrayInsert','ArrayMax',
+ 'ArrayMaxIndex','ArrayMin','ArrayMinIndex','ArrayPop','ArrayPush',
+ 'ArrayReverse','ArraySearch','ArraySort','ArraySwap','ArrayToClip',
+ 'ArrayToString','ArrayTrim','ChooseColor','ChooseFont',
+ 'ClipBoard_ChangeChain','ClipBoard_Close','ClipBoard_CountFormats',
+ 'ClipBoard_Empty','ClipBoard_EnumFormats','ClipBoard_FormatStr',
+ 'ClipBoard_GetData','ClipBoard_GetDataEx','ClipBoard_GetFormatName',
+ 'ClipBoard_GetOpenWindow','ClipBoard_GetOwner',
+ 'ClipBoard_GetPriorityFormat','ClipBoard_GetSequenceNumber',
+ 'ClipBoard_GetViewer','ClipBoard_IsFormatAvailable',
+ 'ClipBoard_Open','ClipBoard_RegisterFormat','ClipBoard_SetData',
+ 'ClipBoard_SetDataEx','ClipBoard_SetViewer','ClipPutFile',
+ 'ColorConvertHSLtoRGB','ColorConvertRGBtoHSL','ColorGetBlue',
+ 'ColorGetGreen','ColorGetRed','Date_Time_CompareFileTime',
+ 'Date_Time_DOSDateTimeToArray','Date_Time_DOSDateTimeToFileTime',
+ 'Date_Time_DOSDateTimeToStr','Date_Time_DOSDateToArray',
+ 'Date_Time_DOSDateToStr','Date_Time_DOSTimeToArray',
+ 'Date_Time_DOSTimeToStr','Date_Time_EncodeFileTime',
+ 'Date_Time_EncodeSystemTime','Date_Time_FileTimeToArray',
+ 'Date_Time_FileTimeToDOSDateTime',
+ 'Date_Time_FileTimeToLocalFileTime','Date_Time_FileTimeToStr',
+ 'Date_Time_FileTimeToSystemTime','Date_Time_GetFileTime',
+ 'Date_Time_GetLocalTime','Date_Time_GetSystemTime',
+ 'Date_Time_GetSystemTimeAdjustment',
+ 'Date_Time_GetSystemTimeAsFileTime',
+ 'Date_Time_GetSystemTimes','Date_Time_GetTickCount',
+ 'Date_Time_GetTimeZoneInformation',
+ 'Date_Time_LocalFileTimeToFileTime','Date_Time_SetFileTime',
+ 'Date_Time_SetLocalTime','Date_Time_SetSystemTime',
+ 'Date_Time_SetSystemTimeAdjustment',
+ 'Date_Time_SetTimeZoneInformation','Date_Time_SystemTimeToArray',
+ 'Date_Time_SystemTimeToDateStr','Date_Time_SystemTimeToDateTimeStr',
+ 'Date_Time_SystemTimeToFileTime','Date_Time_SystemTimeToTimeStr',
+ 'Date_Time_SystemTimeToTzSpecificLocalTime',
+ 'Date_Time_TzSpecificLocalTimeToSystemTime','DateAdd',
+ 'DateDayOfWeek','DateDaysInMonth','DateDiff','DateIsLeapYear',
+ 'DateIsValid','DateTimeFormat','DateTimeSplit','DateToDayOfWeek',
+ 'DateToDayOfWeekISO','DateToDayValue','DateToMonth',
+ 'DayValueToDate','DebugBugReportEnv','DebugOut','DebugSetup',
+ 'Degree','EventLog__Backup','EventLog__Clear','EventLog__Close',
+ 'EventLog__Count','EventLog__DeregisterSource','EventLog__Full',
+ 'EventLog__Notify','EventLog__Oldest','EventLog__Open',
+ 'EventLog__OpenBackup','EventLog__Read','EventLog__RegisterSource',
+ 'EventLog__Report','FileCountLines','FileCreate','FileListToArray',
+ 'FilePrint','FileReadToArray','FileWriteFromArray',
+ 'FileWriteLog','FileWriteToLine','GDIPlus_ArrowCapCreate',
+ 'GDIPlus_ArrowCapDispose','GDIPlus_ArrowCapGetFillState',
+ 'GDIPlus_ArrowCapGetHeight','GDIPlus_ArrowCapGetMiddleInset',
+ 'GDIPlus_ArrowCapGetWidth','GDIPlus_ArrowCapSetFillState',
+ 'GDIPlus_ArrowCapSetHeight','GDIPlus_ArrowCapSetMiddleInset',
+ 'GDIPlus_ArrowCapSetWidth','GDIPlus_BitmapCloneArea',
+ 'GDIPlus_BitmapCreateFromFile','GDIPlus_BitmapCreateFromGraphics',
+ 'GDIPlus_BitmapCreateFromHBITMAP',
+ 'GDIPlus_BitmapCreateHBITMAPFromBitmap','GDIPlus_BitmapDispose',
+ 'GDIPlus_BitmapLockBits','GDIPlus_BitmapUnlockBits',
+ 'GDIPlus_BrushClone','GDIPlus_BrushCreateSolid',
+ 'GDIPlus_BrushDispose','GDIPlus_BrushGetType',
+ 'GDIPlus_CustomLineCapDispose','GDIPlus_Decoders',
+ 'GDIPlus_DecodersGetCount','GDIPlus_DecodersGetSize',
+ 'GDIPlus_Encoders','GDIPlus_EncodersGetCLSID',
+ 'GDIPlus_EncodersGetCount','GDIPlus_EncodersGetParamList',
+ 'GDIPlus_EncodersGetParamListSize','GDIPlus_EncodersGetSize',
+ 'GDIPlus_FontCreate','GDIPlus_FontDispose',
+ 'GDIPlus_FontFamilyCreate','GDIPlus_FontFamilyDispose',
+ 'GDIPlus_GraphicsClear','GDIPlus_GraphicsCreateFromHDC',
+ 'GDIPlus_GraphicsCreateFromHWND','GDIPlus_GraphicsDispose',
+ 'GDIPlus_GraphicsDrawArc','GDIPlus_GraphicsDrawBezier',
+ 'GDIPlus_GraphicsDrawClosedCurve','GDIPlus_GraphicsDrawCurve',
+ 'GDIPlus_GraphicsDrawEllipse','GDIPlus_GraphicsDrawImage',
+ 'GDIPlus_GraphicsDrawImageRect','GDIPlus_GraphicsDrawImageRectRect',
+ 'GDIPlus_GraphicsDrawLine','GDIPlus_GraphicsDrawPie',
+ 'GDIPlus_GraphicsDrawPolygon','GDIPlus_GraphicsDrawRect',
+ 'GDIPlus_GraphicsDrawString','GDIPlus_GraphicsDrawStringEx',
+ 'GDIPlus_GraphicsFillClosedCurve','GDIPlus_GraphicsFillEllipse',
+ 'GDIPlus_GraphicsFillPie','GDIPlus_GraphicsFillRect',
+ 'GDIPlus_GraphicsGetDC','GDIPlus_GraphicsGetSmoothingMode',
+ 'GDIPlus_GraphicsMeasureString','GDIPlus_GraphicsReleaseDC',
+ 'GDIPlus_GraphicsSetSmoothingMode','GDIPlus_GraphicsSetTransform',
+ 'GDIPlus_ImageDispose','GDIPlus_ImageGetGraphicsContext',
+ 'GDIPlus_ImageGetHeight','GDIPlus_ImageGetWidth',
+ 'GDIPlus_ImageLoadFromFile','GDIPlus_ImageSaveToFile',
+ 'GDIPlus_ImageSaveToFileEx','GDIPlus_MatrixCreate',
+ 'GDIPlus_MatrixDispose','GDIPlus_MatrixRotate','GDIPlus_ParamAdd',
+ 'GDIPlus_ParamInit','GDIPlus_PenCreate','GDIPlus_PenDispose',
+ 'GDIPlus_PenGetAlignment','GDIPlus_PenGetColor',
+ 'GDIPlus_PenGetCustomEndCap','GDIPlus_PenGetDashCap',
+ 'GDIPlus_PenGetDashStyle','GDIPlus_PenGetEndCap',
+ 'GDIPlus_PenGetWidth','GDIPlus_PenSetAlignment',
+ 'GDIPlus_PenSetColor','GDIPlus_PenSetCustomEndCap',
+ 'GDIPlus_PenSetDashCap','GDIPlus_PenSetDashStyle',
+ 'GDIPlus_PenSetEndCap','GDIPlus_PenSetWidth','GDIPlus_RectFCreate',
+ 'GDIPlus_Shutdown','GDIPlus_Startup','GDIPlus_StringFormatCreate',
+ 'GDIPlus_StringFormatDispose','GetIP','GUICtrlAVI_Close',
+ 'GUICtrlAVI_Create','GUICtrlAVI_Destroy','GUICtrlAVI_Open',
+ 'GUICtrlAVI_OpenEx','GUICtrlAVI_Play','GUICtrlAVI_Seek',
+ 'GUICtrlAVI_Show','GUICtrlAVI_Stop','GUICtrlButton_Click',
+ 'GUICtrlButton_Create','GUICtrlButton_Destroy',
+ 'GUICtrlButton_Enable','GUICtrlButton_GetCheck',
+ 'GUICtrlButton_GetFocus','GUICtrlButton_GetIdealSize',
+ 'GUICtrlButton_GetImage','GUICtrlButton_GetImageList',
+ 'GUICtrlButton_GetState','GUICtrlButton_GetText',
+ 'GUICtrlButton_GetTextMargin','GUICtrlButton_SetCheck',
+ 'GUICtrlButton_SetFocus','GUICtrlButton_SetImage',
+ 'GUICtrlButton_SetImageList','GUICtrlButton_SetSize',
+ 'GUICtrlButton_SetState','GUICtrlButton_SetStyle',
+ 'GUICtrlButton_SetText','GUICtrlButton_SetTextMargin',
+ 'GUICtrlButton_Show','GUICtrlComboBox_AddDir',
+ 'GUICtrlComboBox_AddString','GUICtrlComboBox_AutoComplete',
+ 'GUICtrlComboBox_BeginUpdate','GUICtrlComboBox_Create',
+ 'GUICtrlComboBox_DeleteString','GUICtrlComboBox_Destroy',
+ 'GUICtrlComboBox_EndUpdate','GUICtrlComboBox_FindString',
+ 'GUICtrlComboBox_FindStringExact','GUICtrlComboBox_GetComboBoxInfo',
+ 'GUICtrlComboBox_GetCount','GUICtrlComboBox_GetCurSel',
+ 'GUICtrlComboBox_GetDroppedControlRect',
+ 'GUICtrlComboBox_GetDroppedControlRectEx',
+ 'GUICtrlComboBox_GetDroppedState','GUICtrlComboBox_GetDroppedWidth',
+ 'GUICtrlComboBox_GetEditSel','GUICtrlComboBox_GetEditText',
+ 'GUICtrlComboBox_GetExtendedUI',
+ 'GUICtrlComboBox_GetHorizontalExtent',
+ 'GUICtrlComboBox_GetItemHeight','GUICtrlComboBox_GetLBText',
+ 'GUICtrlComboBox_GetLBTextLen','GUICtrlComboBox_GetList',
+ 'GUICtrlComboBox_GetListArray','GUICtrlComboBox_GetLocale',
+ 'GUICtrlComboBox_GetLocaleCountry','GUICtrlComboBox_GetLocaleLang',
+ 'GUICtrlComboBox_GetLocalePrimLang',
+ 'GUICtrlComboBox_GetLocaleSubLang','GUICtrlComboBox_GetMinVisible',
+ 'GUICtrlComboBox_GetTopIndex','GUICtrlComboBox_InitStorage',
+ 'GUICtrlComboBox_InsertString','GUICtrlComboBox_LimitText',
+ 'GUICtrlComboBox_ReplaceEditSel','GUICtrlComboBox_ResetContent',
+ 'GUICtrlComboBox_SelectString','GUICtrlComboBox_SetCurSel',
+ 'GUICtrlComboBox_SetDroppedWidth','GUICtrlComboBox_SetEditSel',
+ 'GUICtrlComboBox_SetEditText','GUICtrlComboBox_SetExtendedUI',
+ 'GUICtrlComboBox_SetHorizontalExtent',
+ 'GUICtrlComboBox_SetItemHeight','GUICtrlComboBox_SetMinVisible',
+ 'GUICtrlComboBox_SetTopIndex','GUICtrlComboBox_ShowDropDown',
+ 'GUICtrlComboBoxEx_AddDir','GUICtrlComboBoxEx_AddString',
+ 'GUICtrlComboBoxEx_BeginUpdate','GUICtrlComboBoxEx_Create',
+ 'GUICtrlComboBoxEx_CreateSolidBitMap',
+ 'GUICtrlComboBoxEx_DeleteString','GUICtrlComboBoxEx_Destroy',
+ 'GUICtrlComboBoxEx_EndUpdate','GUICtrlComboBoxEx_FindStringExact',
+ 'GUICtrlComboBoxEx_GetComboBoxInfo',
+ 'GUICtrlComboBoxEx_GetComboControl','GUICtrlComboBoxEx_GetCount',
+ 'GUICtrlComboBoxEx_GetCurSel',
+ 'GUICtrlComboBoxEx_GetDroppedControlRect',
+ 'GUICtrlComboBoxEx_GetDroppedControlRectEx',
+ 'GUICtrlComboBoxEx_GetDroppedState',
+ 'GUICtrlComboBoxEx_GetDroppedWidth',
+ 'GUICtrlComboBoxEx_GetEditControl','GUICtrlComboBoxEx_GetEditSel',
+ 'GUICtrlComboBoxEx_GetEditText',
+ 'GUICtrlComboBoxEx_GetExtendedStyle',
+ 'GUICtrlComboBoxEx_GetExtendedUI','GUICtrlComboBoxEx_GetImageList',
+ 'GUICtrlComboBoxEx_GetItem','GUICtrlComboBoxEx_GetItemEx',
+ 'GUICtrlComboBoxEx_GetItemHeight','GUICtrlComboBoxEx_GetItemImage',
+ 'GUICtrlComboBoxEx_GetItemIndent',
+ 'GUICtrlComboBoxEx_GetItemOverlayImage',
+ 'GUICtrlComboBoxEx_GetItemParam',
+ 'GUICtrlComboBoxEx_GetItemSelectedImage',
+ 'GUICtrlComboBoxEx_GetItemText','GUICtrlComboBoxEx_GetItemTextLen',
+ 'GUICtrlComboBoxEx_GetList','GUICtrlComboBoxEx_GetListArray',
+ 'GUICtrlComboBoxEx_GetLocale','GUICtrlComboBoxEx_GetLocaleCountry',
+ 'GUICtrlComboBoxEx_GetLocaleLang',
+ 'GUICtrlComboBoxEx_GetLocalePrimLang',
+ 'GUICtrlComboBoxEx_GetLocaleSubLang',
+ 'GUICtrlComboBoxEx_GetMinVisible','GUICtrlComboBoxEx_GetTopIndex',
+ 'GUICtrlComboBoxEx_InitStorage','GUICtrlComboBoxEx_InsertString',
+ 'GUICtrlComboBoxEx_LimitText','GUICtrlComboBoxEx_ReplaceEditSel',
+ 'GUICtrlComboBoxEx_ResetContent','GUICtrlComboBoxEx_SetCurSel',
+ 'GUICtrlComboBoxEx_SetDroppedWidth','GUICtrlComboBoxEx_SetEditSel',
+ 'GUICtrlComboBoxEx_SetEditText',
+ 'GUICtrlComboBoxEx_SetExtendedStyle',
+ 'GUICtrlComboBoxEx_SetExtendedUI','GUICtrlComboBoxEx_SetImageList',
+ 'GUICtrlComboBoxEx_SetItem','GUICtrlComboBoxEx_SetItemEx',
+ 'GUICtrlComboBoxEx_SetItemHeight','GUICtrlComboBoxEx_SetItemImage',
+ 'GUICtrlComboBoxEx_SetItemIndent',
+ 'GUICtrlComboBoxEx_SetItemOverlayImage',
+ 'GUICtrlComboBoxEx_SetItemParam',
+ 'GUICtrlComboBoxEx_SetItemSelectedImage',
+ 'GUICtrlComboBoxEx_SetMinVisible','GUICtrlComboBoxEx_SetTopIndex',
+ 'GUICtrlComboBoxEx_ShowDropDown','GUICtrlDTP_Create',
+ 'GUICtrlDTP_Destroy','GUICtrlDTP_GetMCColor','GUICtrlDTP_GetMCFont',
+ 'GUICtrlDTP_GetMonthCal','GUICtrlDTP_GetRange',
+ 'GUICtrlDTP_GetRangeEx','GUICtrlDTP_GetSystemTime',
+ 'GUICtrlDTP_GetSystemTimeEx','GUICtrlDTP_SetFormat',
+ 'GUICtrlDTP_SetMCColor','GUICtrlDTP_SetMCFont',
+ 'GUICtrlDTP_SetRange','GUICtrlDTP_SetRangeEx',
+ 'GUICtrlDTP_SetSystemTime','GUICtrlDTP_SetSystemTimeEx',
+ 'GUICtrlEdit_AppendText','GUICtrlEdit_BeginUpdate',
+ 'GUICtrlEdit_CanUndo','GUICtrlEdit_CharFromPos',
+ 'GUICtrlEdit_Create','GUICtrlEdit_Destroy',
+ 'GUICtrlEdit_EmptyUndoBuffer','GUICtrlEdit_EndUpdate',
+ 'GUICtrlEdit_Find','GUICtrlEdit_FmtLines',
+ 'GUICtrlEdit_GetFirstVisibleLine','GUICtrlEdit_GetLimitText',
+ 'GUICtrlEdit_GetLine','GUICtrlEdit_GetLineCount',
+ 'GUICtrlEdit_GetMargins','GUICtrlEdit_GetModify',
+ 'GUICtrlEdit_GetPasswordChar','GUICtrlEdit_GetRECT',
+ 'GUICtrlEdit_GetRECTEx','GUICtrlEdit_GetSel','GUICtrlEdit_GetText',
+ 'GUICtrlEdit_GetTextLen','GUICtrlEdit_HideBalloonTip',
+ 'GUICtrlEdit_InsertText','GUICtrlEdit_LineFromChar',
+ 'GUICtrlEdit_LineIndex','GUICtrlEdit_LineLength',
+ 'GUICtrlEdit_LineScroll','GUICtrlEdit_PosFromChar',
+ 'GUICtrlEdit_ReplaceSel','GUICtrlEdit_Scroll',
+ 'GUICtrlEdit_SetLimitText','GUICtrlEdit_SetMargins',
+ 'GUICtrlEdit_SetModify','GUICtrlEdit_SetPasswordChar',
+ 'GUICtrlEdit_SetReadOnly','GUICtrlEdit_SetRECT',
+ 'GUICtrlEdit_SetRECTEx','GUICtrlEdit_SetRECTNP',
+ 'GUICtrlEdit_SetRectNPEx','GUICtrlEdit_SetSel',
+ 'GUICtrlEdit_SetTabStops','GUICtrlEdit_SetText',
+ 'GUICtrlEdit_ShowBalloonTip','GUICtrlEdit_Undo',
+ 'GUICtrlHeader_AddItem','GUICtrlHeader_ClearFilter',
+ 'GUICtrlHeader_ClearFilterAll','GUICtrlHeader_Create',
+ 'GUICtrlHeader_CreateDragImage','GUICtrlHeader_DeleteItem',
+ 'GUICtrlHeader_Destroy','GUICtrlHeader_EditFilter',
+ 'GUICtrlHeader_GetBitmapMargin','GUICtrlHeader_GetImageList',
+ 'GUICtrlHeader_GetItem','GUICtrlHeader_GetItemAlign',
+ 'GUICtrlHeader_GetItemBitmap','GUICtrlHeader_GetItemCount',
+ 'GUICtrlHeader_GetItemDisplay','GUICtrlHeader_GetItemFlags',
+ 'GUICtrlHeader_GetItemFormat','GUICtrlHeader_GetItemImage',
+ 'GUICtrlHeader_GetItemOrder','GUICtrlHeader_GetItemParam',
+ 'GUICtrlHeader_GetItemRect','GUICtrlHeader_GetItemRectEx',
+ 'GUICtrlHeader_GetItemText','GUICtrlHeader_GetItemWidth',
+ 'GUICtrlHeader_GetOrderArray','GUICtrlHeader_GetUnicodeFormat',
+ 'GUICtrlHeader_HitTest','GUICtrlHeader_InsertItem',
+ 'GUICtrlHeader_Layout','GUICtrlHeader_OrderToIndex',
+ 'GUICtrlHeader_SetBitmapMargin',
+ 'GUICtrlHeader_SetFilterChangeTimeout',
+ 'GUICtrlHeader_SetHotDivider','GUICtrlHeader_SetImageList',
+ 'GUICtrlHeader_SetItem','GUICtrlHeader_SetItemAlign',
+ 'GUICtrlHeader_SetItemBitmap','GUICtrlHeader_SetItemDisplay',
+ 'GUICtrlHeader_SetItemFlags','GUICtrlHeader_SetItemFormat',
+ 'GUICtrlHeader_SetItemImage','GUICtrlHeader_SetItemOrder',
+ 'GUICtrlHeader_SetItemParam','GUICtrlHeader_SetItemText',
+ 'GUICtrlHeader_SetItemWidth','GUICtrlHeader_SetOrderArray',
+ 'GUICtrlHeader_SetUnicodeFormat','GUICtrlIpAddress_ClearAddress',
+ 'GUICtrlIpAddress_Create','GUICtrlIpAddress_Destroy',
+ 'GUICtrlIpAddress_Get','GUICtrlIpAddress_GetArray',
+ 'GUICtrlIpAddress_GetEx','GUICtrlIpAddress_IsBlank',
+ 'GUICtrlIpAddress_Set','GUICtrlIpAddress_SetArray',
+ 'GUICtrlIpAddress_SetEx','GUICtrlIpAddress_SetFocus',
+ 'GUICtrlIpAddress_SetFont','GUICtrlIpAddress_SetRange',
+ 'GUICtrlIpAddress_ShowHide','GUICtrlListBox_AddFile',
+ 'GUICtrlListBox_AddString','GUICtrlListBox_BeginUpdate',
+ 'GUICtrlListBox_Create','GUICtrlListBox_DeleteString',
+ 'GUICtrlListBox_Destroy','GUICtrlListBox_Dir',
+ 'GUICtrlListBox_EndUpdate','GUICtrlListBox_FindInText',
+ 'GUICtrlListBox_FindString','GUICtrlListBox_GetAnchorIndex',
+ 'GUICtrlListBox_GetCaretIndex','GUICtrlListBox_GetCount',
+ 'GUICtrlListBox_GetCurSel','GUICtrlListBox_GetHorizontalExtent',
+ 'GUICtrlListBox_GetItemData','GUICtrlListBox_GetItemHeight',
+ 'GUICtrlListBox_GetItemRect','GUICtrlListBox_GetItemRectEx',
+ 'GUICtrlListBox_GetListBoxInfo','GUICtrlListBox_GetLocale',
+ 'GUICtrlListBox_GetLocaleCountry','GUICtrlListBox_GetLocaleLang',
+ 'GUICtrlListBox_GetLocalePrimLang',
+ 'GUICtrlListBox_GetLocaleSubLang','GUICtrlListBox_GetSel',
+ 'GUICtrlListBox_GetSelCount','GUICtrlListBox_GetSelItems',
+ 'GUICtrlListBox_GetSelItemsText','GUICtrlListBox_GetText',
+ 'GUICtrlListBox_GetTextLen','GUICtrlListBox_GetTopIndex',
+ 'GUICtrlListBox_InitStorage','GUICtrlListBox_InsertString',
+ 'GUICtrlListBox_ItemFromPoint','GUICtrlListBox_ReplaceString',
+ 'GUICtrlListBox_ResetContent','GUICtrlListBox_SelectString',
+ 'GUICtrlListBox_SelItemRange','GUICtrlListBox_SelItemRangeEx',
+ 'GUICtrlListBox_SetAnchorIndex','GUICtrlListBox_SetCaretIndex',
+ 'GUICtrlListBox_SetColumnWidth','GUICtrlListBox_SetCurSel',
+ 'GUICtrlListBox_SetHorizontalExtent','GUICtrlListBox_SetItemData',
+ 'GUICtrlListBox_SetItemHeight','GUICtrlListBox_SetLocale',
+ 'GUICtrlListBox_SetSel','GUICtrlListBox_SetTabStops',
+ 'GUICtrlListBox_SetTopIndex','GUICtrlListBox_Sort',
+ 'GUICtrlListBox_SwapString','GUICtrlListBox_UpdateHScroll',
+ 'GUICtrlListView_AddArray','GUICtrlListView_AddColumn',
+ 'GUICtrlListView_AddItem','GUICtrlListView_AddSubItem',
+ 'GUICtrlListView_ApproximateViewHeight',
+ 'GUICtrlListView_ApproximateViewRect',
+ 'GUICtrlListView_ApproximateViewWidth','GUICtrlListView_Arrange',
+ 'GUICtrlListView_BeginUpdate','GUICtrlListView_CancelEditLabel',
+ 'GUICtrlListView_ClickItem','GUICtrlListView_CopyItems',
+ 'GUICtrlListView_Create','GUICtrlListView_CreateDragImage',
+ 'GUICtrlListView_CreateSolidBitMap',
+ 'GUICtrlListView_DeleteAllItems','GUICtrlListView_DeleteColumn',
+ 'GUICtrlListView_DeleteItem','GUICtrlListView_DeleteItemsSelected',
+ 'GUICtrlListView_Destroy','GUICtrlListView_DrawDragImage',
+ 'GUICtrlListView_EditLabel','GUICtrlListView_EnableGroupView',
+ 'GUICtrlListView_EndUpdate','GUICtrlListView_EnsureVisible',
+ 'GUICtrlListView_FindInText','GUICtrlListView_FindItem',
+ 'GUICtrlListView_FindNearest','GUICtrlListView_FindParam',
+ 'GUICtrlListView_FindText','GUICtrlListView_GetBkColor',
+ 'GUICtrlListView_GetBkImage','GUICtrlListView_GetCallbackMask',
+ 'GUICtrlListView_GetColumn','GUICtrlListView_GetColumnCount',
+ 'GUICtrlListView_GetColumnOrder',
+ 'GUICtrlListView_GetColumnOrderArray',
+ 'GUICtrlListView_GetColumnWidth','GUICtrlListView_GetCounterPage',
+ 'GUICtrlListView_GetEditControl',
+ 'GUICtrlListView_GetExtendedListViewStyle',
+ 'GUICtrlListView_GetGroupInfo',
+ 'GUICtrlListView_GetGroupViewEnabled','GUICtrlListView_GetHeader',
+ 'GUICtrlListView_GetHotCursor','GUICtrlListView_GetHotItem',
+ 'GUICtrlListView_GetHoverTime','GUICtrlListView_GetImageList',
+ 'GUICtrlListView_GetISearchString','GUICtrlListView_GetItem',
+ 'GUICtrlListView_GetItemChecked','GUICtrlListView_GetItemCount',
+ 'GUICtrlListView_GetItemCut','GUICtrlListView_GetItemDropHilited',
+ 'GUICtrlListView_GetItemEx','GUICtrlListView_GetItemFocused',
+ 'GUICtrlListView_GetItemGroupID','GUICtrlListView_GetItemImage',
+ 'GUICtrlListView_GetItemIndent','GUICtrlListView_GetItemParam',
+ 'GUICtrlListView_GetItemPosition',
+ 'GUICtrlListView_GetItemPositionX',
+ 'GUICtrlListView_GetItemPositionY','GUICtrlListView_GetItemRect',
+ 'GUICtrlListView_GetItemRectEx','GUICtrlListView_GetItemSelected',
+ 'GUICtrlListView_GetItemSpacing','GUICtrlListView_GetItemSpacingX',
+ 'GUICtrlListView_GetItemSpacingY','GUICtrlListView_GetItemState',
+ 'GUICtrlListView_GetItemStateImage','GUICtrlListView_GetItemText',
+ 'GUICtrlListView_GetItemTextArray',
+ 'GUICtrlListView_GetItemTextString','GUICtrlListView_GetNextItem',
+ 'GUICtrlListView_GetNumberOfWorkAreas','GUICtrlListView_GetOrigin',
+ 'GUICtrlListView_GetOriginX','GUICtrlListView_GetOriginY',
+ 'GUICtrlListView_GetOutlineColor',
+ 'GUICtrlListView_GetSelectedColumn',
+ 'GUICtrlListView_GetSelectedCount',
+ 'GUICtrlListView_GetSelectedIndices',
+ 'GUICtrlListView_GetSelectionMark','GUICtrlListView_GetStringWidth',
+ 'GUICtrlListView_GetSubItemRect','GUICtrlListView_GetTextBkColor',
+ 'GUICtrlListView_GetTextColor','GUICtrlListView_GetToolTips',
+ 'GUICtrlListView_GetTopIndex','GUICtrlListView_GetUnicodeFormat',
+ 'GUICtrlListView_GetView','GUICtrlListView_GetViewDetails',
+ 'GUICtrlListView_GetViewLarge','GUICtrlListView_GetViewList',
+ 'GUICtrlListView_GetViewRect','GUICtrlListView_GetViewSmall',
+ 'GUICtrlListView_GetViewTile','GUICtrlListView_HideColumn',
+ 'GUICtrlListView_HitTest','GUICtrlListView_InsertColumn',
+ 'GUICtrlListView_InsertGroup','GUICtrlListView_InsertItem',
+ 'GUICtrlListView_JustifyColumn','GUICtrlListView_MapIDToIndex',
+ 'GUICtrlListView_MapIndexToID','GUICtrlListView_RedrawItems',
+ 'GUICtrlListView_RegisterSortCallBack',
+ 'GUICtrlListView_RemoveAllGroups','GUICtrlListView_RemoveGroup',
+ 'GUICtrlListView_Scroll','GUICtrlListView_SetBkColor',
+ 'GUICtrlListView_SetBkImage','GUICtrlListView_SetCallBackMask',
+ 'GUICtrlListView_SetColumn','GUICtrlListView_SetColumnOrder',
+ 'GUICtrlListView_SetColumnOrderArray',
+ 'GUICtrlListView_SetColumnWidth',
+ 'GUICtrlListView_SetExtendedListViewStyle',
+ 'GUICtrlListView_SetGroupInfo','GUICtrlListView_SetHotItem',
+ 'GUICtrlListView_SetHoverTime','GUICtrlListView_SetIconSpacing',
+ 'GUICtrlListView_SetImageList','GUICtrlListView_SetItem',
+ 'GUICtrlListView_SetItemChecked','GUICtrlListView_SetItemCount',
+ 'GUICtrlListView_SetItemCut','GUICtrlListView_SetItemDropHilited',
+ 'GUICtrlListView_SetItemEx','GUICtrlListView_SetItemFocused',
+ 'GUICtrlListView_SetItemGroupID','GUICtrlListView_SetItemImage',
+ 'GUICtrlListView_SetItemIndent','GUICtrlListView_SetItemParam',
+ 'GUICtrlListView_SetItemPosition',
+ 'GUICtrlListView_SetItemPosition32',
+ 'GUICtrlListView_SetItemSelected','GUICtrlListView_SetItemState',
+ 'GUICtrlListView_SetItemStateImage','GUICtrlListView_SetItemText',
+ 'GUICtrlListView_SetOutlineColor',
+ 'GUICtrlListView_SetSelectedColumn',
+ 'GUICtrlListView_SetSelectionMark','GUICtrlListView_SetTextBkColor',
+ 'GUICtrlListView_SetTextColor','GUICtrlListView_SetToolTips',
+ 'GUICtrlListView_SetUnicodeFormat','GUICtrlListView_SetView',
+ 'GUICtrlListView_SetWorkAreas','GUICtrlListView_SimpleSort',
+ 'GUICtrlListView_SortItems','GUICtrlListView_SubItemHitTest',
+ 'GUICtrlListView_UnRegisterSortCallBack',
+ 'GUICtrlMenu_AddMenuItem','GUICtrlMenu_AppendMenu',
+ 'GUICtrlMenu_CheckMenuItem','GUICtrlMenu_CheckRadioItem',
+ 'GUICtrlMenu_CreateMenu','GUICtrlMenu_CreatePopup',
+ 'GUICtrlMenu_DeleteMenu','GUICtrlMenu_DestroyMenu',
+ 'GUICtrlMenu_DrawMenuBar','GUICtrlMenu_EnableMenuItem',
+ 'GUICtrlMenu_FindItem','GUICtrlMenu_FindParent',
+ 'GUICtrlMenu_GetItemBmp','GUICtrlMenu_GetItemBmpChecked',
+ 'GUICtrlMenu_GetItemBmpUnchecked','GUICtrlMenu_GetItemChecked',
+ 'GUICtrlMenu_GetItemCount','GUICtrlMenu_GetItemData',
+ 'GUICtrlMenu_GetItemDefault','GUICtrlMenu_GetItemDisabled',
+ 'GUICtrlMenu_GetItemEnabled','GUICtrlMenu_GetItemGrayed',
+ 'GUICtrlMenu_GetItemHighlighted','GUICtrlMenu_GetItemID',
+ 'GUICtrlMenu_GetItemInfo','GUICtrlMenu_GetItemRect',
+ 'GUICtrlMenu_GetItemRectEx','GUICtrlMenu_GetItemState',
+ 'GUICtrlMenu_GetItemStateEx','GUICtrlMenu_GetItemSubMenu',
+ 'GUICtrlMenu_GetItemText','GUICtrlMenu_GetItemType',
+ 'GUICtrlMenu_GetMenu','GUICtrlMenu_GetMenuBackground',
+ 'GUICtrlMenu_GetMenuBarInfo','GUICtrlMenu_GetMenuContextHelpID',
+ 'GUICtrlMenu_GetMenuData','GUICtrlMenu_GetMenuDefaultItem',
+ 'GUICtrlMenu_GetMenuHeight','GUICtrlMenu_GetMenuInfo',
+ 'GUICtrlMenu_GetMenuStyle','GUICtrlMenu_GetSystemMenu',
+ 'GUICtrlMenu_InsertMenuItem','GUICtrlMenu_InsertMenuItemEx',
+ 'GUICtrlMenu_IsMenu','GUICtrlMenu_LoadMenu',
+ 'GUICtrlMenu_MapAccelerator','GUICtrlMenu_MenuItemFromPoint',
+ 'GUICtrlMenu_RemoveMenu','GUICtrlMenu_SetItemBitmaps',
+ 'GUICtrlMenu_SetItemBmp','GUICtrlMenu_SetItemBmpChecked',
+ 'GUICtrlMenu_SetItemBmpUnchecked','GUICtrlMenu_SetItemChecked',
+ 'GUICtrlMenu_SetItemData','GUICtrlMenu_SetItemDefault',
+ 'GUICtrlMenu_SetItemDisabled','GUICtrlMenu_SetItemEnabled',
+ 'GUICtrlMenu_SetItemGrayed','GUICtrlMenu_SetItemHighlighted',
+ 'GUICtrlMenu_SetItemID','GUICtrlMenu_SetItemInfo',
+ 'GUICtrlMenu_SetItemState','GUICtrlMenu_SetItemSubMenu',
+ 'GUICtrlMenu_SetItemText','GUICtrlMenu_SetItemType',
+ 'GUICtrlMenu_SetMenu','GUICtrlMenu_SetMenuBackground',
+ 'GUICtrlMenu_SetMenuContextHelpID','GUICtrlMenu_SetMenuData',
+ 'GUICtrlMenu_SetMenuDefaultItem','GUICtrlMenu_SetMenuHeight',
+ 'GUICtrlMenu_SetMenuInfo','GUICtrlMenu_SetMenuStyle',
+ 'GUICtrlMenu_TrackPopupMenu','GUICtrlMonthCal_Create',
+ 'GUICtrlMonthCal_Destroy','GUICtrlMonthCal_GetColor',
+ 'GUICtrlMonthCal_GetColorArray','GUICtrlMonthCal_GetCurSel',
+ 'GUICtrlMonthCal_GetCurSelStr','GUICtrlMonthCal_GetFirstDOW',
+ 'GUICtrlMonthCal_GetFirstDOWStr','GUICtrlMonthCal_GetMaxSelCount',
+ 'GUICtrlMonthCal_GetMaxTodayWidth',
+ 'GUICtrlMonthCal_GetMinReqHeight','GUICtrlMonthCal_GetMinReqRect',
+ 'GUICtrlMonthCal_GetMinReqRectArray',
+ 'GUICtrlMonthCal_GetMinReqWidth','GUICtrlMonthCal_GetMonthDelta',
+ 'GUICtrlMonthCal_GetMonthRange','GUICtrlMonthCal_GetMonthRangeMax',
+ 'GUICtrlMonthCal_GetMonthRangeMaxStr',
+ 'GUICtrlMonthCal_GetMonthRangeMin',
+ 'GUICtrlMonthCal_GetMonthRangeMinStr',
+ 'GUICtrlMonthCal_GetMonthRangeSpan','GUICtrlMonthCal_GetRange',
+ 'GUICtrlMonthCal_GetRangeMax','GUICtrlMonthCal_GetRangeMaxStr',
+ 'GUICtrlMonthCal_GetRangeMin','GUICtrlMonthCal_GetRangeMinStr',
+ 'GUICtrlMonthCal_GetSelRange','GUICtrlMonthCal_GetSelRangeMax',
+ 'GUICtrlMonthCal_GetSelRangeMaxStr',
+ 'GUICtrlMonthCal_GetSelRangeMin',
+ 'GUICtrlMonthCal_GetSelRangeMinStr','GUICtrlMonthCal_GetToday',
+ 'GUICtrlMonthCal_GetTodayStr','GUICtrlMonthCal_GetUnicodeFormat',
+ 'GUICtrlMonthCal_HitTest','GUICtrlMonthCal_SetColor',
+ 'GUICtrlMonthCal_SetCurSel','GUICtrlMonthCal_SetDayState',
+ 'GUICtrlMonthCal_SetFirstDOW','GUICtrlMonthCal_SetMaxSelCount',
+ 'GUICtrlMonthCal_SetMonthDelta','GUICtrlMonthCal_SetRange',
+ 'GUICtrlMonthCal_SetSelRange','GUICtrlMonthCal_SetToday',
+ 'GUICtrlMonthCal_SetUnicodeFormat','GUICtrlRebar_AddBand',
+ 'GUICtrlRebar_AddToolBarBand','GUICtrlRebar_BeginDrag',
+ 'GUICtrlRebar_Create','GUICtrlRebar_DeleteBand',
+ 'GUICtrlRebar_Destroy','GUICtrlRebar_DragMove',
+ 'GUICtrlRebar_EndDrag','GUICtrlRebar_GetBandBackColor',
+ 'GUICtrlRebar_GetBandBorders','GUICtrlRebar_GetBandBordersEx',
+ 'GUICtrlRebar_GetBandChildHandle','GUICtrlRebar_GetBandChildSize',
+ 'GUICtrlRebar_GetBandCount','GUICtrlRebar_GetBandForeColor',
+ 'GUICtrlRebar_GetBandHeaderSize','GUICtrlRebar_GetBandID',
+ 'GUICtrlRebar_GetBandIdealSize','GUICtrlRebar_GetBandLength',
+ 'GUICtrlRebar_GetBandLParam','GUICtrlRebar_GetBandMargins',
+ 'GUICtrlRebar_GetBandMarginsEx','GUICtrlRebar_GetBandRect',
+ 'GUICtrlRebar_GetBandRectEx','GUICtrlRebar_GetBandStyle',
+ 'GUICtrlRebar_GetBandStyleBreak',
+ 'GUICtrlRebar_GetBandStyleChildEdge',
+ 'GUICtrlRebar_GetBandStyleFixedBMP',
+ 'GUICtrlRebar_GetBandStyleFixedSize',
+ 'GUICtrlRebar_GetBandStyleGripperAlways',
+ 'GUICtrlRebar_GetBandStyleHidden',
+ 'GUICtrlRebar_GetBandStyleHideTitle',
+ 'GUICtrlRebar_GetBandStyleNoGripper',
+ 'GUICtrlRebar_GetBandStyleTopAlign',
+ 'GUICtrlRebar_GetBandStyleUseChevron',
+ 'GUICtrlRebar_GetBandStyleVariableHeight',
+ 'GUICtrlRebar_GetBandText','GUICtrlRebar_GetBarHeight',
+ 'GUICtrlRebar_GetBKColor','GUICtrlRebar_GetColorScheme',
+ 'GUICtrlRebar_GetRowCount','GUICtrlRebar_GetRowHeight',
+ 'GUICtrlRebar_GetTextColor','GUICtrlRebar_GetToolTips',
+ 'GUICtrlRebar_GetUnicodeFormat','GUICtrlRebar_HitTest',
+ 'GUICtrlRebar_IDToIndex','GUICtrlRebar_MaximizeBand',
+ 'GUICtrlRebar_MinimizeBand','GUICtrlRebar_MoveBand',
+ 'GUICtrlRebar_SetBandBackColor','GUICtrlRebar_SetBandForeColor',
+ 'GUICtrlRebar_SetBandHeaderSize','GUICtrlRebar_SetBandID',
+ 'GUICtrlRebar_SetBandIdealSize','GUICtrlRebar_SetBandLength',
+ 'GUICtrlRebar_SetBandLParam','GUICtrlRebar_SetBandStyle',
+ 'GUICtrlRebar_SetBandStyleBreak',
+ 'GUICtrlRebar_SetBandStyleChildEdge',
+ 'GUICtrlRebar_SetBandStyleFixedBMP',
+ 'GUICtrlRebar_SetBandStyleFixedSize',
+ 'GUICtrlRebar_SetBandStyleGripperAlways',
+ 'GUICtrlRebar_SetBandStyleHidden',
+ 'GUICtrlRebar_SetBandStyleHideTitle',
+ 'GUICtrlRebar_SetBandStyleNoGripper',
+ 'GUICtrlRebar_SetBandStyleTopAlign',
+ 'GUICtrlRebar_SetBandStyleUseChevron',
+ 'GUICtrlRebar_SetBandStyleVariableHeight',
+ 'GUICtrlRebar_SetBandText','GUICtrlRebar_SetBKColor',
+ 'GUICtrlRebar_SetColorScheme','GUICtrlRebar_SetTextColor',
+ 'GUICtrlRebar_SetToolTips','GUICtrlRebar_SetUnicodeFormat',
+ 'GUICtrlRebar_ShowBand','GUICtrlSlider_ClearSel',
+ 'GUICtrlSlider_ClearTics','GUICtrlSlider_Create',
+ 'GUICtrlSlider_Destroy','GUICtrlSlider_GetBuddy',
+ 'GUICtrlSlider_GetChannelRect','GUICtrlSlider_GetLineSize',
+ 'GUICtrlSlider_GetNumTics','GUICtrlSlider_GetPageSize',
+ 'GUICtrlSlider_GetPos','GUICtrlSlider_GetPTics',
+ 'GUICtrlSlider_GetRange','GUICtrlSlider_GetRangeMax',
+ 'GUICtrlSlider_GetRangeMin','GUICtrlSlider_GetSel',
+ 'GUICtrlSlider_GetSelEnd','GUICtrlSlider_GetSelStart',
+ 'GUICtrlSlider_GetThumbLength','GUICtrlSlider_GetThumbRect',
+ 'GUICtrlSlider_GetThumbRectEx','GUICtrlSlider_GetTic',
+ 'GUICtrlSlider_GetTicPos','GUICtrlSlider_GetToolTips',
+ 'GUICtrlSlider_GetUnicodeFormat','GUICtrlSlider_SetBuddy',
+ 'GUICtrlSlider_SetLineSize','GUICtrlSlider_SetPageSize',
+ 'GUICtrlSlider_SetPos','GUICtrlSlider_SetRange',
+ 'GUICtrlSlider_SetRangeMax','GUICtrlSlider_SetRangeMin',
+ 'GUICtrlSlider_SetSel','GUICtrlSlider_SetSelEnd',
+ 'GUICtrlSlider_SetSelStart','GUICtrlSlider_SetThumbLength',
+ 'GUICtrlSlider_SetTic','GUICtrlSlider_SetTicFreq',
+ 'GUICtrlSlider_SetTipSide','GUICtrlSlider_SetToolTips',
+ 'GUICtrlSlider_SetUnicodeFormat','GUICtrlStatusBar_Create',
+ 'GUICtrlStatusBar_Destroy','GUICtrlStatusBar_EmbedControl',
+ 'GUICtrlStatusBar_GetBorders','GUICtrlStatusBar_GetBordersHorz',
+ 'GUICtrlStatusBar_GetBordersRect','GUICtrlStatusBar_GetBordersVert',
+ 'GUICtrlStatusBar_GetCount','GUICtrlStatusBar_GetHeight',
+ 'GUICtrlStatusBar_GetIcon','GUICtrlStatusBar_GetParts',
+ 'GUICtrlStatusBar_GetRect','GUICtrlStatusBar_GetRectEx',
+ 'GUICtrlStatusBar_GetText','GUICtrlStatusBar_GetTextFlags',
+ 'GUICtrlStatusBar_GetTextLength','GUICtrlStatusBar_GetTextLengthEx',
+ 'GUICtrlStatusBar_GetTipText','GUICtrlStatusBar_GetUnicodeFormat',
+ 'GUICtrlStatusBar_GetWidth','GUICtrlStatusBar_IsSimple',
+ 'GUICtrlStatusBar_Resize','GUICtrlStatusBar_SetBkColor',
+ 'GUICtrlStatusBar_SetIcon','GUICtrlStatusBar_SetMinHeight',
+ 'GUICtrlStatusBar_SetParts','GUICtrlStatusBar_SetSimple',
+ 'GUICtrlStatusBar_SetText','GUICtrlStatusBar_SetTipText',
+ 'GUICtrlStatusBar_SetUnicodeFormat','GUICtrlStatusBar_ShowHide',
+ 'GUICtrlTab_Create','GUICtrlTab_DeleteAllItems',
+ 'GUICtrlTab_DeleteItem','GUICtrlTab_DeselectAll',
+ 'GUICtrlTab_Destroy','GUICtrlTab_FindTab','GUICtrlTab_GetCurFocus',
+ 'GUICtrlTab_GetCurSel','GUICtrlTab_GetDisplayRect',
+ 'GUICtrlTab_GetDisplayRectEx','GUICtrlTab_GetExtendedStyle',
+ 'GUICtrlTab_GetImageList','GUICtrlTab_GetItem',
+ 'GUICtrlTab_GetItemCount','GUICtrlTab_GetItemImage',
+ 'GUICtrlTab_GetItemParam','GUICtrlTab_GetItemRect',
+ 'GUICtrlTab_GetItemRectEx','GUICtrlTab_GetItemState',
+ 'GUICtrlTab_GetItemText','GUICtrlTab_GetRowCount',
+ 'GUICtrlTab_GetToolTips','GUICtrlTab_GetUnicodeFormat',
+ 'GUICtrlTab_HighlightItem','GUICtrlTab_HitTest',
+ 'GUICtrlTab_InsertItem','GUICtrlTab_RemoveImage',
+ 'GUICtrlTab_SetCurFocus','GUICtrlTab_SetCurSel',
+ 'GUICtrlTab_SetExtendedStyle','GUICtrlTab_SetImageList',
+ 'GUICtrlTab_SetItem','GUICtrlTab_SetItemImage',
+ 'GUICtrlTab_SetItemParam','GUICtrlTab_SetItemSize',
+ 'GUICtrlTab_SetItemState','GUICtrlTab_SetItemText',
+ 'GUICtrlTab_SetMinTabWidth','GUICtrlTab_SetPadding',
+ 'GUICtrlTab_SetToolTips','GUICtrlTab_SetUnicodeFormat',
+ 'GUICtrlToolbar_AddBitmap','GUICtrlToolbar_AddButton',
+ 'GUICtrlToolbar_AddButtonSep','GUICtrlToolbar_AddString',
+ 'GUICtrlToolbar_ButtonCount','GUICtrlToolbar_CheckButton',
+ 'GUICtrlToolbar_ClickAccel','GUICtrlToolbar_ClickButton',
+ 'GUICtrlToolbar_ClickIndex','GUICtrlToolbar_CommandToIndex',
+ 'GUICtrlToolbar_Create','GUICtrlToolbar_Customize',
+ 'GUICtrlToolbar_DeleteButton','GUICtrlToolbar_Destroy',
+ 'GUICtrlToolbar_EnableButton','GUICtrlToolbar_FindToolbar',
+ 'GUICtrlToolbar_GetAnchorHighlight','GUICtrlToolbar_GetBitmapFlags',
+ 'GUICtrlToolbar_GetButtonBitmap','GUICtrlToolbar_GetButtonInfo',
+ 'GUICtrlToolbar_GetButtonInfoEx','GUICtrlToolbar_GetButtonParam',
+ 'GUICtrlToolbar_GetButtonRect','GUICtrlToolbar_GetButtonRectEx',
+ 'GUICtrlToolbar_GetButtonSize','GUICtrlToolbar_GetButtonState',
+ 'GUICtrlToolbar_GetButtonStyle','GUICtrlToolbar_GetButtonText',
+ 'GUICtrlToolbar_GetColorScheme',
+ 'GUICtrlToolbar_GetDisabledImageList',
+ 'GUICtrlToolbar_GetExtendedStyle','GUICtrlToolbar_GetHotImageList',
+ 'GUICtrlToolbar_GetHotItem','GUICtrlToolbar_GetImageList',
+ 'GUICtrlToolbar_GetInsertMark','GUICtrlToolbar_GetInsertMarkColor',
+ 'GUICtrlToolbar_GetMaxSize','GUICtrlToolbar_GetMetrics',
+ 'GUICtrlToolbar_GetPadding','GUICtrlToolbar_GetRows',
+ 'GUICtrlToolbar_GetString','GUICtrlToolbar_GetStyle',
+ 'GUICtrlToolbar_GetStyleAltDrag',
+ 'GUICtrlToolbar_GetStyleCustomErase','GUICtrlToolbar_GetStyleFlat',
+ 'GUICtrlToolbar_GetStyleList','GUICtrlToolbar_GetStyleRegisterDrop',
+ 'GUICtrlToolbar_GetStyleToolTips',
+ 'GUICtrlToolbar_GetStyleTransparent',
+ 'GUICtrlToolbar_GetStyleWrapable','GUICtrlToolbar_GetTextRows',
+ 'GUICtrlToolbar_GetToolTips','GUICtrlToolbar_GetUnicodeFormat',
+ 'GUICtrlToolbar_HideButton','GUICtrlToolbar_HighlightButton',
+ 'GUICtrlToolbar_HitTest','GUICtrlToolbar_IndexToCommand',
+ 'GUICtrlToolbar_InsertButton','GUICtrlToolbar_InsertMarkHitTest',
+ 'GUICtrlToolbar_IsButtonChecked','GUICtrlToolbar_IsButtonEnabled',
+ 'GUICtrlToolbar_IsButtonHidden',
+ 'GUICtrlToolbar_IsButtonHighlighted',
+ 'GUICtrlToolbar_IsButtonIndeterminate',
+ 'GUICtrlToolbar_IsButtonPressed','GUICtrlToolbar_LoadBitmap',
+ 'GUICtrlToolbar_LoadImages','GUICtrlToolbar_MapAccelerator',
+ 'GUICtrlToolbar_MoveButton','GUICtrlToolbar_PressButton',
+ 'GUICtrlToolbar_SetAnchorHighlight','GUICtrlToolbar_SetBitmapSize',
+ 'GUICtrlToolbar_SetButtonBitMap','GUICtrlToolbar_SetButtonInfo',
+ 'GUICtrlToolbar_SetButtonInfoEx','GUICtrlToolbar_SetButtonParam',
+ 'GUICtrlToolbar_SetButtonSize','GUICtrlToolbar_SetButtonState',
+ 'GUICtrlToolbar_SetButtonStyle','GUICtrlToolbar_SetButtonText',
+ 'GUICtrlToolbar_SetButtonWidth','GUICtrlToolbar_SetCmdID',
+ 'GUICtrlToolbar_SetColorScheme',
+ 'GUICtrlToolbar_SetDisabledImageList',
+ 'GUICtrlToolbar_SetDrawTextFlags','GUICtrlToolbar_SetExtendedStyle',
+ 'GUICtrlToolbar_SetHotImageList','GUICtrlToolbar_SetHotItem',
+ 'GUICtrlToolbar_SetImageList','GUICtrlToolbar_SetIndent',
+ 'GUICtrlToolbar_SetIndeterminate','GUICtrlToolbar_SetInsertMark',
+ 'GUICtrlToolbar_SetInsertMarkColor','GUICtrlToolbar_SetMaxTextRows',
+ 'GUICtrlToolbar_SetMetrics','GUICtrlToolbar_SetPadding',
+ 'GUICtrlToolbar_SetParent','GUICtrlToolbar_SetRows',
+ 'GUICtrlToolbar_SetStyle','GUICtrlToolbar_SetStyleAltDrag',
+ 'GUICtrlToolbar_SetStyleCustomErase','GUICtrlToolbar_SetStyleFlat',
+ 'GUICtrlToolbar_SetStyleList','GUICtrlToolbar_SetStyleRegisterDrop',
+ 'GUICtrlToolbar_SetStyleToolTips',
+ 'GUICtrlToolbar_SetStyleTransparent',
+ 'GUICtrlToolbar_SetStyleWrapable','GUICtrlToolbar_SetToolTips',
+ 'GUICtrlToolbar_SetUnicodeFormat','GUICtrlToolbar_SetWindowTheme',
+ 'GUICtrlTreeView_Add','GUICtrlTreeView_AddChild',
+ 'GUICtrlTreeView_AddChildFirst','GUICtrlTreeView_AddFirst',
+ 'GUICtrlTreeView_BeginUpdate','GUICtrlTreeView_ClickItem',
+ 'GUICtrlTreeView_Create','GUICtrlTreeView_CreateDragImage',
+ 'GUICtrlTreeView_CreateSolidBitMap','GUICtrlTreeView_Delete',
+ 'GUICtrlTreeView_DeleteAll','GUICtrlTreeView_DeleteChildren',
+ 'GUICtrlTreeView_Destroy','GUICtrlTreeView_DisplayRect',
+ 'GUICtrlTreeView_DisplayRectEx','GUICtrlTreeView_EditText',
+ 'GUICtrlTreeView_EndEdit','GUICtrlTreeView_EndUpdate',
+ 'GUICtrlTreeView_EnsureVisible','GUICtrlTreeView_Expand',
+ 'GUICtrlTreeView_ExpandedOnce','GUICtrlTreeView_FindItem',
+ 'GUICtrlTreeView_FindItemEx','GUICtrlTreeView_GetBkColor',
+ 'GUICtrlTreeView_GetBold','GUICtrlTreeView_GetChecked',
+ 'GUICtrlTreeView_GetChildCount','GUICtrlTreeView_GetChildren',
+ 'GUICtrlTreeView_GetCount','GUICtrlTreeView_GetCut',
+ 'GUICtrlTreeView_GetDropTarget','GUICtrlTreeView_GetEditControl',
+ 'GUICtrlTreeView_GetExpanded','GUICtrlTreeView_GetFirstChild',
+ 'GUICtrlTreeView_GetFirstItem','GUICtrlTreeView_GetFirstVisible',
+ 'GUICtrlTreeView_GetFocused','GUICtrlTreeView_GetHeight',
+ 'GUICtrlTreeView_GetImageIndex',
+ 'GUICtrlTreeView_GetImageListIconHandle',
+ 'GUICtrlTreeView_GetIndent','GUICtrlTreeView_GetInsertMarkColor',
+ 'GUICtrlTreeView_GetISearchString','GUICtrlTreeView_GetItemByIndex',
+ 'GUICtrlTreeView_GetItemHandle','GUICtrlTreeView_GetItemParam',
+ 'GUICtrlTreeView_GetLastChild','GUICtrlTreeView_GetLineColor',
+ 'GUICtrlTreeView_GetNext','GUICtrlTreeView_GetNextChild',
+ 'GUICtrlTreeView_GetNextSibling','GUICtrlTreeView_GetNextVisible',
+ 'GUICtrlTreeView_GetNormalImageList',
+ 'GUICtrlTreeView_GetParentHandle','GUICtrlTreeView_GetParentParam',
+ 'GUICtrlTreeView_GetPrev','GUICtrlTreeView_GetPrevChild',
+ 'GUICtrlTreeView_GetPrevSibling','GUICtrlTreeView_GetPrevVisible',
+ 'GUICtrlTreeView_GetScrollTime','GUICtrlTreeView_GetSelected',
+ 'GUICtrlTreeView_GetSelectedImageIndex',
+ 'GUICtrlTreeView_GetSelection','GUICtrlTreeView_GetSiblingCount',
+ 'GUICtrlTreeView_GetState','GUICtrlTreeView_GetStateImageIndex',
+ 'GUICtrlTreeView_GetStateImageList','GUICtrlTreeView_GetText',
+ 'GUICtrlTreeView_GetTextColor','GUICtrlTreeView_GetToolTips',
+ 'GUICtrlTreeView_GetTree','GUICtrlTreeView_GetUnicodeFormat',
+ 'GUICtrlTreeView_GetVisible','GUICtrlTreeView_GetVisibleCount',
+ 'GUICtrlTreeView_HitTest','GUICtrlTreeView_HitTestEx',
+ 'GUICtrlTreeView_HitTestItem','GUICtrlTreeView_Index',
+ 'GUICtrlTreeView_InsertItem','GUICtrlTreeView_IsFirstItem',
+ 'GUICtrlTreeView_IsParent','GUICtrlTreeView_Level',
+ 'GUICtrlTreeView_SelectItem','GUICtrlTreeView_SelectItemByIndex',
+ 'GUICtrlTreeView_SetBkColor','GUICtrlTreeView_SetBold',
+ 'GUICtrlTreeView_SetChecked','GUICtrlTreeView_SetCheckedByIndex',
+ 'GUICtrlTreeView_SetChildren','GUICtrlTreeView_SetCut',
+ 'GUICtrlTreeView_SetDropTarget','GUICtrlTreeView_SetFocused',
+ 'GUICtrlTreeView_SetHeight','GUICtrlTreeView_SetIcon',
+ 'GUICtrlTreeView_SetImageIndex','GUICtrlTreeView_SetIndent',
+ 'GUICtrlTreeView_SetInsertMark',
+ 'GUICtrlTreeView_SetInsertMarkColor',
+ 'GUICtrlTreeView_SetItemHeight','GUICtrlTreeView_SetItemParam',
+ 'GUICtrlTreeView_SetLineColor','GUICtrlTreeView_SetNormalImageList',
+ 'GUICtrlTreeView_SetScrollTime','GUICtrlTreeView_SetSelected',
+ 'GUICtrlTreeView_SetSelectedImageIndex','GUICtrlTreeView_SetState',
+ 'GUICtrlTreeView_SetStateImageIndex',
+ 'GUICtrlTreeView_SetStateImageList','GUICtrlTreeView_SetText',
+ 'GUICtrlTreeView_SetTextColor','GUICtrlTreeView_SetToolTips',
+ 'GUICtrlTreeView_SetUnicodeFormat','GUICtrlTreeView_Sort',
+ 'GUIImageList_Add','GUIImageList_AddBitmap','GUIImageList_AddIcon',
+ 'GUIImageList_AddMasked','GUIImageList_BeginDrag',
+ 'GUIImageList_Copy','GUIImageList_Create','GUIImageList_Destroy',
+ 'GUIImageList_DestroyIcon','GUIImageList_DragEnter',
+ 'GUIImageList_DragLeave','GUIImageList_DragMove',
+ 'GUIImageList_Draw','GUIImageList_DrawEx','GUIImageList_Duplicate',
+ 'GUIImageList_EndDrag','GUIImageList_GetBkColor',
+ 'GUIImageList_GetIcon','GUIImageList_GetIconHeight',
+ 'GUIImageList_GetIconSize','GUIImageList_GetIconSizeEx',
+ 'GUIImageList_GetIconWidth','GUIImageList_GetImageCount',
+ 'GUIImageList_GetImageInfoEx','GUIImageList_Remove',
+ 'GUIImageList_ReplaceIcon','GUIImageList_SetBkColor',
+ 'GUIImageList_SetIconSize','GUIImageList_SetImageCount',
+ 'GUIImageList_Swap','GUIScrollBars_EnableScrollBar',
+ 'GUIScrollBars_GetScrollBarInfoEx','GUIScrollBars_GetScrollBarRect',
+ 'GUIScrollBars_GetScrollBarRGState',
+ 'GUIScrollBars_GetScrollBarXYLineButton',
+ 'GUIScrollBars_GetScrollBarXYThumbBottom',
+ 'GUIScrollBars_GetScrollBarXYThumbTop',
+ 'GUIScrollBars_GetScrollInfo','GUIScrollBars_GetScrollInfoEx',
+ 'GUIScrollBars_GetScrollInfoMax','GUIScrollBars_GetScrollInfoMin',
+ 'GUIScrollBars_GetScrollInfoPage','GUIScrollBars_GetScrollInfoPos',
+ 'GUIScrollBars_GetScrollInfoTrackPos','GUIScrollBars_GetScrollPos',
+ 'GUIScrollBars_GetScrollRange','GUIScrollBars_Init',
+ 'GUIScrollBars_ScrollWindow','GUIScrollBars_SetScrollInfo',
+ 'GUIScrollBars_SetScrollInfoMax','GUIScrollBars_SetScrollInfoMin',
+ 'GUIScrollBars_SetScrollInfoPage','GUIScrollBars_SetScrollInfoPos',
+ 'GUIScrollBars_SetScrollRange','GUIScrollBars_ShowScrollBar',
+ 'GUIToolTip_Activate','GUIToolTip_AddTool','GUIToolTip_AdjustRect',
+ 'GUIToolTip_BitsToTTF','GUIToolTip_Create','GUIToolTip_DelTool',
+ 'GUIToolTip_Destroy','GUIToolTip_EnumTools',
+ 'GUIToolTip_GetBubbleHeight','GUIToolTip_GetBubbleSize',
+ 'GUIToolTip_GetBubbleWidth','GUIToolTip_GetCurrentTool',
+ 'GUIToolTip_GetDelayTime','GUIToolTip_GetMargin',
+ 'GUIToolTip_GetMarginEx','GUIToolTip_GetMaxTipWidth',
+ 'GUIToolTip_GetText','GUIToolTip_GetTipBkColor',
+ 'GUIToolTip_GetTipTextColor','GUIToolTip_GetTitleBitMap',
+ 'GUIToolTip_GetTitleText','GUIToolTip_GetToolCount',
+ 'GUIToolTip_GetToolInfo','GUIToolTip_HitTest',
+ 'GUIToolTip_NewToolRect','GUIToolTip_Pop','GUIToolTip_PopUp',
+ 'GUIToolTip_SetDelayTime','GUIToolTip_SetMargin',
+ 'GUIToolTip_SetMaxTipWidth','GUIToolTip_SetTipBkColor',
+ 'GUIToolTip_SetTipTextColor','GUIToolTip_SetTitle',
+ 'GUIToolTip_SetToolInfo','GUIToolTip_SetWindowTheme',
+ 'GUIToolTip_ToolExists','GUIToolTip_ToolToArray',
+ 'GUIToolTip_TrackActivate','GUIToolTip_TrackPosition',
+ 'GUIToolTip_TTFToBits','GUIToolTip_Update',
+ 'GUIToolTip_UpdateTipText','HexToString','IE_Example',
+ 'IE_Introduction','IE_VersionInfo','IEAction','IEAttach',
+ 'IEBodyReadHTML','IEBodyReadText','IEBodyWriteHTML','IECreate',
+ 'IECreateEmbedded','IEDocGetObj','IEDocInsertHTML',
+ 'IEDocInsertText','IEDocReadHTML','IEDocWriteHTML',
+ 'IEErrorHandlerDeRegister','IEErrorHandlerRegister','IEErrorNotify',
+ 'IEFormElementCheckBoxSelect','IEFormElementGetCollection',
+ 'IEFormElementGetObjByName','IEFormElementGetValue',
+ 'IEFormElementOptionSelect','IEFormElementRadioSelect',
+ 'IEFormElementSetValue','IEFormGetCollection','IEFormGetObjByName',
+ 'IEFormImageClick','IEFormReset','IEFormSubmit',
+ 'IEFrameGetCollection','IEFrameGetObjByName','IEGetObjById',
+ 'IEGetObjByName','IEHeadInsertEventScript','IEImgClick',
+ 'IEImgGetCollection','IEIsFrameSet','IELinkClickByIndex',
+ 'IELinkClickByText','IELinkGetCollection','IELoadWait',
+ 'IELoadWaitTimeout','IENavigate','IEPropertyGet','IEPropertySet',
+ 'IEQuit','IETableGetCollection','IETableWriteToArray',
+ 'IETagNameAllGetCollection','IETagNameGetCollection','Iif',
+ 'INetExplorerCapable','INetGetSource','INetMail','INetSmtpMail',
+ 'IsPressed','MathCheckDiv','Max','MemGlobalAlloc','MemGlobalFree',
+ 'MemGlobalLock','MemGlobalSize','MemGlobalUnlock','MemMoveMemory',
+ 'MemMsgBox','MemShowError','MemVirtualAlloc','MemVirtualAllocEx',
+ 'MemVirtualFree','MemVirtualFreeEx','Min','MouseTrap',
+ 'NamedPipes_CallNamedPipe','NamedPipes_ConnectNamedPipe',
+ 'NamedPipes_CreateNamedPipe','NamedPipes_CreatePipe',
+ 'NamedPipes_DisconnectNamedPipe',
+ 'NamedPipes_GetNamedPipeHandleState','NamedPipes_GetNamedPipeInfo',
+ 'NamedPipes_PeekNamedPipe','NamedPipes_SetNamedPipeHandleState',
+ 'NamedPipes_TransactNamedPipe','NamedPipes_WaitNamedPipe',
+ 'Net_Share_ConnectionEnum','Net_Share_FileClose',
+ 'Net_Share_FileEnum','Net_Share_FileGetInfo','Net_Share_PermStr',
+ 'Net_Share_ResourceStr','Net_Share_SessionDel',
+ 'Net_Share_SessionEnum','Net_Share_SessionGetInfo',
+ 'Net_Share_ShareAdd','Net_Share_ShareCheck','Net_Share_ShareDel',
+ 'Net_Share_ShareEnum','Net_Share_ShareGetInfo',
+ 'Net_Share_ShareSetInfo','Net_Share_StatisticsGetSvr',
+ 'Net_Share_StatisticsGetWrk','Now','NowCalc','NowCalcDate',
+ 'NowDate','NowTime','PathFull','PathMake','PathSplit',
+ 'ProcessGetName','ProcessGetPriority','Radian',
+ 'ReplaceStringInFile','RunDOS','ScreenCapture_Capture',
+ 'ScreenCapture_CaptureWnd','ScreenCapture_SaveImage',
+ 'ScreenCapture_SetBMPFormat','ScreenCapture_SetJPGQuality',
+ 'ScreenCapture_SetTIFColorDepth','ScreenCapture_SetTIFCompression',
+ 'Security__AdjustTokenPrivileges','Security__GetAccountSid',
+ 'Security__GetLengthSid','Security__GetTokenInformation',
+ 'Security__ImpersonateSelf','Security__IsValidSid',
+ 'Security__LookupAccountName','Security__LookupAccountSid',
+ 'Security__LookupPrivilegeValue','Security__OpenProcessToken',
+ 'Security__OpenThreadToken','Security__OpenThreadTokenEx',
+ 'Security__SetPrivilege','Security__SidToStringSid',
+ 'Security__SidTypeStr','Security__StringSidToSid','SendMessage',
+ 'SendMessageA','SetDate','SetTime','Singleton','SoundClose',
+ 'SoundLength','SoundOpen','SoundPause','SoundPlay','SoundPos',
+ 'SoundResume','SoundSeek','SoundStatus','SoundStop',
+ 'SQLite_Changes','SQLite_Close','SQLite_Display2DResult',
+ 'SQLite_Encode','SQLite_ErrCode','SQLite_ErrMsg','SQLite_Escape',
+ 'SQLite_Exec','SQLite_FetchData','SQLite_FetchNames',
+ 'SQLite_GetTable','SQLite_GetTable2d','SQLite_LastInsertRowID',
+ 'SQLite_LibVersion','SQLite_Open','SQLite_Query',
+ 'SQLite_QueryFinalize','SQLite_QueryReset','SQLite_QuerySingleRow',
+ 'SQLite_SaveMode','SQLite_SetTimeout','SQLite_Shutdown',
+ 'SQLite_SQLiteExe','SQLite_Startup','SQLite_TotalChanges',
+ 'StringAddComma','StringBetween','StringEncrypt','StringInsert',
+ 'StringProper','StringRepeat','StringReverse','StringSplit',
+ 'StringToHex','TCPIpToName','TempFile','TicksToTime','Timer_Diff',
+ 'Timer_GetTimerID','Timer_Init','Timer_KillAllTimers',
+ 'Timer_KillTimer','Timer_SetTimer','TimeToTicks','VersionCompare',
+ 'viClose','viExecCommand','viFindGpib','viGpibBusReset','viGTL',
+ 'viOpen','viSetAttribute','viSetTimeout','WeekNumberISO',
+ 'WinAPI_AttachConsole','WinAPI_AttachThreadInput','WinAPI_Beep',
+ 'WinAPI_BitBlt','WinAPI_CallNextHookEx','WinAPI_Check',
+ 'WinAPI_ClientToScreen','WinAPI_CloseHandle',
+ 'WinAPI_CommDlgExtendedError','WinAPI_CopyIcon',
+ 'WinAPI_CreateBitmap','WinAPI_CreateCompatibleBitmap',
+ 'WinAPI_CreateCompatibleDC','WinAPI_CreateEvent',
+ 'WinAPI_CreateFile','WinAPI_CreateFont','WinAPI_CreateFontIndirect',
+ 'WinAPI_CreateProcess','WinAPI_CreateSolidBitmap',
+ 'WinAPI_CreateSolidBrush','WinAPI_CreateWindowEx',
+ 'WinAPI_DefWindowProc','WinAPI_DeleteDC','WinAPI_DeleteObject',
+ 'WinAPI_DestroyIcon','WinAPI_DestroyWindow','WinAPI_DrawEdge',
+ 'WinAPI_DrawFrameControl','WinAPI_DrawIcon','WinAPI_DrawIconEx',
+ 'WinAPI_DrawText','WinAPI_EnableWindow','WinAPI_EnumDisplayDevices',
+ 'WinAPI_EnumWindows','WinAPI_EnumWindowsPopup',
+ 'WinAPI_EnumWindowsTop','WinAPI_ExpandEnvironmentStrings',
+ 'WinAPI_ExtractIconEx','WinAPI_FatalAppExit','WinAPI_FillRect',
+ 'WinAPI_FindExecutable','WinAPI_FindWindow','WinAPI_FlashWindow',
+ 'WinAPI_FlashWindowEx','WinAPI_FloatToInt',
+ 'WinAPI_FlushFileBuffers','WinAPI_FormatMessage','WinAPI_FrameRect',
+ 'WinAPI_FreeLibrary','WinAPI_GetAncestor','WinAPI_GetAsyncKeyState',
+ 'WinAPI_GetClassName','WinAPI_GetClientHeight',
+ 'WinAPI_GetClientRect','WinAPI_GetClientWidth',
+ 'WinAPI_GetCurrentProcess','WinAPI_GetCurrentProcessID',
+ 'WinAPI_GetCurrentThread','WinAPI_GetCurrentThreadId',
+ 'WinAPI_GetCursorInfo','WinAPI_GetDC','WinAPI_GetDesktopWindow',
+ 'WinAPI_GetDeviceCaps','WinAPI_GetDIBits','WinAPI_GetDlgCtrlID',
+ 'WinAPI_GetDlgItem','WinAPI_GetFileSizeEx','WinAPI_GetFocus',
+ 'WinAPI_GetForegroundWindow','WinAPI_GetIconInfo',
+ 'WinAPI_GetLastError','WinAPI_GetLastErrorMessage',
+ 'WinAPI_GetModuleHandle','WinAPI_GetMousePos','WinAPI_GetMousePosX',
+ 'WinAPI_GetMousePosY','WinAPI_GetObject','WinAPI_GetOpenFileName',
+ 'WinAPI_GetOverlappedResult','WinAPI_GetParent',
+ 'WinAPI_GetProcessAffinityMask','WinAPI_GetSaveFileName',
+ 'WinAPI_GetStdHandle','WinAPI_GetStockObject','WinAPI_GetSysColor',
+ 'WinAPI_GetSysColorBrush','WinAPI_GetSystemMetrics',
+ 'WinAPI_GetTextExtentPoint32','WinAPI_GetWindow',
+ 'WinAPI_GetWindowDC','WinAPI_GetWindowHeight',
+ 'WinAPI_GetWindowLong','WinAPI_GetWindowRect',
+ 'WinAPI_GetWindowText','WinAPI_GetWindowThreadProcessId',
+ 'WinAPI_GetWindowWidth','WinAPI_GetXYFromPoint',
+ 'WinAPI_GlobalMemStatus','WinAPI_GUIDFromString',
+ 'WinAPI_GUIDFromStringEx','WinAPI_HiWord','WinAPI_InProcess',
+ 'WinAPI_IntToFloat','WinAPI_InvalidateRect','WinAPI_IsClassName',
+ 'WinAPI_IsWindow','WinAPI_IsWindowVisible','WinAPI_LoadBitmap',
+ 'WinAPI_LoadImage','WinAPI_LoadLibrary','WinAPI_LoadLibraryEx',
+ 'WinAPI_LoadShell32Icon','WinAPI_LoadString','WinAPI_LocalFree',
+ 'WinAPI_LoWord','WinAPI_MakeDWord','WinAPI_MAKELANGID',
+ 'WinAPI_MAKELCID','WinAPI_MakeLong','WinAPI_MessageBeep',
+ 'WinAPI_Mouse_Event','WinAPI_MoveWindow','WinAPI_MsgBox',
+ 'WinAPI_MulDiv','WinAPI_MultiByteToWideChar',
+ 'WinAPI_MultiByteToWideCharEx','WinAPI_OpenProcess',
+ 'WinAPI_PointFromRect','WinAPI_PostMessage','WinAPI_PrimaryLangId',
+ 'WinAPI_PtInRect','WinAPI_ReadFile','WinAPI_ReadProcessMemory',
+ 'WinAPI_RectIsEmpty','WinAPI_RedrawWindow',
+ 'WinAPI_RegisterWindowMessage','WinAPI_ReleaseCapture',
+ 'WinAPI_ReleaseDC','WinAPI_ScreenToClient','WinAPI_SelectObject',
+ 'WinAPI_SetBkColor','WinAPI_SetCapture','WinAPI_SetCursor',
+ 'WinAPI_SetDefaultPrinter','WinAPI_SetDIBits','WinAPI_SetEvent',
+ 'WinAPI_SetFocus','WinAPI_SetFont','WinAPI_SetHandleInformation',
+ 'WinAPI_SetLastError','WinAPI_SetParent',
+ 'WinAPI_SetProcessAffinityMask','WinAPI_SetSysColors',
+ 'WinAPI_SetTextColor','WinAPI_SetWindowLong','WinAPI_SetWindowPos',
+ 'WinAPI_SetWindowsHookEx','WinAPI_SetWindowText',
+ 'WinAPI_ShowCursor','WinAPI_ShowError','WinAPI_ShowMsg',
+ 'WinAPI_ShowWindow','WinAPI_StringFromGUID','WinAPI_SubLangId',
+ 'WinAPI_SystemParametersInfo','WinAPI_TwipsPerPixelX',
+ 'WinAPI_TwipsPerPixelY','WinAPI_UnhookWindowsHookEx',
+ 'WinAPI_UpdateLayeredWindow','WinAPI_UpdateWindow',
+ 'WinAPI_ValidateClassName','WinAPI_WaitForInputIdle',
+ 'WinAPI_WaitForMultipleObjects','WinAPI_WaitForSingleObject',
+ 'WinAPI_WideCharToMultiByte','WinAPI_WindowFromPoint',
+ 'WinAPI_WriteConsole','WinAPI_WriteFile',
+ 'WinAPI_WriteProcessMemory','WinNet_AddConnection',
+ 'WinNet_AddConnection2','WinNet_AddConnection3',
+ 'WinNet_CancelConnection','WinNet_CancelConnection2',
+ 'WinNet_CloseEnum','WinNet_ConnectionDialog',
+ 'WinNet_ConnectionDialog1','WinNet_DisconnectDialog',
+ 'WinNet_DisconnectDialog1','WinNet_EnumResource',
+ 'WinNet_GetConnection','WinNet_GetConnectionPerformance',
+ 'WinNet_GetLastError','WinNet_GetNetworkInformation',
+ 'WinNet_GetProviderName','WinNet_GetResourceInformation',
+ 'WinNet_GetResourceParent','WinNet_GetUniversalName',
+ 'WinNet_GetUser','WinNet_OpenEnum','WinNet_RestoreConnection',
+ 'WinNet_UseConnection','Word_VersionInfo','WordAttach','WordCreate',
+ 'WordDocAdd','WordDocAddLink','WordDocAddPicture','WordDocClose',
+ 'WordDocFindReplace','WordDocGetCollection',
+ 'WordDocLinkGetCollection','WordDocOpen','WordDocPrint',
+ 'WordDocPropertyGet','WordDocPropertySet','WordDocSave',
+ 'WordDocSaveAs','WordErrorHandlerDeRegister',
+ 'WordErrorHandlerRegister','WordErrorNotify','WordMacroRun',
+ 'WordPropertyGet','WordPropertySet','WordQuit'
+ ),
+ 5 => array(
+ 'ce','comments-end','comments-start','cs','include','include-once',
+ 'NoTrayIcon','RequireAdmin'
+ ),
+ 6 => array(
+ 'AutoIt3Wrapper_Au3Check_Parameters',
+ 'AutoIt3Wrapper_Au3Check_Stop_OnWarning',
+ 'AutoIt3Wrapper_Change2CUI','AutoIt3Wrapper_Compression',
+ 'AutoIt3Wrapper_cvsWrapper_Parameters','AutoIt3Wrapper_Icon',
+ 'AutoIt3Wrapper_Outfile','AutoIt3Wrapper_Outfile_Type',
+ 'AutoIt3Wrapper_Plugin_Funcs','AutoIt3Wrapper_Res_Comment',
+ 'AutoIt3Wrapper_Res_Description','AutoIt3Wrapper_Res_Field',
+ 'AutoIt3Wrapper_Res_File_Add','AutoIt3Wrapper_Res_Fileversion',
+ 'AutoIt3Wrapper_Res_FileVersion_AutoIncrement',
+ 'AutoIt3Wrapper_Res_Icon_Add','AutoIt3Wrapper_Res_Language',
+ 'AutoIt3Wrapper_Res_LegalCopyright',
+ 'AutoIt3Wrapper_res_requestedExecutionLevel',
+ 'AutoIt3Wrapper_Res_SaveSource','AutoIt3Wrapper_Run_After',
+ 'AutoIt3Wrapper_Run_Au3check','AutoIt3Wrapper_Run_Before',
+ 'AutoIt3Wrapper_Run_cvsWrapper','AutoIt3Wrapper_Run_Debug_Mode',
+ 'AutoIt3Wrapper_Run_Obfuscator','AutoIt3Wrapper_Run_Tidy',
+ 'AutoIt3Wrapper_Tidy_Stop_OnError','AutoIt3Wrapper_UseAnsi',
+ 'AutoIt3Wrapper_UseUpx','AutoIt3Wrapper_UseX64',
+ 'AutoIt3Wrapper_Version','EndRegion','forceref',
+ 'Obfuscator_Ignore_Funcs','Obfuscator_Ignore_Variables',
+ 'Obfuscator_Parameters','Region','Tidy_Parameters'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(',')','[',']',
+ '+','-','*','/','&','^',
+ '=','+=','-=','*=','/=','&=',
+ '==','<','<=','>','>=',
+ ',','.'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => false,
+ 5 => false,
+ 6 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #0000FF; font-weight: bold;',
+ 2 => 'color: #800000; font-weight: bold;',
+ 3 => 'color: #000080; font-style: italic; font-weight: bold;',
+ 4 => 'color: #0080FF; font-style: italic; font-weight: bold;',
+ 5 => 'color: #F000FF; font-style: italic;',
+ 6 => 'color: #A00FF0; font-style: italic;'
+ ),
+ 'COMMENTS' => array(
+ 0 => 'font-style: italic; color: #009933;',
+ 'MULTI' => 'font-style: italic; color: #669900;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => ''
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #FF0000; font-weight: bold;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'font-weight: bold; color: #008080;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #AC00A9; font-style: italic; font-weight: bold;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #0000FF; font-style: italic; font-weight: bold;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #FF0000; font-weight: bold;'
+ ),
+ 'REGEXPS' => array(
+ 0 => 'font-weight: bold; color: #AA0000;'
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => 'http://www.autoitscript.com/autoit3/docs/keywords.htm',
+ 2 => 'http://www.autoitscript.com/autoit3/docs/macros.htm',
+ 3 => 'http://www.autoitscript.com/autoit3/docs/functions/{FNAME}.htm',
+ 4 => '',
+ 5 => '',
+ 6 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.'
+ ),
+ 'REGEXPS' => array(
+ //Variables
+ 0 => '[\\$%@]+[a-zA-Z_][a-zA-Z0-9_]*'
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_MAYBE,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ 0 => true,
+ 1 => true,
+ 2 => true,
+ 3 => true
+ ),
+ 'PARSER_CONTROL' => array(
+ 'KEYWORDS' => array(
+ 4 => array(
+ 'DISALLOWED_BEFORE' => '(?<!\w)\_'
+ ),
+ 5 => array(
+ 'DISALLOWED_BEFORE' => '(?<!\w)\#'
+ ),
+ 6 => array(
+ 'DISALLOWED_BEFORE' => '(?<!\w)\#'
+ )
+ )
+ )
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/avisynth.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/avisynth.php
new file mode 100644
index 000000000..c0526e956
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/avisynth.php
@@ -0,0 +1,194 @@
+<?php
+/*************************************************************************************
+ * avisynth.php
+ * --------
+ * Author: Ryan Jones (sciguyryan@gmail.com)
+ * Copyright: (c) 2008 Ryan Jones
+ * Release Version: 1.0.8.4
+ * Date Started: 2008/10/08
+ *
+ * AviSynth language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/10/08 (1.0.8.1)
+ * - First Release
+ *
+ * TODO (updated 2008/10/08)
+ * -------------------------
+ * * There are also some special words that can't currently be specified directly in GeSHi as they may
+ * also be used as variables which would really mess things up.
+ * * Also there is an issue with the escape character as this language uses a muti-character escape system. Escape char should be """ but has been left
+ * as empty due to this restiction.
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'AviSynth',
+ 'COMMENT_SINGLE' => array(1 => '#'),
+ 'COMMENT_MULTI' => array('/*' => '*/', '[*' => '*]'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ // Reserved words.
+ 1 => array(
+ 'try', 'cache', 'function', 'global', 'return'
+ ),
+ // Constants / special variables.
+ 2 => array(
+ 'true', 'yes', 'false', 'no', '__END__'
+ ),
+ // Internal Filters.
+ 3 => array(
+ 'AviSource', 'AviFileSource', 'AddBorders', 'AlignedSplice', 'AssumeFPS', 'AssumeScaledFPS',
+ 'AssumeFrameBased', 'AssumeFieldBased', 'AssumeBFF', 'AssumeTFF', 'Amplify', 'AmplifydB',
+ 'AssumeSampleRate', 'AudioDub', 'AudioDubEx', 'Animate', 'ApplyRange',
+ 'BicubicResize', 'BilinearResize', 'BlackmanResize', 'Blur', 'Bob', 'BlankClip', 'Blackness',
+ 'ColorYUV', 'ConvertBackToYUY2', 'ConvertToRGB', 'ConvertToRGB24', 'ConvertToRGB32',
+ 'ConvertToYUY2', 'ConvertToY8', 'ConvertToYV411', 'ConvertToYV12', 'ConvertToYV16', 'ConvertToYV24',
+ 'ColorKeyMask', 'Crop', 'CropBottom', 'ChangeFPS', 'ConvertFPS', 'ComplementParity', 'ConvertAudioTo8bit',
+ 'ConvertAudioTo16bit', 'ConvertAudioTo24bit', 'ConvertAudioTo32bit', 'ConvertAudioToFloat', 'ConvertToMono',
+ 'ConditionalFilter', 'ConditionalReader', 'ColorBars', 'Compare',
+ 'DirectShowSource', 'DeleteFrame', 'Dissolve', 'DuplicateFrame', 'DoubleWeave', 'DelayAudio',
+ 'EnsureVBRMP3Sync',
+ 'FixLuminance', 'FlipHorizontal', 'FlipVertical', 'FixBrokenChromaUpsampling', 'FadeIn0', 'FadeIn',
+ 'FadeIn2', 'FadeOut0', 'FadeOut', 'FadeOut2', 'FadeIO0', 'FadeIO', 'FadeIO2', 'FreezeFrame', 'FrameEvaluate',
+ 'GreyScale', 'GaussResize', 'GeneralConvolution', 'GetChannel', 'GetLeftChannel', 'GetRightChannel',
+ 'HorizontalReduceBy2', 'Histogram',
+ 'ImageReader', 'ImageSource', 'ImageWriter', 'Invert', 'Interleave', 'Info',
+ 'KillAudio', 'KillVideo',
+ 'Levels', 'Limiter', 'Layer', 'Letterbox', 'LanczosResize', 'Lanczos4Resize', 'Loop',
+ 'MergeARGB', 'MergeRGB', 'MergeChroma', 'MergeLuma', 'Merge', 'Mask', 'MaskHS', 'MergeChannels', 'MixAudio',
+ 'MonoToStereo', 'MessageClip',
+ 'Normalize',
+ 'OpenDMLSource', 'Overlay',
+ 'PointResize', 'PeculiarBlend', 'Pulldown',
+ 'RGBAdjust', 'ResetMask', 'Reverse', 'ResampleAudio', 'ReduceBy2',
+ 'SegmentedAviSource', 'SegmentedDirectShowSource', 'SoundOut', 'ShowAlpha', 'ShowRed', 'ShowGreen',
+ 'ShowBlue', 'SwapUV', 'Subtract', 'SincResize', 'Spline16Resize', 'Spline36Resize', 'Spline64Resize',
+ 'SelectEven', 'SelectOdd', 'SelectEvery', 'SelectRangeEvery', 'Sharpen', 'SpatialSoften', 'SeparateFields',
+ 'ShowFiveVersions', 'ShowFrameNumber', 'ShowSMPTE', 'ShowTime', 'StackHorizontal', 'StackVertical', 'Subtitle',
+ 'SwapFields', 'SuperEQ', 'SSRC', 'ScriptClip',
+ 'Tweak', 'TurnLeft', 'TurnRight', 'Turn180', 'TemporalSoften', 'TimeStretch', 'TCPServer', 'TCPSource', 'Trim',
+ 'Tone',
+ 'UToY', 'UToY8', 'UnalignedSplice',
+ 'VToY', 'VToY8', 'VerticalReduceBy2', 'Version',
+ 'WavSource', 'Weave', 'WriteFile', 'WriteFileIf', 'WriteFileStart', 'WriteFileEnd',
+ 'YToUV'
+ ),
+ // Internal functions.
+ 4 => array(
+ 'Abs', 'Apply', 'Assert', 'AverageLuma', 'AverageChromaU', 'AverageChromaV',
+ 'Ceil', 'Cos', 'Chr', 'ChromaUDifference', 'ChromaVDifference',
+ 'Defined', 'Default',
+ 'Exp', 'Exist', 'Eval',
+ 'Floor', 'Frac', 'Float', 'Findstr', 'GetMTMode',
+ 'HexValue',
+ 'Int', 'IsBool', 'IsClip', 'IsFloat', 'IsInt', 'IsString', 'Import',
+ 'LoadPlugin', 'Log', 'LCase', 'LeftStr', 'LumaDifference', 'LoadVirtualDubPlugin', 'LoadVFAPIPlugin',
+ 'LoadCPlugin', 'Load_Stdcall_Plugin',
+ 'Max', 'MulDiv', 'MidStr',
+ 'NOP',
+ 'OPT_AllowFloatAudio', 'OPT_UseWaveExtensible',
+ 'Pi', 'Pow',
+ 'Round', 'Rand', 'RevStr', 'RightStr', 'RGBDifference', 'RGBDifferenceFromPrevious', 'RGBDifferenceToNext',
+ 'Sin', 'Sqrt', 'Sign', 'Spline', 'StrLen', 'String', 'Select', 'SetMemoryMax', 'SetWorkingDir', 'SetMTMode',
+ 'SetPlanarLegacyAlignment',
+ 'Time',
+ 'UCase', 'UDifferenceFromPrevious', 'UDifferenceToNext', 'UPlaneMax', 'UPlaneMin', 'UPlaneMedian',
+ 'UPlaneMinMaxDifference',
+ 'Value', 'VersionNumber', 'VersionString', 'VDifferenceFromPrevious', 'VDifferenceToNext', 'VPlaneMax',
+ 'VPlaneMin', 'VPlaneMedian', 'VPlaneMinMaxDifference',
+ 'YDifferenceFromPrevious', 'YDifferenceToNext', 'YPlaneMax', 'YPlaneMin', 'YPlaneMedian',
+ 'YPlaneMinMaxDifference'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '+', '++', '-', '--', '/', '*', '%',
+ '=', '==', '<', '<=', '>', '>=', '<>', '!=',
+ '!', '?', ':',
+ '|', '||', '&&',
+ '\\',
+ '(', ')', '{', '}',
+ '.', ','
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => true,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color:#9966CC; font-weight:bold;',
+ 2 => 'color:#0000FF; font-weight:bold;',
+ 3 => 'color:#CC3300; font-weight:bold;',
+ 4 => 'color:#660000; font-weight:bold;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color:#008000; font-style:italic;',
+ 'MULTI' => 'color:#000080; font-style:italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color:#000099;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color:#006600; font-weight:bold;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color:#996600;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color:#006666;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color:#9900CC;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color:#006600; font-weight:bold;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => 'http://avisynth.org/mediawiki/{FNAME}',
+ 4 => ''
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.'
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_MAYBE,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'TAB_WIDTH' => 4
+);
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/bash.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/bash.php
new file mode 100644
index 000000000..bb0a571ba
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/bash.php
@@ -0,0 +1,282 @@
+<?php
+/*************************************************************************************
+ * bash.php
+ * --------
+ * Author: Andreas Gohr (andi@splitbrain.org)
+ * Copyright: (c) 2004 Andreas Gohr, Nigel McNie (http://qbnz.com/highlighter)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/08/20
+ *
+ * BASH language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/06/21 (1.0.8)
+ * - Added loads of keywords and commands of GNU/Linux
+ * - Added support for parameters starting with a dash
+ * 2008/05/23 (1.0.7.22)
+ * - Added description of extra language features (SF#1970248)
+ * 2007/09/05 (1.0.7.21)
+ * - PARSER_CONTROL patch using SF #1788408 (BenBE)
+ * 2007/06/11 (1.0.7.20)
+ * - Added a lot of keywords (BenBE / Jan G)
+ * 2004/11/27 (1.0.2)
+ * - Added support for multiple object splitters
+ * 2004/10/27 (1.0.1)
+ * - Added support for URLs
+ * 2004/08/20 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2004/11/27)
+ * -------------------------
+ * * Get symbols working
+ * * Highlight builtin vars
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Bash',
+ // Bash DOES have single line comments with # markers. But bash also has
+ // the $# variable, so comments need special handling (see sf.net
+ // 1564839)
+ 'COMMENT_SINGLE' => array('#'),
+ 'COMMENT_MULTI' => array(),
+ 'COMMENT_REGEXP' => array(
+ //Variables
+ 1 => "/\\$\\{[^\\n\\}]*?\\}/i",
+ //BASH-style Heredoc
+ 2 => '/<<-?\s*?(\'?)([a-zA-Z0-9]+)\1\\n.*\\n\\2(?![a-zA-Z0-9])/siU',
+ //Escaped String Starters
+ 3 => "/\\\\['\"]/siU"
+ ),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'HARDQUOTE' => array("'", "'"),
+ 'HARDESCAPE' => array("\'"),
+ 'ESCAPE_CHAR' => '',
+ 'ESCAPE_REGEXP' => array(
+ //Simple Single Char Escapes
+ 1 => "#\\\\[nfrtv\\$\\\"\n]#i",
+ // $var
+ 2 => "#\\$[a-z_][a-z0-9_]*#i",
+ // ${...}
+ 3 => "/\\$\\{[^\\n\\}]*?\\}/i",
+ // $(...)
+ 4 => "/\\$\\([^\\n\\)]*?\\)/i",
+ // `...`
+ 5 => "/`[^`]*`/"
+ ),
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'case', 'do', 'done', 'elif', 'else', 'esac', 'fi', 'for', 'function',
+ 'if', 'in', 'select', 'set', 'then', 'until', 'while', 'time'
+ ),
+ 2 => array(
+ 'aclocal', 'aconnect', 'aplay', 'apm', 'apmsleep', 'apropos',
+ 'apt-cache', 'apt-get', 'apt-key', 'aptitude',
+ 'ar', 'arch', 'arecord', 'as', 'as86', 'ash', 'autoconf',
+ 'autoheader', 'automake', 'awk',
+
+ 'basename', 'bash', 'bc', 'bison', 'bunzip2', 'bzcat',
+ 'bzcmp', 'bzdiff', 'bzegrep', 'bzfgrep', 'bzgrep',
+ 'bzip2', 'bzip2recover', 'bzless', 'bzmore',
+
+ 'c++', 'cal', 'cat', 'chattr', 'cc', 'cdda2wav', 'cdparanoia',
+ 'cdrdao', 'cd-read', 'cdrecord', 'chfn', 'chgrp', 'chmod',
+ 'chown', 'chroot', 'chsh', 'chvt', 'clear', 'cmp', 'comm', 'co',
+ 'col', 'cp', 'cpio', 'cpp', 'csh', 'cut', 'cvs', 'cvs-pserver',
+
+ 'dash', 'date', 'dd', 'dc', 'dcop', 'deallocvt', 'df', 'dialog',
+ 'diff', 'diff3', 'dir', 'dircolors', 'directomatic', 'dirname',
+ 'dmesg', 'dnsdomainname', 'domainname', 'dpkg', 'dselect', 'du',
+ 'dumpkeys',
+
+ 'ed', 'egrep', 'env', 'expr',
+
+ 'false', 'fbset', 'ffmpeg', 'fgconsole','fgrep', 'file', 'find',
+ 'flex', 'flex++', 'fmt', 'free', 'ftp', 'funzip', 'fuser',
+
+ 'g++', 'gawk', 'gc','gcc', 'gdb', 'getent', 'getkeycodes',
+ 'getopt', 'gettext', 'gettextize', 'gimp', 'gimp-remote',
+ 'gimptool', 'gmake', 'gocr', 'grep', 'groups', 'gs', 'gunzip',
+ 'gzexe', 'gzip',
+
+ 'head', 'hexdump', 'hostname',
+
+ 'id', 'ifconfig', 'igawk', 'install',
+
+ 'join',
+
+ 'kbd_mode','kbdrate', 'kdialog', 'kfile', 'kill', 'killall',
+
+ 'lame', 'last', 'lastb', 'ld', 'ld86', 'ldd', 'less', 'lex', 'link',
+ 'ln', 'loadkeys', 'loadunimap', 'locate', 'lockfile', 'login',
+ 'logname', 'lp', 'lpr', 'ls', 'lsattr', 'lsmod', 'lsmod.old',
+ 'lspci', 'ltrace', 'lynx',
+
+ 'm4', 'make', 'man', 'mapscrn', 'mesg', 'mkdir', 'mkfifo',
+ 'mknod', 'mktemp', 'more', 'mount', 'mplayer', 'msgfmt', 'mv',
+
+ 'namei', 'nano', 'nasm', 'nawk', 'netstat', 'nice',
+ 'nisdomainname', 'nl', 'nm', 'nm86', 'nmap', 'nohup', 'nop',
+
+ 'od', 'openvt',
+
+ 'passwd', 'patch', 'pcregrep', 'pcretest', 'perl', 'perror',
+ 'pgawk', 'pidof', 'ping', 'pr', 'procmail', 'prune', 'ps', 'pstree',
+ 'ps2ascii', 'ps2epsi', 'ps2frag', 'ps2pdf', 'ps2ps', 'psbook',
+ 'psmerge', 'psnup', 'psresize', 'psselect', 'pstops',
+
+ 'rbash', 'rcs', 'rcs2log', 'read', 'readlink', 'red', 'resizecons',
+ 'rev', 'rm', 'rmdir', 'rsh', 'run-parts',
+
+ 'sash', 'scp', 'screen', 'sed', 'seq', 'sendmail', 'setfont',
+ 'setkeycodes', 'setleds', 'setmetamode', 'setserial', 'setterm',
+ 'sh', 'showkey', 'shred', 'size', 'size86', 'skill', 'sleep',
+ 'slogin', 'snice', 'sort', 'sox', 'split', 'ssed', 'ssh', 'ssh-add',
+ 'ssh-agent', 'ssh-keygen', 'ssh-keyscan', 'stat', 'strace',
+ 'strings', 'strip', 'stty', 'su', 'sudo', 'suidperl', 'sum', 'svn',
+ 'svnadmin', 'svndumpfilter', 'svnlook', 'svnmerge', 'svnmucc',
+ 'svnserve', 'svnshell', 'svnsync', 'svnversion', 'svnwrap', 'sync',
+
+ 'tac', 'tail', 'tar', 'tee', 'tempfile', 'touch', 'tr', 'tree',
+ 'true',
+
+ 'umount', 'uname', 'unicode_start', 'unicode_stop', 'uniq',
+ 'unlink', 'unzip', 'updatedb', 'updmap', 'uptime', 'users',
+ 'utmpdump', 'uuidgen',
+
+ 'valgrind', 'vdir', 'vi', 'vim', 'vmstat',
+
+ 'w', 'wall', 'wc', 'wget', 'whatis', 'whereis', 'which', 'whiptail',
+ 'who', 'whoami', 'write',
+
+ 'xargs', 'xhost', 'xmodmap', 'xset',
+
+ 'yacc', 'yes', 'ypdomainname',
+
+ 'zcat', 'zcmp', 'zdiff', 'zdump', 'zegrep', 'zfgrep', 'zforce',
+ 'zgrep', 'zip', 'zipgrep', 'zipinfo', 'zless', 'zmore', 'znew',
+ 'zsh', 'zsoelim'
+ ),
+ 3 => array(
+ 'alias', 'bg', 'bind', 'break', 'builtin', 'cd', 'command',
+ 'compgen', 'complete', 'continue', 'declare', 'dirs', 'disown',
+ 'echo', 'enable', 'eval', 'exec', 'exit', 'export', 'fc',
+ 'fg', 'getopts', 'hash', 'help', 'history', 'jobs', 'let',
+ 'local', 'logout', 'popd', 'printf', 'pushd', 'pwd', 'readonly',
+ 'return', 'shift', 'shopt', 'source', 'suspend', 'test', 'times',
+ 'trap', 'type', 'typeset', 'ulimit', 'umask', 'unalias', 'unset',
+ 'wait'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '[', ']', '!', '@', '%', '&', '*', '|', '/', '<', '>', ';;', '`'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => true,
+ 2 => true,
+ 3 => true
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #000000; font-weight: bold;',
+ 2 => 'color: #c20cb9; font-weight: bold;',
+ 3 => 'color: #7a0874; font-weight: bold;'
+ ),
+ 'COMMENTS' => array(
+ 0 => 'color: #666666; font-style: italic;',
+ 1 => 'color: #800000;',
+ 2 => 'color: #cc0000; font-style: italic;',
+ 3 => 'color: #000000; font-weight: bold;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 1 => 'color: #000099; font-weight: bold;',
+ 2 => 'color: #007800;',
+ 3 => 'color: #007800;',
+ 4 => 'color: #007800;',
+ 5 => 'color: #780078;',
+ 'HARD' => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #7a0874; font-weight: bold;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;',
+ 'HARD' => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #000000;'
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #000000; font-weight: bold;'
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #007800;',
+ 1 => 'color: #007800;',
+ 2 => 'color: #007800;',
+ 4 => 'color: #007800;',
+ 5 => 'color: #660033;'
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ //Variables (will be handled by comment_regexps)
+ 0 => "\\$\\{[a-zA-Z_][a-zA-Z0-9_]*?\\}",
+ //Variables without braces
+ 1 => "\\$[a-zA-Z_][a-zA-Z0-9_]*",
+ //Variable assignment
+ 2 => "(?<![\.a-zA-Z_\-])([a-zA-Z_][a-zA-Z0-9_]*?)(?==)",
+ //Shorthand shell variables
+ 4 => "\\$[*#\$\\-\\?!]",
+ //Parameters of commands
+ 5 => "(?<=\s)--?[0-9a-zA-Z\-]+(?=[\s=]|$)"
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'TAB_WIDTH' => 4,
+ 'PARSER_CONTROL' => array(
+ 'COMMENTS' => array(
+ 'DISALLOWED_BEFORE' => '$'
+ ),
+ 'KEYWORDS' => array(
+ 'DISALLOWED_BEFORE' => "(?<![\.\-a-zA-Z0-9_\$\#])",
+ 'DISALLOWED_AFTER' => "(?![\.\-a-zA-Z0-9_%\\/])"
+ )
+ )
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/basic4gl.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/basic4gl.php
new file mode 100644
index 000000000..5e3330930
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/basic4gl.php
@@ -0,0 +1,341 @@
+<?php
+/*************************************************************************************
+ * basic4gl.php
+ * ---------------------------------
+ * Author: Matthew Webb (bmatthew1@blueyonder.co.uk)
+ * Copyright: (c) 2004 Matthew Webb (http://matthew-4gl.wikispaces.com)
+ * Release Version: 1.0.8.4
+ * Date Started: 2007/09/15
+ *
+ * Basic4GL language file for GeSHi.
+ *
+ * You can find the Basic4GL Website at (http://www.basic4gl.net/)
+ *
+ * CHANGES
+ * -------
+ * 2007/09/17 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2007/09/17)
+ * -------------------------
+ * Make sure all the OpenGL and Basic4GL commands have been added and are complete.
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Basic4GL',
+ 'COMMENT_SINGLE' => array(1 => "'"),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ 1 => array(
+
+ // Navy Blue Bold Keywords
+
+ 'true','rnd_max','m_pi','m_e','false','VK_ZOOM','VK_UP','VK_TAB','VK_SUBTRACT','VK_SPACE','VK_SNAPSHOT',
+ 'VK_SHIFT','VK_SEPARATOR','VK_SELECT','VK_SCROLL','VK_RWIN','VK_RSHIFT','VK_RMENU','VK_RIGHT','VK_RETURN',
+ 'VK_RCONTROL','VK_RBUTTON','VK_PROCESSKEY','VK_PRIOR','VK_PRINT','VK_PLAY','VK_PAUSE','VK_NUMPAD9','VK_NUMPAD8',
+ 'VK_NUMPAD7','VK_NUMPAD6','VK_NUMPAD5','VK_NUMPAD4','VK_NUMPAD3','VK_NUMPAD2','VK_NUMPAD1','VK_NUMPAD0',
+ 'VK_NUMLOCK','VK_NONCONVERT','VK_NEXT','VK_MULTIPLY','VK_MODECHANGE','VK_MENU','VK_MBUTTON','VK_LWIN',
+ 'VK_LSHIFT','VK_LMENU','VK_LEFT','VK_LCONTROL','VK_LBUTTON','VK_KANJI','VK_KANA','VK_JUNJA','VK_INSERT',
+ 'VK_HOME','VK_HELP','VK_HANJA','VK_HANGUL','VK_HANGEUL','VK_FINAL','VK_F9','VK_F8','VK_F7','VK_F6','VK_F5',
+ 'VK_F4','VK_F3','VK_F24','VK_F23','VK_F22','VK_F21','VK_F20','VK_F2','VK_F19','VK_F18','VK_F17','VK_F16',
+ 'VK_F15','VK_F14','VK_F13','VK_F12','VK_F11','VK_F10','VK_F1','VK_EXSEL','VK_EXECUTE','VK_ESCAPE','VK_EREOF',
+ 'VK_END','VK_DOWN','VK_DIVIDE','VK_DELETE','VK_DECIMAL','VK_CRSEL','VK_CONVERT','VK_CONTROL','VK_CLEAR',
+ 'VK_CAPITAL','VK_CANCEL','VK_BACK','VK_ATTN','VK_APPS','VK_ADD','VK_ACCEPT','TEXT_SIMPLE','TEXT_OVERLAID',
+ 'TEXT_BUFFERED','SPR_TILEMAP','SPR_SPRITE','SPR_INVALID','MOUSE_RBUTTON','MOUSE_MBUTTON','MOUSE_LBUTTON',
+ 'GL_ZOOM_Y','GL_ZOOM_X','GL_ZERO','GL_XOR','GL_WIN_swap_hint','GL_WIN_draw_range_elements','GL_VIEWPORT_BIT',
+ 'GL_VIEWPORT','GL_VERTEX_ARRAY_TYPE_EXT','GL_VERTEX_ARRAY_TYPE','GL_VERTEX_ARRAY_STRIDE_EXT','GL_VERTEX_ARRAY_STRIDE',
+ 'GL_VERTEX_ARRAY_SIZE_EXT','GL_VERTEX_ARRAY_SIZE','GL_VERTEX_ARRAY_POINTER_EXT','GL_VERTEX_ARRAY_POINTER',
+ 'GL_VERTEX_ARRAY_EXT','GL_VERTEX_ARRAY_COUNT_EXT','GL_VERTEX_ARRAY','GL_VERSION_1_1','GL_VERSION','GL_VENDOR',
+ 'GL_V3F','GL_V2F','GL_UNSIGNED_SHORT','GL_UNSIGNED_INT','GL_UNSIGNED_BYTE','GL_UNPACK_SWAP_BYTES','GL_UNPACK_SKIP_ROWS',
+ 'GL_UNPACK_SKIP_PIXELS','GL_UNPACK_ROW_LENGTH','GL_UNPACK_LSB_FIRST','GL_UNPACK_ALIGNMENT','GL_TRUE','GL_TRIANGLE_STRIP',
+ 'GL_TRIANGLE_FAN','GL_TRIANGLES','GL_TRANSFORM_BIT','GL_TEXTURE_WRAP_T','GL_TEXTURE_WRAP_S','GL_TEXTURE_WIDTH',
+ 'GL_TEXTURE_STACK_DEPTH','GL_TEXTURE_RESIDENT','GL_TEXTURE_RED_SIZE','GL_TEXTURE_PRIORITY','GL_TEXTURE_MIN_FILTER',
+ 'GL_TEXTURE_MATRIX','GL_TEXTURE_MAG_FILTER','GL_TEXTURE_LUMINANCE_SIZE','GL_TEXTURE_INTERNAL_FORMAT','GL_TEXTURE_INTENSITY_SIZE',
+ 'GL_TEXTURE_HEIGHT','GL_TEXTURE_GREEN_SIZE','GL_TEXTURE_GEN_T','GL_TEXTURE_GEN_S','GL_TEXTURE_GEN_R','GL_TEXTURE_GEN_Q',
+ 'GL_TEXTURE_GEN_MODE','GL_TEXTURE_ENV_MODE','GL_TEXTURE_ENV_COLOR','GL_TEXTURE_ENV','GL_TEXTURE_COORD_ARRAY_TYPE_EXT',
+ 'GL_TEXTURE_COORD_ARRAY_TYPE','GL_TEXTURE_COORD_ARRAY_STRIDE_EXT','GL_TEXTURE_COORD_ARRAY_STRIDE','GL_TEXTURE_COORD_ARRAY_SIZE_EXT',
+ 'GL_TEXTURE_COORD_ARRAY_SIZE','GL_TEXTURE_COORD_ARRAY_POINTER_EXT','GL_TEXTURE_COORD_ARRAY_POINTER','GL_TEXTURE_COORD_ARRAY_EXT',
+ 'GL_TEXTURE_COORD_ARRAY_COUNT_EXT','GL_TEXTURE_COORD_ARRAY','GL_TEXTURE_COMPONENTS','GL_TEXTURE_BORDER_COLOR','GL_TEXTURE_BORDER',
+ 'GL_TEXTURE_BLUE_SIZE','GL_TEXTURE_BIT','GL_TEXTURE_BINDING_2D','GL_TEXTURE_BINDING_1D','GL_TEXTURE_ALPHA_SIZE',
+ 'GL_TEXTURE_2D','GL_TEXTURE_1D','GL_TEXTURE9_ARB','GL_TEXTURE9','GL_TEXTURE8_ARB','GL_TEXTURE8','GL_TEXTURE7_ARB',
+ 'GL_TEXTURE7','GL_TEXTURE6_ARB','GL_TEXTURE6','GL_TEXTURE5_ARB','GL_TEXTURE5','GL_TEXTURE4_ARB','GL_TEXTURE4',
+ 'GL_TEXTURE3_ARB','GL_TEXTURE31_ARB','GL_TEXTURE31','GL_TEXTURE30_ARB','GL_TEXTURE30','GL_TEXTURE3','GL_TEXTURE2_ARB',
+ 'GL_TEXTURE29_ARB','GL_TEXTURE29','GL_TEXTURE28_ARB','GL_TEXTURE28','GL_TEXTURE27_ARB','GL_TEXTURE27','GL_TEXTURE26_ARB',
+ 'GL_TEXTURE26','GL_TEXTURE25_ARB','GL_TEXTURE25','GL_TEXTURE24_ARB','GL_TEXTURE24','GL_TEXTURE23_ARB','GL_TEXTURE23',
+ 'GL_TEXTURE22_ARB','GL_TEXTURE22','GL_TEXTURE21_ARB','GL_TEXTURE21','GL_TEXTURE20_ARB','GL_TEXTURE20','GL_TEXTURE2',
+ 'GL_TEXTURE1_ARB','GL_TEXTURE19_ARB','GL_TEXTURE19','GL_TEXTURE18_ARB','GL_TEXTURE18','GL_TEXTURE17_ARB',
+ 'GL_TEXTURE17','GL_TEXTURE16_ARB','GL_TEXTURE16','GL_TEXTURE15_ARB','GL_TEXTURE15','GL_TEXTURE14_ARB','GL_TEXTURE14',
+ 'GL_TEXTURE13_ARB','GL_TEXTURE13','GL_TEXTURE12_ARB','GL_TEXTURE12','GL_TEXTURE11_ARB','GL_TEXTURE11','GL_TEXTURE10_ARB',
+ 'GL_TEXTURE10','GL_TEXTURE1','GL_TEXTURE0_ARB','GL_TEXTURE0','GL_TEXTURE','GL_T4F_V4F','GL_T4F_C4F_N3F_V4F','GL_T2F_V3F',
+ 'GL_T2F_N3F_V3F','GL_T2F_C4UB_V3F','GL_T2F_C4F_N3F_V3F','GL_T2F_C3F_V3F','GL_T','GL_SUBPIXEL_BITS','GL_STEREO',
+ 'GL_STENCIL_WRITEMASK','GL_STENCIL_VALUE_MASK','GL_STENCIL_TEST','GL_STENCIL_REF','GL_STENCIL_PASS_DEPTH_PASS',
+ 'GL_STENCIL_PASS_DEPTH_FAIL','GL_STENCIL_INDEX','GL_STENCIL_FUNC','GL_STENCIL_FAIL','GL_STENCIL_CLEAR_VALUE',
+ 'GL_STENCIL_BUFFER_BIT','GL_STENCIL_BITS','GL_STENCIL','GL_STACK_UNDERFLOW','GL_STACK_OVERFLOW','GL_SRC_COLOR',
+ 'GL_SRC_ALPHA_SATURATE','GL_SRC_ALPHA','GL_SPOT_EXPONENT','GL_SPOT_DIRECTION','GL_SPOT_CUTOFF','GL_SPHERE_MAP',
+ 'GL_SPECULAR','GL_SOURCE2_RGB_EXT','GL_SOURCE2_RGB','GL_SOURCE2_ALPHA_EXT','GL_SOURCE2_ALPHA','GL_SOURCE1_RGB_EXT',
+ 'GL_SOURCE1_RGB','GL_SOURCE1_ALPHA_EXT','GL_SOURCE1_ALPHA','GL_SOURCE0_RGB_EXT','GL_SOURCE0_RGB','GL_SOURCE0_ALPHA_EXT',
+ 'GL_SOURCE0_ALPHA','GL_SMOOTH','GL_SHORT','GL_SHININESS','GL_SHADE_MODEL','GL_SET','GL_SELECTION_BUFFER_SIZE',
+ 'GL_SELECTION_BUFFER_POINTER','GL_SELECT','GL_SCISSOR_TEST','GL_SCISSOR_BOX','GL_SCISSOR_BIT','GL_S','GL_RIGHT',
+ 'GL_RGB_SCALE_EXT','GL_RGB_SCALE','GL_RGBA_MODE','GL_RGBA8','GL_RGBA4','GL_RGBA2','GL_RGBA16','GL_RGBA12','GL_RGBA',
+ 'GL_RGB8','GL_RGB5_A1','GL_RGB5','GL_RGB4','GL_RGB16','GL_RGB12','GL_RGB10_A2','GL_RGB10','GL_RGB','GL_RETURN',
+ 'GL_REPLACE','GL_REPEAT','GL_RENDER_MODE','GL_RENDERER','GL_RENDER','GL_RED_SCALE','GL_RED_BITS','GL_RED_BIAS',
+ 'GL_RED','GL_READ_BUFFER','GL_R3_G3_B2','GL_R','GL_QUAD_STRIP','GL_QUADS','GL_QUADRATIC_ATTENUATION','GL_Q',
+ 'GL_PROXY_TEXTURE_2D','GL_PROXY_TEXTURE_1D','GL_PROJECTION_STACK_DEPTH','GL_PROJECTION_MATRIX','GL_PROJECTION',
+ 'GL_PRIMARY_COLOR_EXT','GL_PRIMARY_COLOR','GL_PREVIOUS_EXT','GL_PREVIOUS','GL_POSITION','GL_POLYGON_TOKEN',
+ 'GL_POLYGON_STIPPLE_BIT','GL_POLYGON_STIPPLE','GL_POLYGON_SMOOTH_HINT','GL_POLYGON_SMOOTH','GL_POLYGON_OFFSET_UNITS',
+ 'GL_POLYGON_OFFSET_POINT','GL_POLYGON_OFFSET_LINE','GL_POLYGON_OFFSET_FILL','GL_POLYGON_OFFSET_FACTOR','GL_POLYGON_MODE',
+ 'GL_POLYGON_BIT','GL_POLYGON','GL_POINT_TOKEN','GL_POINT_SMOOTH_HINT','GL_POINT_SMOOTH','GL_POINT_SIZE_RANGE',
+ 'GL_POINT_SIZE_GRANULARITY','GL_POINT_SIZE','GL_POINT_BIT','GL_POINTS','GL_POINT','GL_PIXEL_MODE_BIT',
+ 'GL_PIXEL_MAP_S_TO_S_SIZE','GL_PIXEL_MAP_S_TO_S','GL_PIXEL_MAP_R_TO_R_SIZE','GL_PIXEL_MAP_R_TO_R','GL_PIXEL_MAP_I_TO_R_SIZE',
+ 'GL_PIXEL_MAP_I_TO_R','GL_PIXEL_MAP_I_TO_I_SIZE','GL_PIXEL_MAP_I_TO_I','GL_PIXEL_MAP_I_TO_G_SIZE','GL_PIXEL_MAP_I_TO_G',
+ 'GL_PIXEL_MAP_I_TO_B_SIZE','GL_PIXEL_MAP_I_TO_B','GL_PIXEL_MAP_I_TO_A_SIZE','GL_PIXEL_MAP_I_TO_A','GL_PIXEL_MAP_G_TO_G_SIZE',
+ 'GL_PIXEL_MAP_G_TO_G','GL_PIXEL_MAP_B_TO_B_SIZE','GL_PIXEL_MAP_B_TO_B','GL_PIXEL_MAP_A_TO_A_SIZE','GL_PIXEL_MAP_A_TO_A',
+ 'GL_PHONG_WIN','GL_PHONG_HINT_WIN','GL_PERSPECTIVE_CORRECTION_HINT','GL_PASS_THROUGH_TOKEN','GL_PACK_SWAP_BYTES',
+ 'GL_PACK_SKIP_ROWS','GL_PACK_SKIP_PIXELS','GL_PACK_ROW_LENGTH','GL_PACK_LSB_FIRST','GL_PACK_ALIGNMENT','GL_OUT_OF_MEMORY',
+ 'GL_OR_REVERSE','GL_OR_INVERTED','GL_ORDER','GL_OR','GL_OPERAND2_RGB_EXT','GL_OPERAND2_RGB','GL_OPERAND2_ALPHA_EXT',
+ 'GL_OPERAND2_ALPHA','GL_OPERAND1_RGB_EXT','GL_OPERAND1_RGB','GL_OPERAND1_ALPHA_EXT','GL_OPERAND1_ALPHA','GL_OPERAND0_RGB_EXT',
+ 'GL_OPERAND0_RGB','GL_OPERAND0_ALPHA_EXT','GL_OPERAND0_ALPHA','GL_ONE_MINUS_SRC_COLOR','GL_ONE_MINUS_SRC_ALPHA',
+ 'GL_ONE_MINUS_DST_COLOR','GL_ONE_MINUS_DST_ALPHA','GL_ONE','GL_OBJECT_PLANE','GL_OBJECT_LINEAR','GL_NO_ERROR',
+ 'GL_NOTEQUAL','GL_NORMAL_ARRAY_TYPE_EXT','GL_NORMAL_ARRAY_TYPE','GL_NORMAL_ARRAY_STRIDE_EXT','GL_NORMAL_ARRAY_STRIDE',
+ 'GL_NORMAL_ARRAY_POINTER_EXT','GL_NORMAL_ARRAY_POINTER','GL_NORMAL_ARRAY_EXT','GL_NORMAL_ARRAY_COUNT_EXT',
+ 'GL_NORMAL_ARRAY','GL_NORMALIZE','GL_NOR','GL_NOOP','GL_NONE','GL_NICEST','GL_NEVER','GL_NEAREST_MIPMAP_NEAREST','GL_NEAREST_MIPMAP_LINEAR',
+ 'GL_NEAREST','GL_NAND','GL_NAME_STACK_DEPTH','GL_N3F_V3F','GL_MULT','GL_MODULATE','GL_MODELVIEW_STACK_DEPTH','GL_MODELVIEW_MATRIX',
+ 'GL_MODELVIEW','GL_MAX_VIEWPORT_DIMS','GL_MAX_TEXTURE_UNITS_ARB','GL_MAX_TEXTURE_UNITS','GL_MAX_TEXTURE_STACK_DEPTH',
+ 'GL_MAX_TEXTURE_SIZE','GL_MAX_PROJECTION_STACK_DEPTH','GL_MAX_PIXEL_MAP_TABLE','GL_MAX_NAME_STACK_DEPTH','GL_MAX_MODELVIEW_STACK_DEPTH',
+ 'GL_MAX_LIST_NESTING','GL_MAX_LIGHTS','GL_MAX_EVAL_ORDER','GL_MAX_ELEMENTS_VERTICES_WIN','GL_MAX_ELEMENTS_INDICES_WIN',
+ 'GL_MAX_CLIP_PLANES','GL_MAX_CLIENT_ATTRIB_STACK_DEPTH','GL_MAX_ATTRIB_STACK_DEPTH','GL_MATRIX_MODE','GL_MAP_STENCIL',
+ 'GL_MAP_COLOR','GL_MAP2_VERTEX_4','GL_MAP2_VERTEX_3','GL_MAP2_TEXTURE_COORD_4','GL_MAP2_TEXTURE_COORD_3','GL_MAP2_TEXTURE_COORD_2',
+ 'GL_MAP2_TEXTURE_COORD_1','GL_MAP2_NORMAL','GL_MAP2_INDEX','GL_MAP2_GRID_SEGMENTS','GL_MAP2_GRID_DOMAIN','GL_MAP2_COLOR_4',
+ 'GL_MAP1_VERTEX_4','GL_MAP1_VERTEX_3','GL_MAP1_TEXTURE_COORD_4','GL_MAP1_TEXTURE_COORD_3','GL_MAP1_TEXTURE_COORD_2',
+ 'GL_MAP1_TEXTURE_COORD_1','GL_MAP1_NORMAL','GL_MAP1_INDEX','GL_MAP1_GRID_SEGMENTS','GL_MAP1_GRID_DOMAIN',
+ 'GL_MAP1_COLOR_4','GL_LUMINANCE_ALPHA','GL_LUMINANCE8_ALPHA8','GL_LUMINANCE8','GL_LUMINANCE6_ALPHA2','GL_LUMINANCE4_ALPHA4',
+ 'GL_LUMINANCE4','GL_LUMINANCE16_ALPHA16','GL_LUMINANCE16','GL_LUMINANCE12_ALPHA4','GL_LUMINANCE12_ALPHA12','GL_LUMINANCE12',
+ 'GL_LUMINANCE','GL_LOGIC_OP_MODE','GL_LOGIC_OP','GL_LOAD','GL_LIST_MODE','GL_LIST_INDEX','GL_LIST_BIT',
+ 'GL_LIST_BASE','GL_LINE_WIDTH_RANGE','GL_LINE_WIDTH_GRANULARITY','GL_LINE_WIDTH','GL_LINE_TOKEN','GL_LINE_STRIP','GL_LINE_STIPPLE_REPEAT',
+ 'GL_LINE_STIPPLE_PATTERN','GL_LINE_STIPPLE','GL_LINE_SMOOTH_HINT','GL_LINE_SMOOTH','GL_LINE_RESET_TOKEN','GL_LINE_LOOP',
+ 'GL_LINE_BIT','GL_LINES','GL_LINEAR_MIPMAP_NEAREST','GL_LINEAR_MIPMAP_LINEAR','GL_LINEAR_ATTENUATION','GL_LINEAR',
+ 'GL_LINE','GL_LIGHT_MODEL_TWO_SIDE','GL_LIGHT_MODEL_LOCAL_VIEWER','GL_LIGHT_MODEL_AMBIENT','GL_LIGHTING_BIT',
+ 'GL_LIGHTING','GL_LIGHT7','GL_LIGHT6','GL_LIGHT5','GL_LIGHT4','GL_LIGHT3','GL_LIGHT2','GL_LIGHT1','GL_LIGHT0',
+ 'GL_LESS','GL_LEQUAL','GL_LEFT','GL_KEEP','GL_INVERT','GL_INVALID_VALUE','GL_INVALID_OPERATION','GL_INVALID_ENUM','GL_INTERPOLATE_EXT',
+ 'GL_INTERPOLATE','GL_INTENSITY8','GL_INTENSITY4','GL_INTENSITY16','GL_INTENSITY12','GL_INTENSITY','GL_INT',
+ 'GL_INDEX_WRITEMASK','GL_INDEX_SHIFT','GL_INDEX_OFFSET','GL_INDEX_MODE','GL_INDEX_LOGIC_OP','GL_INDEX_CLEAR_VALUE','GL_INDEX_BITS',
+ 'GL_INDEX_ARRAY_TYPE_EXT','GL_INDEX_ARRAY_TYPE','GL_INDEX_ARRAY_STRIDE_EXT','GL_INDEX_ARRAY_STRIDE','GL_INDEX_ARRAY_POINTER_EXT',
+ 'GL_INDEX_ARRAY_POINTER','GL_INDEX_ARRAY_EXT','GL_INDEX_ARRAY_COUNT_EXT','GL_INDEX_ARRAY','GL_INCR','GL_HINT_BIT',
+ 'GL_GREEN_SCALE','GL_GREEN_BITS','GL_GREEN_BIAS','GL_GREEN','GL_GREATER','GL_GEQUAL','GL_FRONT_RIGHT','GL_FRONT_LEFT',
+ 'GL_FRONT_FACE','GL_FRONT_AND_BACK','GL_FRONT','GL_FOG_START','GL_FOG_SPECULAR_TEXTURE_WIN','GL_FOG_MODE','GL_FOG_INDEX',
+ 'GL_FOG_HINT','GL_FOG_END','GL_FOG_DENSITY','GL_FOG_COLOR','GL_FOG_BIT','GL_FOG','GL_FLOAT','GL_FLAT','GL_FILL',
+ 'GL_FEEDBACK_BUFFER_TYPE','GL_FEEDBACK_BUFFER_SIZE','GL_FEEDBACK_BUFFER_POINTER','GL_FEEDBACK','GL_FASTEST','GL_FALSE',
+ 'GL_EYE_PLANE','GL_EYE_LINEAR','GL_EXT_vertex_array','GL_EXT_paletted_texture','GL_EXT_bgra','GL_EXTENSIONS','GL_EXP2',
+ 'GL_EXP','GL_EVAL_BIT','GL_EQUIV','GL_EQUAL','GL_ENABLE_BIT','GL_EMISSION','GL_EDGE_FLAG_ARRAY_STRIDE_EXT','GL_EDGE_FLAG_ARRAY_STRIDE',
+ 'GL_EDGE_FLAG_ARRAY_POINTER_EXT','GL_EDGE_FLAG_ARRAY_POINTER','GL_EDGE_FLAG_ARRAY_EXT','GL_EDGE_FLAG_ARRAY_COUNT_EXT','GL_EDGE_FLAG_ARRAY',
+ 'GL_EDGE_FLAG','GL_DST_COLOR','GL_DST_ALPHA','GL_DRAW_PIXEL_TOKEN','GL_DRAW_BUFFER','GL_DOUBLE_EXT','GL_DOUBLEBUFFER',
+ 'GL_DOUBLE','GL_DONT_CARE','GL_DOMAIN','GL_DITHER','GL_DIFFUSE','GL_DEPTH_WRITEMASK','GL_DEPTH_TEST','GL_DEPTH_SCALE',
+ 'GL_DEPTH_RANGE','GL_DEPTH_FUNC','GL_DEPTH_COMPONENT','GL_DEPTH_CLEAR_VALUE','GL_DEPTH_BUFFER_BIT','GL_DEPTH_BITS',
+ 'GL_DEPTH_BIAS','GL_DEPTH','GL_DECR','GL_DECAL','GL_CW','GL_CURRENT_TEXTURE_COORDS','GL_CURRENT_RASTER_TEXTURE_COORDS','GL_CURRENT_RASTER_POSITION_VALID',
+ 'GL_CURRENT_RASTER_POSITION','GL_CURRENT_RASTER_INDEX','GL_CURRENT_RASTER_DISTANCE','GL_CURRENT_RASTER_COLOR','GL_CURRENT_NORMAL',
+ 'GL_CURRENT_INDEX','GL_CURRENT_COLOR','GL_CURRENT_BIT','GL_CULL_FACE_MODE','GL_CULL_FACE','GL_COPY_PIXEL_TOKEN',
+ 'GL_COPY_INVERTED','GL_COPY','GL_CONSTANT_EXT','GL_CONSTANT_ATTENUATION','GL_CONSTANT','GL_COMPILE_AND_EXECUTE','GL_COMPILE','GL_COMBINE_RGB_EXT',
+ 'GL_COMBINE_RGB','GL_COMBINE_EXT','GL_COMBINE_ALPHA_EXT','GL_COMBINE_ALPHA','GL_COMBINE','GL_COLOR_WRITEMASK',
+ 'GL_COLOR_TABLE_WIDTH_EXT','GL_COLOR_TABLE_RED_SIZE_EXT','GL_COLOR_TABLE_LUMINANCE_SIZE_EXT','GL_COLOR_TABLE_INTENSITY_SIZE_EXT',
+ 'GL_COLOR_TABLE_GREEN_SIZE_EXT','GL_COLOR_TABLE_FORMAT_EXT','GL_COLOR_TABLE_BLUE_SIZE_EXT','GL_COLOR_TABLE_ALPHA_SIZE_EXT',
+ 'GL_COLOR_MATERIAL_PARAMETER','GL_COLOR_MATERIAL_FACE','GL_COLOR_MATERIAL','GL_COLOR_LOGIC_OP','GL_COLOR_INDEXES',
+ 'GL_COLOR_INDEX8_EXT','GL_COLOR_INDEX4_EXT','GL_COLOR_INDEX2_EXT','GL_COLOR_INDEX1_EXT','GL_COLOR_INDEX16_EXT',
+ 'GL_COLOR_INDEX12_EXT','GL_COLOR_INDEX','GL_COLOR_CLEAR_VALUE','GL_COLOR_BUFFER_BIT','GL_COLOR_ARRAY_TYPE_EXT',
+ 'GL_COLOR_ARRAY_TYPE','GL_COLOR_ARRAY_STRIDE_EXT','GL_COLOR_ARRAY_STRIDE','GL_COLOR_ARRAY_SIZE_EXT','GL_COLOR_ARRAY_SIZE',
+ 'GL_COLOR_ARRAY_POINTER_EXT','GL_COLOR_ARRAY_POINTER','GL_COLOR_ARRAY_EXT','GL_COLOR_ARRAY_COUNT_EXT','GL_COLOR_ARRAY',
+ 'GL_COLOR','GL_COEFF','GL_CLIP_PLANE5','GL_CLIP_PLANE4','GL_CLIP_PLANE3','GL_CLIP_PLANE2','GL_CLIP_PLANE1','GL_CLIP_PLANE0',
+ 'GL_CLIENT_VERTEX_ARRAY_BIT','GL_CLIENT_PIXEL_STORE_BIT','GL_CLIENT_ATTRIB_STACK_DEPTH','GL_CLIENT_ALL_ATTRIB_BITS',
+ 'GL_CLIENT_ACTIVE_TEXTURE_ARB','GL_CLIENT_ACTIVE_TEXTURE','GL_CLEAR','GL_CLAMP','GL_CCW','GL_C4UB_V3F','GL_C4UB_V2F',
+ 'GL_C4F_N3F_V3F','GL_C3F_V3F','GL_BYTE','GL_BLUE_SCALE','GL_BLUE_BITS','GL_BLUE_BIAS','GL_BLUE','GL_BLEND_SRC','GL_BLEND_DST',
+ 'GL_BLEND','GL_BITMAP_TOKEN','GL_BITMAP','GL_BGR_EXT','GL_BGRA_EXT','GL_BACK_RIGHT','GL_BACK_LEFT','GL_BACK',
+ 'GL_AUX_BUFFERS','GL_AUX3','GL_AUX2','GL_AUX1','GL_AUX0','GL_AUTO_NORMAL','GL_ATTRIB_STACK_DEPTH','GL_AND_REVERSE',
+ 'GL_AND_INVERTED','GL_AND','GL_AMBIENT_AND_DIFFUSE','GL_AMBIENT','GL_ALWAYS','GL_ALPHA_TEST_REF','GL_ALPHA_TEST_FUNC',
+ 'GL_ALPHA_TEST','GL_ALPHA_SCALE','GL_ALPHA_BITS','GL_ALPHA_BIAS','GL_ALPHA8','GL_ALPHA4','GL_ALPHA16','GL_ALPHA12',
+ 'GL_ALPHA','GL_ALL_ATTRIB_BITS','GL_ADD_SIGNED_EXT','GL_ADD_SIGNED','GL_ADD','GL_ACTIVE_TEXTURE_ARB','GL_ACTIVE_TEXTURE',
+ 'GL_ACCUM_RED_BITS','GL_ACCUM_GREEN_BITS','GL_ACCUM_CLEAR_VALUE','GL_ACCUM_BUFFER_BIT','GL_ACCUM_BLUE_BITS','GL_ACCUM_ALPHA_BITS',
+ 'GL_ACCUM','GL_4_BYTES','GL_4D_COLOR_TEXTURE','GL_3_BYTES','GL_3D_COLOR_TEXTURE','GL_3D_COLOR','GL_3D','GL_2_BYTES',
+ 'GL_2D','GLU_V_STEP','GLU_VERTEX','GLU_VERSION_1_2','GLU_VERSION_1_1','GLU_VERSION','GLU_U_STEP','GLU_UNKNOWN','GLU_TRUE',
+ 'GLU_TESS_WINDING_RULE','GLU_TESS_WINDING_POSITIVE','GLU_TESS_WINDING_ODD','GLU_TESS_WINDING_NONZERO','GLU_TESS_WINDING_NEGATIVE',
+ 'GLU_TESS_WINDING_ABS_GEQ_TWO','GLU_TESS_VERTEX_DATA','GLU_TESS_VERTEX','GLU_TESS_TOLERANCE','GLU_TESS_NEED_COMBINE_CALLBACK','GLU_TESS_MISSING_END_POLYGON',
+ 'GLU_TESS_MISSING_END_CONTOUR','GLU_TESS_MISSING_BEGIN_POLYGON','GLU_TESS_MISSING_BEGIN_CONTOUR','GLU_TESS_ERROR_DATA',
+ 'GLU_TESS_ERROR8','GLU_TESS_ERROR7','GLU_TESS_ERROR6','GLU_TESS_ERROR5','GLU_TESS_ERROR4','GLU_TESS_ERROR3','GLU_TESS_ERROR2',
+ 'GLU_TESS_ERROR1','GLU_TESS_ERROR','GLU_TESS_END_DATA','GLU_TESS_END','GLU_TESS_EDGE_FLAG_DATA','GLU_TESS_EDGE_FLAG',
+ 'GLU_TESS_COORD_TOO_LARGE','GLU_TESS_COMBINE_DATA','GLU_TESS_COMBINE','GLU_TESS_BOUNDARY_ONLY','GLU_TESS_BEGIN_DATA',
+ 'GLU_TESS_BEGIN','GLU_SMOOTH','GLU_SILHOUETTE','GLU_SAMPLING_TOLERANCE','GLU_SAMPLING_METHOD','GLU_POINT','GLU_PATH_LENGTH',
+ 'GLU_PARAMETRIC_TOLERANCE','GLU_PARAMETRIC_ERROR','GLU_OUT_OF_MEMORY','GLU_OUTSIDE','GLU_OUTLINE_POLYGON','GLU_OUTLINE_PATCH',
+ 'GLU_NURBS_ERROR9','GLU_NURBS_ERROR8','GLU_NURBS_ERROR7','GLU_NURBS_ERROR6','GLU_NURBS_ERROR5','GLU_NURBS_ERROR4',
+ 'GLU_NURBS_ERROR37','GLU_NURBS_ERROR36','GLU_NURBS_ERROR35','GLU_NURBS_ERROR34','GLU_NURBS_ERROR33','GLU_NURBS_ERROR32',
+ 'GLU_NURBS_ERROR31','GLU_NURBS_ERROR30','GLU_NURBS_ERROR3','GLU_NURBS_ERROR29','GLU_NURBS_ERROR28','GLU_NURBS_ERROR27','GLU_NURBS_ERROR26',
+ 'GLU_NURBS_ERROR25','GLU_NURBS_ERROR24','GLU_NURBS_ERROR23','GLU_NURBS_ERROR22','GLU_NURBS_ERROR21','GLU_NURBS_ERROR20',
+ 'GLU_NURBS_ERROR2','GLU_NURBS_ERROR19','GLU_NURBS_ERROR18','GLU_NURBS_ERROR17','GLU_NURBS_ERROR16','GLU_NURBS_ERROR15','GLU_NURBS_ERROR14',
+ 'GLU_NURBS_ERROR13','GLU_NURBS_ERROR12','GLU_NURBS_ERROR11','GLU_NURBS_ERROR10','GLU_NURBS_ERROR1','GLU_NONE',
+ 'GLU_MAP1_TRIM_3','GLU_MAP1_TRIM_2','GLU_LINE','GLU_INVALID_VALUE','GLU_INVALID_ENUM','GLU_INTERIOR','GLU_INSIDE','GLU_INCOMPATIBLE_GL_VERSION',
+ 'GLU_FLAT','GLU_FILL','GLU_FALSE','GLU_EXTERIOR','GLU_EXTENSIONS','GLU_ERROR','GLU_END','GLU_EDGE_FLAG','GLU_DOMAIN_DISTANCE',
+ 'GLU_DISPLAY_MODE','GLU_CW','GLU_CULLING','GLU_CCW','GLU_BEGIN','GLU_AUTO_LOAD_MATRIX','CHANNEL_UNORDERED','CHANNEL_ORDERED',
+ 'CHANNEL_MAX'
+ ),
+ 2 => array(
+
+ // Red Lowercase Keywords
+
+ 'WriteWord','WriteString','WriteReal','WriteLine','WriteInt','WriteFloat','WriteDouble','WriteChar','WriteByte',
+ 'windowwidth','windowheight','waittimer','Vec4','Vec3','Vec2','val','UpdateJoystick','ucase$','Transpose','tickcount',
+ 'textscroll','textrows','textmode','textcols','tanh','tand','tan','synctimercatchup','synctimer','swapbuffers',
+ 'str$','stopsoundvoice','stopsounds','stopmusic','sqrt','sqr','sprzorder','spryvel','sprytiles','sprysize','spryrepeat',
+ 'spryflip','sprycentre','spry','sprxvel','sprxtiles','sprxsize','sprxrepeat','sprxflip','sprxcentre','sprx',
+ 'sprvisible','sprvel','sprtype','sprtop','sprspin','sprsolid','sprsetzorder','sprsetyvel','sprsetysize','sprsetyrepeat',
+ 'sprsetyflip','sprsetycentre','sprsety','sprsetxvel','sprsetxsize','sprsetxrepeat','sprsetxflip','sprsetxcentre',
+ 'sprsetx','sprsetvisible','sprsetvel','sprsettiles','sprsettextures','sprsettexture','sprsetspin','sprsetsolid',
+ 'sprsetsize','sprsetscale','sprsetpos','sprsetparallax','sprsetframe','sprsetcolor','sprsetanimspeed','sprsetanimloop',
+ 'sprsetangle','sprsetalpha','sprscale','sprright','sprpos','sprparallax','sprleft','spriteareawidth','spriteareaheight',
+ 'sprframe','sprcolor','sprcameraz','sprcameray','sprcamerax','sprcamerasetz','sprcamerasety','sprcamerasetx',
+ 'sprcamerasetpos','sprcamerasetfov','sprcamerasetangle','sprcamerapos','sprcamerafov','sprcameraangle',
+ 'sprbottom','spranimspeed','spranimloop','spranimdone','sprangle','spralpha','spraddtextures','spraddtexture',
+ 'sounderror','sleep','sind','sin','showcursor','sgn','settextscroll','setmusicvolume','SendMessage','Seek',
+ 'scankeydown','RTInvert','rnd','right$','resizetext','resizespritearea','RejectConnection','ReceiveMessage','ReadWord',
+ 'ReadText','ReadReal','ReadLine','ReadInt','ReadFloat','ReadDouble','ReadChar','ReadByte','randomize','printr',
+ 'print','pow','playsound','playmusic','performancecounter','Orthonormalize','OpenFileWrite','OpenFileRead','Normalize',
+ 'newtilemap','newsprite','NewServer','NewConnection','musicplaying','mouse_yd','mouse_y','mouse_xd','mouse_x',
+ 'mouse_wheel','mouse_button','mid$','MessageSmoothed','MessageReliable','MessagePending','MessageChannel','maxtextureunits',
+ 'MatrixZero','MatrixTranslate','MatrixScale','MatrixRotateZ','MatrixRotateY','MatrixRotateX','MatrixRotate','MatrixIdentity',
+ 'MatrixCrossProduct','MatrixBasis','log','locate','loadtexture','loadsound','loadmipmaptexture','loadmipmapimagestrip',
+ 'loadimagestrip','loadimage','Length','len','left$','lcase$','keydown','Joy_Y','Joy_X','Joy_Up','Joy_Right','Joy_Left',
+ 'Joy_Keys','Joy_Down','Joy_Button','Joy_3','Joy_2','Joy_1','Joy_0','int','inscankey','input$','inkey$','inittimer',
+ 'imagewidth','imagestripframes','imageheight','imageformat','imagedatatype','hidecursor','glViewport','glVertex4sv',
+ 'glVertex4s','glVertex4iv','glVertex4i','glVertex4fv','glVertex4f','glVertex4dv','glVertex4d','glVertex3sv','glVertex3s',
+ 'glVertex3iv','glVertex3i','glVertex3fv','glVertex3f','glVertex3dv','glVertex3d','glVertex2sv','glVertex2s','glVertex2iv',
+ 'glVertex2i','glVertex2fv','glVertex2f','glVertex2dv','glVertex2d','gluPerspective','gluOrtho2D','gluLookAt',
+ 'glubuild2dmipmaps','glTranslatef','glTranslated','gltexsubimage2d','glTexParameteriv','glTexParameteri',
+ 'glTexParameterfv','glTexParameterf','glteximage2d','glTexGeniv','glTexGeni','glTexGenfv','glTexGenf','glTexGendv',
+ 'glTexGend','glTexEnviv','glTexEnvi','glTexEnvfv','glTexEnvf','glTexCoord4sv','glTexCoord4s','glTexCoord4iv','glTexCoord4i',
+ 'glTexCoord4fv','glTexCoord4f','glTexCoord4dv','glTexCoord4d','glTexCoord3sv','glTexCoord3s','glTexCoord3iv','glTexCoord3i',
+ 'glTexCoord3fv','glTexCoord3f','glTexCoord3dv','glTexCoord3d','glTexCoord2sv','glTexCoord2s','glTexCoord2iv','glTexCoord2i',
+ 'glTexCoord2fv','glTexCoord2f','glTexCoord2dv','glTexCoord2d','glTexCoord1sv','glTexCoord1s','glTexCoord1iv','glTexCoord1i','glTexCoord1fv',
+ 'glTexCoord1f','glTexCoord1dv','glTexCoord1d','glStencilOp','glStencilMask','glStencilFunc','glShadeModel','glSelectBuffer',
+ 'glScissor','glScalef','glScaled','glRotatef','glRotated','glRenderMode','glRectsv','glRects','glRectiv','glRecti',
+ 'glRectfv','glRectf','glRectdv','glRectd','glReadBuffer','glRasterPos4sv','glRasterPos4s','glRasterPos4iv',
+ 'glRasterPos4i','glRasterPos4fv','glRasterPos4f','glRasterPos4dv','glRasterPos4d','glRasterPos3sv','glRasterPos3s',
+ 'glRasterPos3iv','glRasterPos3i','glRasterPos3fv','glRasterPos3f','glRasterPos3dv','glRasterPos3d','glRasterPos2sv',
+ 'glRasterPos2s','glRasterPos2iv','glRasterPos2i','glRasterPos2fv','glRasterPos2f','glRasterPos2dv','glRasterPos2d',
+ 'glPushName','glPushMatrix','glPushClientAttrib','glPushAttrib','glPrioritizeTextures','glPopName','glPopMatrix',
+ 'glPopClientAttrib','glPopAttrib','glpolygonstipple','glPolygonOffset','glPolygonMode','glPointSize','glPixelZoom',
+ 'glPixelTransferi','glPixelTransferf','glPixelStorei','glPixelStoref','glPassThrough','glOrtho','glNormal3sv','glNormal3s',
+ 'glNormal3iv','glNormal3i','glNormal3fv','glNormal3f','glNormal3dv','glNormal3d','glNormal3bv','glNormal3b','glNewList',
+ 'glMultMatrixf','glMultMatrixd','glmultitexcoord2f','glmultitexcoord2d','glMatrixMode','glMaterialiv','glMateriali',
+ 'glMaterialfv','glMaterialf','glMapGrid2f','glMapGrid2d','glMapGrid1f','glMapGrid1d','glLogicOp','glLoadName','glLoadMatrixf',
+ 'glLoadMatrixd','glLoadIdentity','glListBase','glLineWidth','glLineStipple','glLightModeliv','glLightModeli','glLightModelfv',
+ 'glLightModelf','glLightiv','glLighti','glLightfv','glLightf','glIsTexture','glIsList','glIsEnabled','glInitNames',
+ 'glIndexubv','glIndexub','glIndexsv','glIndexs','glIndexMask','glIndexiv','glIndexi','glIndexfv','glIndexf','glIndexdv',
+ 'glIndexd','glHint','glGetTexParameteriv','glGetTexParameterfv','glGetTexLevelParameteriv','glGetTexLevelParameterfv',
+ 'glGetTexGeniv','glGetTexGenfv','glGetTexGendv','glGetTexEnviv','glGetTexEnvfv','glgetstring','glgetpolygonstipple','glGetPixelMapuiv',
+ 'glGetMaterialiv','glGetMaterialfv','glGetLightiv','glGetLightfv','glGetIntegerv','glGetFloatv',
+ 'glGetError','glGetDoublev','glGetClipPlane','glGetBooleanv','glgentextures','glgentexture',
+ 'glgenlists','glFrustum','glFrontFace','glFogiv','glFogi','glFogfv','glFogf','glFlush','glFinish','glFeedbackBuffer',
+ 'glEvalPoint2','glEvalPoint1','glEvalMesh2','glEvalMesh1','glEvalCoord2fv','glEvalCoord2f','glEvalCoord2dv','glEvalCoord2d',
+ 'glEvalCoord1fv','glEvalCoord1f','glEvalCoord1dv','glEvalCoord1d','glEndList','glEnd','glEnableClientState','glEnable',
+ 'glEdgeFlagv','glEdgeFlag','glDrawBuffer','glDrawArrays','glDisableClientState','glDisable','glDepthRange','glDepthMask',
+ 'glDepthFunc','gldeletetextures','gldeletetexture','gldeletelists','glCullFace','glCopyTexSubImage2D','glCopyTexSubImage1D',
+ 'glCopyTexImage2D','glCopyTexImage1D','glColorMaterial','glColorMask','glColor4usv','glColor4us','glColor4uiv','glColor4ui',
+ 'glColor4ubv','glColor4ub','glColor4sv','glColor4s','glColor4iv','glColor4i','glColor4fv','glColor4f','glColor4dv',
+ 'glColor4d','glColor4bv','glColor4b','glColor3usv','glColor3us','glColor3uiv','glColor3ui','glColor3ubv','glColor3ub',
+ 'glColor3sv','glColor3s','glColor3iv','glColor3i','glColor3fv','glColor3f','glColor3dv','glColor3d','glColor3bv',
+ 'glColor3b','glClipPlane','glClearStencil','glClearIndex','glClearDepth','glClearColor','glClearAccum','glClear',
+ 'glcalllists','glCallList','glBlendFunc','glBindTexture','glBegin','glArrayElement','glAreTexturesResident',
+ 'glAlphaFunc','glactivetexture','glAccum','font','FindNextFile','FindFirstFile','FindClose','FileError',
+ 'extensionsupported','exp','execute','EndOfFile','drawtext','divbyzero','Determinant','deletesprite','deletesound',
+ 'DeleteServer','deleteimage','DeleteConnection','defaultfont','CrossProduct','cosd','cos','copysprite','ConnectionPending',
+ 'ConnectionHandShaking','ConnectionConnected','ConnectionAddress','compilererrorline','compilererrorcol','compilererror',
+ 'compilefile','compile','color','cls','CloseFile','clearregion','clearline','clearkeys','chr$','charat$','bindsprite',
+ 'beep','atnd','atn2d','atn2','atn','atand','asc','argcount','arg','animatesprites','AcceptConnection','abs'
+ ),
+ 3 => array(
+
+ // Blue Lowercase Keywords
+
+ 'xor','while','wend','until','type','traditional_print','traditional','to','then','struc','string','step','single',
+ 'run','return','reset','read','or','null','not','next','lor','loop','language','land','integer','input','if',
+ 'goto','gosub','for','endstruc','endif','end','elseif','else','double','do','dim','data','const','basic4gl','as',
+ 'and','alloc'
+ )
+
+ ),
+ 'SYMBOLS' => array(
+ '=', '<', '>', '>=', '<=', '+', '-', '*', '/', '%', '(', ')', '{', '}', '[', ']', '&', ';', ':', '$'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #000080; font-weight: bold;',
+ 2 => 'color: #FF0000;',
+ 3 => 'color: #0000FF;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #657CC4; font-style: italic;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #000080;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #008000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #000080; font-weight: bold;'
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #0000FF;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ ),
+ 'SCRIPT' => array(
+ ),
+ 'REGEXPS' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'TAB_WIDTH' => 4
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/bf.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/bf.php
new file mode 100644
index 000000000..c4be922e0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/bf.php
@@ -0,0 +1,114 @@
+<?php
+/*************************************************************************************
+ * bf.php
+ * ----------
+ * Author: Benny Baumann (BenBE@geshi.org)
+ * Copyright: (c) 2008 Benny Baumann (http://qbnz.com/highlighter/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2009/10/31
+ *
+ * Brainfuck language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/10/31 (1.0.8.1)
+ * - First Release
+ *
+ * TODO
+ * ----
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+$language_data = array (
+ 'LANG_NAME' => 'Brainfuck',
+ 'COMMENT_SINGLE' => array(),
+ 'COMMENT_MULTI' => array(),
+ 'COMMENT_REGEXP' => array(1 => '/[^\n+\-<>\[\]\.\,Y]+/s'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_UPPER,
+ 'QUOTEMARKS' => array(),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => array('+', '-'),
+ 1 => array('[', ']'),
+ 2 => array('<', '>'),
+ 3 => array('.', ','),
+ 4 => array('Y') //Brainfork Extension ;-)
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #666666; font-style: italic;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #006600;',
+ 1 => 'color: #660000;',
+ 2 => 'color: #000066;',
+ 3 => 'color: #660066;',
+ 4 => 'color: #666600;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ ),
+ 'SCRIPT' => array(
+ ),
+ 'REGEXPS' => array(
+ )
+ ),
+ 'URLS' => array(
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'TAB_WIDTH' => 4,
+ 'PARSER_CONTROL' => array(
+ 'ENABLE_FLAGS' => array(
+ 'STRINGS' => GESHI_NEVER,
+ 'NUMBERS' => GESHI_NEVER
+ ),
+ 'KEYWORDS' => array(
+ 'DISALLOW_BEFORE' => '',
+ 'DISALLOW_AFTER' => ''
+ )
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/blitzbasic.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/blitzbasic.php
new file mode 100644
index 000000000..3ad5eabf0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/blitzbasic.php
@@ -0,0 +1,185 @@
+<?php
+/*************************************************************************************
+ * blitzbasic.php
+ * --------------
+ * Author: P锟絛raig O`Connel (info@moonsword.info)
+ * Copyright: (c) 2005 P锟絛raig O`Connel (http://moonsword.info)
+ * Release Version: 1.0.8.4
+ * Date Started: 16.10.2005
+ *
+ * BlitzBasic language file for GeSHi.
+ *
+ * It is a simple Basic dialect. Released for Games and Network Connections.
+ * In this Language File are all functions included (2D BB and 3D BB)
+ *
+ *
+ * CHANGES
+ * -------
+ * 2005/12/28 (1.0.1)
+ * - Remove unnecessary style index for regexps
+ * 2005/10/22 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2005/10/22)
+ * -------------------------
+ * * Sort out the Basic commands for splitting up.
+ * * To set up the right colors.
+ * (the colors are ok, but not the correct ones)
+ * * Split to BlitzBasic 2D and BlitzBasic 3D.
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'BlitzBasic',
+ 'COMMENT_SINGLE' => array(1 => ';'),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'If','EndIf','ElseIf','Else If','Else','While','Wend','Return','Next','Include','End Type','End Select','End If','End Function','End','Select',
+ 'Type','Forever','For','Or','And','AppTitle','Case','Goto','Gosub','Step','Stop','Int','Last','False','Then','To','True','Until','Float',
+ 'String','Before','Not'
+ ),
+ 2 => array(
+ // All Functions - 2D BB and 3D BB
+ 'Xor','WriteString','WriteShort','WritePixelFast','WritePixel','WriteLine','WriteInt','WriteFloat','WriteFile','WriteBytes',
+ 'WriteByte','Write','WaitTimer','WaitMouse','WaitKey','WaitJoy','VWait','Viewport',
+ 'Upper','UpdateGamma','UnlockBuffer','UDPTimeouts','UDPStreamPort','UDPStreamIP','UDPMsgPort','UDPMsgIP',
+ 'Trim','TotalVidMem','TileImage','TileBlock','TFormImage','TFormFilter','Text',
+ 'TCPTimeouts','TCPStreamPort','TCPStreamIP','Tan','SystemProperty','StringWidth','StringHeight','Str','StopNetGame',
+ 'StopChannel','StartNetGame','Sqr','SoundVolume','SoundPitch','SoundPan','Sin','Shr',
+ 'ShowPointer','Shl','Sgn','SetGfxDriver','SetGamma','SetFont','SetEnv','SetBuffer','SendUDPMsg','SendNetMsg',
+ 'SeekFile','SeedRnd','ScanLine','ScaleImage','SaveImage','SaveBuffer','Sar','RuntimeError','RSet',
+ 'RotateImage','RndSeed','Rnd','Right','ResumeChannel','Restore','ResizeImage','ResizeBank','Replace',
+ 'Repeat','RecvUDPMsg','RecvNetMsg','RectsOverlap','Rect','ReadString','ReadShort','ReadPixelFast','ReadPixel','ReadLine',
+ 'ReadInt','ReadFloat','ReadFile','ReadDir','ReadBytes','ReadByte','ReadAvail','Read','Rand','Print',
+ 'PokeShort','PokeInt','PokeFloat','PokeByte','Plot','PlaySound','PlayMusic','PlayCDTrack','Pi','PeekShort',
+ 'PeekInt','PeekFloat','PeekByte','PauseChannel','Oval','Origin','OpenTCPStream','OpenMovie','OpenFile',
+ 'Null','NextFile','New','NetPlayerName','NetPlayerLocal','NetMsgType','NetMsgTo','NetMsgFrom',
+ 'NetMsgData','MovieWidth','MoviePlaying','MovieHeight','MoveMouse','MouseZSpeed','MouseZ','MouseYSpeed','MouseY','MouseXSpeed',
+ 'MouseX','MouseHit','MouseDown','Mod','Millisecs','MidHandle','Mid','MaskImage','LSet','Lower',
+ 'LoopSound','Log10','Log','LockBuffer','Locate','Local','LoadSound','LoadImage','LoadFont','LoadBuffer',
+ 'LoadAnimImage','Line','Len','Left','KeyHit','KeyDown','JoyZDir','JoyZ','JoyYDir',
+ 'JoyYaw','JoyY','JoyXDir','JoyX','JoyVDir','JoyV','JoyUDir','JoyU','JoyType','JoyRoll',
+ 'JoyPitch','JoyHit','JoyHat','JoyDown','JoinNetGame','Instr','Insert','Input',
+ 'ImageYHandle','ImageXHandle','ImageWidth','ImagesOverlap','ImagesCollide','ImageRectOverlap','ImageRectCollide','ImageHeight','ImageBuffer',
+ 'HostNetGame','HostIP','HidePointer','Hex','HandleImage','GraphicsWidth','GraphicsHeight','GraphicsDepth','GraphicsBuffer','Graphics',
+ 'GrabImage','Global','GFXModeWidth','GFXModeHeight','GfxModeExists','GFXModeDepth','GfxDriverName','GetMouse',
+ 'GetKey','GetJoy','GetEnv','GetColor','GammaRed','GammaGreen','GammaBlue','Function','FrontBuffer','FreeTimer',
+ 'FreeSound','FreeImage','FreeFont','FreeBank','FontWidth','FontHeight','FlushMouse','FlushKeys',
+ 'FlushJoy','Floor','Flip','First','FileType','FileSize','FilePos','Field',
+ 'Exp','Exit','ExecFile','Eof','EndGraphics','Each','DrawMovie','DrawImageRect','DrawImage','DrawBlockRect','DrawBlock',
+ 'DottedIP','Dim','DeleteNetPlayer','DeleteFile','DeleteDir','Delete','Delay','Default','DebugLog','Data',
+ 'CurrentTime','CurrentDir','CurrentDate','CreateUDPStream','CreateTimer','CreateTCPServer','CreateNetPlayer','CreateImage','CreateDir','CreateBank',
+ 'CountHostIPs','CountGFXModes','CountGfxDrivers','Cos','CopyStream','CopyRect','CopyPixelFast','CopyPixel','CopyImage','CopyFile',
+ 'CopyBank','Const','CommandLine','ColorRed','ColorGreen','ColorBlue','Color','ClsColor','Cls','CloseUDPStream',
+ 'CloseTCPStream','CloseTCPServer','CloseMovie','CloseFile','CloseDir','Chr','ChannelVolume','ChannelPlaying','ChannelPitch','ChannelPan',
+ 'ChangeDir','Ceil','CallDLL','Bin','BankSize','BackBuffer','AvailVidMem','AutoMidHandle',
+ 'ATan2','ATan','ASin','Asc','After','ACos','AcceptTCPStream','Abs',
+ // 3D Commands
+ 'Wireframe','Windowed3D','WBuffer','VertexZ','VertexY',
+ 'VertexX','VertexW','VertexV','VertexU','VertexTexCoords','VertexRed','VertexNZ','VertexNY','VertexNX','VertexNormal',
+ 'VertexGreen','VertexCoords','VertexColor','VertexBlue','VertexAlpha','VectorYaw','VectorPitch','UpdateWorld','UpdateNormals','TurnEntity',
+ 'TrisRendered','TriangleVertex','TranslateEntity','TFormVector','TFormPoint','TFormNormal','TFormedZ','TFormedY','TFormedX','TextureWidth',
+ 'TextureName','TextureHeight','TextureFilter','TextureCoords','TextureBuffer','TextureBlend','TerrainZ','TerrainY','TerrainX','TerrainSize',
+ 'TerrainShading','TerrainHeight','TerrainDetail','SpriteViewMode','ShowEntity','SetCubeFace','SetAnimTime','SetAnimKey','ScaleTexture','ScaleSprite',
+ 'ScaleMesh','ScaleEntity','RotateTexture','RotateSprite','RotateMesh','RotateEntity','ResetEntity','RenderWorld','ProjectedZ','ProjectedY',
+ 'ProjectedX','PositionTexture','PositionMesh','PositionEntity','PointEntity','PickedZ','PickedY','PickedX','PickedTriangle','PickedTime',
+ 'PickedSurface','PickedNZ','PickedNY','PickedNX','PickedEntity','PaintSurface','PaintMesh','PaintEntity','NameEntity','MoveEntity',
+ 'ModifyTerrain','MeshWidth','MeshHeight','MeshesIntersect','MeshDepth','MD2AnimTime','MD2AnimLength','MD2Animating','LoadTexture','LoadTerrain',
+ 'LoadSprite','LoadMesh','LoadMD2','LoaderMatrix','LoadBSP','LoadBrush','LoadAnimTexture','LoadAnimSeq','LoadAnimMesh','Load3DSound',
+ 'LinePick','LightRange','LightMesh','LightConeAngles','LightColor','HWMultiTex','HideEntity','HandleSprite','Graphics3D','GfxMode3DExists',
+ 'GfxMode3D','GfxDriverCaps3D','GfxDriver3D','GetSurfaceBrush','GetSurface','GetParent','GetMatElement','GetEntityType','GetEntityBrush','GetChild',
+ 'GetBrushTexture','FreeTexture','FreeEntity','FreeBrush','FlipMesh','FitMesh','FindSurface','FindChild','ExtractAnimSeq','EntityZ',
+ 'EntityYaw','EntityY','EntityX','EntityVisible','EntityType','EntityTexture','EntityShininess','EntityRoll','EntityRadius','EntityPitch',
+ 'EntityPickMode','EntityPick','EntityParent','EntityOrder','EntityName','EntityInView','EntityFX','EntityDistance','EntityColor','EntityCollided',
+ 'EntityBox','EntityBlend','EntityAutoFade','EntityAlpha','EmitSound','Dither','DeltaYaw','DeltaPitch','CreateTexture','CreateTerrain',
+ 'CreateSurface','CreateSprite','CreateSphere','CreatePlane','CreatePivot','CreateMirror','CreateMesh','CreateListener','CreateLight','CreateCylinder',
+ 'CreateCube','CreateCone','CreateCamera','CreateBrush','CountVertices','CountTriangles','CountSurfaces','CountGfxModes3D','CountCollisions','CountChildren',
+ 'CopyMesh','CopyEntity','CollisionZ','CollisionY','CollisionX','CollisionTriangle','CollisionTime','CollisionSurface','Collisions','CollisionNZ',
+ 'CollisionNY','CollisionNX','CollisionEntity','ClearWorld','ClearTextureFilters','ClearSurface','ClearCollisions','CaptureWorld','CameraZoom','CameraViewport',
+ 'CameraRange','CameraProjMode','CameraProject','CameraPick','CameraFogRange','CameraFogMode','CameraFogColor','CameraClsMode','CameraClsColor','BSPLighting',
+ 'BSPAmbientLight','BrushTexture','BrushShininess','BrushFX','BrushColor','BrushBlend','BrushAlpha','AntiAlias','AnimTime','AnimSeq',
+ 'AnimLength','Animating','AnimateMD2','Animate','AmbientLight','AlignToVector','AddVertex','AddTriangle','AddMesh','AddAnimSeq',
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(',')'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #000066; font-weight: bold;',
+ 2 => 'color: #0000ff;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #D9D100; font-style: italic;',
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #000066;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #009900;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #CC0000;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #006600;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #000066;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ 0 => '',
+ 1 => '',
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '\\'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ 0 => false,
+ 1 => false
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/bnf.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/bnf.php
new file mode 100644
index 000000000..0032acf48
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/bnf.php
@@ -0,0 +1,110 @@
+<?php
+/*************************************************************************************
+ * bnf.php
+ * --------
+ * Author: Rowan Rodrik van der Molen (rowan@bigsmoke.us)
+ * Copyright: (c) 2006 Rowan Rodrik van der Molen (http://www.bigsmoke.us/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2006/09/28
+ *
+ * BNF (Backus-Naur form) language file for GeSHi.
+ *
+ * See http://en.wikipedia.org/wiki/Backus-Naur_form for more info on BNF.
+ *
+ * CHANGES
+ * -------
+ * 2008/05/23 (1.0.7.22)
+ * - Added description of extra language features (SF#1970248)
+ * - Removed superflicious regexps
+ * 2006/09/18 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2006/09/18)
+ * -------------------------
+ * * Nothing I can think of
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'bnf',
+ 'COMMENT_SINGLE' => array(),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"', "'"),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(),
+ 'SYMBOLS' => array(
+ '(', ')', '<', '>', '::=', '|'
+ ),
+ 'CASE_SENSITIVE' => array(
+ //GESHI_COMMENTS => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(),
+ 'COMMENTS' => array(
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => ''
+ ),
+ 'BRACKETS' => array(
+ 0 => ''
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #a00;',
+ 1 => 'color: #a00;'
+ ),
+ 'NUMBERS' => array(
+ 0 => ''
+ ),
+ 'METHODS' => array(
+ 0 => ''
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #000066; font-weight: bold;', // Unused
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #007;',
+ ),
+ 'SCRIPT' => array(
+ 0 => ''
+ )
+ ),
+ 'URLS' => array(),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(),
+ 'REGEXPS' => array(
+ //terminal symbols
+ 0 => array(
+ GESHI_SEARCH => '(&lt;)([^&]+?)(&gt;)',
+ GESHI_REPLACE => '\\2',
+ GESHI_MODIFIERS => '',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => '\\3'
+ ),
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/boo.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/boo.php
new file mode 100644
index 000000000..d555dd4a2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/boo.php
@@ -0,0 +1,217 @@
+<?php
+/*************************************************************************************
+ * boo.php
+ * --------
+ * Author: Marcus Griep (neoeinstein+GeSHi@gmail.com)
+ * Copyright: (c) 2007 Marcus Griep (http://www.xpdm.us)
+ * Release Version: 1.0.8.4
+ * Date Started: 2007/09/10
+ *
+ * Boo language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2004/09/10 (1.0.8)
+ * - First Release
+ *
+ * TODO (updated 2007/09/10)
+ * -------------------------
+ * Regular Expression Literal matching
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Boo',
+ 'COMMENT_SINGLE' => array(1 => '//', 2 => '#'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'''", "'", '"""', '"'),
+ 'HARDQUOTE' => array('"""', '"""'),
+ 'HARDESCAPE' => array('\"""'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(//Namespace
+ 'namespace', 'import', 'from'
+ ),
+ 2 => array(//Jump
+ 'yield', 'return', 'goto', 'continue', 'break'
+ ),
+ 3 => array(//Conditional
+ 'while', 'unless', 'then', 'in', 'if', 'for', 'else', 'elif'
+ ),
+ 4 => array(//Property
+ 'set', 'get'
+ ),
+ 5 => array(//Exception
+ 'try', 'raise', 'failure', 'except', 'ensure'
+ ),
+ 6 => array(//Visibility
+ 'public', 'private', 'protected', 'internal'
+ ),
+ 7 => array(//Define
+ 'struct', 'ref', 'of', 'interface', 'event', 'enum', 'do', 'destructor', 'def', 'constructor', 'class'
+ ),
+ 8 => array(//Cast
+ 'typeof', 'cast', 'as'
+ ),
+ 9 => array(//BiMacro
+ 'yieldAll', 'using', 'unchecked', 'rawArayIndexing', 'print', 'normalArrayIndexing', 'lock',
+ 'debug', 'checked', 'assert'
+ ),
+ 10 => array(//BiAttr
+ 'required', 'property', 'meta', 'getter', 'default'
+ ),
+ 11 => array(//BiFunc
+ 'zip', 'shellp', 'shellm', 'shell', 'reversed', 'range', 'prompt',
+ 'matrix', 'map', 'len', 'join', 'iterator', 'gets', 'enumerate', 'cat', 'array'
+ ),
+ 12 => array(//HiFunc
+ '__switch__', '__initobj__', '__eval__', '__addressof__', 'quack'
+ ),
+ 13 => array(//Primitive
+ 'void', 'ushort', 'ulong', 'uint', 'true', 'timespan', 'string', 'single',
+ 'short', 'sbyte', 'regex', 'object', 'null', 'long', 'int', 'false', 'duck',
+ 'double', 'decimal', 'date', 'char', 'callable', 'byte', 'bool'
+ ),
+ 14 => array(//Operator
+ 'not', 'or', 'and', 'is', 'isa',
+ ),
+ 15 => array(//Modifier
+ 'virtual', 'transient', 'static', 'partial', 'override', 'final', 'abstract'
+ ),
+ 16 => array(//Access
+ 'super', 'self'
+ ),
+ 17 => array(//Pass
+ 'pass'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '[|', '|]', '${', '(', ')', '[', ']', '{', '}', '!', '@', '%', '&', '*', '|', '/', '<', '>', '+', '-', ';'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => true,
+ 2 => true,
+ 3 => true,
+ 4 => true,
+ 5 => true,
+ 6 => true,
+ 7 => true,
+ 8 => true,
+ 9 => true,
+ 10 => true,
+ 11 => true,
+ 12 => true,
+ 13 => true,
+ 14 => true,
+ 15 => true,
+ 16 => true,
+ 17 => true
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color:green;font-weight:bold;',
+ 2 => 'color:navy;',
+ 3 => 'color:blue;font-weight:bold;',
+ 4 => 'color:#8B4513;',
+ 5 => 'color:teal;font-weight:bold;',
+ 6 => 'color:blue;font-weight:bold;',
+ 7 => 'color:blue;font-weight:bold;',
+ 8 => 'color:blue;font-weight:bold;',
+ 9 => 'color:maroon;',
+ 10 => 'color:maroon;',
+ 11 => 'color:purple;',
+ 12 => 'color:#4B0082;',
+ 13 => 'color:purple;font-weight:bold;',
+ 14 => 'color:#008B8B;font-weight:bold;',
+ 15 => 'color:brown;',
+ 16 => 'color:black;font-weight:bold;',
+ 17 => 'color:gray;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #999999; font-style: italic;',
+ 2 => 'color: #999999; font-style: italic;',
+ 'MULTI' => 'color: #008000; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #0000FF; font-weight: bold;',
+ 'HARD' => 'color: #0000FF; font-weight: bold;',
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #006400;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #008000;',
+ 'HARD' => 'color: #008000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #00008B;'
+ ),
+ 'METHODS' => array(
+ 0 => 'color: 000000;',
+ 1 => 'color: 000000;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #006400;'
+ ),
+ 'REGEXPS' => array(
+ #0 => 'color: #0066ff;'
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => '',
+ 5 => '',
+ 6 => '',
+ 7 => '',
+ 8 => '',
+ 9 => '',
+ 10 => '',
+ 11 => '',
+ 12 => '',
+ 13 => '',
+ 14 => '',
+ 15 => '',
+ 16 => '',
+ 17 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 0 => '.',
+ 1 => '::'
+ ),
+ 'REGEXPS' => array(
+ #0 => '%(@)?\/(?:(?(1)[^\/\\\\\r\n]+|[^\/\\\\\r\n \t]+)|\\\\[\/\\\\\w+()|.*?$^[\]{}\d])+\/%'
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'TAB_WIDTH' => 4
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/c.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/c.php
new file mode 100644
index 000000000..86f576ef6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/c.php
@@ -0,0 +1,188 @@
+<?php
+/*************************************************************************************
+ * c.php
+ * -----
+ * Author: Nigel McNie (nigel@geshi.org)
+ * Contributors:
+ * - Jack Lloyd (lloyd@randombit.net)
+ * - Michael Mol (mikemol@gmail.com)
+ * Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/06/04
+ *
+ * C language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2009/01/22 (1.0.8.3)
+ * - Made keywords case-sensitive.
+ * 2008/05/23 (1.0.7.22)
+ * - Added description of extra language features (SF#1970248)
+ * 2004/XX/XX (1.0.4)
+ * - Added a couple of new keywords (Jack Lloyd)
+ * 2004/11/27 (1.0.3)
+ * - Added support for multiple object splitters
+ * 2004/10/27 (1.0.2)
+ * - Added support for URLs
+ * 2004/08/05 (1.0.1)
+ * - Added support for symbols
+ * 2004/07/14 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2009/02/08)
+ * -------------------------
+ * - Get a list of inbuilt functions to add (and explore C more
+ * to complete this rather bare language file
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'C',
+ 'COMMENT_SINGLE' => array(1 => '//', 2 => '#'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'COMMENT_REGEXP' => array(
+ //Multiline-continued single-line comments
+ 1 => '/\/\/(?:\\\\\\\\|\\\\\\n|.)*$/m',
+ //Multiline-continued preprocessor define
+ 2 => '/#(?:\\\\\\\\|\\\\\\n|.)*$/m'
+ ),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '',
+ 'ESCAPE_REGEXP' => array(
+ //Simple Single Char Escapes
+ 1 => "#\\\\[\\\\abfnrtv\'\"?\n]#i",
+ //Hexadecimal Char Specs
+ 2 => "#\\\\x[\da-fA-F]{2}#",
+ //Hexadecimal Char Specs
+ 3 => "#\\\\u[\da-fA-F]{4}#",
+ //Hexadecimal Char Specs
+ 4 => "#\\\\U[\da-fA-F]{8}#",
+ //Octal Char Specs
+ 5 => "#\\\\[0-7]{1,3}#"
+ ),
+ 'NUMBERS' =>
+ GESHI_NUMBER_INT_BASIC | GESHI_NUMBER_INT_CSTYLE | GESHI_NUMBER_BIN_PREFIX_0B |
+ GESHI_NUMBER_OCT_PREFIX | GESHI_NUMBER_HEX_PREFIX | GESHI_NUMBER_FLT_NONSCI |
+ GESHI_NUMBER_FLT_NONSCI_F | GESHI_NUMBER_FLT_SCI_SHORT | GESHI_NUMBER_FLT_SCI_ZERO,
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'if', 'return', 'while', 'case', 'continue', 'default',
+ 'do', 'else', 'for', 'switch', 'goto'
+ ),
+ 2 => array(
+ 'null', 'false', 'break', 'true', 'function', 'enum', 'extern', 'inline'
+ ),
+ 3 => array(
+ 'printf', 'cout'
+ ),
+ 4 => array(
+ 'auto', 'char', 'const', 'double', 'float', 'int', 'long',
+ 'register', 'short', 'signed', 'sizeof', 'static', 'string', 'struct',
+ 'typedef', 'union', 'unsigned', 'void', 'volatile', 'wchar_t'
+ ),
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '{', '}', '[', ']',
+ '+', '-', '*', '/', '%',
+ '=', '<', '>',
+ '!', '^', '&', '|',
+ '?', ':',
+ ';', ','
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => true,
+ 2 => true,
+ 3 => true,
+ 4 => true,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #b1b100;',
+ 2 => 'color: #000000; font-weight: bold;',
+ 3 => 'color: #000066;',
+ 4 => 'color: #993333;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #666666; font-style: italic;',
+ 2 => 'color: #339933;',
+ 'MULTI' => 'color: #808080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;',
+ 1 => 'color: #000099; font-weight: bold;',
+ 2 => 'color: #660099; font-weight: bold;',
+ 3 => 'color: #660099; font-weight: bold;',
+ 4 => 'color: #660099; font-weight: bold;',
+ 5 => 'color: #006699; font-weight: bold;',
+ 'HARD' => '',
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #009900;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #0000dd;',
+ GESHI_NUMBER_BIN_PREFIX_0B => 'color: #208080;',
+ GESHI_NUMBER_OCT_PREFIX => 'color: #208080;',
+ GESHI_NUMBER_HEX_PREFIX => 'color: #208080;',
+ GESHI_NUMBER_FLT_SCI_SHORT => 'color:#800080;',
+ GESHI_NUMBER_FLT_SCI_ZERO => 'color:#800080;',
+ GESHI_NUMBER_FLT_NONSCI_F => 'color:#800080;',
+ GESHI_NUMBER_FLT_NONSCI => 'color:#800080;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #202020;',
+ 2 => 'color: #202020;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #339933;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => 'http://www.opengroup.org/onlinepubs/009695399/functions/{FNAMEL}.html',
+ 4 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.',
+ 2 => '::'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'TAB_WIDTH' => 4
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/c_mac.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/c_mac.php
new file mode 100644
index 000000000..46a3600fe
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/c_mac.php
@@ -0,0 +1,212 @@
+<?php
+/*************************************************************************************
+ * c_mac.php
+ * ---------
+ * Author: M. Uli Kusterer (witness.of.teachtext@gmx.net)
+ * Copyright: (c) 2004 M. Uli Kusterer, Nigel McNie (http://qbnz.com/highlighter/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/06/04
+ *
+ * C for Macs language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/05/23 (1.0.7.22)
+ * - Added description of extra language features (SF#1970248)
+ * 2004/11/27
+ * - First Release
+ *
+ * TODO (updated 2004/11/27)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'C (Mac)',
+ 'COMMENT_SINGLE' => array(1 => '//', 2 => '#'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'COMMENT_REGEXP' => array(
+ //Multiline-continued single-line comments
+ 1 => '/\/\/(?:\\\\\\\\|\\\\\\n|.)*$/m',
+ //Multiline-continued preprocessor define
+ 2 => '/#(?:\\\\\\\\|\\\\\\n|.)*$/m'
+ ),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '',
+ 'ESCAPE_REGEXP' => array(
+ //Simple Single Char Escapes
+ 1 => "#\\\\[\\\\abfnrtv\'\"?\n]#i",
+ //Hexadecimal Char Specs
+ 2 => "#\\\\x[\da-fA-F]{2}#",
+ //Hexadecimal Char Specs
+ 3 => "#\\\\u[\da-fA-F]{4}#",
+ //Hexadecimal Char Specs
+ 4 => "#\\\\U[\da-fA-F]{8}#",
+ //Octal Char Specs
+ 5 => "#\\\\[0-7]{1,3}#"
+ ),
+ 'NUMBERS' =>
+ GESHI_NUMBER_INT_BASIC | GESHI_NUMBER_INT_CSTYLE | GESHI_NUMBER_BIN_PREFIX_0B |
+ GESHI_NUMBER_OCT_PREFIX | GESHI_NUMBER_HEX_PREFIX | GESHI_NUMBER_FLT_NONSCI |
+ GESHI_NUMBER_FLT_NONSCI_F | GESHI_NUMBER_FLT_SCI_SHORT | GESHI_NUMBER_FLT_SCI_ZERO,
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'if', 'return', 'while', 'case', 'continue', 'default',
+ 'do', 'else', 'for', 'switch', 'goto'
+ ),
+ 2 => array(
+ 'NULL', 'false', 'break', 'true', 'enum', 'errno', 'EDOM',
+ 'ERANGE', 'FLT_RADIX', 'FLT_ROUNDS', 'FLT_DIG', 'DBL_DIG', 'LDBL_DIG',
+ 'FLT_EPSILON', 'DBL_EPSILON', 'LDBL_EPSILON', 'FLT_MANT_DIG', 'DBL_MANT_DIG',
+ 'LDBL_MANT_DIG', 'FLT_MAX', 'DBL_MAX', 'LDBL_MAX', 'FLT_MAX_EXP', 'DBL_MAX_EXP',
+ 'LDBL_MAX_EXP', 'FLT_MIN', 'DBL_MIN', 'LDBL_MIN', 'FLT_MIN_EXP', 'DBL_MIN_EXP',
+ 'LDBL_MIN_EXP', 'CHAR_BIT', 'CHAR_MAX', 'CHAR_MIN', 'SCHAR_MAX', 'SCHAR_MIN',
+ 'UCHAR_MAX', 'SHRT_MAX', 'SHRT_MIN', 'USHRT_MAX', 'INT_MAX', 'INT_MIN',
+ 'UINT_MAX', 'LONG_MAX', 'LONG_MIN', 'ULONG_MAX', 'HUGE_VAL', 'SIGABRT',
+ 'SIGFPE', 'SIGILL', 'SIGINT', 'SIGSEGV', 'SIGTERM', 'SIG_DFL', 'SIG_ERR',
+ 'SIG_IGN', 'BUFSIZ', 'EOF', 'FILENAME_MAX', 'FOPEN_MAX', 'L_tmpnam',
+ 'SEEK_CUR', 'SEEK_END', 'SEEK_SET', 'TMP_MAX', 'stdin', 'stdout', 'stderr',
+ 'EXIT_FAILURE', 'EXIT_SUCCESS', 'RAND_MAX', 'CLOCKS_PER_SEC',
+ // Mac-specific constants:
+ 'kCFAllocatorDefault'
+ ),
+ 3 => array(
+ 'printf', 'fprintf', 'snprintf', 'sprintf', 'assert',
+ 'isalnum', 'isalpha', 'isdigit', 'iscntrl', 'isgraph', 'islower', 'isprint',
+ 'ispunct', 'isspace', 'isupper', 'isxdigit', 'tolower', 'toupper',
+ 'exp', 'log', 'log10', 'pow', 'sqrt', 'ceil', 'floor', 'fabs', 'ldexp',
+ 'frexp', 'modf', 'fmod', 'sin', 'cos', 'tan', 'asin', 'acos', 'atan', 'atan2',
+ 'sinh', 'cosh', 'tanh', 'setjmp', 'longjmp',
+ 'va_start', 'va_arg', 'va_end', 'offsetof', 'sizeof', 'fopen', 'freopen',
+ 'fflush', 'fclose', 'remove', 'rename', 'tmpfile', 'tmpname', 'setvbuf',
+ 'setbuf', 'vfprintf', 'vprintf', 'vsprintf', 'fscanf', 'scanf', 'sscanf',
+ 'fgetc', 'fgets', 'fputc', 'fputs', 'getc', 'getchar', 'gets', 'putc',
+ 'putchar', 'puts', 'ungetc', 'fread', 'fwrite', 'fseek', 'ftell', 'rewind',
+ 'fgetpos', 'fsetpos', 'clearerr', 'feof', 'ferror', 'perror', 'abs', 'labs',
+ 'div', 'ldiv', 'atof', 'atoi', 'atol', 'strtod', 'strtol', 'strtoul', 'calloc',
+ 'malloc', 'realloc', 'free', 'abort', 'exit', 'atexit', 'system', 'getenv',
+ 'bsearch', 'qsort', 'rand', 'srand', 'strcpy', 'strncpy', 'strcat', 'strncat',
+ 'strcmp', 'strncmp', 'strcoll', 'strchr', 'strrchr', 'strspn', 'strcspn',
+ 'strpbrk', 'strstr', 'strlen', 'strerror', 'strtok', 'strxfrm', 'memcpy',
+ 'memmove', 'memcmp', 'memchr', 'memset', 'clock', 'time', 'difftime', 'mktime',
+ 'asctime', 'ctime', 'gmtime', 'localtime', 'strftime'
+ ),
+ 4 => array(
+ 'auto', 'char', 'const', 'double', 'float', 'int', 'long',
+ 'register', 'short', 'signed', 'static', 'string', 'struct',
+ 'typedef', 'union', 'unsigned', 'void', 'volatile', 'extern', 'jmp_buf',
+ 'signal', 'raise', 'va_list', 'ptrdiff_t', 'size_t', 'FILE', 'fpos_t',
+ 'div_t', 'ldiv_t', 'clock_t', 'time_t', 'tm',
+ // Mac-specific types:
+ 'CFArrayRef', 'CFDictionaryRef', 'CFMutableDictionaryRef', 'CFBundleRef', 'CFSetRef', 'CFStringRef',
+ 'CFURLRef', 'CFLocaleRef', 'CFDateFormatterRef', 'CFNumberFormatterRef', 'CFPropertyListRef',
+ 'CFTreeRef', 'CFWriteStreamRef', 'CFCharacterSetRef', 'CFMutableStringRef', 'CFNotificationRef',
+ 'CFReadStreamRef', 'CFNull', 'CFAllocatorRef', 'CFBagRef', 'CFBinaryHeapRef',
+ 'CFBitVectorRef', 'CFBooleanRef', 'CFDataRef', 'CFDateRef', 'CFMachPortRef', 'CFMessagePortRef',
+ 'CFMutableArrayRef', 'CFMutableBagRef', 'CFMutableBitVectorRef', 'CFMutableCharacterSetRef',
+ 'CFMutableDataRef', 'CFMutableSetRef', 'CFNumberRef', 'CFPlugInRef', 'CFPlugInInstanceRef',
+ 'CFRunLoopRef', 'CFRunLoopObserverRef', 'CFRunLoopSourceRef', 'CFRunLoopTimerRef', 'CFSocketRef',
+ 'CFTimeZoneRef', 'CFTypeRef', 'CFUserNotificationRef', 'CFUUIDRef', 'CFXMLNodeRef', 'CFXMLParserRef',
+ 'CFXMLTreeRef'
+ ),
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '{', '}', '[', ']', '=', '+', '-', '*', '/', '!', '%', '^', '&', ':'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => true,
+ 2 => true,
+ 3 => true,
+ 4 => true,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #0000ff;',
+ 2 => 'color: #0000ff;',
+ 3 => 'color: #0000dd;',
+ 4 => 'color: #0000ff;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #ff0000;',
+ 2 => 'color: #339900;',
+ 'MULTI' => 'color: #ff0000; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;',
+ 1 => 'color: #000099; font-weight: bold;',
+ 2 => 'color: #660099; font-weight: bold;',
+ 3 => 'color: #660099; font-weight: bold;',
+ 4 => 'color: #660099; font-weight: bold;',
+ 5 => 'color: #006699; font-weight: bold;',
+ 'HARD' => '',
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #000000;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #666666;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #0000dd;',
+ GESHI_NUMBER_BIN_PREFIX_0B => 'color: #208080;',
+ GESHI_NUMBER_OCT_PREFIX => 'color: #208080;',
+ GESHI_NUMBER_HEX_PREFIX => 'color: #208080;',
+ GESHI_NUMBER_FLT_SCI_SHORT => 'color:#800080;',
+ GESHI_NUMBER_FLT_SCI_ZERO => 'color:#800080;',
+ GESHI_NUMBER_FLT_NONSCI_F => 'color:#800080;',
+ GESHI_NUMBER_FLT_NONSCI => 'color:#800080;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #00eeff;',
+ 2 => 'color: #00eeff;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #000000;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => 'http://www.opengroup.org/onlinepubs/009695399/functions/{FNAMEL}.html',
+ 4 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.',
+ 2 => '::'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'TAB_WIDTH' => 4
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/caddcl.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/caddcl.php
new file mode 100644
index 000000000..12e1d3b75
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/caddcl.php
@@ -0,0 +1,126 @@
+<?php
+/*************************************************************************************
+ * caddcl.php
+ * ----------
+ * Author: Roberto Rossi (rsoftware@altervista.org)
+ * Copyright: (c) 2004 Roberto Rossi (http://rsoftware.altervista.org), Nigel McNie (http://qbnz.com/highlighter)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/08/30
+ *
+ * CAD DCL (Dialog Control Language) language file for GeSHi.
+ *
+ * DCL for AutoCAD 12 or later and IntelliCAD all versions.
+ *
+ * CHANGES
+ * -------
+ * 2004/11/27 (1.0.1)
+ * - Added support for multiple object splitters
+ * 2004/1!/27 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2004/11/27)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'CAD DCL',
+ 'COMMENT_SINGLE' => array(1 => '//'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'boxed_column','boxed_radio_column','boxed_radio_row','boxed_row',
+ 'column','concatenation','button','dialog','edit_box','image','image_button',
+ 'errtile','list_box','ok_cancel','ok_cancel_help','ok_cancel_help_errtile',
+ 'ok_cancel_help_info','ok_only','paragraph','popup_list','radio_button',
+ 'radio_column','radio_row','row','slider','spacer','spacer_0','spacer_1','text',
+ 'text_part','toggle',
+ 'action','alignment','allow_accept','aspect_ratio','big_increment',
+ 'children_alignment','children_fixed_height',
+ 'children_fixed_width','color',
+ 'edit_limit','edit_width','fixed_height','fixed_width',
+ 'height','initial_focus','is_cancel','is_default',
+ 'is_enabled','is_tab_stop','is-bold','key','label','layout','list',
+ 'max_value','min_value','mnemonic','multiple_select','password_char',
+ 'small_increment','tabs','tab_truncate','value','width',
+ 'false','true','left','right','centered','top','bottom',
+ 'dialog_line','dialog_foreground','dialog_background',
+ 'graphics_background','black','red','yellow','green','cyan',
+ 'blue','magenta','whitegraphics_foreground',
+ 'horizontal','vertical'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '{', '}', '[', ']', '=', '+', '-', '*', '/', '!', '%', '^', '&', ':'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #b1b100;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #808080; font-style: italic;',
+ 'MULTI' => 'color: #808080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/cadlisp.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/cadlisp.php
new file mode 100644
index 000000000..c537370d5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/cadlisp.php
@@ -0,0 +1,186 @@
+<?php
+/*************************************************************************************
+ * cadlisp.php
+ * -----------
+ * Author: Roberto Rossi (rsoftware@altervista.org)
+ * Copyright: (c) 2004 Roberto Rossi (http://rsoftware.altervista.org), Nigel McNie (http://qbnz.com/blog)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/08/30
+ *
+ * AutoCAD/IntelliCAD Lisp language file for GeSHi.
+ *
+ * For AutoCAD V.12..2005 and IntelliCAD all versions.
+ *
+ * CHANGES
+ * -------
+ * 2004/11/27 (1.0.1)
+ * - Added support for multiple object splitters
+ * 2004/10/27 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2004/11/27)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'CAD Lisp',
+ 'COMMENT_SINGLE' => array(1 => ";"),
+ 'COMMENT_MULTI' => array(";|" => "|;"),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'abs','acad_colordlg','acad_helpdlg','acad_strlsort','action_tile',
+ 'add_list','alert','alloc','and','angle','angtof','angtos','append','apply',
+ 'arx','arxload','arxunload','ascii','assoc','atan','atof','atoi','atom',
+ 'atoms-family','autoarxload','autoload','Boole','boundp','caddr',
+ 'cadr','car','cdr','chr','client_data_tile','close','command','cond',
+ 'cons','cos','cvunit','defun','defun-q','defun-q-list-ref',
+ 'defun-q-list-set','dictadd','dictnext','dictremove','dictrename',
+ 'dictsearch','dimx_tile','dimy_tile','distance','distof','done_dialog',
+ 'end_image','end_list','entdel','entget','entlast','entmake',
+ 'entmakex','entmod','entnext','entsel','entupd','eq','equal','eval','exit',
+ 'exp','expand','expt','fill_image','findfile','fix','float','foreach','function',
+ 'gc','gcd','get_attr','get_tile','getangle','getcfg','getcname','getcorner',
+ 'getdist','getenv','getfiled','getint','getkword','getorient','getpoint',
+ 'getreal','getstring','getvar','graphscr','grclear','grdraw','grread','grtext',
+ 'grvecs','handent','help','if','initdia','initget','inters','itoa','lambda','last',
+ 'layoutlist','length','list','listp','load','load_dialog','log','logand','logior',
+ 'lsh','mapcar','max','mem','member','menucmd','menugroup','min','minusp','mode_tile',
+ 'namedobjdict','nentsel','nentselp','new_dialog','nil','not','nth','null',
+ 'numberp','open','or','osnap','polar','prin1','princ','print','progn','prompt',
+ 'quit','quote','read','read-char','read-line','redraw','regapp','rem','repeat',
+ 'reverse','rtos','set','set_tile','setcfg','setenv','setfunhelp','setq','setvar',
+ 'setview','sin','slide_image','snvalid','sqrt','ssadd','ssdel','ssget','ssgetfirst',
+ 'sslength','ssmemb','ssname','ssnamex','sssetfirst','start_dialog','start_image',
+ 'start_list','startapp','strcase','strcat','strlen','subst','substr','t','tablet',
+ 'tblnext','tblobjname','tblsearch','term_dialog','terpri','textbox','textpage',
+ 'textscr','trace','trans','type','unload_dialog','untrace','vector_image','ver',
+ 'vports','wcmatch','while','write-char','write-line','xdroom','xdsize','zerop',
+ 'vl-acad-defun','vl-acad-undefun','vl-arx-import','vlax-3D-point',
+ 'vlax-add-cmd','vlax-create-object','vlax-curve-getArea',
+ 'vlax-curve-getClosestPointTo','vlax-curve-getClosestPointToProjection',
+ 'vlax-curve-getDistAtParam','vlax-curve-getDistAtPoint',
+ 'vlax-curve-getEndParam','vlax-curve-getEndPoint',
+ 'vlax-curve-getFirstDeriv','vlax-curve-getParamAtDist',
+ 'vlax-curve-getParamAtPoint','vlax-curve-getPointAtDist',
+ 'vlax-curve-getPointAtParam','vlax-curve-getSecondDeriv',
+ 'vlax-curve-getStartParam','vlax-curve-getStartPoint',
+ 'vlax-curve-isClosed','vlax-curve-isPeriodic','vlax-curve-isPlanar',
+ 'vlax-dump-object','vlax-erased-p','vlax-for','vlax-get-acad-object',
+ 'vlax-get-object','vlax-get-or-create-object','vlax-get-property',
+ 'vlax-import-type-library','vlax-invoke-method','vlax-ldata-delete',
+ 'vlax-ldata-get','vlax-ldata-list','vlax-ldata-put','vlax-ldata-test',
+ 'vlax-make-safearray','vlax-make-variant','vlax-map-collection',
+ 'vlax-method-applicable-p','vlax-object-released-p','vlax-product-key',
+ 'vlax-property-available-p','vlax-put-property','vlax-read-enabled-p',
+ 'vlax-release-object','vlax-remove-cmd','vlax-safearray-fill',
+ 'vlax-safearray-get-dim','vlax-safearray-get-element',
+ 'vlax-safearray-get-l-bound','vlax-safearray-get-u-bound',
+ 'vlax-safearray-put-element','vlax-safearray-type','vlax-tmatrix',
+ 'vlax-typeinfo-available-p','vlax-variant-change-type',
+ 'vlax-variant-type','vlax-variant-value','vlax-write-enabled-p',
+ 'vl-bb-ref','vl-bb-set','vl-catch-all-apply','vl-catch-all-error-message',
+ 'vl-catch-all-error-p','vl-cmdf','vl-consp','vl-directory-files','vl-doc-export',
+ 'vl-doc-import','vl-doc-ref','vl-doc-set','vl-every','vl-exit-with-error',
+ 'vl-exit-with-value','vl-file-copy','vl-file-delete','vl-file-directory-p',
+ 'vl-filename-base','vl-filename-directory','vl-filename-extension',
+ 'vl-filename-mktemp','vl-file-rename','vl-file-size','vl-file-systime',
+ 'vl-get-resource','vlisp-compile','vl-list-exported-functions',
+ 'vl-list-length','vl-list-loaded-vlx','vl-load-all','vl-load-com',
+ 'vl-load-reactors','vl-member-if','vl-member-if-not','vl-position',
+ 'vl-prin1-to-string','vl-princ-to-string','vl-propagate','vlr-acdb-reactor',
+ 'vlr-add','vlr-added-p','vlr-beep-reaction','vlr-command-reactor',
+ 'vlr-current-reaction-name','vlr-data','vlr-data-set',
+ 'vlr-deepclone-reactor','vlr-docmanager-reactor','vlr-dwg-reactor',
+ 'vlr-dxf-reactor','vlr-editor-reactor','vl-registry-delete',
+ 'vl-registry-descendents','vl-registry-read','vl-registry-write',
+ 'vl-remove','vl-remove-if','vl-remove-if-not','vlr-insert-reactor',
+ 'vlr-linker-reactor','vlr-lisp-reactor','vlr-miscellaneous-reactor',
+ 'vlr-mouse-reactor','vlr-notification','vlr-object-reactor',
+ 'vlr-owner-add','vlr-owner-remove','vlr-owners','vlr-pers','vlr-pers-list',
+ 'vlr-pers-p','vlr-pers-release','vlr-reaction-names','vlr-reactions',
+ 'vlr-reaction-set','vlr-reactors','vlr-remove','vlr-remove-all',
+ 'vlr-set-notification','vlr-sysvar-reactor','vlr-toolbar-reactor',
+ 'vlr-trace-reaction','vlr-type','vlr-types','vlr-undo-reactor',
+ 'vlr-wblock-reactor','vlr-window-reactor','vlr-xref-reactor',
+ 'vl-some','vl-sort','vl-sort-i','vl-string-elt','vl-string-left-trim',
+ 'vl-string-mismatch','vl-string-position','vl-string-right-trim',
+ 'vl-string-search','vl-string-subst','vl-string-translate','vl-string-trim',
+ 'vl-symbol-name','vl-symbolp','vl-symbol-value','vl-unload-vlx','vl-vbaload',
+ 'vl-vbarun','vl-vlx-loaded-p'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '{', '}', '[', ']', '!', '%', '^', '&', '/','+','-','*','=','<','>'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #b1b100;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #808080; font-style: italic;',
+ 'MULTI' => 'color: #808080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/cfdg.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/cfdg.php
new file mode 100644
index 000000000..5091ffa4c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/cfdg.php
@@ -0,0 +1,124 @@
+<?php
+/*************************************************************************************
+ * cfdg.php
+ * --------
+ * Author: John Horigan <john@glyphic.com>
+ * Copyright: (c) 2006 John Horigan http://www.ozonehouse.com/john/
+ * Release Version: 1.0.8.4
+ * Date Started: 2006/03/11
+ *
+ * CFDG language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2006/03/11 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2006/03/11)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'CFDG',
+ 'COMMENT_SINGLE' => array(1 => '//', 2 => '#'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'include', 'startshape', 'rule', 'background'
+ ),
+ 2 => array(
+ 'SQUARE', 'CIRCLE', 'TRIANGLE',
+ ),
+ 3 => array(
+ 'b','brightness','h','hue','sat','saturation',
+ 'a','alpha','x','y','z','s','size',
+ 'r','rotate','f','flip','skew','xml_set_object'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '[', ']', '{', '}', '*', '|'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #717100;',
+ 2 => 'color: #000000; font-weight: bold;',
+ 3 => 'color: #006666;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #808080; font-style: italic;',
+ 2 => 'color: #808080; font-style: italic;',
+ 'MULTI' => 'color: #808080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #006600;',
+ 2 => 'color: #006600;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ 0 => '',
+ 1 => '',
+ 2 => '',
+ 3 => ''
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/cfm.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/cfm.php
new file mode 100644
index 000000000..ac81695ab
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/cfm.php
@@ -0,0 +1,299 @@
+<?php
+/*************************************************************************************
+ * cfm.php
+ * -------
+ * Author: Diego
+ * Copyright: (c) 2006 Diego
+ * Release Version: 1.0.8.4
+ * Date Started: 2006/02/25
+ *
+ * ColdFusion language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2006/02/25 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2006/02/25)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'ColdFusion',
+ 'COMMENT_SINGLE' => array(1 => '//'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ /* CFM Tags */
+ 1 => array(
+ 'cfabort', 'cfapplet', 'cfapplication', 'cfargument', 'cfassociate',
+ 'cfbreak', 'cfcache', 'cfcase', 'cfcatch', 'cfchart', 'cfchartdata',
+ 'cfchartseries', 'cfcol', 'cfcollection', 'cfcomponent',
+ 'cfcontent', 'cfcookie', 'cfdefaultcase', 'cfdirectory',
+ 'cfdocument', 'cfdocumentitem', 'cfdocumentsection', 'cfdump',
+ 'cfelse', 'cfelseif', 'cferror', 'cfexecute', 'cfexit', 'cffile',
+ 'cfflush', 'cfform', 'cfformgroup', 'cfformitem', 'cfftp',
+ 'cffunction', 'cfgrid', 'cfgridcolumn', 'cfgridrow', 'cfgridupdate',
+ 'cfheader', 'cfhtmlhead', 'cfhttp', 'cfhttpparam', 'cfif',
+ 'cfimport', 'cfinclude', 'cfindex', 'cfinput', 'cfinsert',
+ 'cfinvoke', 'cfinvokeargument', 'cfldap', 'cflocation', 'cflock',
+ 'cflog', 'cflogin', 'cfloginuser', 'cflogout', 'cfloop', 'cfmail',
+ 'cfmailparam', 'cfmailpart', 'cfmodule', 'cfNTauthenticate',
+ 'cfobject', 'cfobjectcache', 'cfoutput', 'cfparam', 'cfpop',
+ 'cfprocessingdirective', 'cfprocparam',
+ 'cfprocresult', 'cfproperty', 'cfquery', 'cfqueryparam',
+ 'cfregistry', 'cfreport', 'cfreportparam', 'cfrethrow', 'cfreturn',
+ 'cfsavecontent', 'cfschedule', 'cfscript', 'cfsearch', 'cfselect',
+ 'cfset', 'cfsetting', 'cfsilent', 'cfstoredproc',
+ 'cfswitch', 'cftable', 'cftextarea', 'cfthrow', 'cftimer',
+ 'cftrace', 'cftransaction', 'cftree', 'cftreeitem', 'cftry',
+ 'cfupdate', 'cfwddx'
+ ),
+ /* HTML Tags */
+ 2 => array(
+ 'a', 'abbr', 'acronym', 'address', 'applet',
+
+ 'base', 'basefont', 'bdo', 'big', 'blockquote', 'body', 'br', 'button', 'b',
+
+ 'caption', 'center', 'cite', 'code', 'colgroup', 'col',
+
+ 'dd', 'del', 'dfn', 'dir', 'div', 'dl', 'dt',
+
+ 'em',
+
+ 'fieldset', 'font', 'form', 'frame', 'frameset',
+
+ 'h1', 'h2', 'h3', 'h4', 'h5', 'h6', 'head', 'hr', 'html',
+
+ 'iframe', 'ilayer', 'img', 'input', 'ins', 'isindex', 'i',
+
+ 'kbd',
+
+ 'label', 'legend', 'link', 'li',
+
+ 'map', 'meta',
+
+ 'noframes', 'noscript',
+
+ 'object', 'ol', 'optgroup', 'option',
+
+ 'param', 'pre', 'p',
+
+ 'q',
+
+ 'samp', 'script', 'select', 'small', 'span', 'strike', 'strong', 'style', 'sub', 'sup', 's',
+
+ 'table', 'tbody', 'td', 'textarea', 'text', 'tfoot', 'thead', 'th', 'title', 'tr', 'tt',
+
+ 'ul', 'u',
+
+ 'var',
+ ),
+ /* HTML attributes */
+ 3 => array(
+ 'abbr', 'accept-charset', 'accept', 'accesskey', 'action', 'align', 'alink', 'alt', 'archive', 'axis',
+ 'background', 'bgcolor', 'border',
+ 'cellpadding', 'cellspacing', 'char', 'charoff', 'charset', 'checked', 'cite', 'class', 'classid', 'clear', 'code', 'codebase', 'codetype', 'color', 'cols', 'colspan', 'compact', 'content', 'coords',
+ 'data', 'datetime', 'declare', 'defer', 'dir', 'disabled',
+ 'enctype',
+ 'face', 'for', 'frame', 'frameborder',
+ 'headers', 'height', 'href', 'hreflang', 'hspace', 'http-equiv',
+ 'id', 'ismap',
+ 'label', 'lang', 'language', 'link', 'longdesc',
+ 'marginheight', 'marginwidth', 'maxlength', 'media', 'method', 'multiple',
+ 'name', 'nohref', 'noresize', 'noshade', 'nowrap',
+ 'object', 'onblur', 'onchange', 'onclick', 'ondblclick', 'onfocus', 'onkeydown', 'onkeypress', 'onkeyup', 'onload', 'onmousedown', 'onmousemove', 'onmouseout', 'onmouseover', 'onmouseup', 'onreset', 'onselect', 'onsubmit', 'onunload',
+ 'profile', 'prompt',
+ 'readonly', 'rel', 'rev', 'rowspan', 'rows', 'rules',
+ 'scheme', 'scope', 'scrolling', 'selected', 'shape', 'size', 'span', 'src', 'standby', 'start', 'style', 'summary',
+ 'tabindex', 'target', 'text', 'title', 'type',
+ 'usemap',
+ 'valign', 'value', 'valuetype', 'version', 'vlink', 'vspace',
+ 'width'
+ ),
+ /* CFM Script delimeters */
+ 4 => array(
+ 'var', 'function', 'while', 'if','else'
+ ),
+ /* CFM Functions */
+ 5 => array(
+ 'Abs', 'GetFunctionList', 'LSTimeFormat','ACos','GetGatewayHelper','LTrim','AddSOAPRequestHeader','GetHttpRequestData',
+ 'Max','AddSOAPResponseHeader','GetHttpTimeString','Mid','ArrayAppend','GetLocale','Min','ArrayAvg','GetLocaleDisplayName',
+ 'Minute','ArrayClear','GetMetaData','Month','ArrayDeleteAt','GetMetricData','MonthAsString','ArrayInsertAt','GetPageContext',
+ 'Now','ArrayIsEmpty','GetProfileSections','NumberFormat','ArrayLen','GetProfileString','ParagraphFormat','ArrayMax',
+ 'GetLocalHostIP','ParseDateTime','ArrayMin','GetSOAPRequest','Pi','ArrayNew','GetSOAPRequestHeader','PreserveSingleQuotes',
+ 'ArrayPrepend','GetSOAPResponse','Quarter','ArrayResize','GetSOAPResponseHeader','QueryAddColumn','ArraySet',
+ 'GetTempDirectory','QueryAddRow','ArraySort','QueryNew','ArraySum','GetTempFile','QuerySetCell',
+ 'ArraySwap','GetTickCount','QuotedValueList','ArrayToList','GetTimeZoneInfo','Rand','Asc','GetToken','Randomize',
+ 'ASin','Hash','RandRange','Atn','Hour','REFind','BinaryDecode','HTMLCodeFormat','REFindNoCase','BinaryEncode',
+ 'HTMLEditFormat','ReleaseComObject','BitAnd','IIf','RemoveChars','BitMaskClear','IncrementValue','RepeatString',
+ 'BitMaskRead','InputBaseN','Replace','BitMaskSet','Insert','ReplaceList','BitNot','Int','ReplaceNoCase','BitOr',
+ 'IsArray','REReplace','BitSHLN','IsBinary','REReplaceNoCase','BitSHRN','IsBoolean','Reverse','BitXor','IsCustomFunction',
+ 'Right','Ceiling','IsDate','RJustify','CharsetDecode','IsDebugMode','Round','CharsetEncode','IsDefined','RTrim',
+ 'Chr','IsLeapYear','Second','CJustify','IsLocalHost','SendGatewayMessage','Compare','IsNumeric','SetEncoding',
+ 'CompareNoCase','IsNumericDate','SetLocale','Cos','IsObject','SetProfileString','CreateDate','IsQuery','SetVariable',
+ 'CreateDateTime','IsSimpleValue','Sgn','CreateObject','IsSOAPRequest','Sin','CreateODBCDate','IsStruct','SpanExcluding',
+ 'CreateODBCDateTime','IsUserInRole','SpanIncluding','CreateODBCTime','IsValid','Sqr','CreateTime','IsWDDX','StripCR',
+ 'CreateTimeSpan','IsXML','StructAppend','CreateUUID','IsXmlAttribute','StructClear','DateAdd','IsXmlDoc','StructCopy',
+ 'DateCompare','IsXmlElem','StructCount','DateConvert','IsXmlNode','StructDelete','DateDiff','IsXmlRoot','StructFind',
+ 'DateFormat','JavaCast','StructFindKey','DatePart','JSStringFormat','StructFindValue','Day','LCase','StructGet',
+ 'DayOfWeek','Left','StructInsert','DayOfWeekAsString','Len','StructIsEmpty','DayOfYear','ListAppend','StructKeyArray',
+ 'DaysInMonth','ListChangeDelims','StructKeyExists','DaysInYear','ListContains','StructKeyList','DE','ListContainsNoCase',
+ 'StructNew','DecimalFormat','ListDeleteAt','StructSort','DecrementValue','ListFind','StructUpdate','Decrypt','ListFindNoCase',
+ 'Tan','DecryptBinary','ListFirst','TimeFormat','DeleteClientVariable','ListGetAt','ToBase64','DirectoryExists',
+ 'ListInsertAt','ToBinary','DollarFormat','ListLast','ToScript','Duplicate','ListLen','ToString','Encrypt','ListPrepend',
+ 'Trim','EncryptBinary','ListQualify','UCase','Evaluate','ListRest','URLDecode','Exp','ListSetAt','URLEncodedFormat',
+ 'ExpandPath','ListSort','URLSessionFormat','FileExists','ListToArray','Val','Find','ListValueCount','ValueList',
+ 'FindNoCase','ListValueCountNoCase','Week','FindOneOf','LJustify','Wrap','FirstDayOfMonth','Log','WriteOutput',
+ 'Fix','Log10','XmlChildPos','FormatBaseN','LSCurrencyFormat','XmlElemNew','GetAuthUser','LSDateFormat','XmlFormat',
+ 'GetBaseTagData','LSEuroCurrencyFormat','XmlGetNodeType','GetBaseTagList','LSIsCurrency','XmlNew','GetBaseTemplatePath',
+ 'LSIsDate','XmlParse','GetClientVariablesList','LSIsNumeric','XmlSearch','GetCurrentTemplatePath','LSNumberFormat',
+ 'XmlTransform','GetDirectoryFromPath','LSParseCurrency','XmlValidate','GetEncoding','LSParseDateTime','Year',
+ 'GetException','LSParseEuroCurrency','YesNoFormat','GetFileFromPath','LSParseNumber'
+ ),
+ /* CFM Attributes */
+ 6 => array(
+ 'dbtype','connectstring','datasource','username','password','query','delimeter','description','required','hint','default','access','from','to','list','index'
+ ),
+ 7 => array(
+ 'EQ', 'GT', 'LT', 'GTE', 'LTE', 'IS', 'LIKE', 'NEQ'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '/', '=', '{', '}', '(', ')', '[', ']', '<', '>', '&'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => false,
+ 5 => false,
+ 6 => false,
+ 7 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #990000; font-weight: bold;',
+ 2 => 'color: #000000; font-weight: bold;',
+ 3 => 'color: #0000FF;',
+ 4 => 'color: #000000; font-weight: bold;',
+ 5 => 'color: #0000FF;',
+ 6 => 'color: #0000FF;',
+ 7 => 'color: #0000FF;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #808080; font-style: italic;',
+ 'MULTI' => 'color: #808080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #0000FF;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #009900;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #FF0000;'
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #0000FF;'
+ ),
+ 'SCRIPT' => array(
+ 0 => 'color: #808080; font-style: italic;',
+ 1 => 'color: #00bbdd;',
+ 2 => 'color: #0000FF;',
+ 3 => 'color: #000099;',
+ 4 => 'color: #333333;',
+ 5 => 'color: #333333;'
+ ),
+ 'REGEXPS' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => 'http://december.com/html/4/element/{FNAMEL}.html',
+ 3 => '',
+ 4 => '',
+ 5 => '',
+ 6 => '',
+ 7 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_ALWAYS,
+ 'SCRIPT_DELIMITERS' => array(
+ 0 => array(
+ '<!--' => '-->'
+ ),
+ 1 => array(
+ '<!DOCTYPE' => '>'
+ ),
+ 2 => "/(?!<#)(?:(?:##)*)(#)[a-zA-Z0-9_\.\(\)]+(#)/",
+ 3 => array(
+ '<cfscript>' => '</cfscript>'
+ ),
+ 4 => array(
+ '<' => '>'
+ ),
+ 5 => '/((?!<!)<)(?:"[^"]*"|\'[^\']*\'|(?R)|[^">])+?(>)/si'
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ 0 => false,
+ 1 => false,
+ 2 => true,
+ 3 => true,
+ 4 => true,
+ 5 => true
+ ),
+ 'PARSER_CONTROL' => array(
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'DISALLOWED_BEFORE' => '(?<=&lt;|&lt;\/)',
+ 'DISALLOWED_AFTER' => '(?=\s|\/|&gt;)',
+ ),
+ 2 => array(
+ 'DISALLOWED_BEFORE' => '(?<=&lt;|&lt;\/)',
+ 'DISALLOWED_AFTER' => '(?=\s|\/|&gt;)',
+ ),
+ 3 => array(
+ 'DISALLOWED_BEFORE' => '(?<![a-zA-Z0-9\$_\|\#>|^])', // allow ; before keywords
+ 'DISALLOWED_AFTER' => '(?![a-zA-Z0-9_\|%\\-])', // allow & after keywords
+ ),
+ 7 => array(
+ 'DISALLOWED_BEFORE' => '(?<![a-zA-Z0-9\$_\|\#>&|^])', // allow ; before keywords
+ 'DISALLOWED_AFTER' => '(?![a-zA-Z0-9_\|%\\-])', // allow & after keywords
+ )
+ )
+ )
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/cil.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/cil.php
new file mode 100644
index 000000000..994f86316
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/cil.php
@@ -0,0 +1,196 @@
+<?php
+/*************************************************************************************
+ * cil.php
+ * --------
+ * Author: Marcus Griep (neoeinstein+GeSHi@gmail.com)
+ * Copyright: (c) 2007 Marcus Griep (http://www.xpdm.us)
+ * Release Version: 1.0.8.4
+ * Date Started: 2007/10/24
+ *
+ * CIL (Common Intermediate Language) language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2004/10/24 (1.0.8)
+ * - First Release
+ *
+ * TODO (updated 2007/10/24)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'CIL',
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'COMMENT_SINGLE' => array('//'),
+ 'COMMENT_MULTI' => array(),
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(//Dotted
+ '.zeroinit', '.vtfixup', '.vtentry', '.vtable', '.ver', '.try', '.subsystem', '.size', '.set', '.removeon',
+ '.publickeytoken', '.publickey', '.property', '.permissionset', '.permission', '.pdirect', '.param', '.pack',
+ '.override', '.other', '.namespace', '.mresource', '.module', '.method', '.maxstack', '.manifestres', '.locals',
+ '.localized', '.locale', '.line', '.language', '.import', '.imagebase', '.hash', '.get', '.fire', '.file', '.field',
+ '.export', '.event', '.entrypoint', '.emitbyte', '.data', '.custom', '.culture', '.ctor', '.corflags', '.class',
+ '.cctor', '.assembly', '.addon'
+ ),
+ 2 => array(//Attributes
+ 'wrapper', 'with', 'winapi', 'virtual', 'vector', 'vararg', 'value', 'userdefined', 'unused', 'unmanagedexp',
+ 'unmanaged', 'unicode', 'to', 'tls', 'thiscall', 'synchronized', 'struct', 'strict', 'storage', 'stdcall',
+ 'static', 'specialname', 'special', 'serializable', 'sequential', 'sealed', 'runtime', 'rtspecialname', 'request',
+ 'reqsecobj', 'reqrefuse', 'reqopt', 'reqmin', 'record', 'public', 'privatescope', 'private', 'preservesig',
+ 'prejitgrant', 'prejitdeny', 'platformapi', 'pinvokeimpl', 'pinned', 'permitonly', 'out', 'optil', 'opt',
+ 'notserialized', 'notremotable', 'not_in_gc_heap', 'noprocess', 'noncaslinkdemand', 'noncasinheritance',
+ 'noncasdemand', 'nometadata', 'nomangle', 'nomachine', 'noinlining', 'noappdomain', 'newslot', 'nested', 'native',
+ 'modreq', 'modopt', 'marshal', 'managed', 'literal', 'linkcheck', 'lcid', 'lasterr', 'internalcall', 'interface',
+ 'instance', 'initonly', 'init', 'inheritcheck', 'in', 'import', 'implicitres', 'implicitcom', 'implements',
+ 'illegal', 'il', 'hidebysig', 'handler', 'fromunmanaged', 'forwardref', 'fixed', 'finally', 'final', 'filter',
+ 'filetime', 'field', 'fault', 'fastcall', 'famorassem', 'family', 'famandassem', 'extern', 'extends', 'explicit',
+ 'error', 'enum', 'endmac', 'deny', 'demand', 'default', 'custom', 'compilercontrolled', 'clsid', 'class', 'cil',
+ 'cf', 'cdecl', 'catch', 'beforefieldinit', 'autochar', 'auto', 'at', 'assert', 'assembly', 'as', 'any', 'ansi',
+ 'alignment', 'algorithm', 'abstract'
+ ),
+ 3 => array(//Types
+ 'wchar', 'void', 'variant', 'unsigned', 'valuetype', 'typedref', 'tbstr', 'sysstring', 'syschar', 'string',
+ 'streamed_object', 'stream', 'stored_object', 'safearray', 'objectref', 'object', 'nullref', 'method', 'lpwstr',
+ 'lpvoid', 'lptstr', 'lpstruct', 'lpstr', 'iunknown', 'int64', 'int32', 'int16', 'int8', 'int', 'idispatch',
+ 'hresult', 'float64', 'float32', 'float', 'decimal', 'date', 'currency', 'char', 'carray', 'byvalstr',
+ 'bytearray', 'boxed', 'bool', 'blob_object', 'blob', 'array'
+ ),
+ 4 => array(//Prefix
+ 'volatile', 'unaligned', 'tail', 'readonly', 'no', 'constrained'
+ ),
+ 5 => array(//Suffix
+ 'un', 'u8', 'u4', 'u2', 'u1', 'u', 's', 'ref', 'r8', 'r4', 'm1', 'i8', 'i4', 'i2', 'i1', 'i'#, '.8', '.7', '.6', '.5', '.4', '.3', '.2', '.1', '.0'
+ ),
+ 6 => array(//Base
+ 'xor', 'switch', 'sub', 'stloc',
+ 'stind', 'starg',
+ 'shr', 'shl', 'ret', 'rem', 'pop', 'or', 'not', 'nop', 'neg', 'mul',
+ 'localloc', 'leave', 'ldnull', 'ldloca',
+ 'ldloc', 'ldind', 'ldftn', 'ldc', 'ldarga',
+ 'ldarg', 'jmp', 'initblk', 'endfinally', 'endfilter',
+ 'endfault', 'dup', 'div', 'cpblk', 'conv', 'clt', 'ckfinite', 'cgt', 'ceq', 'calli',
+ 'call', 'brzero', 'brtrue', 'brnull', 'brinst',
+ 'brfalse', 'break', 'br', 'bne', 'blt', 'ble', 'bgt', 'bge', 'beq', 'arglist',
+ 'and', 'add'
+ ),
+ 7 => array(//Object
+ 'unbox.any', 'unbox', 'throw', 'stsfld', 'stobj', 'stfld', 'stelem', 'sizeof', 'rethrow', 'refanyval', 'refanytype', 'newobj',
+ 'newarr', 'mkrefany', 'ldvirtftn', 'ldtoken', 'ldstr', 'ldsflda', 'ldsfld', 'ldobj', 'ldlen', 'ldflda', 'ldfld',
+ 'ldelema', 'ldelem', 'isinst', 'initobj', 'cpobj', 'castclass',
+ 'callvirt', 'callmostderived', 'box'
+ ),
+ 8 => array(//Other
+ 'prefixref', 'prefix7', 'prefix6', 'prefix5', 'prefix4', 'prefix3', 'prefix2', 'prefix1', 'prefix0'
+ ),
+ 9 => array(//Literal
+ 'true', 'null', 'false'
+ ),
+ 10 => array(//Comment-like
+ '#line', '^THE_END^'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '[', ']', '{', '}', '!', '!!'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => true,
+ 2 => true,
+ 3 => true,
+ 4 => true,
+ 5 => true,
+ 6 => true,
+ 7 => true,
+ 8 => true,
+ 9 => true,
+ 10 => true
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color:maroon;font-weight:bold;',
+ 2 => 'color:blue;font-weight:bold;',
+ 3 => 'color:purple;font-weight:bold;',
+ 4 => 'color:teal;',
+ 5 => 'color:blue;',
+ 6 => 'color:blue;',
+ 7 => 'color:blue;',
+ 8 => 'color:blue;',
+ 9 => 'color:00008B',
+ 10 => 'color:gray'
+ ),
+ 'COMMENTS' => array(
+ 0 => 'color:gray;font-style:italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #008000; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #006400;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #008000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #00008B;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #000033;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #006400;'
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color:blue;'
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => '',
+ 5 => '',
+ 6 => '',
+ 7 => '',
+ 8 => '',
+ 9 => '',
+ 10 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '::'
+ ),
+ 'REGEXPS' => array(
+ 0 => '(?<=ldc\\.i4\\.)[0-8]|(?<=(?:ldarg|ldloc|stloc)\\.)[0-3]' # Pickup the opcodes that end with integers
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'TAB_WIDTH' => 4
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/cobol.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/cobol.php
new file mode 100644
index 000000000..9adae16e3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/cobol.php
@@ -0,0 +1,244 @@
+<?php
+/*************************************************************************************
+ * cobol.php
+ * ----------
+ * Author: BenBE (BenBE@omorphia.org)
+ * Copyright: (c) 2007-2008 BenBE (http://www.omorphia.de/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2007/07/02
+ *
+ * COBOL language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ *
+ * TODO (updated 2007/07/02)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'COBOL',
+ 'COMMENT_SINGLE' => array(),
+ 'COMMENT_MULTI' => array(),
+ 'COMMENT_REGEXP' => array(1 => '/^\*.*?$/m'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"', "'"),
+ 'ESCAPE_CHAR' => '\\',
+ 'NUMBERS' =>
+ GESHI_NUMBER_INT_BASIC |
+ GESHI_NUMBER_FLT_NONSCI |
+ GESHI_NUMBER_FLT_SCI_SHORT |
+ GESHI_NUMBER_FLT_SCI_ZERO,
+ 'KEYWORDS' => array(
+ 1 => array( //Compiler Directives
+ 'ANSI', 'BLANK', 'NOBLANK', 'CALL-SHARED', 'CANCEL', 'NOCANCEL',
+ 'CHECK', 'CODE', 'NOCODE', 'COLUMNS', 'COMPACT', 'NOCOMPACT',
+ 'COMPILE', 'CONSULT', 'NOCONSULT', 'CROSSREF', 'NOCROSSREF',
+ 'DIAGNOSE-74', 'NODIAGNOSE-74', 'DIAGNOSE-85', 'NODIAGNOSE-85',
+ 'DIAGNOSEALL', 'NODIAGNOSEALL', 'ENDIF', 'ENDUNIT', 'ENV',
+ 'ERRORFILE', 'ERRORS', 'FIPS', 'NOFIPS', 'FMAP', 'HEADING', 'HEAP',
+ 'HIGHPIN', 'HIGHREQUESTERS', 'ICODE', 'NOICODE', 'IF', 'IFNOT',
+ 'INNERLIST', 'NOINNERLIST', 'INSPECT', 'NOINSPECT', 'LARGEDATA',
+ 'LD', 'LESS-CODE', 'LIBRARY', 'LINES', 'LIST', 'NOLIST', 'LMAP',
+ 'NOLMAP', 'MAIN', 'MAP', 'NOMAP', 'NLD', 'NONSTOP', 'NON-SHARED',
+ 'OPTIMIZE', 'PERFORM-TRACE', 'PORT', 'NOPORT', 'RESETTOG',
+ 'RUNNABLE', 'RUNNAMED', 'SAVE', 'SAVEABEND', 'NOSAVEABEND',
+ 'SEARCH', 'NOSEARCH', 'SECTION', 'SETTOG', 'SHARED', 'SHOWCOPY',
+ 'NOSHOWCOPY', 'SHOWFILE', 'NOSHOWFILE', 'SOURCE', 'SQL', 'NOSQL',
+ 'SQLMEM', 'SUBSET', 'SUBTYPE', 'SUPPRESS', 'NOSUPPRESS', 'SYMBOLS',
+ 'NOSYMBOLS', 'SYNTAX', 'TANDEM', 'TRAP2', 'NOTRAP2', 'TRAP2-74',
+ 'NOTRAP2-74', 'UL', 'WARN', 'NOWARN'
+ ),
+ 2 => array( //Statement Keywords
+ 'ACCEPT', 'ADD', 'TO', 'GIVING', 'CORRESPONDING', 'ALTER', 'CALL',
+ 'CHECKPOINT', 'CLOSE', 'COMPUTE', 'CONTINUE', 'COPY',
+ 'DELETE', 'DISPLAY', 'DIVIDE', 'INTO', 'REMAINDER', 'ENTER',
+ 'COBOL', 'EVALUATE', 'EXIT', 'GO', 'INITIALIZE',
+ 'TALLYING', 'REPLACING', 'CONVERTING', 'LOCKFILE', 'MERGE', 'MOVE',
+ 'MULTIPLY', 'OPEN', 'PERFORM', 'TIMES',
+ 'UNTIL', 'VARYING', 'RETURN',
+ ),
+ 3 => array( //Reserved in some contexts
+ 'ACCESS', 'ADDRESS', 'ADVANCING', 'AFTER', 'ALL',
+ 'ALPHABET', 'ALPHABETIC', 'ALPHABETIC-LOWER', 'ALPHABETIC-UPPER',
+ 'ALPHANUMERIC', 'ALPHANUMERIC-EDITED', 'ALSO', 'ALTERNATE',
+ 'AND', 'ANY', 'APPROXIMATE', 'AREA', 'AREAS', 'ASCENDING', 'ASSIGN',
+ 'AT', 'AUTHOR', 'BEFORE', 'BINARY', 'BLOCK', 'BOTTOM', 'BY',
+ 'CD', 'CF', 'CH', 'CHARACTER', 'CHARACTERS',
+ 'CHARACTER-SET', 'CLASS', 'CLOCK-UNITS',
+ 'CODE-SET', 'COLLATING', 'COLUMN', 'COMMA',
+ 'COMMON', 'COMMUNICATION', 'COMP', 'COMP-3', 'COMP-5',
+ 'COMPUTATIONAL', 'COMPUTATIONAL-3', 'COMPUTATIONAL-5',
+ 'CONFIGURATION', 'CONTAINS', 'CONTENT', 'CONTROL',
+ 'CONTROLS', 'CORR', 'COUNT',
+ 'CURRENCY', 'DATA', 'DATE', 'DATE-COMPILED', 'DATE-WRITTEN', 'DAY',
+ 'DAY-OF-WEEK', 'DE', 'DEBUG-CONTENTS', 'DEBUG-ITEM', 'DEBUG-LINE',
+ 'DEBUG-SUB-2', 'DEBUG-SUB-3', 'DEBUGGING', 'DECIMAL-POINT',
+ 'DECLARATIVES', 'DEBUG-NAME', 'DEBUG-SUB-1', 'DELIMITED',
+ 'DELIMITER', 'DEPENDING', 'DESCENDING', 'DESTINATION', 'DETAIL',
+ 'DISABLE', 'DIVISION', 'DOWN', 'DUPLICATES',
+ 'DYNAMIC', 'EGI', 'ELSE', 'EMI', 'ENABLE', 'END', 'END-ADD',
+ 'END-COMPUTE', 'END-DELETE', 'END-DIVIDE', 'END-EVALUATE', 'END-IF',
+ 'END-MULTIPLY', 'END-OF-PAGE', 'END-PERFORM', 'END-READ',
+ 'END-RECEIVE', 'END-RETURN', 'END-REWRITE', 'END-SEARCH',
+ 'END-START', 'END-STRING', 'END-SUBTRACT', 'END-UNSTRING',
+ 'END-WRITE', 'EOP', 'EQUAL', 'ERROR', 'ESI',
+ 'EVERY', 'EXCEPTION', 'EXCLUSIVE', 'EXTEND',
+ 'EXTENDED-STORAGE', 'EXTERNAL', 'FALSE', 'FD', 'FILE',
+ 'FILE-CONTROL', 'FILLER', 'FINAL', 'FIRST', 'FOOTING', 'FOR',
+ 'FROM', 'FUNCTION', 'GENERATE', 'GENERIC', 'GLOBAL',
+ 'GREATER', 'GROUP', 'GUARDIAN-ERR', 'HIGH-VALUE',
+ 'HIGH-VALUES', 'I-O', 'I-O-CONTROL', 'IDENTIFICATION', 'IN',
+ 'INDEX', 'INDEXED', 'INDICATE', 'INITIAL', 'INITIATE',
+ 'INPUT', 'INPUT-OUTPUT', 'INSTALLATION',
+ 'INVALID', 'IS', 'JUST', 'JUSTIFIED', 'KEY', 'LABEL', 'LAST',
+ 'LEADING', 'LEFT', 'LESS', 'LIMIT', 'LIMITS', 'LINAGE',
+ 'LINAGE-COUNTER', 'LINE', 'LINE-COUNTER', 'LINKAGE', 'LOCK',
+ 'LOW-VALUE', 'LOW-VALUES', 'MEMORY', 'MESSAGE',
+ 'MODE', 'MODULES', 'MULTIPLE', 'NATIVE',
+ 'NEGATIVE', 'NEXT', 'NO', 'NOT', 'NULL', 'NULLS', 'NUMBER',
+ 'NUMERIC', 'NUMERIC-EDITED', 'OBJECT-COMPUTER', 'OCCURS', 'OF',
+ 'OFF', 'OMITTED', 'ON', 'OPTIONAL', 'OR', 'ORDER',
+ 'ORGANIZATION', 'OTHER', 'OUTPUT', 'OVERFLOW', 'PACKED-DECIMAL',
+ 'PADDING', 'PAGE', 'PAGE-COUNTER', 'PF', 'PH', 'PIC',
+ 'PICTURE', 'PLUS', 'POINTER', 'POSITION', 'POSITIVE', 'PRINTING',
+ 'PROCEDURE', 'PROCEDURES', 'PROCEED', 'PROGRAM', 'PROGRAM-ID',
+ 'PROGRAM-STATUS', 'PROGRAM-STATUS-1', 'PROGRAM-STATUS-2', 'PROMPT',
+ 'PROTECTED', 'PURGE', 'QUEUE', 'QUOTE', 'QUOTES', 'RD',
+ 'RECEIVE', 'RECEIVE-CONTROL', 'RECORD', 'RECORDS',
+ 'REDEFINES', 'REEL', 'REFERENCE', 'REFERENCES', 'RELATIVE',
+ 'REMOVAL', 'RENAMES', 'REPLACE',
+ 'REPLY', 'REPORT', 'REPORTING', 'REPORTS', 'RERUN',
+ 'RESERVE', 'RESET', 'REVERSED', 'REWIND', 'REWRITE', 'RF',
+ 'RH', 'RIGHT', 'ROUNDED', 'RUN', 'SAME', 'SD',
+ 'SECURITY', 'SEGMENT', 'SEGMENT-LIMIT', 'SELECT', 'SEND',
+ 'SENTENCE', 'SEPARATE', 'SEQUENCE', 'SEQUENTIAL', 'SET',
+ 'SIGN', 'SIZE', 'SORT', 'SORT-MERGE', 'SOURCE-COMPUTER',
+ 'SPACE', 'SPACES', 'SPECIAL-NAMES', 'STANDARD', 'STANDARD-1',
+ 'STANDARD-2', 'START', 'STARTBACKUP', 'STATUS', 'STOP', 'STRING',
+ 'SUB-QUEUE-1', 'SUB-QUEUE-2', 'SUB-QUEUE-3', 'SUBTRACT',
+ 'SYMBOLIC', 'SYNC', 'SYNCDEPTH', 'SYNCHRONIZED',
+ 'TABLE', 'TAL', 'TAPE', 'TERMINAL', 'TERMINATE', 'TEST',
+ 'TEXT', 'THAN', 'THEN', 'THROUGH', 'THRU', 'TIME',
+ 'TOP', 'TRAILING', 'TRUE', 'TYPE', 'UNIT', 'UNLOCK', 'UNLOCKFILE',
+ 'UNLOCKRECORD', 'UNSTRING', 'UP', 'UPON', 'USAGE', 'USE',
+ 'USING', 'VALUE', 'VALUES', 'WHEN', 'WITH', 'WORDS',
+ 'WORKING-STORAGE', 'WRITE', 'ZERO', 'ZEROES'
+ ),
+ 4 => array( //Standard functions
+ 'ACOS', 'ANNUITY', 'ASIN', 'ATAN', 'CHAR', 'COS', 'CURRENT-DATE',
+ 'DATE-OF-INTEGER', 'DAY-OF-INTEGER', 'FACTORIAL', 'INTEGER',
+ 'INTEGER-OF-DATE', 'INTEGER-OF-DAY', 'INTEGER-PART', 'LENGTH',
+ 'LOG', 'LOG10', 'LOWER-CASE', 'MAX', 'MEAN', 'MEDIAN', 'MIDRANGE',
+ 'MIN', 'MOD', 'NUMVAL', 'NUMVAL-C', 'ORD', 'ORD-MAX', 'ORD-MIN',
+ 'PRESENT-VALUE', 'RANDOM', 'RANGE', 'REM', 'REVERSE', 'SIN', 'SQRT',
+ 'STANDARD-DEVIATION', 'SUM', 'TAN', 'UPPER-CASE', 'VARIANCE',
+ 'WHEN-COMPILED'
+ ),
+ 5 => array( //Privileged Built-in Functions
+ '#IN', '#OUT', '#TERM', '#TEMP', '#DYNAMIC', 'COBOL85^ARMTRAP',
+ 'COBOL85^COMPLETION', 'COBOL_COMPLETION_', 'COBOL_CONTROL_',
+ 'COBOL_GETENV_', 'COBOL_PUTENV_', 'COBOL85^RETURN^SORT^ERRORS',
+ 'COBOL_RETURN_SORT_ERRORS_', 'COBOL85^REWIND^SEQUENTIAL',
+ 'COBOL_REWIND_SEQUENTIAL_', 'COBOL85^SET^SORT^PARAM^TEXT',
+ 'COBOL_SET_SORT_PARAM_TEXT_', 'COBOL85^SET^SORT^PARAM^VALUE',
+ 'COBOL_SET_SORT_PARAM_VALUE_', 'COBOL_SET_MAX_RECORD_',
+ 'COBOL_SETMODE_', 'COBOL85^SPECIAL^OPEN', 'COBOL_SPECIAL_OPEN_',
+ 'COBOLASSIGN', 'COBOL_ASSIGN_', 'COBOLFILEINFO', 'COBOL_FILE_INFO_',
+ 'COBOLSPOOLOPEN', 'CREATEPROCESS', 'ALTERPARAMTEXT',
+ 'CHECKLOGICALNAME', 'CHECKMESSAGE', 'DELETEASSIGN', 'DELETEPARAM',
+ 'DELETESTARTUP', 'GETASSIGNTEXT', 'GETASSIGNVALUE', 'GETBACKUPCPU',
+ 'GETPARAMTEXT', 'GETSTARTUPTEXT', 'PUTASSIGNTEXT', 'PUTASSIGNVALUE',
+ 'PUTPARAMTEXT', 'PUTSTARTUPTEXT'
+ )
+ ),
+ 'SYMBOLS' => array(
+ //Avoid having - in identifiers marked as symbols
+ ' + ', ' - ', ' * ', ' / ', ' ** ',
+ '.', ',',
+ '=',
+ '(', ')', '[', ']'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => false,
+ 5 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #000080; font-weight: bold;',
+ 2 => 'color: #000000; font-weight: bold;',
+ 3 => 'color: #008000; font-weight: bold;',
+ 4 => 'color: #000080;',
+ 5 => 'color: #008000;',
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #a0a0a0; font-style: italic;',
+ 'MULTI' => 'color: #a0a0a0; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #339933;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #993399;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #202020;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #000066;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => '',
+ 5 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'TAB_WIDTH' => 4
+ );
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/cpp-qt.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/cpp-qt.php
new file mode 100644
index 000000000..43105de3d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/cpp-qt.php
@@ -0,0 +1,315 @@
+<?php
+/*************************************************************************************
+ * cpp.php
+ * -------
+ * Author: Iulian M
+ * Copyright: (c) 2006 Iulian M
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/09/27
+ *
+ * C++ (with QT extensions) language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/05/23 (1.0.7.22)
+ * - Added description of extra language features (SF#1970248)
+ *
+ * TODO
+ * ----
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'C++ (QT)',
+ 'COMMENT_SINGLE' => array(1 => '//', 2 => '#'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'COMMENT_REGEXP' => array(
+ //Multiline-continued single-line comments
+ 1 => '/\/\/(?:\\\\\\\\|\\\\\\n|.)*$/m',
+ //Multiline-continued preprocessor define
+ 2 => '/#(?:\\\\\\\\|\\\\\\n|.)*$/m'
+ ),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '',
+ 'ESCAPE_REGEXP' => array(
+ //Simple Single Char Escapes
+ 1 => "#\\\\[\\\\abfnrtv\'\"?\n]#i",
+ //Hexadecimal Char Specs
+ 2 => "#\\\\x[\da-fA-F]{2}#",
+ //Hexadecimal Char Specs
+ 3 => "#\\\\u[\da-fA-F]{4}#",
+ //Hexadecimal Char Specs
+ 4 => "#\\\\U[\da-fA-F]{8}#",
+ //Octal Char Specs
+ 5 => "#\\\\[0-7]{1,3}#"
+ ),
+ 'NUMBERS' =>
+ GESHI_NUMBER_INT_BASIC | GESHI_NUMBER_INT_CSTYLE | GESHI_NUMBER_BIN_PREFIX_0B |
+ GESHI_NUMBER_OCT_PREFIX | GESHI_NUMBER_HEX_PREFIX | GESHI_NUMBER_FLT_NONSCI |
+ GESHI_NUMBER_FLT_NONSCI_F | GESHI_NUMBER_FLT_SCI_SHORT | GESHI_NUMBER_FLT_SCI_ZERO,
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'case', 'continue', 'default', 'do', 'else', 'for', 'goto', 'if', 'return',
+ 'switch', 'while', 'delete', 'new', 'this'
+ ),
+ 2 => array(
+ 'NULL', 'false', 'break', 'true', 'enum', 'errno', 'EDOM',
+ 'ERANGE', 'FLT_RADIX', 'FLT_ROUNDS', 'FLT_DIG', 'DBL_DIG', 'LDBL_DIG',
+ 'FLT_EPSILON', 'DBL_EPSILON', 'LDBL_EPSILON', 'FLT_MANT_DIG', 'DBL_MANT_DIG',
+ 'LDBL_MANT_DIG', 'FLT_MAX', 'DBL_MAX', 'LDBL_MAX', 'FLT_MAX_EXP', 'DBL_MAX_EXP',
+ 'LDBL_MAX_EXP', 'FLT_MIN', 'DBL_MIN', 'LDBL_MIN', 'FLT_MIN_EXP', 'DBL_MIN_EXP',
+ 'LDBL_MIN_EXP', 'CHAR_BIT', 'CHAR_MAX', 'CHAR_MIN', 'SCHAR_MAX', 'SCHAR_MIN',
+ 'UCHAR_MAX', 'SHRT_MAX', 'SHRT_MIN', 'USHRT_MAX', 'INT_MAX', 'INT_MIN',
+ 'UINT_MAX', 'LONG_MAX', 'LONG_MIN', 'ULONG_MAX', 'HUGE_VAL', 'SIGABRT',
+ 'SIGFPE', 'SIGILL', 'SIGINT', 'SIGSEGV', 'SIGTERM', 'SIG_DFL', 'SIG_ERR',
+ 'SIG_IGN', 'BUFSIZ', 'EOF', 'FILENAME_MAX', 'FOPEN_MAX', 'L_tmpnam',
+ 'SEEK_CUR', 'SEEK_END', 'SEEK_SET', 'TMP_MAX', 'stdin', 'stdout', 'stderr',
+ 'EXIT_FAILURE', 'EXIT_SUCCESS', 'RAND_MAX', 'CLOCKS_PER_SEC',
+ 'virtual', 'public', 'private', 'protected', 'template', 'using', 'namespace',
+ 'try', 'catch', 'inline', 'dynamic_cast', 'const_cast', 'reinterpret_cast',
+ 'static_cast', 'explicit', 'friend', 'wchar_t', 'typename', 'typeid', 'class' ,
+ 'foreach','connect', 'Q_OBJECT' , 'slots' , 'signals'
+ ),
+ 3 => array(
+ 'cin', 'cerr', 'clog', 'cout',
+ 'printf', 'fprintf', 'snprintf', 'sprintf', 'assert',
+ 'isalnum', 'isalpha', 'isdigit', 'iscntrl', 'isgraph', 'islower', 'isprint',
+ 'ispunct', 'isspace', 'isupper', 'isxdigit', 'tolower', 'toupper',
+ 'exp', 'log', 'log10', 'pow', 'sqrt', 'ceil', 'floor', 'fabs', 'ldexp',
+ 'frexp', 'modf', 'fmod', 'sin', 'cos', 'tan', 'asin', 'acos', 'atan', 'atan2',
+ 'sinh', 'cosh', 'tanh', 'setjmp', 'longjmp',
+ 'va_start', 'va_arg', 'va_end', 'offsetof', 'sizeof', 'fopen', 'freopen',
+ 'fflush', 'fclose', 'remove', 'rename', 'tmpfile', 'tmpname', 'setvbuf',
+ 'setbuf', 'vfprintf', 'vprintf', 'vsprintf', 'fscanf', 'scanf', 'sscanf',
+ 'fgetc', 'fgets', 'fputc', 'fputs', 'getc', 'getchar', 'gets', 'putc',
+ 'putchar', 'puts', 'ungetc', 'fread', 'fwrite', 'fseek', 'ftell', 'rewind',
+ 'fgetpos', 'fsetpos', 'clearerr', 'feof', 'ferror', 'perror', 'abs', 'labs',
+ 'div', 'ldiv', 'atof', 'atoi', 'atol', 'strtod', 'strtol', 'strtoul', 'calloc',
+ 'malloc', 'realloc', 'free', 'abort', 'exit', 'atexit', 'system', 'getenv',
+ 'bsearch', 'qsort', 'rand', 'srand', 'strcpy', 'strncpy', 'strcat', 'strncat',
+ 'strcmp', 'strncmp', 'strcoll', 'strchr', 'strrchr', 'strspn', 'strcspn',
+ 'strpbrk', 'strstr', 'strlen', 'strerror', 'strtok', 'strxfrm', 'memcpy',
+ 'memmove', 'memcmp', 'memchr', 'memset', 'clock', 'time', 'difftime', 'mktime',
+ 'asctime', 'ctime', 'gmtime', 'localtime', 'strftime'
+ ),
+ 4 => array(
+ 'auto', 'bool', 'char', 'const', 'double', 'float', 'int', 'long', 'longint',
+ 'register', 'short', 'shortint', 'signed', 'static', 'struct',
+ 'typedef', 'union', 'unsigned', 'void', 'volatile', 'extern', 'jmp_buf',
+ 'signal', 'raise', 'va_list', 'ptrdiff_t', 'size_t', 'FILE', 'fpos_t',
+ 'div_t', 'ldiv_t', 'clock_t', 'time_t', 'tm',
+ ),
+ 5 => array(
+ 'QAbstractButton','QDir','QIntValidator','QRegExpValidator','QTabWidget','QAbstractEventDispatcher',
+ 'QDirectPainter','QIODevice','QRegion','QTcpServer','QAbstractExtensionFactory','QDirModel',
+ 'QItemDelegate','QResizeEvent','QTcpSocket','QAbstractExtensionManager','QDockWidget',
+ 'QItemEditorCreatorBase','QResource','QTemporaryFile','QAbstractFileEngine','QDomAttr',
+ 'QItemEditorFactory','QRubberBand','QTestEventList','QAbstractFileEngineHandler','QDomCDATASection',
+ 'QItemSelection','QScreen','QTextBlock','QAbstractFormBuilder','QDomCharacterData','QItemSelectionModel',
+ 'QScreenCursor','QTextBlockFormat','QAbstractGraphicsShapeItem','QDomComment','QItemSelectionRange',
+ 'QScreenDriverFactory','QTextBlockGroup','QAbstractItemDelegate','QDomDocument','QKbdDriverFactory',
+ 'QScreenDriverPlugin','QTextBlockUserData','QAbstractItemModel','QDomDocumentFragment','QKbdDriverPlugin',
+ 'QScrollArea','QTextBrowser','QAbstractItemView','QDomDocumentType','QKeyEvent','QScrollBar',
+ 'QTextCharFormat','QAbstractListModel','QDomElement','QKeySequence','QSemaphore','QTextCodec',
+ 'QAbstractPrintDialog','QDomEntity','QLabel','QSessionManager','QTextCodecPlugin','QAbstractProxyModel',
+ 'QDomEntityReference','QLatin1Char','QSet','QTextCursor','QAbstractScrollArea','QDomImplementation',
+ 'QLatin1String','QSetIterator','QTextDecoder','QAbstractSlider','QDomNamedNodeMap','QLayout','QSettings',
+ 'QTextDocument','QAbstractSocket','QDomNode','QLayoutItem','QSharedData','QTextDocumentFragment',
+ 'QAbstractSpinBox','QDomNodeList','QLCDNumber','QSharedDataPointer','QTextEdit','QAbstractTableModel',
+ 'QDomNotation','QLibrary','QShortcut','QTextEncoder','QAbstractTextDocumentLayout',
+ 'QDomProcessingInstruction','QLibraryInfo','QShortcutEvent','QTextFormat','QAccessible','QDomText',
+ 'QLine','QShowEvent','QTextFragment','QAccessibleBridge','QDoubleSpinBox','QLinearGradient',
+ 'QSignalMapper','QTextFrame','QAccessibleBridgePlugin','QDoubleValidator','QLineEdit','QSignalSpy',
+ 'QTextFrameFormat','QAccessibleEvent','QDrag','QLineF','QSize','QTextImageFormat','QAccessibleInterface',
+ 'QDragEnterEvent','QLinkedList','QSizeF','QTextInlineObject','QAccessibleObject','QDragLeaveEvent',
+ 'QLinkedListIterator','QSizeGrip','QTextLayout','QAccessiblePlugin','QDragMoveEvent','QLinuxFbScreen',
+ 'QSizePolicy','QTextLength','QAccessibleWidget','QDropEvent','QList','QSlider','QTextLine','QAction',
+ 'QDynamicPropertyChangeEvent','QListIterator','QSocketNotifier','QTextList','QActionEvent','QErrorMessage',
+ 'QListView','QSortFilterProxyModel','QTextListFormat','QActionGroup','QEvent','QListWidget','QSound',
+ 'QTextObject','QApplication','QEventLoop','QListWidgetItem','QSpacerItem','QTextOption','QAssistantClient',
+ 'QExtensionFactory','QLocale','QSpinBox','QTextStream','QAxAggregated','QExtensionManager',
+ 'QMacPasteboardMime','QSplashScreen','QTextTable','QAxBase','QFile','QMacStyle','QSplitter',
+ 'QTextTableCell','QAxBindable','QFileDialog','QMainWindow','QSplitterHandle','QTextTableFormat',
+ 'QAxFactory','QFileIconProvider','QMap','QSqlDatabase','QThread','QAxObject','QFileInfo','QMapIterator',
+ 'QSqlDriver','QThreadStorage','QAxScript','QFileOpenEvent','QMatrix','QSqlDriverCreator','QTime',
+ 'QAxScriptEngine','QFileSystemWatcher','QMenu','QSqlDriverCreatorBase','QTimeEdit','QAxScriptManager',
+ 'QFlag','QMenuBar','QSqlDriverPlugin','QTimeLine','QAxWidget','QFlags','QMessageBox','QSqlError','QTimer',
+ 'QBasicTimer','QFocusEvent','QMetaClassInfo','QSqlField','QTimerEvent','QBitArray','QFocusFrame',
+ 'QMetaEnum','QSqlIndex','QToolBar','QBitmap','QFont','QMetaMethod','QSqlQuery','QToolBox','QBoxLayout',
+ 'QFontComboBox','QMetaObject','QSqlQueryModel','QToolButton','QBrush','QFontDatabase','QMetaProperty',
+ 'QSqlRecord','QToolTip','QBuffer','QFontDialog','QMetaType','QSqlRelation','QTransformedScreen',
+ 'QButtonGroup','QFontInfo','QMimeData','QSqlRelationalDelegate','QTranslator','QByteArray','QFontMetrics',
+ 'QMimeSource','QSqlRelationalTableModel','QTreeView','QByteArrayMatcher','QFontMetricsF','QModelIndex',
+ 'QSqlResult','QTreeWidget','QCache','QFormBuilder','QMotifStyle','QSqlTableModel','QTreeWidgetItem',
+ 'QCalendarWidget','QFrame','QMouseDriverFactory','QStack','QTreeWidgetItemIterator','QCDEStyle',
+ 'QFSFileEngine','QMouseDriverPlugin','QStackedLayout','QUdpSocket','QChar','QFtp','QMouseEvent',
+ 'QStackedWidget','QUiLoader','QCheckBox','QGenericArgument','QMoveEvent','QStandardItem','QUndoCommand',
+ 'QChildEvent','QGenericReturnArgument','QMovie','QStandardItemEditorCreator','QUndoGroup',
+ 'QCleanlooksStyle','QGLColormap','QMultiHash','QStandardItemModel','QUndoStack','QClipboard',
+ 'QGLContext','QMultiMap','QStatusBar','QUndoView','QCloseEvent','QGLFormat','QMutableHashIterator',
+ 'QStatusTipEvent','QUrl','QColor','QGLFramebufferObject','QMutableLinkedListIterator','QString',
+ 'QUrlInfo','QColorDialog','QGLPixelBuffer','QMutableListIterator','QStringList','QUuid','QColormap',
+ 'QGLWidget','QMutableMapIterator','QStringListModel','QValidator','QComboBox','QGradient',
+ 'QMutableSetIterator','QStringMatcher','QVariant','QCommonStyle','QGraphicsEllipseItem',
+ 'QMutableVectorIterator','QStyle','QVarLengthArray','QCompleter','QGraphicsItem','QMutex',
+ 'QStyleFactory','QVBoxLayout','QConicalGradient','QGraphicsItemAnimation','QMutexLocker',
+ 'QStyleHintReturn','QVector','QContextMenuEvent','QGraphicsItemGroup','QNetworkAddressEntry',
+ 'QStyleHintReturnMask','QVectorIterator','QCopChannel','QGraphicsLineItem','QNetworkInterface',
+ 'QStyleOption','QVFbScreen','QCoreApplication','QGraphicsPathItem','QNetworkProxy','QStyleOptionButton',
+ 'QVNCScreen','QCursor','QGraphicsPixmapItem','QObject','QStyleOptionComboBox','QWaitCondition',
+ 'QCustomRasterPaintDevice','QGraphicsPolygonItem','QObjectCleanupHandler','QStyleOptionComplex',
+ 'QWhatsThis','QDataStream','QGraphicsRectItem','QPageSetupDialog','QStyleOptionDockWidget',
+ 'QWhatsThisClickedEvent','QDataWidgetMapper','QGraphicsScene','QPaintDevice','QStyleOptionFocusRect',
+ 'QWheelEvent','QDate','QGraphicsSceneContextMenuEvent','QPaintEngine','QStyleOptionFrame','QWidget',
+ 'QDateEdit','QGraphicsSceneEvent','QPaintEngineState','QStyleOptionFrameV2','QWidgetAction','QDateTime',
+ 'QGraphicsSceneHoverEvent','QPainter','QStyleOptionGraphicsItem','QWidgetItem','QDateTimeEdit',
+ 'QGraphicsSceneMouseEvent','QPainterPath','QStyleOptionGroupBox','QWindowsMime','QDBusAbstractAdaptor',
+ 'QGraphicsSceneWheelEvent','QPainterPathStroker','QStyleOptionHeader','QWindowsStyle',
+ 'QDBusAbstractInterface','QGraphicsSimpleTextItem','QPaintEvent','QStyleOptionMenuItem',
+ 'QWindowStateChangeEvent','QDBusArgument','QGraphicsSvgItem','QPair','QStyleOptionProgressBar',
+ 'QWindowsXPStyle','QDBusConnection','QGraphicsTextItem','QPalette','QStyleOptionProgressBarV2',
+ 'QWorkspace','QDBusConnectionInterface','QGraphicsView','QPen','QStyleOptionQ3DockWindow','QWriteLocker',
+ 'QDBusError','QGridLayout','QPersistentModelIndex','QStyleOptionQ3ListView','QWSCalibratedMouseHandler',
+ 'QDBusInterface','QGroupBox','QPicture','QStyleOptionQ3ListViewItem','QWSClient','QDBusMessage','QHash',
+ 'QPictureFormatPlugin','QStyleOptionRubberBand','QWSEmbedWidget','QDBusObjectPath','QHashIterator',
+ 'QPictureIO','QStyleOptionSizeGrip','QWSEvent','QDBusReply','QHBoxLayout','QPixmap','QStyleOptionSlider',
+ 'QWSInputMethod','QDBusServer','QHeaderView','QPixmapCache','QStyleOptionSpinBox','QWSKeyboardHandler',
+ 'QDBusSignature','QHelpEvent','QPlastiqueStyle','QStyleOptionTab','QWSMouseHandler','QDBusVariant',
+ 'QHideEvent','QPluginLoader','QStyleOptionTabBarBase','QWSPointerCalibrationData','QDecoration',
+ 'QHostAddress','QPoint','QStyleOptionTabV2','QWSScreenSaver','QDecorationFactory','QHostInfo','QPointer',
+ 'QStyleOptionTabWidgetFrame','QWSServer','QDecorationPlugin','QHoverEvent','QPointF','QStyleOptionTitleBar',
+ 'QWSTslibMouseHandler','QDesignerActionEditorInterface','QHttp','QPolygon','QStyleOptionToolBar','QWSWindow',
+ 'QDesignerContainerExtension','QHttpHeader','QPolygonF','QStyleOptionToolBox','QWSWindowSurface',
+ 'QDesignerCustomWidgetCollectionInterface','QHttpRequestHeader','QPrintDialog','QStyleOptionToolButton',
+ 'QX11EmbedContainer','QDesignerCustomWidgetInterface','QHttpResponseHeader','QPrintEngine',
+ 'QStyleOptionViewItem','QX11EmbedWidget','QDesignerFormEditorInterface','QIcon','QPrinter',
+ 'QStyleOptionViewItemV2','QX11Info','QDesignerFormWindowCursorInterface','QIconDragEvent','QProcess',
+ 'QStylePainter','QXmlAttributes','QDesignerFormWindowInterface','QIconEngine','QProgressBar',
+ 'QStylePlugin','QXmlContentHandler','QDesignerFormWindowManagerInterface','QIconEnginePlugin',
+ 'QProgressDialog','QSvgRenderer','QXmlDeclHandler','QDesignerMemberSheetExtension','QImage',
+ 'QProxyModel','QSvgWidget','QXmlDefaultHandler','QDesignerObjectInspectorInterface','QImageIOHandler',
+ 'QPushButton','QSyntaxHighlighter','QXmlDTDHandler','QDesignerPropertyEditorInterface','QImageIOPlugin',
+ 'QQueue','QSysInfo','QXmlEntityResolver','QDesignerPropertySheetExtension','QImageReader','QRadialGradient',
+ 'QSystemLocale','QXmlErrorHandler','QDesignerTaskMenuExtension','QImageWriter','QRadioButton',
+ 'QSystemTrayIcon','QXmlInputSource','QDesignerWidgetBoxInterface','QInputContext','QRasterPaintEngine',
+ 'QTabBar','QXmlLexicalHandler','QDesktopServices','QInputContextFactory','QReadLocker','QTabletEvent',
+ 'QXmlLocator','QDesktopWidget','QInputContextPlugin','QReadWriteLock','QTableView','QXmlNamespaceSupport',
+ 'QDial','QInputDialog','QRect','QTableWidget','QXmlParseException','QDialog','QInputEvent','QRectF',
+ 'QTableWidgetItem','QXmlReader','QDialogButtonBox','QInputMethodEvent','QRegExp',
+ 'QTableWidgetSelectionRange','QXmlSimpleReader'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '{', '}', '[', ']', '=', '+', '-', '*', '/', '!', '%', '^', '&', ':', ',', ';', '|', '<', '>'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => true,
+ 2 => true,
+ 3 => true,
+ 4 => true,
+ 5 => true,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #000000; font-weight:bold;',
+ 2 => 'color: #0057AE;',
+ 3 => 'color: #2B74C7;',
+ 4 => 'color: #0057AE;',
+ 5 => 'color: #22aadd;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #888888;',
+ 2 => 'color: #006E28;',
+ 'MULTI' => 'color: #888888; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;',
+ 1 => 'color: #000099; font-weight: bold;',
+ 2 => 'color: #660099; font-weight: bold;',
+ 3 => 'color: #660099; font-weight: bold;',
+ 4 => 'color: #660099; font-weight: bold;',
+ 5 => 'color: #006699; font-weight: bold;',
+ 'HARD' => '',
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #006E28;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #BF0303;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #B08000;',
+ GESHI_NUMBER_BIN_PREFIX_0B => 'color: #208080;',
+ GESHI_NUMBER_OCT_PREFIX => 'color: #208080;',
+ GESHI_NUMBER_HEX_PREFIX => 'color: #208080;',
+ GESHI_NUMBER_FLT_SCI_SHORT => 'color:#800080;',
+ GESHI_NUMBER_FLT_SCI_ZERO => 'color:#800080;',
+ GESHI_NUMBER_FLT_NONSCI_F => 'color:#800080;',
+ GESHI_NUMBER_FLT_NONSCI => 'color:#800080;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #2B74C7;',
+ 2 => 'color: #2B74C7;',
+ 3 => 'color: #2B74C7;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #006E28;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => '',
+ 5 => 'http://doc.trolltech.com/latest/{FNAMEL}.html'
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.',
+ 2 => '::',
+ 3 => '-&gt;',
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'TAB_WIDTH' => 4,
+ 'PARSER_CONTROL' => array(
+ 'KEYWORDS' => array(
+ 'DISALLOWED_BEFORE' => "(?<![a-zA-Z0-9\$_\|\#>|^])",
+ 'DISALLOWED_AFTER' => "(?![a-zA-Z0-9_<\|%\\-])"
+ ),
+ 'OOLANG' => array(
+ 'MATCH_AFTER' => '~?[a-zA-Z][a-zA-Z0-9_]*',
+ )
+ )
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/cpp.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/cpp.php
new file mode 100644
index 000000000..264ef638d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/cpp.php
@@ -0,0 +1,226 @@
+<?php
+/*************************************************************************************
+ * cpp.php
+ * -------
+ * Author: Dennis Bayer (Dennis.Bayer@mnifh-giessen.de)
+ * Contributors:
+ * - M. Uli Kusterer (witness.of.teachtext@gmx.net)
+ * - Jack Lloyd (lloyd@randombit.net)
+ * Copyright: (c) 2004 Dennis Bayer, Nigel McNie (http://qbnz.com/highlighter)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/09/27
+ *
+ * C++ language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/05/23 (1.0.7.22)
+ * - Added description of extra language features (SF#1970248)
+ * 2004/XX/XX (1.0.2)
+ * - Added several new keywords (Jack Lloyd)
+ * 2004/11/27 (1.0.1)
+ * - Added StdCLib function and constant names, changed color scheme to
+ * a cleaner one. (M. Uli Kusterer)
+ * - Added support for multiple object splitters
+ * 2004/10/27 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2004/11/27)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'C++',
+ 'COMMENT_SINGLE' => array(1 => '//', 2 => '#'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'COMMENT_REGEXP' => array(
+ //Multiline-continued single-line comments
+ 1 => '/\/\/(?:\\\\\\\\|\\\\\\n|.)*$/m',
+ //Multiline-continued preprocessor define
+ 2 => '/#(?:\\\\\\\\|\\\\\\n|.)*$/m'
+ ),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '',
+ 'ESCAPE_REGEXP' => array(
+ //Simple Single Char Escapes
+ 1 => "#\\\\[\\\\abfnrtv\'\"?\n]#i",
+ //Hexadecimal Char Specs
+ 2 => "#\\\\x[\da-fA-F]{2}#",
+ //Hexadecimal Char Specs
+ 3 => "#\\\\u[\da-fA-F]{4}#",
+ //Hexadecimal Char Specs
+ 4 => "#\\\\U[\da-fA-F]{8}#",
+ //Octal Char Specs
+ 5 => "#\\\\[0-7]{1,3}#"
+ ),
+ 'NUMBERS' =>
+ GESHI_NUMBER_INT_BASIC | GESHI_NUMBER_INT_CSTYLE | GESHI_NUMBER_BIN_PREFIX_0B |
+ GESHI_NUMBER_OCT_PREFIX | GESHI_NUMBER_HEX_PREFIX | GESHI_NUMBER_FLT_NONSCI |
+ GESHI_NUMBER_FLT_NONSCI_F | GESHI_NUMBER_FLT_SCI_SHORT | GESHI_NUMBER_FLT_SCI_ZERO,
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'break', 'case', 'continue', 'default', 'do', 'else', 'for', 'goto', 'if', 'return',
+ 'switch', 'throw', 'while'
+ ),
+ 2 => array(
+ 'NULL', 'false', 'true', 'enum', 'errno', 'EDOM',
+ 'ERANGE', 'FLT_RADIX', 'FLT_ROUNDS', 'FLT_DIG', 'DBL_DIG', 'LDBL_DIG',
+ 'FLT_EPSILON', 'DBL_EPSILON', 'LDBL_EPSILON', 'FLT_MANT_DIG', 'DBL_MANT_DIG',
+ 'LDBL_MANT_DIG', 'FLT_MAX', 'DBL_MAX', 'LDBL_MAX', 'FLT_MAX_EXP', 'DBL_MAX_EXP',
+ 'LDBL_MAX_EXP', 'FLT_MIN', 'DBL_MIN', 'LDBL_MIN', 'FLT_MIN_EXP', 'DBL_MIN_EXP',
+ 'LDBL_MIN_EXP', 'CHAR_BIT', 'CHAR_MAX', 'CHAR_MIN', 'SCHAR_MAX', 'SCHAR_MIN',
+ 'UCHAR_MAX', 'SHRT_MAX', 'SHRT_MIN', 'USHRT_MAX', 'INT_MAX', 'INT_MIN',
+ 'UINT_MAX', 'LONG_MAX', 'LONG_MIN', 'ULONG_MAX', 'HUGE_VAL', 'SIGABRT',
+ 'SIGFPE', 'SIGILL', 'SIGINT', 'SIGSEGV', 'SIGTERM', 'SIG_DFL', 'SIG_ERR',
+ 'SIG_IGN', 'BUFSIZ', 'EOF', 'FILENAME_MAX', 'FOPEN_MAX', 'L_tmpnam',
+ 'SEEK_CUR', 'SEEK_END', 'SEEK_SET', 'TMP_MAX', 'stdin', 'stdout', 'stderr',
+ 'EXIT_FAILURE', 'EXIT_SUCCESS', 'RAND_MAX', 'CLOCKS_PER_SEC',
+ 'virtual', 'public', 'private', 'protected', 'template', 'using', 'namespace',
+ 'try', 'catch', 'inline', 'dynamic_cast', 'const_cast', 'reinterpret_cast',
+ 'static_cast', 'explicit', 'friend', 'wchar_t', 'typename', 'typeid', 'class'
+ ),
+ 3 => array(
+ 'cin', 'cerr', 'clog', 'cout', 'delete', 'new', 'this',
+ 'printf', 'fprintf', 'snprintf', 'sprintf', 'assert',
+ 'isalnum', 'isalpha', 'isdigit', 'iscntrl', 'isgraph', 'islower', 'isprint',
+ 'ispunct', 'isspace', 'isupper', 'isxdigit', 'tolower', 'toupper',
+ 'exp', 'log', 'log10', 'pow', 'sqrt', 'ceil', 'floor', 'fabs', 'ldexp',
+ 'frexp', 'modf', 'fmod', 'sin', 'cos', 'tan', 'asin', 'acos', 'atan', 'atan2',
+ 'sinh', 'cosh', 'tanh', 'setjmp', 'longjmp',
+ 'va_start', 'va_arg', 'va_end', 'offsetof', 'sizeof', 'fopen', 'freopen',
+ 'fflush', 'fclose', 'remove', 'rename', 'tmpfile', 'tmpname', 'setvbuf',
+ 'setbuf', 'vfprintf', 'vprintf', 'vsprintf', 'fscanf', 'scanf', 'sscanf',
+ 'fgetc', 'fgets', 'fputc', 'fputs', 'getc', 'getchar', 'gets', 'putc',
+ 'putchar', 'puts', 'ungetc', 'fread', 'fwrite', 'fseek', 'ftell', 'rewind',
+ 'fgetpos', 'fsetpos', 'clearerr', 'feof', 'ferror', 'perror', 'abs', 'labs',
+ 'div', 'ldiv', 'atof', 'atoi', 'atol', 'strtod', 'strtol', 'strtoul', 'calloc',
+ 'malloc', 'realloc', 'free', 'abort', 'exit', 'atexit', 'system', 'getenv',
+ 'bsearch', 'qsort', 'rand', 'srand', 'strcpy', 'strncpy', 'strcat', 'strncat',
+ 'strcmp', 'strncmp', 'strcoll', 'strchr', 'strrchr', 'strspn', 'strcspn',
+ 'strpbrk', 'strstr', 'strlen', 'strerror', 'strtok', 'strxfrm', 'memcpy',
+ 'memmove', 'memcmp', 'memchr', 'memset', 'clock', 'time', 'difftime', 'mktime',
+ 'asctime', 'ctime', 'gmtime', 'localtime', 'strftime'
+ ),
+ 4 => array(
+ 'auto', 'bool', 'char', 'const', 'double', 'float', 'int', 'long', 'longint',
+ 'register', 'short', 'shortint', 'signed', 'static', 'struct',
+ 'typedef', 'union', 'unsigned', 'void', 'volatile', 'extern', 'jmp_buf',
+ 'signal', 'raise', 'va_list', 'ptrdiff_t', 'size_t', 'FILE', 'fpos_t',
+ 'div_t', 'ldiv_t', 'clock_t', 'time_t', 'tm',
+ ),
+ ),
+ 'SYMBOLS' => array(
+ 0 => array('(', ')', '{', '}', '[', ']'),
+ 1 => array('<', '>','='),
+ 2 => array('+', '-', '*', '/', '%'),
+ 3 => array('!', '^', '&', '|'),
+ 4 => array('?', ':', ';')
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => true,
+ 2 => true,
+ 3 => true,
+ 4 => true,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #0000ff;',
+ 2 => 'color: #0000ff;',
+ 3 => 'color: #0000dd;',
+ 4 => 'color: #0000ff;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #666666;',
+ 2 => 'color: #339900;',
+ 'MULTI' => 'color: #ff0000; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;',
+ 1 => 'color: #000099; font-weight: bold;',
+ 2 => 'color: #660099; font-weight: bold;',
+ 3 => 'color: #660099; font-weight: bold;',
+ 4 => 'color: #660099; font-weight: bold;',
+ 5 => 'color: #006699; font-weight: bold;',
+ 'HARD' => '',
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #008000;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #FF0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #0000dd;',
+ GESHI_NUMBER_BIN_PREFIX_0B => 'color: #208080;',
+ GESHI_NUMBER_OCT_PREFIX => 'color: #208080;',
+ GESHI_NUMBER_HEX_PREFIX => 'color: #208080;',
+ GESHI_NUMBER_FLT_SCI_SHORT => 'color:#800080;',
+ GESHI_NUMBER_FLT_SCI_ZERO => 'color:#800080;',
+ GESHI_NUMBER_FLT_NONSCI_F => 'color:#800080;',
+ GESHI_NUMBER_FLT_NONSCI => 'color:#800080;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #007788;',
+ 2 => 'color: #007788;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #008000;',
+ 1 => 'color: #000080;',
+ 2 => 'color: #000040;',
+ 3 => 'color: #000040;',
+ 4 => 'color: #008080;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.',
+ 2 => '::'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'TAB_WIDTH' => 4,
+ 'PARSER_CONTROL' => array(
+ 'KEYWORDS' => array(
+ 'DISALLOWED_BEFORE' => "(?<![a-zA-Z0-9\$_\|\#])",
+ 'DISALLOWED_AFTER' => "(?![a-zA-Z0-9_\|%\\-])"
+ )
+ )
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/csharp.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/csharp.php
new file mode 100644
index 000000000..0f8a5e2a3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/csharp.php
@@ -0,0 +1,250 @@
+<?php
+/*************************************************************************************
+ * csharp.php
+ * ----------
+ * Author: Alan Juden (alan@judenware.org)
+ * Copyright: (c) 2004 Alan Juden, Nigel McNie (http://qbnz.com/highlighter/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/06/04
+ *
+ * C# language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/05/25 (1.0.7.22)
+ * - Added highlighting of using and namespace directives as non-OOP
+ * 2005/01/05 (1.0.1)
+ * - Used hardquote support for @"..." strings (Cliff Stanford)
+ * 2004/11/27 (1.0.0)
+ * - Initial release
+ *
+ * TODO (updated 2004/11/27)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'C#',
+ 'COMMENT_SINGLE' => array(1 => '//', 2 => '#'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'COMMENT_REGEXP' => array(
+ //Using and Namespace directives (basic support)
+ //Please note that the alias syntax for using is not supported
+ 3 => '/(?:(?<=using[\\n\\s])|(?<=namespace[\\n\\s]))[\\n\\s]*([a-zA-Z0-9_]+\\.)*[a-zA-Z0-9_]+[\n\s]*(?=[;=])/i'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'HARDQUOTE' => array('@"', '"'),
+ 'HARDESCAPE' => array('"'),
+ 'HARDCHAR' => '"',
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'as', 'auto', 'base', 'break', 'case', 'catch', 'const', 'continue',
+ 'default', 'do', 'else', 'event', 'explicit', 'extern', 'false',
+ 'finally', 'fixed', 'for', 'foreach', 'goto', 'if', 'implicit',
+ 'in', 'internal', 'lock', 'namespace', 'null', 'operator', 'out',
+ 'override', 'params', 'partial', 'private', 'protected', 'public',
+ 'readonly', 'ref', 'return', 'sealed', 'stackalloc', 'static',
+ 'switch', 'this', 'throw', 'true', 'try', 'unsafe', 'using',
+ 'virtual', 'void', 'while'
+ ),
+ 2 => array(
+ '#elif', '#endif', '#endregion', '#else', '#error', '#define', '#if',
+ '#line', '#region', '#undef', '#warning'
+ ),
+ 3 => array(
+ 'checked', 'is', 'new', 'sizeof', 'typeof', 'unchecked'
+ ),
+ 4 => array(
+ 'bool', 'byte', 'char', 'class', 'decimal', 'delegate', 'double',
+ 'enum', 'float', 'int', 'interface', 'long', 'object', 'sbyte',
+ 'short', 'string', 'struct', 'uint', 'ulong', 'ushort'
+ ),
+ 5 => array(
+ 'Microsoft.Win32',
+ 'System',
+ 'System.CodeDOM',
+ 'System.CodeDOM.Compiler',
+ 'System.Collections',
+ 'System.Collections.Bases',
+ 'System.ComponentModel',
+ 'System.ComponentModel.Design',
+ 'System.ComponentModel.Design.CodeModel',
+ 'System.Configuration',
+ 'System.Configuration.Assemblies',
+ 'System.Configuration.Core',
+ 'System.Configuration.Install',
+ 'System.Configuration.Interceptors',
+ 'System.Configuration.Schema',
+ 'System.Configuration.Web',
+ 'System.Core',
+ 'System.Data',
+ 'System.Data.ADO',
+ 'System.Data.Design',
+ 'System.Data.Internal',
+ 'System.Data.SQL',
+ 'System.Data.SQLTypes',
+ 'System.Data.XML',
+ 'System.Data.XML.DOM',
+ 'System.Data.XML.XPath',
+ 'System.Data.XML.XSLT',
+ 'System.Diagnostics',
+ 'System.Diagnostics.SymbolStore',
+ 'System.DirectoryServices',
+ 'System.Drawing',
+ 'System.Drawing.Design',
+ 'System.Drawing.Drawing2D',
+ 'System.Drawing.Imaging',
+ 'System.Drawing.Printing',
+ 'System.Drawing.Text',
+ 'System.Globalization',
+ 'System.IO',
+ 'System.IO.IsolatedStorage',
+ 'System.Messaging',
+ 'System.Net',
+ 'System.Net.Sockets',
+ 'System.NewXml',
+ 'System.NewXml.XPath',
+ 'System.NewXml.Xsl',
+ 'System.Reflection',
+ 'System.Reflection.Emit',
+ 'System.Resources',
+ 'System.Runtime.InteropServices',
+ 'System.Runtime.InteropServices.Expando',
+ 'System.Runtime.Remoting',
+ 'System.Runtime.Serialization',
+ 'System.Runtime.Serialization.Formatters',
+ 'System.Runtime.Serialization.Formatters.Binary',
+ 'System.Security',
+ 'System.Security.Cryptography',
+ 'System.Security.Cryptography.X509Certificates',
+ 'System.Security.Permissions',
+ 'System.Security.Policy',
+ 'System.Security.Principal',
+ 'System.ServiceProcess',
+ 'System.Text',
+ 'System.Text.RegularExpressions',
+ 'System.Threading',
+ 'System.Timers',
+ 'System.Web',
+ 'System.Web.Caching',
+ 'System.Web.Configuration',
+ 'System.Web.Security',
+ 'System.Web.Services',
+ 'System.Web.Services.Description',
+ 'System.Web.Services.Discovery',
+ 'System.Web.Services.Protocols',
+ 'System.Web.UI',
+ 'System.Web.UI.Design',
+ 'System.Web.UI.Design.WebControls',
+ 'System.Web.UI.Design.WebControls.ListControls',
+ 'System.Web.UI.HtmlControls',
+ 'System.Web.UI.WebControls',
+ 'System.WinForms',
+ 'System.WinForms.ComponentModel',
+ 'System.WinForms.Design',
+ 'System.Xml',
+ 'System.Xml.Serialization',
+ 'System.Xml.Serialization.Code',
+ 'System.Xml.Serialization.Schema'
+ ),
+ ),
+ 'SYMBOLS' => array(
+ '+', '-', '*', '?', '=', '/', '%', '&', '>', '<', '^', '!', ':', ';',
+ '(', ')', '{', '}', '[', ']', '|'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => false,
+ 5 => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #0600FF;',
+ 2 => 'color: #FF8000; font-weight: bold;',
+ 3 => 'color: #008000;',
+ 4 => 'color: #FF0000;',
+ 5 => 'color: #000000;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #008080; font-style: italic;',
+ 2 => 'color: #008080;',
+ 3 => 'color: #008080;',
+ 'MULTI' => 'color: #008080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #008080; font-weight: bold;',
+ 'HARD' => 'color: #008080; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #000000;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #666666;',
+ 'HARD' => 'color: #666666;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #FF0000;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #0000FF;',
+ 2 => 'color: #0000FF;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #008000;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => 'http://www.google.com/search?q={FNAMEL}+msdn.microsoft.com',
+ 4 => '',
+ 5 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.',
+ 2 => '::'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'TAB_WIDTH' => 4,
+ 'PARSER_CONTROL' => array(
+ 'KEYWORDS' => array(
+ 'DISALLOWED_BEFORE' => "(?<![a-zA-Z0-9\$_\|\#>|^])",
+ 'DISALLOWED_AFTER' => "(?![a-zA-Z0-9_<\|%\\-])"
+ )
+ )
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/css.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/css.php
new file mode 100644
index 000000000..f6386bdc0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/css.php
@@ -0,0 +1,212 @@
+<?php
+/*************************************************************************************
+ * css.php
+ * -------
+ * Author: Nigel McNie (nigel@geshi.org)
+ * Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/06/18
+ *
+ * CSS language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/05/23 (1.0.7.22)
+ * - Added description of extra language features (SF#1970248)
+ * 2004/11/27 (1.0.3)
+ * - Added support for multiple object splitters
+ * 2004/10/27 (1.0.2)
+ * - Changed regexps to catch "-" symbols
+ * - Added support for URLs
+ * 2004/08/05 (1.0.1)
+ * - Added support for symbols
+ * 2004/07/14 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2004/11/27)
+ * -------------------------
+ * * Improve or drop regexps for class/id/psuedoclass highlighting
+ * * Re-look at keywords - possibly to make several CSS language
+ * files, all with different versions of CSS in them
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'CSS',
+ 'COMMENT_SINGLE' => array(1 => '@'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'COMMENT_REGEXP' => array(
+ 2 => "/(?<=\\()\\s*(?:(?:[a-z0-9]+?:\\/\\/)?[a-z0-9_\\-\\.\\/:]+?)?[a-z]+?\\.[a-z]+?(\\?[^\)]+?)?\\s*?(?=\\))/i"
+ ),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"', "'"),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'aqua', 'azimuth', 'background-attachment', 'background-color',
+ 'background-image', 'background-position', 'background-repeat',
+ 'background', 'black', 'blue', 'border-bottom-color',
+ 'border-bottom-style', 'border-bottom-width', 'border-left-color',
+ 'border-left-style', 'border-left-width', 'border-right',
+ 'border-right-color', 'border-right-style', 'border-right-width',
+ 'border-top-color', 'border-top-style',
+ 'border-top-width','border-bottom', 'border-collapse',
+ 'border-left', 'border-width', 'border-color', 'border-spacing',
+ 'border-style', 'border-top', 'border', 'caption-side', 'clear',
+ 'clip', 'color', 'content', 'counter-increment', 'counter-reset',
+ 'cue-after', 'cue-before', 'cue', 'cursor', 'direction', 'display',
+ 'elevation', 'empty-cells', 'float', 'font-family', 'font-size',
+ 'font-size-adjust', 'font-stretch', 'font-style', 'font-variant',
+ 'font-weight', 'font', 'line-height', 'letter-spacing',
+ 'list-style', 'list-style-image', 'list-style-position',
+ 'list-style-type', 'margin-bottom', 'margin-left', 'margin-right',
+ 'margin-top', 'margin', 'marker-offset', 'marks', 'max-height',
+ 'max-width', 'min-height', 'min-width', 'orphans', 'outline',
+ 'outline-color', 'outline-style', 'outline-width', 'overflow',
+ 'padding-bottom', 'padding-left', 'padding-right', 'padding-top',
+ 'padding', 'page', 'page-break-after', 'page-break-before',
+ 'page-break-inside', 'pause-after', 'pause-before', 'pause',
+ 'pitch', 'pitch-range', 'play-during', 'position', 'quotes',
+ 'richness', 'right', 'size', 'speak-header', 'speak-numeral',
+ 'speak-punctuation', 'speak', 'speech-rate', 'stress',
+ 'table-layout', 'text-align', 'text-decoration', 'text-indent',
+ 'text-shadow', 'text-transform', 'top', 'unicode-bidi',
+ 'vertical-align', 'visibility', 'voice-family', 'volume',
+ 'white-space', 'widows', 'width', 'word-spacing', 'z-index',
+ 'bottom', 'left', 'height'
+ ),
+ 2 => array(
+ 'above', 'absolute', 'always', 'armenian', 'aural', 'auto',
+ 'avoid', 'baseline', 'behind', 'below', 'bidi-override', 'blink',
+ 'block', 'bold', 'bolder', 'both', 'capitalize', 'center-left',
+ 'center-right', 'center', 'circle', 'cjk-ideographic',
+ 'close-quote', 'collapse', 'condensed', 'continuous', 'crop',
+ 'crosshair', 'cross', 'cursive', 'dashed', 'decimal-leading-zero',
+ 'decimal', 'default', 'digits', 'disc', 'dotted', 'double',
+ 'e-resize', 'embed', 'extra-condensed', 'extra-expanded',
+ 'expanded', 'fantasy', 'far-left', 'far-right', 'faster', 'fast',
+ 'fixed', 'fuchsia', 'georgian', 'gray', 'green', 'groove',
+ 'hebrew', 'help', 'hidden', 'hide', 'higher', 'high',
+ 'hiragana-iroha', 'hiragana', 'icon', 'inherit', 'inline-table',
+ 'inline', 'inset', 'inside', 'invert', 'italic', 'justify',
+ 'katakana-iroha', 'katakana', 'landscape', 'larger', 'large',
+ 'left-side', 'leftwards', 'level', 'lighter', 'lime',
+ 'line-through', 'list-item', 'loud', 'lower-alpha', 'lower-greek',
+ 'lower-roman', 'lowercase', 'ltr', 'lower', 'low', 'maroon',
+ 'medium', 'message-box', 'middle', 'mix', 'monospace', 'n-resize',
+ 'narrower', 'navy', 'ne-resize', 'no-close-quote',
+ 'no-open-quote', 'no-repeat', 'none', 'normal', 'nowrap',
+ 'nw-resize', 'oblique', 'olive', 'once', 'open-quote', 'outset',
+ 'outside', 'overline', 'pointer', 'portrait', 'purple', 'px',
+ 'red', 'relative', 'repeat-x', 'repeat-y', 'repeat', 'rgb',
+ 'ridge', 'right-side', 'rightwards', 's-resize', 'sans-serif',
+ 'scroll', 'se-resize', 'semi-condensed', 'semi-expanded',
+ 'separate', 'serif', 'show', 'silent', 'silver', 'slow', 'slower',
+ 'small-caps', 'small-caption', 'smaller', 'soft', 'solid',
+ 'spell-out', 'square', 'static', 'status-bar', 'super',
+ 'sw-resize', 'table-caption', 'table-cell', 'table-column',
+ 'table-column-group', 'table-footer-group', 'table-header-group',
+ 'table-row', 'table-row-group', 'teal', 'text', 'text-bottom',
+ 'text-top', 'thick', 'thin', 'transparent', 'ultra-condensed',
+ 'ultra-expanded', 'underline', 'upper-alpha', 'upper-latin',
+ 'upper-roman', 'uppercase', 'url', 'visible', 'w-resize', 'wait',
+ 'white', 'wider', 'x-fast', 'x-high', 'x-large', 'x-loud',
+ 'x-low', 'x-small', 'x-soft', 'xx-large', 'xx-small', 'yellow',
+ 'yes'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '{', '}', ':', ';',
+ '>', '+', '*', ',', '^', '='
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => true,
+ 2 => true
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #000000; font-weight: bold;',
+ 2 => 'color: #993333;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #a1a100;',
+ 2 => 'color: #ff0000; font-style: italic;',
+ 'MULTI' => 'color: #808080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #00AA00;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #00AA00;'
+ ),
+ 'SCRIPT' => array(
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #cc00cc;',
+ 1 => 'color: #6666ff;',
+ 2 => 'color: #3333ff;',
+ 3 => 'color: #933;'
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ //DOM Node ID
+ 0 => '\#[a-zA-Z0-9\-_]+(?:\\\\:[a-zA-Z0-9\-_]+)*',
+ //CSS classname
+ 1 => '\.(?!\d)[a-zA-Z0-9\-_]+(?:\\\\:[a-zA-Z0-9\-_]+)*\b(?=[\{\.#\s,:].|<\|)',
+ //CSS Pseudo classes
+ //note: & is needed for &gt; (i.e. > )
+ 2 => '(?<!\\\\):(?!\d)[a-zA-Z0-9\-]+\b(?:\s*(?=[\{\.#a-zA-Z,:+*&](.|\n)|<\|))',
+ //Measurements
+ 3 => '[+\-]?(\d+|(\d*\.\d+))(em|ex|pt|px|cm|in|%)',
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'TAB_WIDTH' => 4,
+ 'PARSER_CONTROL' => array(
+ 'KEYWORDS' => array(
+ 'DISALLOWED_AFTER' => '(?![a-zA-Z0-9_\|%\\-&\.])'
+ )
+ )
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/d.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/d.php
new file mode 100644
index 000000000..691091809
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/d.php
@@ -0,0 +1,272 @@
+<?php
+/*************************************************************************************
+ * d.php
+ * -----
+ * Author: Thomas Kuehne (thomas@kuehne.cn)
+ * Copyright: (c) 2005 Thomas Kuehne (http://thomas.kuehne.cn/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2005/04/22
+ *
+ * D language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2005/04/22 (0.0.2)
+ * - added _d_* and sizeof/ptrdiff_t
+ * 2005/04/20 (0.0.1)
+ * - First release
+ *
+ * TODO (updated 2005/04/22)
+ * -------------------------
+ * * nested comments
+ * * correct handling of r"" and ``
+ * * correct handling of ... and ..
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'D',
+ 'COMMENT_SINGLE' => array(2 => '///', 1 => '//'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'COMMENT_REGEXP' => array(
+ // doxygen comments
+ 3 => '#/\*\*(?![\*\/]).*\*/#sU',
+ // raw strings
+ 4 => '#r"[^"]*"#s',
+ // Script Style interpreter comment
+ 5 => "/\A#!(?=\\/).*?$/m"
+ ),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"', "'"),
+ 'ESCAPE_CHAR' => '',
+ 'ESCAPE_REGEXP' => array(
+ //Simple Single Char Escapes
+ 1 => "#\\\\[abfnrtv\\'\"?\n\\\\]#i",
+ //Hexadecimal Char Specs
+ 2 => "#\\\\x[\da-fA-F]{2}#",
+ //Hexadecimal Char Specs
+ 3 => "#\\\\u[\da-fA-F]{4}#",
+ //Hexadecimal Char Specs
+ 4 => "#\\\\U[\da-fA-F]{8}#",
+ //Octal Char Specs
+ 5 => "#\\\\[0-7]{1,3}#",
+ //Named entity escapes
+ /*6 => "#\\\\&(?:quot|amp|lt|gt|OElig|oelig|Scaron|scaron|Yuml|circ|tilde|".
+ "ensp|emsp|thinsp|zwnj|zwj|lrm|rlm|ndash|mdash|lsquo|rsquo|sbquo|".
+ "ldquo|rdquo|bdquo|dagger|Dagger|permil|lsaquo|rsaquo|euro|nbsp|".
+ "iexcl|cent|pound|curren|yen|brvbar|sect|uml|copy|ordf|laquo|not|".
+ "shy|reg|macr|deg|plusmn|sup2|sup3|acute|micro|para|middot|cedil|".
+ "sup1|ordm|raquo|frac14|frac12|frac34|iquest|Agrave|Aacute|Acirc|".
+ "Atilde|Auml|Aring|AElig|Ccedil|Egrave|Eacute|Ecirc|Euml|Igrave|".
+ "Iacute|Icirc|Iuml|ETH|Ntilde|Ograve|Oacute|Ocirc|Otilde|Ouml|".
+ "times|Oslash|Ugrave|Uacute|Ucirc|Uuml|Yacute|THORN|szlig|agrave|".
+ "aacute|acirc|atilde|auml|aring|aelig|ccedil|egrave|eacute|ecirc|".
+ "euml|igrave|iacute|icirc|iuml|eth|ntilde|ograve|oacute|ocirc|".
+ "otilde|ouml|divide|oslash|ugrave|uacute|ucirc|uuml|yacute|thorn|".
+ "yuml|fnof|Alpha|Beta|Gamma|Delta|Epsilon|Zeta|Eta|Theta|Iota|".
+ "Kappa|Lambda|Mu|Nu|Xi|Omicron|Pi|Rho|Sigma|Tau|Upsilon|Phi|Chi|".
+ "Psi|Omega|alpha|beta|gamma|delta|epsilon|zeta|eta|theta|iota|".
+ "kappa|lambda|mu|nu|xi|omicron|pi|rho|sigmaf|sigma|tau|upsilon|".
+ "phi|chi|psi|omega|thetasym|upsih|piv|bull|hellip|prime|Prime|".
+ "oline|frasl|weierp|image|real|trade|alefsym|larr|uarr|rarr|darr|".
+ "harr|crarr|lArr|uArr|rArr|dArr|hArr|forall|part|exist|empty|".
+ "nabla|isin|notin|ni|prod|sum|minus|lowast|radic|prop|infin|ang|".
+ "and|or|cap|cup|int|there4|sim|cong|asymp|ne|equiv|le|ge|sub|sup|".
+ "nsub|sube|supe|oplus|otimes|perp|sdot|lceil|rceil|lfloor|rfloor|".
+ "lang|rang|loz|spades|clubs|hearts|diams);#",*/
+ // optimized:
+ 6 => "#\\\\&(?:A(?:Elig|acute|circ|grave|lpha|ring|tilde|uml)|Beta|".
+ "C(?:cedil|hi)|D(?:agger|elta)|E(?:TH|acute|circ|grave|psilon|ta|uml)|".
+ "Gamma|I(?:acute|circ|grave|ota|uml)|Kappa|Lambda|Mu|N(?:tilde|u)|".
+ "O(?:Elig|acute|circ|grave|m(?:ega|icron)|slash|tilde|uml)|".
+ "P(?:hi|i|rime|si)|Rho|S(?:caron|igma)|T(?:HORN|au|heta)|".
+ "U(?:acute|circ|grave|psilon|uml)|Xi|Y(?:acute|uml)|Zeta|".
+ "a(?:acute|c(?:irc|ute)|elig|grave|l(?:efsym|pha)|mp|n[dg]|ring|".
+ "symp|tilde|uml)|b(?:dquo|eta|rvbar|ull)|c(?:ap|cedil|e(?:dil|nt)|".
+ "hi|irc|lubs|o(?:ng|py)|rarr|u(?:p|rren))|d(?:Arr|a(?:gger|rr)|".
+ "e(?:g|lta)|i(?:ams|vide))|e(?:acute|circ|grave|m(?:pty|sp)|nsp|".
+ "psilon|quiv|t[ah]|u(?:ml|ro)|xist)|f(?:nof|orall|ra(?:c(?:1[24]|34)|sl))|".
+ "g(?:amma|e|t)|h(?:Arr|arr|e(?:arts|llip))|i(?:acute|circ|excl|grave|mage|".
+ "n(?:fin|t)|ota|quest|sin|uml)|kappa|l(?:Arr|a(?:mbda|ng|quo|rr)|ceil|".
+ "dquo|e|floor|o(?:wast|z)|rm|s(?:aquo|quo)|t)|m(?:acr|dash|".
+ "i(?:cro|ddot|nus)|u)|n(?:abla|bsp|dash|e|i|ot(?:in)?|sub|tilde|u)|".
+ "o(?:acute|circ|elig|grave|line|m(?:ega|icron)|plus|r(?:d[fm])?|".
+ "slash|ti(?:lde|mes)|uml)|p(?:ar[at]|er(?:mil|p)|hi|iv?|lusmn|ound|".
+ "r(?:ime|o[dp])|si)|quot|r(?:Arr|a(?:dic|ng|quo|rr)|ceil|dquo|e(?:al|g)|".
+ "floor|ho|lm|s(?:aquo|quo))|s(?:bquo|caron|dot|ect|hy|i(?:gmaf?|m)|".
+ "pades|u(?:be?|m|p[123e]?)|zlig)|t(?:au|h(?:e(?:re4|ta(?:sym)?)|insp|".
+ "orn)|i(?:lde|mes)|rade)|u(?:Arr|a(?:cute|rr)|circ|grave|ml|".
+ "psi(?:h|lon)|uml)|weierp|xi|y(?:acute|en|uml)|z(?:eta|w(?:j|nj)));#",
+ ),
+ 'HARDQUOTE' => array('`', '`'),
+ 'HARDESCAPE' => array(),
+ 'NUMBERS' =>
+ GESHI_NUMBER_INT_BASIC | GESHI_NUMBER_INT_CSTYLE | GESHI_NUMBER_BIN_PREFIX_0B |
+ GESHI_NUMBER_OCT_PREFIX | GESHI_NUMBER_HEX_PREFIX | GESHI_NUMBER_FLT_NONSCI |
+ GESHI_NUMBER_FLT_NONSCI_F | GESHI_NUMBER_FLT_SCI_SHORT | GESHI_NUMBER_FLT_SCI_ZERO,
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'break', 'case', 'continue', 'do', 'else',
+ 'for', 'foreach', 'goto', 'if', 'return',
+ 'switch', 'while'
+ ),
+ 2 => array(
+ 'alias', 'asm', 'assert', 'body', 'cast',
+ 'catch', 'default', 'delegate', 'delete',
+ 'extern', 'false', 'finally', 'function',
+ 'import', 'in', 'inout', 'interface',
+ 'invariant', 'is', 'mixin', 'module', 'new',
+ 'null', 'out', 'pragma', 'ref', 'super', 'this',
+ 'throw', 'true', 'try', 'typedef', 'typeid',
+ 'typeof', 'union', 'with'
+ ),
+ 3 => array(
+ 'ArrayBoundsError', 'AssertError',
+ 'ClassInfo', 'Error', 'Exception',
+ 'Interface', 'ModuleInfo', 'Object',
+ 'OutOfMemoryException', 'SwitchError',
+ 'TypeInfo', '_d_arrayappend',
+ '_d_arrayappendb', '_d_arrayappendc',
+ '_d_arrayappendcb', '_d_arraycast',
+ '_d_arraycast_frombit', '_d_arraycat',
+ '_d_arraycatb', '_d_arraycatn',
+ '_d_arraycopy', '_d_arraycopybit',
+ '_d_arraysetbit', '_d_arraysetbit2',
+ '_d_arraysetlength', '_d_arraysetlengthb',
+ '_d_callfinalizer',
+ '_d_create_exception_object',
+ '_d_criticalenter', '_d_criticalexit',
+ '_d_delarray', '_d_delclass',
+ '_d_delinterface', '_d_delmemory',
+ '_d_dynamic_cast', '_d_exception',
+ '_d_exception_filter', '_d_framehandler',
+ '_d_interface_cast', '_d_interface_vtbl',
+ '_d_invariant', '_d_isbaseof',
+ '_d_isbaseof2', '_d_local_unwind',
+ '_d_monitorenter', '_d_monitorexit',
+ '_d_monitorrelease', '_d_monitor_epilog',
+ '_d_monitor_handler', '_d_monitor_prolog',
+ '_d_new', '_d_newarrayi', '_d_newbitarray',
+ '_d_newclass', '_d_obj_cmp', '_d_obj_eq',
+ '_d_OutOfMemory', '_d_switch_dstring',
+ '_d_switch_string', '_d_switch_ustring',
+ '_d_throw',
+ ),
+ 4 => array(
+ 'abstract', 'align', 'auto', 'bit', 'bool',
+ 'byte', 'cdouble', 'cent', 'cfloat', 'char',
+ 'class', 'const', 'creal', 'dchar', 'debug',
+ 'deprecated', 'double', 'enum', 'export',
+ 'final', 'float', 'idouble', 'ifloat', 'int',
+ 'ireal', 'long', 'override', 'package',
+ 'private', 'protected', 'ptrdiff_t',
+ 'public', 'real', 'short', 'size_t',
+ 'static', 'struct', 'synchronized',
+ 'template', 'ubyte', 'ucent', 'uint',
+ 'ulong', 'unittest', 'ushort', 'version',
+ 'void', 'volatile', 'wchar'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '[', ']', '{', '}', '?', '!', ';', ':', ',', '...', '..',
+ '+', '-', '*', '/', '%', '&', '|', '^', '<', '>', '=', '~',
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => true,
+ 2 => true,
+ 3 => true,
+ 4 => true
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #b1b100;',
+ 2 => 'color: #000000; font-weight: bold;',
+ 3 => 'color: #aaaadd; font-weight: bold;',
+ 4 => 'color: #993333;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #808080; font-style: italic;',
+ 2 => 'color: #009933; font-style: italic;',
+ 3 => 'color: #009933; font-style: italic;',
+ 4 => 'color: #ff0000;',
+ 5 => 'color: #0040ff;',
+ 'MULTI' => 'color: #808080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;',
+ 1 => 'color: #000099; font-weight: bold;',
+ 2 => 'color: #660099; font-weight: bold;',
+ 3 => 'color: #660099; font-weight: bold;',
+ 4 => 'color: #660099; font-weight: bold;',
+ 5 => 'color: #006699; font-weight: bold;',
+ 6 => 'color: #666699; font-weight: bold; font-style: italic;',
+ 'HARD' => '',
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;',
+ 'HARD' => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #0000dd;',
+ GESHI_NUMBER_BIN_PREFIX_0B => 'color: #208080;',
+ GESHI_NUMBER_OCT_PREFIX => 'color: #208080;',
+ GESHI_NUMBER_HEX_PREFIX => 'color: #208080;',
+ GESHI_NUMBER_FLT_SCI_SHORT => 'color:#800080;',
+ GESHI_NUMBER_FLT_SCI_ZERO => 'color:#800080;',
+ GESHI_NUMBER_FLT_NONSCI_F => 'color:#800080;',
+ GESHI_NUMBER_FLT_NONSCI => 'color:#800080;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #006600;',
+ 2 => 'color: #006600;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'SCRIPT' => array(
+ ),
+ 'REGEXPS' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.',
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/dcs.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/dcs.php
new file mode 100644
index 000000000..0f6bad372
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/dcs.php
@@ -0,0 +1,185 @@
+<?php
+/*************************************************************************************
+ * dcs.php
+ * ---------------------------------
+ * Author: Stelio Passaris (GeSHi@stelio.net)
+ * Copyright: (c) 2009 Stelio Passaris (http://stelio.net/stiki/GeSHi)
+ * Release Version: 1.0.8.4
+ * Date Started: 2009/01/20
+ *
+ * DCS language file for GeSHi.
+ *
+ * DCS (Data Conversion System) is part of Sungard iWorks' Prophet suite and is used
+ * to convert external data files into a format that Prophet and Glean can read.
+ * See http://www.prophet-web.com/Products/DCS for product information.
+ * This language file is current for DCS version 7.3.2.
+ *
+ * Note that the DCS IDE does not handle escape characters correctly. The IDE thinks
+ * that a backslash '\' is an escape character, but in practice the backslash does
+ * not escape the string delimiter character '"' when the program runs. A '\\' is
+ * escaped to '\' when the program runs, but '\"' is treated as '\' at the end of a
+ * string. Therefore in this language file, we do not recognise the backslash as an
+ * escape character. For the purposes of GeSHi, there is no character escaping.
+ *
+ * CHANGES
+ * -------
+ * 2009/02/21 (1.0.8.3)
+ * - First Release
+ *
+ * TODO (updated 2009/02/21)
+ * -------------------------
+ * * Add handling for embedded C code. Note that the DCS IDE does not highlight C code
+ * correctly, but that doesn't mean that we can't! This will be included for a
+ * stable release of GeSHi of version 1.1.x (or later) that allows for highlighting
+ * embedded code using that code's appropriate language file.
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'DCS',
+ 'COMMENT_SINGLE' => array(
+ 1 => ';'
+ ),
+ 'COMMENT_MULTI' => array(
+ ),
+ 'HARDQUOTE' => array(
+ ),
+ 'HARDESCAPE' => '',
+ 'COMMENT_REGEXP' => array(
+ // Highlight embedded C code in a separate color:
+ 2 => '/\bINSERT_C_CODE\b.*?\bEND_C_CODE\b/ims'
+ ),
+ 'CASE_KEYWORDS' => GESHI_CAPS_UPPER,
+ 'QUOTEMARKS' => array(
+ '"'
+ ),
+ 'ESCAPE_CHAR' => '',
+ 'ESCAPE_REGEXP' => '',
+ 'NUMBERS' =>
+ GESHI_NUMBER_INT_BASIC | GESHI_NUMBER_FLT_SCI_ZERO,
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'abs', 'ascii_value', 'bit_value', 'blank_date', 'calc_unit_values', 'cm',
+ 'complete_months', 'complete_years', 'correct', 'create_input_file', 'cy',
+ 'date_convert', 'day', 'del_output_separator',
+ 'delete_existing_output_files', 'div', 'ex', 'exact_years', 'exp',
+ 'extract_date', 'failed_validation', 'file_number', 'first_record',
+ 'fract', 'fund_fac_a', 'fund_fac_b', 'fund_fac_c', 'fund_fac_d',
+ 'fund_fac_e', 'fund_fac_f', 'fund_fac_g', 'fund_fac_h', 'fund_fac_i',
+ 'fund_fac_j', 'fund_fac_k', 'fund_fac_l', 'fund_fac_m', 'fund_fac_n',
+ 'fund_fac_o', 'fund_fac_p', 'fund_fac_q', 'fund_fac_r', 'fund_fac_s',
+ 'fund_fac_t', 'fund_fac_u', 'fund_fac_v', 'fund_fac_w', 'fund_fac_x',
+ 'fund_fac_y', 'fund_fac_z', 'group', 'group_record',
+ 'input_file_date_time', 'input_file_extension', 'input_file_location',
+ 'input_file_name', 'int', 'invalid', 'last_record', 'leap_year', 'len',
+ 'ln', 'log', 'main_format_name', 'max', 'max_num_subrecords', 'message',
+ 'min', 'mod', 'month', 'months_add', 'months_sub', 'nearest_months',
+ 'nearest_years', 'next_record', 'nm', 'no_of_current_records',
+ 'no_of_records', 'numval', 'ny', 'output', 'output_array_as_constants',
+ 'output_file_path', 'output_record', 'pmdf_output', 'previous', 'rand',
+ 're_start', 'read_generic_table', 'read_generic_table_text',
+ 'read_input_footer', 'read_input_footer_text', 'read_input_header',
+ 'read_input_header_text', 'record_count', 'record_suppressed', 'round',
+ 'round_down', 'round_near', 'round_up', 'run_dcs_program', 'run_parameter',
+ 'run_parameter_text', 'set_main_record', 'set_num_subrecords',
+ 'sort_array', 'sort_current_records', 'sort_input', 'strval', 'substr',
+ 'summarise', 'summarise_record', 'summarise_units',
+ 'summarise_units_record', 'suppress_record', 'table_correct',
+ 'table_validate', 'terminate', 'time', 'today', 'trim', 'ubound', 'year',
+ 'years_add', 'years_sub'
+ ),
+ 2 => array(
+ 'and', 'as', 'begin', 'boolean', 'byref', 'byval', 'call', 'case', 'date',
+ 'default', 'do', 'else', 'elseif', 'end_c_code', 'endfor', 'endfunction',
+ 'endif', 'endproc', 'endswitch', 'endwhile', 'eq',
+ 'explicit_declarations', 'false', 'for', 'from', 'function', 'ge', 'gt',
+ 'if', 'insert_c_code', 'integer', 'le', 'loop', 'lt', 'ne', 'not',
+ 'number', 'or', 'private', 'proc', 'public', 'quitloop', 'return',
+ 'short', 'step', 'switch', 'text', 'then', 'to', 'true', 'while'
+ ),
+ 3 => array(
+ // These keywords are not highlighted by the DCS IDE but we may as well
+ // keep track of them anyway:
+ 'mp_file', 'odbc_file'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '[', ']',
+ '=', '<', '>',
+ '+', '-', '*', '/', '^',
+ ':', ','
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: red;',
+ 2 => 'color: blue;',
+ 3 => 'color: black;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: black; background-color: silver;',
+ // Colors for highlighting embedded C code:
+ 2 => 'color: maroon; background-color: pink;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: black;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: green;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: green;'
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: black;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ ),
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'TAB_WIDTH' => 4
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/delphi.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/delphi.php
new file mode 100644
index 000000000..9d7ad7e7d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/delphi.php
@@ -0,0 +1,289 @@
+<?php
+/*************************************************************************************
+ * delphi.php
+ * ----------
+ * Author: J锟絩ja Norbert (jnorbi@vipmail.hu), Benny Baumann (BenBE@omorphia.de)
+ * Copyright: (c) 2004 J锟絩ja Norbert, Benny Baumann (BenBE@omorphia.de), Nigel McNie (http://qbnz.com/highlighter)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/07/26
+ *
+ * Delphi (Object Pascal) language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/05/23 (1.0.7.22)
+ * - Added description of extra language features (SF#1970248)
+ * 2005/11/19 (1.0.3)
+ * - Updated the very incomplete keyword and type lists
+ * 2005/09/03 (1.0.2)
+ * - Added support for hex numbers and string entities
+ * 2004/11/27 (1.0.1)
+ * - Added support for multiple object splitters
+ * 2004/10/27 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2004/11/27)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Delphi',
+ 'COMMENT_SINGLE' => array(1 => '//'),
+ 'COMMENT_MULTI' => array('(*' => '*)', '{' => '}'),
+ //Compiler directives
+ 'COMMENT_REGEXP' => array(2 => '/\\{\\$.*?}|\\(\\*\\$.*?\\*\\)/U'),
+ 'CASE_KEYWORDS' => 0,
+ 'QUOTEMARKS' => array("'"),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'Abstract', 'And', 'Array', 'As', 'Asm', 'At', 'Begin', 'Case',
+ 'Class', 'Const', 'Constructor', 'Contains', 'Destructor',
+ 'DispInterface', 'Div', 'Do', 'DownTo', 'Else', 'End', 'Except',
+ 'Export', 'Exports', 'External', 'File', 'Finalization', 'Finally',
+ 'For', 'Function', 'Goto', 'If', 'Implementation', 'In', 'Inherited',
+ 'Initialization', 'Inline', 'Interface', 'Is', 'Label', 'Library',
+ 'Mod', 'Not', 'Object', 'Of', 'On', 'Or', 'Overload', 'Override',
+ 'Package', 'Packed', 'Private', 'Procedure', 'Program', 'Property',
+ 'Protected', 'Public', 'Published', 'Raise', 'Record', 'Register',
+ 'Repeat', 'Requires', 'Resourcestring', 'Set', 'Shl', 'Shr', 'Then',
+ 'ThreadVar', 'To', 'Try', 'Type', 'Unit', 'Until', 'Uses', 'Var',
+ 'Virtual', 'While', 'With', 'Xor', 'assembler', 'far',
+ 'near', 'pascal', 'register', 'cdecl', 'safecall', 'stdcall', 'varargs'
+ ),
+ 2 => array(
+ 'nil', 'false', 'self', 'true', 'var', 'type', 'const'
+ ),
+ 3 => array(
+ 'Abs', 'AcquireExceptionObject', 'Addr', 'AnsiToUtf8', 'Append', 'ArcTan',
+ 'Assert', 'AssignFile', 'Assigned', 'BeginThread', 'BlockRead',
+ 'BlockWrite', 'Break', 'ChDir', 'Chr', 'Close', 'CloseFile',
+ 'CompToCurrency', 'CompToDouble', 'Concat', 'Continue', 'Copy', 'Cos',
+ 'Dec', 'Delete', 'Dispose', 'DoubleToComp', 'EndThread', 'EnumModules',
+ 'EnumResourceModules', 'Eof', 'Eoln', 'Erase', 'ExceptAddr',
+ 'ExceptObject', 'Exclude', 'Exit', 'Exp', 'FilePos', 'FileSize',
+ 'FillChar', 'Finalize', 'FindClassHInstance', 'FindHInstance',
+ 'FindResourceHInstance', 'Flush', 'Frac', 'FreeMem', 'Get8087CW',
+ 'GetDir', 'GetLastError', 'GetMem', 'GetMemoryManager',
+ 'GetModuleFileName', 'GetVariantManager', 'Halt', 'Hi', 'High',
+ 'IOResult', 'Inc', 'Include', 'Initialize', 'Insert', 'Int',
+ 'IsMemoryManagerSet', 'IsVariantManagerSet', 'Length', 'Ln', 'Lo', 'Low',
+ 'MkDir', 'Move', 'New', 'Odd', 'OleStrToStrVar', 'OleStrToString', 'Ord',
+ 'PUCS4Chars', 'ParamCount', 'ParamStr', 'Pi', 'Pos', 'Pred', 'Ptr',
+ 'Random', 'Randomize', 'Read', 'ReadLn', 'ReallocMem',
+ 'ReleaseExceptionObject', 'Rename', 'Reset', 'Rewrite', 'RmDir', 'Round',
+ 'RunError', 'Seek', 'SeekEof', 'SeekEoln', 'Set8087CW', 'SetLength',
+ 'SetLineBreakStyle', 'SetMemoryManager', 'SetString', 'SetTextBuf',
+ 'SetVariantManager', 'Sin', 'SizeOf', 'Slice', 'Sqr', 'Sqrt', 'Str',
+ 'StringOfChar', 'StringToOleStr', 'StringToWideChar', 'Succ', 'Swap',
+ 'Trunc', 'Truncate', 'TypeInfo', 'UCS4StringToWideString', 'UTF8Decode',
+ 'UTF8Encode', 'UnicodeToUtf8', 'UniqueString', 'UpCase', 'Utf8ToAnsi',
+ 'Utf8ToUnicode', 'Val', 'VarArrayRedim', 'VarClear',
+ 'WideCharLenToStrVar', 'WideCharLenToString', 'WideCharToStrVar',
+ 'WideCharToString', 'WideStringToUCS4String', 'Write', 'WriteLn',
+
+ 'Abort', 'AddExitProc', 'AddTerminateProc', 'AdjustLineBreaks', 'AllocMem',
+ 'AnsiCompareFileName', 'AnsiCompareStr', 'AnsiCompareText',
+ 'AnsiDequotedStr', 'AnsiExtractQuotedStr', 'AnsiLastChar',
+ 'AnsiLowerCase', 'AnsiLowerCaseFileName', 'AnsiPos', 'AnsiQuotedStr',
+ 'AnsiSameStr', 'AnsiSameText', 'AnsiStrComp', 'AnsiStrIComp',
+ 'AnsiStrLComp', 'AnsiStrLIComp', 'AnsiStrLastChar', 'AnsiStrLower',
+ 'AnsiStrPos', 'AnsiStrRScan', 'AnsiStrScan', 'AnsiStrUpper',
+ 'AnsiUpperCase', 'AnsiUpperCaseFileName', 'AppendStr', 'AssignStr',
+ 'Beep', 'BoolToStr', 'ByteToCharIndex', 'ByteToCharLen', 'ByteType',
+ 'CallTerminateProcs', 'ChangeFileExt', 'CharLength', 'CharToByteIndex',
+ 'CharToByteLen', 'CompareMem', 'CompareStr', 'CompareText', 'CreateDir',
+ 'CreateGUID', 'CurrToStr', 'CurrToStrF', 'CurrentYear', 'Date',
+ 'DateTimeToFileDate', 'DateTimeToStr', 'DateTimeToString',
+ 'DateTimeToSystemTime', 'DateTimeToTimeStamp', 'DateToStr', 'DayOfWeek',
+ 'DecodeDate', 'DecodeDateFully', 'DecodeTime', 'DeleteFile',
+ 'DirectoryExists', 'DiskFree', 'DiskSize', 'DisposeStr', 'EncodeDate',
+ 'EncodeTime', 'ExceptionErrorMessage', 'ExcludeTrailingBackslash',
+ 'ExcludeTrailingPathDelimiter', 'ExpandFileName', 'ExpandFileNameCase',
+ 'ExpandUNCFileName', 'ExtractFileDir', 'ExtractFileDrive',
+ 'ExtractFileExt', 'ExtractFileName', 'ExtractFilePath',
+ 'ExtractRelativePath', 'ExtractShortPathName', 'FileAge', 'FileClose',
+ 'FileCreate', 'FileDateToDateTime', 'FileExists', 'FileGetAttr',
+ 'FileGetDate', 'FileIsReadOnly', 'FileOpen', 'FileRead', 'FileSearch',
+ 'FileSeek', 'FileSetAttr', 'FileSetDate', 'FileSetReadOnly', 'FileWrite',
+ 'FinalizePackage', 'FindClose', 'FindCmdLineSwitch', 'FindFirst',
+ 'FindNext', 'FloatToCurr', 'FloatToDateTime', 'FloatToDecimal',
+ 'FloatToStr', 'FloatToStrF', 'FloatToText', 'FloatToTextFmt',
+ 'FmtLoadStr', 'FmtStr', 'ForceDirectories', 'Format', 'FormatBuf',
+ 'FormatCurr', 'FormatDateTime', 'FormatFloat', 'FreeAndNil',
+ 'GUIDToString', 'GetCurrentDir', 'GetEnvironmentVariable',
+ 'GetFileVersion', 'GetFormatSettings', 'GetLocaleFormatSettings',
+ 'GetModuleName', 'GetPackageDescription', 'GetPackageInfo', 'GetTime',
+ 'IncAMonth', 'IncMonth', 'IncludeTrailingBackslash',
+ 'IncludeTrailingPathDelimiter', 'InitializePackage', 'IntToHex',
+ 'IntToStr', 'InterlockedDecrement', 'InterlockedExchange',
+ 'InterlockedExchangeAdd', 'InterlockedIncrement', 'IsDelimiter',
+ 'IsEqualGUID', 'IsLeapYear', 'IsPathDelimiter', 'IsValidIdent',
+ 'Languages', 'LastDelimiter', 'LoadPackage', 'LoadStr', 'LowerCase',
+ 'MSecsToTimeStamp', 'NewStr', 'NextCharIndex', 'Now', 'OutOfMemoryError',
+ 'QuotedStr', 'RaiseLastOSError', 'RaiseLastWin32Error', 'RemoveDir',
+ 'RenameFile', 'ReplaceDate', 'ReplaceTime', 'SafeLoadLibrary',
+ 'SameFileName', 'SameText', 'SetCurrentDir', 'ShowException', 'Sleep',
+ 'StrAlloc', 'StrBufSize', 'StrByteType', 'StrCat', 'StrCharLength',
+ 'StrComp', 'StrCopy', 'StrDispose', 'StrECopy', 'StrEnd', 'StrFmt',
+ 'StrIComp', 'StrLCat', 'StrLComp', 'StrLCopy', 'StrLFmt', 'StrLIComp',
+ 'StrLen', 'StrLower', 'StrMove', 'StrNew', 'StrNextChar', 'StrPCopy',
+ 'StrPLCopy', 'StrPas', 'StrPos', 'StrRScan', 'StrScan', 'StrToBool',
+ 'StrToBoolDef', 'StrToCurr', 'StrToCurrDef', 'StrToDate', 'StrToDateDef',
+ 'StrToDateTime', 'StrToDateTimeDef', 'StrToFloat', 'StrToFloatDef',
+ 'StrToInt', 'StrToInt64', 'StrToInt64Def', 'StrToIntDef', 'StrToTime',
+ 'StrToTimeDef', 'StrUpper', 'StringReplace', 'StringToGUID', 'Supports',
+ 'SysErrorMessage', 'SystemTimeToDateTime', 'TextToFloat', 'Time',
+ 'TimeStampToDateTime', 'TimeStampToMSecs', 'TimeToStr', 'Trim',
+ 'TrimLeft', 'TrimRight', 'TryEncodeDate', 'TryEncodeTime',
+ 'TryFloatToCurr', 'TryFloatToDateTime', 'TryStrToBool', 'TryStrToCurr',
+ 'TryStrToDate', 'TryStrToDateTime', 'TryStrToFloat', 'TryStrToInt',
+ 'TryStrToInt64', 'TryStrToTime', 'UnloadPackage', 'UpperCase',
+ 'WideCompareStr', 'WideCompareText', 'WideFmtStr', 'WideFormat',
+ 'WideFormatBuf', 'WideLowerCase', 'WideSameStr', 'WideSameText',
+ 'WideUpperCase', 'Win32Check', 'WrapText',
+
+ 'ActivateClassGroup', 'AllocateHwnd', 'BinToHex', 'CheckSynchronize',
+ 'CollectionsEqual', 'CountGenerations', 'DeallocateHwnd', 'EqualRect',
+ 'ExtractStrings', 'FindClass', 'FindGlobalComponent', 'GetClass',
+ 'GroupDescendantsWith', 'HexToBin', 'IdentToInt',
+ 'InitInheritedComponent', 'IntToIdent', 'InvalidPoint',
+ 'IsUniqueGlobalComponentName', 'LineStart', 'ObjectBinaryToText',
+ 'ObjectResourceToText', 'ObjectTextToBinary', 'ObjectTextToResource',
+ 'PointsEqual', 'ReadComponentRes', 'ReadComponentResEx',
+ 'ReadComponentResFile', 'Rect', 'RegisterClass', 'RegisterClassAlias',
+ 'RegisterClasses', 'RegisterComponents', 'RegisterIntegerConsts',
+ 'RegisterNoIcon', 'RegisterNonActiveX', 'SmallPoint', 'StartClassGroup',
+ 'TestStreamFormat', 'UnregisterClass', 'UnregisterClasses',
+ 'UnregisterIntegerConsts', 'UnregisterModuleClasses',
+ 'WriteComponentResFile',
+
+ 'ArcCos', 'ArcCosh', 'ArcCot', 'ArcCotH', 'ArcCsc', 'ArcCscH', 'ArcSec',
+ 'ArcSecH', 'ArcSin', 'ArcSinh', 'ArcTan2', 'ArcTanh', 'Ceil',
+ 'CompareValue', 'Cosecant', 'Cosh', 'Cot', 'CotH', 'Cotan', 'Csc', 'CscH',
+ 'CycleToDeg', 'CycleToGrad', 'CycleToRad', 'DegToCycle', 'DegToGrad',
+ 'DegToRad', 'DivMod', 'DoubleDecliningBalance', 'EnsureRange', 'Floor',
+ 'Frexp', 'FutureValue', 'GetExceptionMask', 'GetPrecisionMode',
+ 'GetRoundMode', 'GradToCycle', 'GradToDeg', 'GradToRad', 'Hypot',
+ 'InRange', 'IntPower', 'InterestPayment', 'InterestRate',
+ 'InternalRateOfReturn', 'IsInfinite', 'IsNan', 'IsZero', 'Ldexp', 'LnXP1',
+ 'Log10', 'Log2', 'LogN', 'Max', 'MaxIntValue', 'MaxValue', 'Mean',
+ 'MeanAndStdDev', 'Min', 'MinIntValue', 'MinValue', 'MomentSkewKurtosis',
+ 'NetPresentValue', 'Norm', 'NumberOfPeriods', 'Payment', 'PeriodPayment',
+ 'Poly', 'PopnStdDev', 'PopnVariance', 'Power', 'PresentValue',
+ 'RadToCycle', 'RadToDeg', 'RadToGrad', 'RandG', 'RandomRange', 'RoundTo',
+ 'SLNDepreciation', 'SYDDepreciation', 'SameValue', 'Sec', 'SecH',
+ 'Secant', 'SetExceptionMask', 'SetPrecisionMode', 'SetRoundMode', 'Sign',
+ 'SimpleRoundTo', 'SinCos', 'Sinh', 'StdDev', 'Sum', 'SumInt',
+ 'SumOfSquares', 'SumsAndSquares', 'Tan', 'Tanh', 'TotalVariance',
+ 'Variance'
+ ),
+ 4 => array(
+ 'AnsiChar', 'AnsiString', 'Bool', 'Boolean', 'Byte', 'ByteBool', 'Cardinal', 'Char',
+ 'Comp', 'Currency', 'DWORD', 'Double', 'Extended', 'Int64', 'Integer', 'IUnknown',
+ 'LongBool', 'LongInt', 'LongWord', 'PAnsiChar', 'PAnsiString', 'PBool', 'PBoolean', 'PByte',
+ 'PByteArray', 'PCardinal', 'PChar', 'PComp', 'PCurrency', 'PDWORD', 'PDate', 'PDateTime',
+ 'PDouble', 'PExtended', 'PInt64', 'PInteger', 'PLongInt', 'PLongWord', 'Pointer', 'PPointer',
+ 'PShortInt', 'PShortString', 'PSingle', 'PSmallInt', 'PString', 'PHandle', 'PVariant', 'PWord',
+ 'PWordArray', 'PWordBool', 'PWideChar', 'PWideString', 'Real', 'Real48', 'ShortInt', 'ShortString',
+ 'Single', 'SmallInt', 'String', 'TClass', 'TDate', 'TDateTime', 'TextFile', 'THandle',
+ 'TObject', 'TTime', 'Variant', 'WideChar', 'WideString', 'Word', 'WordBool'
+ ),
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => false,
+ ),
+ 'SYMBOLS' => array(
+ 0 => array('(', ')', '[', ']'),
+ 1 => array('.', ',', ':', ';'),
+ 2 => array('@', '^'),
+ 3 => array('=', '+', '-', '*', '/')
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #000000; font-weight: bold;',
+ 2 => 'color: #000000; font-weight: bold;',
+ 3 => 'color: #000066;',
+ 4 => 'color: #000066; font-weight: bold;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #808080; font-style: italic;',
+ 2 => 'color: #008000; font-style: italic;',
+ 'MULTI' => 'color: #808080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #ff0000; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #000066;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #0000ff;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #006600;'
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #9ac;',
+ 1 => 'color: #ff0000;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #000066;',
+ 1 => 'color: #000066;',
+ 2 => 'color: #000066;',
+ 3 => 'color: #000066;'
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.'
+ ),
+ 'REGEXPS' => array(
+ //Hex numbers
+ 0 => '\$[0-9a-fA-F]+',
+ //Characters
+ 1 => '\#(?:\$[0-9a-fA-F]{1,2}|\d{1,3})'
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'TAB_WIDTH' => 2
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/diff.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/diff.php
new file mode 100644
index 000000000..b4703c1d2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/diff.php
@@ -0,0 +1,196 @@
+<?php
+/*************************************************************************************
+ * diff.php
+ * --------
+ * Author: Conny Brunnkvist (conny@fuchsia.se), W. Tasin (tasin@fhm.edu)
+ * Copyright: (c) 2004 Fuchsia Open Source Solutions (http://www.fuchsia.se/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/12/29
+ *
+ * Diff-output language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/05/23 (1.0.7.22)
+ * - Added description of extra language features (SF#1970248)
+ * 2006/02/27
+ * - changing language file to use matching of start (^) and end ($) (wt)
+ * 2004/12/29 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2006/02/27)
+ * -------------------------
+ *
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+
+$language_data = array (
+ 'LANG_NAME' => 'Diff',
+ 'COMMENT_SINGLE' => array(),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array(),
+ 'ESCAPE_CHAR' => ' ',
+ 'KEYWORDS' => array(
+ 1 => array(
+ '\ No newline at end of file'
+ ),
+// 2 => array(
+// '***************' /* This only seems to works in some cases? */
+// ),
+ ),
+ 'SYMBOLS' => array(
+ ),
+ 'CASE_SENSITIVE' => array(
+ 1 => false,
+// 2 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #aaaaaa; font-style: italic;',
+// 2 => 'color: #dd6611;',
+ ),
+ 'COMMENTS' => array(
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => ''
+ ),
+ 'BRACKETS' => array(
+ 0 => ''
+ ),
+ 'STRINGS' => array(
+ 0 => ''
+ ),
+ 'NUMBERS' => array(
+ 0 => ''
+ ),
+ 'METHODS' => array(
+ 0 => ''
+ ),
+ 'SYMBOLS' => array(
+ 0 => ''
+ ),
+ 'SCRIPT' => array(
+ 0 => ''
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #440088;',
+ 1 => 'color: #991111;',
+ 2 => 'color: #00b000;',
+ 3 => 'color: #888822;',
+ 4 => 'color: #888822;',
+ 5 => 'color: #0011dd;',
+ 6 => 'color: #440088;',
+ 7 => 'color: #991111;',
+ 8 => 'color: #00b000;',
+ 9 => 'color: #888822;',
+ ),
+ ),
+ 'URLS' => array(
+ 1 => '',
+// 2 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(),
+ 'REGEXPS' => array(
+ 0 => "[0-9,]+[acd][0-9,]+",
+ //Removed lines
+ 1 => array(
+ GESHI_SEARCH => '^\\&lt;.*$',
+ GESHI_REPLACE => '\\0',
+ GESHI_MODIFIERS => 'm',
+ GESHI_BEFORE => '',
+ GESHI_AFTER => ''
+ ),
+ //Inserted lines
+ 2 => array(
+ GESHI_SEARCH => '^\\&gt;.*$',
+ GESHI_REPLACE => '\\0',
+ GESHI_MODIFIERS => 'm',
+ GESHI_BEFORE => '',
+ GESHI_AFTER => ''
+ ),
+ //Location line
+ 3 => array(
+ GESHI_SEARCH => '^[\\-]{3}\\s.*$',
+ GESHI_REPLACE => '\\0',
+ GESHI_MODIFIERS => 'm',
+ GESHI_BEFORE => '',
+ GESHI_AFTER => ''
+ ),
+ //Inserted line
+ 4 => array(
+ GESHI_SEARCH => '^(\\+){3}\\s.*$',
+ GESHI_REPLACE => '\\0',
+ GESHI_MODIFIERS => 'm',
+ GESHI_BEFORE => '',
+ GESHI_AFTER => ''
+ ),
+ //Modified line
+ 5 => array(
+ GESHI_SEARCH => '^\\!.*$',
+ GESHI_REPLACE => '\\0',
+ GESHI_MODIFIERS => 'm',
+ GESHI_BEFORE => '',
+ GESHI_AFTER => ''
+ ),
+ //File specification
+ 6 => array(
+ GESHI_SEARCH => '^[\\@]{2}.*$',
+ GESHI_REPLACE => '\\0',
+ GESHI_MODIFIERS => 'm',
+ GESHI_BEFORE => '',
+ GESHI_AFTER => ''
+ ),
+ //Removed line
+ 7 => array(
+ GESHI_SEARCH => '^\\-.*$',
+ GESHI_REPLACE => '\\0',
+ GESHI_MODIFIERS => 'm',
+ GESHI_BEFORE => '',
+ GESHI_AFTER => ''
+ ),
+ //Inserted line
+ 8 => array(
+ GESHI_SEARCH => '^\\+.*$',
+ GESHI_REPLACE => '\\0',
+ GESHI_MODIFIERS => 'm',
+ GESHI_BEFORE => '',
+ GESHI_AFTER => ''
+ ),
+ //File specification
+ 9 => array(
+ GESHI_SEARCH => '^(\\*){3}\\s.*$',
+ GESHI_REPLACE => '\\0',
+ GESHI_MODIFIERS => 'm',
+ GESHI_BEFORE => '',
+ GESHI_AFTER => ''
+ ),
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/div.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/div.php
new file mode 100644
index 000000000..0e249740c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/div.php
@@ -0,0 +1,126 @@
+<?php
+/*************************************************************************************
+ * div.php
+ * ---------------------------------
+ * Author: Gabriel Lorenzo (ermakina@gmail.com)
+ * Copyright: (c) 2005 Gabriel Lorenzo (http://ermakina.gazpachito.net)
+ * Release Version: 1.0.8.4
+ * Date Started: 2005/06/19
+ *
+ * DIV language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2005/06/22 (1.0.0)
+ * - First Release, includes "2nd gen" ELSEIF statement
+ *
+ * TODO (updated 2005/06/22)
+ * -------------------------
+ * - I'm pretty satisfied with this, so nothing for now... :P
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'DIV',
+ 'COMMENT_SINGLE' => array(1 => '//'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_UPPER,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'while','until','to','switch','step','return','repeat','loop','if','from','frame','for','end','elseif',
+ 'else','default','debug','continue','clone','case','break','begin'
+ ),
+ 2 => array(
+ 'xor','whoami','type','sizeof','pointer','or','offset','not','neg','mod','id','dup','and','_ne','_lt',
+ '_le','_gt','_ge','_eq'
+ ),
+ 3 => array(
+ 'setup_program','program','process','private','local','import','global','function','const',
+ 'compiler_options'
+ ),
+ 4 => array(
+ 'word','struct','string','int','byte'
+ ),
+ ),
+ 'SYMBOLS' => array(
+ '(',')','[',']','=','+','-','*','/','!','%','^','&',':',';',',','<','>'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #0040b1;',
+ 2 => 'color: #000000;',
+ 3 => 'color: #000066; font-weight: bold;',
+ 4 => 'color: #993333;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #808080; font-style: italic;',
+ 'MULTI' => 'color: #808080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => ''
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #44aa44;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ 0 => 'color: #202020;',
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #44aa44;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/dos.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/dos.php
new file mode 100644
index 000000000..bec3de129
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/dos.php
@@ -0,0 +1,198 @@
+<?php
+/*************************************************************************************
+ * dos.php
+ * -------
+ * Author: Alessandro Staltari (staltari@geocities.com)
+ * Copyright: (c) 2005 Alessandro Staltari (http://www.geocities.com/SiliconValley/Vista/8155/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2005/07/05
+ *
+ * DOS language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/05/23 (1.0.7.22)
+ * - Added description of extra language features (SF#1970248)
+ * 2005/07/05 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2005/07/05)
+ * -------------------------
+ *
+ * - Highlight pipes and redirection (do we really need this?)
+ * - Add missing keywords.
+ * - Find a good hyperlink for keywords.
+ * - Improve styles.
+ *
+ * KNOWN ISSUES (updated 2005/07/07)
+ * ---------------------------------
+ *
+ * - Doesn't even try to handle spaces in variables name or labels (I can't
+ * find a reliable way to establish if a sting is a name or not, in some
+ * cases it depends on the contex or enviroment status).
+ * - Doesn't handle %%[letter] pseudo variable used inside FOR constructs
+ * (it should be done only into its scope: how to handle variable it?).
+ * - Doesn't handle %~[something] pseudo arguments.
+ * - If the same keyword is placed at the end of the line and the
+ * beginning of the next, the second occourrence is not highlighted
+ * (this should be a GeSHi bug, not related to the language definition).
+ * - I can't avoid to have keyword highlighted even when they are not used
+ * as keywords but, for example, as arguments to the echo command.
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'DOS',
+ 'COMMENT_SINGLE' => array(),
+ 'COMMENT_MULTI' => array(),
+ //DOS comment lines
+ 'COMMENT_REGEXP' => array(1 => "/^\s*@?REM.*$/mi"),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array(),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ /* Flow control keywords */
+ 1 => array(
+ 'if', 'else', 'goto', 'shift',
+ 'for', 'in', 'do',
+ 'call', 'exit'
+ ),
+ /* IF statement keywords */
+ 2 => array(
+ 'not', 'exist', 'errorlevel',
+ 'defined',
+ 'equ', 'neq', 'lss', 'leq', 'gtr', 'geq'
+ ),
+ /* Internal commands */
+ 3 => array(
+ 'cd', 'md', 'rd', 'chdir', 'mkdir', 'rmdir', 'dir',
+ 'del', 'copy', 'move', 'ren', 'rename',
+ 'echo',
+ 'setlocal', 'endlocal', 'set',
+ 'pause',
+ 'pushd', 'popd', 'title', 'verify'
+ ),
+ /* Special files */
+ 4 => array(
+ 'prn', 'nul', 'lpt3', 'lpt2', 'lpt1', 'con',
+ 'com4', 'com3', 'com2', 'com1', 'aux'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '@', '%'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #00b100; font-weight: bold;',
+ 2 => 'color: #000000; font-weight: bold;',
+ 3 => 'color: #b1b100; font-weight: bold;',
+ 4 => 'color: #0000ff; font-weight: bold;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #808080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #ff0000; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #33cc33;',
+ 1 => 'color: #33cc33;'
+ ),
+ 'SCRIPT' => array(
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #b100b1; font-weight: bold;',
+ 1 => 'color: #448844;',
+ 2 => 'color: #448888;'
+ )
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'URLS' => array(
+ 1 => 'http://www.ss64.com/nt/{FNAMEL}.html',
+ 2 => 'http://www.ss64.com/nt/{FNAMEL}.html',
+ 3 => 'http://www.ss64.com/nt/{FNAMEL}.html',
+ 4 => 'http://www.ss64.com/nt/{FNAMEL}.html'
+ ),
+ 'REGEXPS' => array(
+ /* Label */
+ 0 => array(
+/* GESHI_SEARCH => '((?si:[@\s]+GOTO\s+|\s+:)[\s]*)((?<!\n)[^\s\n]*)',*/
+ GESHI_SEARCH => '((?si:[@\s]+GOTO\s+|\s+:)[\s]*)((?<!\n)[^\n]*)',
+ GESHI_REPLACE => '\\2',
+ GESHI_MODIFIERS => 'si',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => ''
+ ),
+ /* Variable assignement */
+ 1 => array(
+/* GESHI_SEARCH => '(SET[\s]+(?si:\/A[\s]+|\/P[\s]+|))([^=\s\n]+)([\s]*=)',*/
+ GESHI_SEARCH => '(SET[\s]+(?si:\/A[\s]+|\/P[\s]+|))([^=\n]+)([\s]*=)',
+ GESHI_REPLACE => '\\2',
+ GESHI_MODIFIERS => 'si',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => '\\3'
+ ),
+ /* Arguments or variable evaluation */
+ 2 => array(
+/* GESHI_SEARCH => '(%)([\d*]|[^%\s]*(?=%))((?<!%\d)%|)',*/
+ GESHI_SEARCH => '(%(?:%(?=[a-z0-9]))?)([\d*]|(?:~[adfnpstxz]*(?:$\w+:)?)?[a-z0-9](?!\w)|[^%\n]*(?=%))((?<!%\d)%|)',
+ GESHI_REPLACE => '\\2',
+ GESHI_MODIFIERS => 'si',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => '\\3'
+ )
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'TAB_WIDTH' => 4,
+ 'PARSER_CONTROL' => array(
+ 'KEYWORDS' => array(
+ 4 => array(
+ 'DISALLOWED_BEFORE' => '(?<!\w)'
+ )
+ )
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/dot.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/dot.php
new file mode 100644
index 000000000..c45a74af7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/dot.php
@@ -0,0 +1,164 @@
+<?php
+/*************************************************************************************
+ * dot.php
+ * ---------------------------------
+ * Author: Adrien Friggeri (adrien@friggeri.net)
+ * Copyright: (c) 2007 Adrien Friggeri (http://www.friggeri.net)
+ * Release Version: 1.0.8.4
+ * Date Started: 2007/05/30
+ *
+ * dot language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2007/05/30 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2007/05/30)
+ * -------------------------
+ * Everything
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'dot',
+ 'COMMENT_SINGLE' => array(1 => '//', 2 => '#'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'URL', 'arrowhead', 'arrowsize', 'arrowtail', 'bb', 'bgcolor', 'bottomlabel',
+ 'center', 'clusterrank', 'color', 'comment', 'constraint', 'decorate',
+ 'dir', 'distortion', 'fillcolor', 'fixedsize', 'fontcolor',
+ 'fontname', 'fontsize', 'group', 'headclip', 'headlabel', 'headport',
+ 'height', 'id', 'label', 'labelangle', 'labeldistance', 'labelfontcolor',
+ 'labelfontname', 'labelfontsize', 'layer', 'layers', 'margin', 'mclimit',
+ 'minlen', 'nodesep', 'nslimit', 'ordering', 'orientation', 'page',
+ 'pagedir', 'peripheries', 'port_label_distance', 'quantum', 'rank', 'rankdir',
+ 'ranksep', 'ratio', 'regular', 'rotate', 'samehead', 'sametail', 'searchsize',
+ 'shape', 'shapefile', 'showboxes', 'sides', 'size', 'skew', 'style',
+ 'tailclip', 'taillabel', 'tailport', 'toplabel', 'weight', 'width'
+ ),
+ 2 => array(
+ 'node', 'graph', 'digraph', 'strict', 'edge', 'subgraph'
+ ),
+ 3 => array(
+ 'Mcircle', 'Mdiamond', 'Mrecord', 'Msquare', 'TRUE', 'auto', 'back',
+ 'bold', 'both', 'box', 'circle', 'compress', 'dashed', 'diamond', 'dot',
+ 'dotted', 'doublecircle', 'doubleoctagon', 'egg', 'ellipse', 'epsf', 'false',
+ 'fill', 'filled', 'forward', 'global', 'hexagon', 'house', 'inv', 'invdot',
+ 'invhouse', 'invis', 'invodot', 'invtrapezium', 'invtriangle', 'local', 'max',
+ 'min', 'none', 'normal', 'octagon', 'odot', 'out', 'parallelogram', 'plaintext',
+ 'polygon', 'record', 'same', 'solid', 'trapezium', 'triangle', 'tripleoctagon',
+ 'true'
+ ),
+ 4 => array(
+ 'aliceblue', 'antiquewhite', 'aquamarine', 'azure', 'beige', 'bisque', 'black',
+ 'blanchedalmond', 'blue', 'blueviolet', 'brown', 'burlywood', 'cadetblue',
+ 'chartreuse', 'chocolate', 'coral', 'cornflowerblue', 'cornsilk', 'crimson',
+ 'cyan', 'darkgoldenrod', 'darkgreen', 'darkkhaki', 'darkolivegreen',
+ 'darkorange', 'darkorchid', 'darksalmon', 'darkseagreen', 'darkslateblue',
+ 'darkslategray', 'darkturquoise', 'darkviolet', 'deeppink', 'deepskyblue',
+ 'dimgray', 'dodgerblue', 'firebrick', 'forestgreen', 'gainsboro', 'ghostwhite',
+ 'gold', 'goldenrod', 'gray', 'green', 'greenyellow', 'honeydew', 'hotpink',
+ 'indianred', 'indigo', 'ivory', 'khaki', 'lavender', 'lavenderblush',
+ 'lawngreen', 'lemonchiffon', 'lightblue', 'lightcyan', 'lightgoldenrod',
+ 'lightgoldenrodyellow', 'lightgray', 'lightpink', 'lightsalmon',
+ 'lightseagreen', 'lightskyblue', 'lightslateblue', 'lightslategray',
+ 'lightyellow', 'limegreen', 'linen', 'magenta', 'maroon', 'mediumaquamarine',
+ 'mediumblue', 'mediumorchid', 'mediumpurple', 'mediumseagreen',
+ 'mediumslateblue', 'mediumspringgreen', 'mediumturquoise', 'mediumvioletred',
+ 'midnightblue', 'mintcream', 'mistyrose', 'moccasin', 'navajowhite', 'navy',
+ 'navyblue', 'oldlace', 'olivedrab', 'oralwhite', 'orange', 'orangered',
+ 'orchid', 'palegoldenrod', 'palegreen', 'paleturquoise', 'palevioletred',
+ 'papayawhip', 'peachpuff', 'peru', 'pink', 'plum', 'powderblue', 'purple',
+ 'red', 'rosybrown', 'royalblue', 'saddlebrown', 'salmon', 'salmon2', 'sandybrown',
+ 'seagreen', 'seashell', 'sienna', 'skyblue', 'slateblue', 'slategray', 'snow',
+ 'springgreen', 'steelblue', 'tan', 'thistle', 'tomato', 'turquoise', 'violet',
+ 'violetred', 'wheat', 'white', 'whitesmoke', 'yellow', 'yellowgreen'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '[', ']', '{', '}', '-', '+', '*', '/', '<', '>', '!', '~', '%', '&', '|', '='
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #000066;',
+ 2 => 'color: #000000; font-weight: bold;',
+ 3 => 'color: #993333;',
+ 4 => 'color: #b1b100;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #808080; font-style: italic;',
+ 2 => 'color: #339933;',
+ 'MULTI' => 'color: #808080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #af624d; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'METHODS' => array(
+ ),
+ 'SCRIPT' => array(
+ ),
+ 'REGEXPS' => array(
+ ),
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(),
+ 'REGEXPS' => array(),
+ 'STRICT_MODE_APPLIES' => GESHI_MAYBE,
+ 'SCRIPT_DELIMITERS' => array(),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ 0 => true,
+ 1 => true,
+ 2 => true,
+ 3 => true
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/eiffel.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/eiffel.php
new file mode 100644
index 000000000..ab7abf974
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/eiffel.php
@@ -0,0 +1,395 @@
+<?php
+/*************************************************************************************
+ * eiffel.php
+ * ----------
+ * Author: Zoran Simic (zsimic@axarosenberg.com)
+ * Copyright: (c) 2005 Zoran Simic
+ * Release Version: 1.0.8.4
+ * Date Started: 2005/06/30
+ *
+ * Eiffel language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2005/06/30 (1.0.7)
+ * - Initial release
+ *
+ * TODO (updated 2005/06/30)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Eiffel',
+ 'COMMENT_SINGLE' => array(1 => '--'),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '%',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'separate',
+ 'invariant',
+ 'inherit',
+ 'indexing',
+ 'feature',
+ 'expanded',
+ 'deferred',
+ 'class'
+ ),
+ 2 => array(
+ 'xor',
+ 'when',
+ 'variant',
+ 'until',
+ 'unique',
+ 'undefine',
+ 'then',
+ 'strip',
+ 'select',
+ 'retry',
+ 'rescue',
+ 'require',
+ 'rename',
+ 'reference',
+ 'redefine',
+ 'prefix',
+ 'or',
+ 'once',
+ 'old',
+ 'obsolete',
+ 'not',
+ 'loop',
+ 'local',
+ 'like',
+ 'is',
+ 'inspect',
+ 'infix',
+ 'include',
+ 'implies',
+ 'if',
+ 'frozen',
+ 'from',
+ 'external',
+ 'export',
+ 'ensure',
+ 'end',
+ 'elseif',
+ 'else',
+ 'do',
+ 'creation',
+ 'create',
+ 'check',
+ 'as',
+ 'and',
+ 'alias',
+ 'agent'
+ ),
+ 3 => array(
+ 'Void',
+ 'True',
+ 'Result',
+ 'Precursor',
+ 'False',
+ 'Current'
+ ),
+ 4 => array(
+ 'UNIX_SIGNALS',
+ 'UNIX_FILE_INFO',
+ 'UNBOUNDED',
+ 'TWO_WAY_TREE_CURSOR',
+ 'TWO_WAY_TREE',
+ 'TWO_WAY_SORTED_SET',
+ 'TWO_WAY_LIST',
+ 'TWO_WAY_CURSOR_TREE',
+ 'TWO_WAY_CIRCULAR',
+ 'TWO_WAY_CHAIN_ITERATOR',
+ 'TUPLE',
+ 'TREE',
+ 'TRAVERSABLE',
+ 'TO_SPECIAL',
+ 'THREAD_CONTROL',
+ 'THREAD_ATTRIBUTES',
+ 'THREAD',
+ 'TABLE',
+ 'SUBSET',
+ 'STRING_HANDLER',
+ 'STRING',
+ 'STREAM',
+ 'STORABLE',
+ 'STD_FILES',
+ 'STACK',
+ 'SPECIAL',
+ 'SORTED_TWO_WAY_LIST',
+ 'SORTED_STRUCT',
+ 'SORTED_LIST',
+ 'SINGLE_MATH',
+ 'SET',
+ 'SEQUENCE',
+ 'SEQ_STRING',
+ 'SEMAPHORE',
+ 'ROUTINE',
+ 'RESIZABLE',
+ 'RECURSIVE_TREE_CURSOR',
+ 'RECURSIVE_CURSOR_TREE',
+ 'REAL_REF',
+ 'REAL',
+ 'RAW_FILE',
+ 'RANDOM',
+ 'QUEUE',
+ 'PROXY',
+ 'PROFILING_SETTING',
+ 'PROCEDURE',
+ 'PRIORITY_QUEUE',
+ 'PRIMES',
+ 'PRECOMP',
+ 'POINTER_REF',
+ 'POINTER',
+ 'PLATFORM',
+ 'PLAIN_TEXT_FILE',
+ 'PATH_NAME',
+ 'PART_SORTED_TWO_WAY_LIST',
+ 'PART_SORTED_SET',
+ 'PART_SORTED_LIST',
+ 'PART_COMPARABLE',
+ 'OPERATING_ENVIRONMENT',
+ 'ONCE_CONTROL',
+ 'OBJECT_OWNER',
+ 'OBJECT_CONTROL',
+ 'NUMERIC',
+ 'NONE',
+ 'MUTEX',
+ 'MULTI_ARRAY_LIST',
+ 'MULTAR_LIST_CURSOR',
+ 'MEMORY',
+ 'MEM_INFO',
+ 'MEM_CONST',
+ 'MATH_CONST',
+ 'LIST',
+ 'LINKED_TREE_CURSOR',
+ 'LINKED_TREE',
+ 'LINKED_STACK',
+ 'LINKED_SET',
+ 'LINKED_QUEUE',
+ 'LINKED_PRIORITY_QUEUE',
+ 'LINKED_LIST_CURSOR',
+ 'LINKED_LIST',
+ 'LINKED_CURSOR_TREE',
+ 'LINKED_CIRCULAR',
+ 'LINKABLE',
+ 'LINEAR_ITERATOR',
+ 'LINEAR',
+ 'ITERATOR',
+ 'IO_MEDIUM',
+ 'INTERNAL',
+ 'INTEGER_REF',
+ 'INTEGER_INTERVAL',
+ 'INTEGER',
+ 'INFINITE',
+ 'INDEXABLE',
+ 'IDENTIFIED_CONTROLLER',
+ 'IDENTIFIED',
+ 'HIERARCHICAL',
+ 'HEAP_PRIORITY_QUEUE',
+ 'HASHABLE',
+ 'HASH_TABLE_CURSOR',
+ 'HASH_TABLE',
+ 'GENERAL',
+ 'GC_INFO',
+ 'FUNCTION',
+ 'FORMAT_INTEGER',
+ 'FORMAT_DOUBLE',
+ 'FIXED_TREE',
+ 'FIXED_LIST',
+ 'FIXED',
+ 'FINITE',
+ 'FILE_NAME',
+ 'FILE',
+ 'FIBONACCI',
+ 'EXECUTION_ENVIRONMENT',
+ 'EXCEPTIONS',
+ 'EXCEP_CONST',
+ 'DYNAMIC_TREE',
+ 'DYNAMIC_LIST',
+ 'DYNAMIC_CIRCULAR',
+ 'DYNAMIC_CHAIN',
+ 'DOUBLE_REF',
+ 'DOUBLE_MATH',
+ 'DOUBLE',
+ 'DISPENSER',
+ 'DIRECTORY_NAME',
+ 'DIRECTORY',
+ 'DECLARATOR',
+ 'DEBUG_OUTPUT',
+ 'CURSOR_TREE_ITERATOR',
+ 'CURSOR_TREE',
+ 'CURSOR_STRUCTURE',
+ 'CURSOR',
+ 'COUNTABLE_SEQUENCE',
+ 'COUNTABLE',
+ 'CONTAINER',
+ 'CONSOLE',
+ 'CONDITION_VARIABLE',
+ 'COMPARABLE_STRUCT',
+ 'COMPARABLE_SET',
+ 'COMPARABLE',
+ 'COMPACT_TREE_CURSOR',
+ 'COMPACT_CURSOR_TREE',
+ 'COLLECTION',
+ 'CIRCULAR_CURSOR',
+ 'CIRCULAR',
+ 'CHARACTER_REF',
+ 'CHARACTER',
+ 'CHAIN',
+ 'CELL',
+ 'BOX',
+ 'BOUNDED_STACK',
+ 'BOUNDED_QUEUE',
+ 'BOUNDED',
+ 'BOOLEAN_REF',
+ 'BOOLEAN',
+ 'BOOL_STRING',
+ 'BIT_REF',
+ 'BINARY_TREE',
+ 'BINARY_SEARCH_TREE_SET',
+ 'BINARY_SEARCH_TREE',
+ 'BILINEAR',
+ 'BI_LINKABLE',
+ 'BASIC_ROUTINES',
+ 'BAG',
+ 'ASCII',
+ 'ARRAYED_TREE',
+ 'ARRAYED_STACK',
+ 'ARRAYED_QUEUE',
+ 'ARRAYED_LIST_CURSOR',
+ 'ARRAYED_LIST',
+ 'ARRAYED_CIRCULAR',
+ 'ARRAY2',
+ 'ARRAY',
+ 'ARGUMENTS',
+ 'ANY',
+ 'ACTIVE'
+ ),
+ 5 => array(
+ 'yes',
+ 'visible',
+ 'trace',
+ 'system',
+ 'root',
+ 'profile',
+ 'override_cluster',
+ 'object',
+ 'no',
+ 'multithreaded',
+ 'msil_generation_type',
+ 'line_generation',
+ 'library',
+ 'inlining_size',
+ 'inlining',
+ 'include_path',
+ 'il_verifiable',
+ 'exclude',
+ 'exception_trace',
+ 'dynamic_runtime',
+ 'dotnet_naming_convention',
+ 'disabled_debug',
+ 'default',
+ 'debug',
+ 'dead_code_removal',
+ 'console_application',
+ 'cluster',
+ 'cls_compliant',
+ 'check_vape',
+ 'assertion',
+ 'array_optimization',
+ 'all',
+ 'address_expression'
+ ),
+ ),
+ 'SYMBOLS' => array(
+ '+', '-', '*', '?', '=', '/', '%', '&', '>', '<', '^', '!', '|', ':',
+ '(', ')', '{', '}', '[', ']', '#'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => true,
+ 5 => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #0600FF; font-weight: bold;',
+ 2 => 'color: #0600FF; font-weight: bold;',
+ 3 => 'color: #800080;',
+ 4 => 'color: #800000',
+ 5 => 'color: #603000;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #008000; font-style: italic;',
+ 'MULTI' => ''
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #005070; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #FF0000;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #0080A0;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #FF0000;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #000060;',
+ 2 => 'color: #000050;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #600000;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => 'http://www.google.com/search?q=site%3Ahttp%3A%2F%2Fdocs.eiffel.com%2Feiffelstudio%2Flibraries+{FNAMEL}&amp;btnI=I%27m+Feeling+Lucky',
+ 5 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/email.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/email.php
new file mode 100644
index 000000000..a0a744c6d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/email.php
@@ -0,0 +1,209 @@
+<?php
+/*************************************************************************************
+ * email.php
+ * ---------------
+ * Author: Benny Baumann (BenBE@geshi.org)
+ * Copyright: (c) 2008 Benny Baumann (http://qbnz.com/highlighter/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2008/10/19
+ *
+ * Email (mbox \ eml \ RFC format) language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/10/19 (1.0.8.1)
+ * - First Release
+ *
+ * TODO (updated 2008/10/19)
+ * -------------------------
+ * * Better checks when a header field should be expected
+ * * Fix the bound checks for kw groups 2 and 3, as well as rx group 1
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'eMail (mbox)',
+ 'COMMENT_SINGLE' => array(),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'HTTP', 'SMTP', 'ASMTP', 'ESMTP'
+ ),
+ 2 => array(
+ 'Content-Type','Content-Transfer-Encoding','Content-Disposition',
+ 'Delivered-To','Dkim-Signature','Domainkey-Signature','In-Reply-To',
+ 'Message-Id','MIME-Version','Received','Received-SPF','References',
+ 'Resend-From','Resend-To','Return-Path'
+ ),
+ 3 => array(
+ 'Date','From','Subject','To',
+ ),
+ 4 => array(
+ 'by', 'for', 'from', 'id', 'with'
+ )
+ ),
+ 'SYMBOLS' => array(
+ ':', ';', '<', '>', '[', ']'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => true,
+ 2 => false,
+ 3 => false,
+ 4 => true
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #0000FF; font-weight: bold;',
+ 2 => 'color: #000000; font-weight: bold;',
+ 3 => 'color: #800000; font-weight: bold;',
+ 4 => 'font-weight: bold;',
+ ),
+ 'COMMENTS' => array(
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'SCRIPT' => array(
+ 0 => 'color: #000040;',
+ ),
+ 'REGEXPS' => array(
+ 1 => 'color: #000000; font-weight: bold;',
+ 2 => 'color: #0000FF;',
+ 3 => 'color: #008000;',
+ 4 => 'color: #0000FF; font-weight: bold;',
+ 5 => 'font-weight: bold;',
+ 6 => 'color: #400080;'
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ // Non-Standard-Header
+ 1 => array(
+ GESHI_SEARCH => "(?<=\A\x20|\n)x-[a-z0-9\-]*(?=\s*:|\s*<)",
+ GESHI_REPLACE => "\\0",
+ GESHI_MODIFIERS => "smi",
+ GESHI_BEFORE => "",
+ GESHI_AFTER => ""
+ ),
+ //Email-Adresses or Mail-IDs
+ 2 => array(
+ GESHI_SEARCH => "\b[\w\.]+@\w+(?:(?:\.\w+)*\.\w{2,4})?",
+ GESHI_REPLACE => "\\0",
+ GESHI_MODIFIERS => "mi",
+ GESHI_BEFORE => "",
+ GESHI_AFTER => ""
+ ),
+ //Date values in RFC format
+ 3 => array(
+ GESHI_SEARCH => "\b(?:Mon|Tue|Wed|Thu|Fri|Sat|Sun),\s+\d\d?\s+" .
+ "(?:Jan|Feb|Mar|apr|May|Jun|Jul|Aug|Sep|Oct|Nov|Dec)\s+" .
+ "\d{4}\s+\d\d?:\d\d:\d\d\s+[+\-]\d{4}(?:\s+\(\w+\))?",
+ GESHI_REPLACE => "\\0",
+ GESHI_MODIFIERS => "mi",
+ GESHI_BEFORE => "",
+ GESHI_AFTER => ""
+ ),
+ //IP addresses
+ 4 => array(
+ GESHI_SEARCH => "(?<=\s)\d{1,3}\.\d{1,3}\.\d{1,3}\.\d{1,3}(?=\s)|".
+ "(?<=\[)\d{1,3}\.\d{1,3}\.\d{1,3}\.\d{1,3}(?=\])|".
+ "(?<==)\d{1,3}\.\d{1,3}\.\d{1,3}\.\d{1,3}(?=<)",
+ GESHI_REPLACE => "\\0",
+ GESHI_MODIFIERS => "i",
+ GESHI_BEFORE => "",
+ GESHI_AFTER => ""
+ ),
+ //Field-Assignments
+ 5 => array(
+ GESHI_SEARCH => "(?<=\s)[A-Z0-9\-]+(?==(?!\s|$))",
+ GESHI_REPLACE => "\\0",
+ GESHI_MODIFIERS => "mi",
+ GESHI_BEFORE => "",
+ GESHI_AFTER => ""
+ ),
+ //MIME type
+ 6 => array(
+ GESHI_SEARCH => "(?<=\s)(?:audio|application|image|multipart|text|".
+ "video|x-[a-z0-9\-]+)\/[a-z0-9][a-z0-9\-]*(?=\s|<|$)",
+ GESHI_REPLACE => "\\0",
+ GESHI_MODIFIERS => "m",
+ GESHI_BEFORE => "",
+ GESHI_AFTER => ""
+ )
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_ALWAYS,
+ 'SCRIPT_DELIMITERS' => array(
+ 0 => "/(?<start>^)[A-Z][a-zA-Z0-9\-]*\s*:\s*(?:.|(?=\n\s)\n)*(?<end>$)/m"
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ 0 => true,
+ ),
+ 'TAB_WIDTH' => 4,
+ 'PARSER_CONTROL' => array(
+ 'KEYWORDS' => array(
+ 2 => array(
+ 'DISALLOWED_BEFORE' => '(?<=\A\x20|\n)',
+ 'DISALLOWED_AFTER' => '(?=\s*:)',
+ ),
+ 3 => array(
+ 'DISALLOWED_BEFORE' => '(?<=\A\x20|\n)',
+ 'DISALLOWED_AFTER' => '(?=\s*:)',
+ ),
+ 4 => array(
+ 'DISALLOWED_BEFORE' => '(?<=\s)',
+ 'DISALLOWED_AFTER' => '(?=\s|\b)',
+ )
+ ),
+ 'ENABLE_FLAGS' => array(
+ 'BRACKETS' => GESHI_NEVER,
+ 'COMMENTS' => GESHI_NEVER,
+ 'NUMBERS' => GESHI_NEVER
+ )
+ )
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/fortran.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/fortran.php
new file mode 100644
index 000000000..26dc9b19d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/fortran.php
@@ -0,0 +1,160 @@
+<?php
+/*************************************************************************************
+ * fortran.php
+ * -----------
+ * Author: Cedric Arrabie (cedric.arrabie@univ-pau.fr)
+ * Copyright: (C) 2006 Cetric Arrabie
+ * Release Version: 1.0.8.4
+ * Date Started: 2006/04/22
+ *
+ * Fortran language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/05/23 (1.0.7.22)
+ * - Added description of extra language features (SF#1970248)
+ * 2006/04/20 (1.0.0)
+ * - First Release
+ *
+ * TODO
+ * -------------------------
+ * - Get a list of inbuilt functions to add (and explore fortran more
+ * to complete this rather bare language file)
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME'=>'Fortran',
+ 'COMMENT_SINGLE'=> array(1 =>'!',2=>'Cf2py'),
+ 'COMMENT_MULTI'=> array(),
+ //Fortran Comments
+ 'COMMENT_REGEXP' => array(1 => '/^C.*?$/mi'),
+ 'CASE_KEYWORDS'=> GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS'=> array("'",'"'),
+ 'ESCAPE_CHAR'=>'\\',
+ 'KEYWORDS'=> array(
+ 1 => array(
+ 'allocate','block','call','case','contains','continue','cycle','deallocate',
+ 'default','do','else','elseif','elsewhere','end','enddo','endif','endwhere',
+ 'entry','exit','function','go','goto','if','interface','module','nullify','only',
+ 'operator','procedure','program','recursive','return','select','stop',
+ 'subroutine','then','to','where','while',
+ 'access','action','advance','blank','blocksize','carriagecontrol',
+ 'delim','direct','eor','err','exist','file','flen','fmt','form','formatted',
+ 'iostat','name','named','nextrec','nml','number','opened','pad','position',
+ 'readwrite','recl','sequential','status','unformatted','unit'
+ ),
+ 2 => array(
+ '.AND.','.EQ.','.EQV.','.GE.','.GT.','.LE.','.LT.','.NE.','.NEQV.','.NOT.',
+ '.OR.','.TRUE.','.FALSE.'
+ ),
+ 3 => array(
+ 'allocatable','character','common','complex','data','dimension','double',
+ 'equivalence','external','implicit','in','inout','integer','intent','intrinsic',
+ 'kind','logical','namelist','none','optional','out','parameter','pointer',
+ 'private','public','real','result','save','sequence','target','type','use'
+ ),
+ 4 => array(
+ 'abs','achar','acos','adjustl','adjustr','aimag','aint','all','allocated',
+ 'anint','any','asin','atan','atan2','bit_size','break','btest','carg',
+ 'ceiling','char','cmplx','conjg','cos','cosh','cpu_time','count','cshift',
+ 'date_and_time','dble','digits','dim','dot_product','dprod dvchk',
+ 'eoshift','epsilon','error','exp','exponent','floor','flush','fraction',
+ 'getcl','huge','iachar','iand','ibclr','ibits','ibset','ichar','ieor','index',
+ 'int','intrup','invalop','ior','iostat_msg','ishft','ishftc','lbound',
+ 'len','len_trim','lge','lgt','lle','llt','log','log10','matmul','max','maxexponent',
+ 'maxloc','maxval','merge','min','minexponent','minloc','minval','mod','modulo',
+ 'mvbits','nbreak','ndperr','ndpexc','nearest','nint','not','offset','ovefl',
+ 'pack','precfill','precision','present','product','prompt','radix',
+ 'random_number','random_seed','range','repeat','reshape','rrspacing',
+ 'scale','scan','segment','selected_int_kind','selected_real_kind',
+ 'set_exponent','shape','sign','sin','sinh','size','spacing','spread','sqrt',
+ 'sum system','system_clock','tan','tanh','timer','tiny','transfer','transpose',
+ 'trim','ubound','undfl','unpack','val','verify'
+ ),
+ ),
+ 'SYMBOLS'=> array(
+ '(',')','{','}','[',']','=','+','-','*','/','!','%','^','&',':'
+ ),
+ 'CASE_SENSITIVE'=> array(
+ GESHI_COMMENTS => true,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => false,
+ ),
+ 'STYLES'=> array(
+ 'KEYWORDS'=> array(
+ 1 =>'color: #b1b100;',
+ 2 =>'color: #000000; font-weight: bold;',
+ 3 =>'color: #000066;',
+ 4 =>'color: #993333;'
+ ),
+ 'COMMENTS'=> array(
+ 1 =>'color: #666666; font-style: italic;',
+ 2 =>'color: #339933;',
+ 'MULTI'=>'color: #808080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR'=> array(
+ 0 =>'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS'=> array(
+ 0 =>'color: #009900;'
+ ),
+ 'STRINGS'=> array(
+ 0 =>'color: #ff0000;'
+ ),
+ 'NUMBERS'=> array(
+ 0 =>'color: #cc66cc;'
+ ),
+ 'METHODS'=> array(
+ 1 =>'color: #202020;',
+ 2 =>'color: #202020;'
+ ),
+ 'SYMBOLS'=> array(
+ 0 =>'color: #339933;'
+ ),
+ 'REGEXPS'=> array(
+ ),
+ 'SCRIPT'=> array(
+ )
+ ),
+ 'URLS'=> array(
+ 1 =>'',
+ 2 =>'',
+ 3 =>'',
+ 4 =>''
+ ),
+ 'OOLANG'=> true,
+ 'OBJECT_SPLITTERS'=> array(
+ 1 =>'.',
+ 2 =>'::'
+ ),
+ 'REGEXPS'=> array(
+ ),
+ 'STRICT_MODE_APPLIES'=> GESHI_NEVER,
+ 'SCRIPT_DELIMITERS'=> array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK'=> array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/freebasic.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/freebasic.php
new file mode 100644
index 000000000..780305ba3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/freebasic.php
@@ -0,0 +1,141 @@
+<?php
+/*************************************************************************************
+ * freebasic.php
+ * -------------
+ * Author: Roberto Rossi
+ * Copyright: (c) 2005 Roberto Rossi (http://rsoftware.altervista.org)
+ * Release Version: 1.0.8.4
+ * Date Started: 2005/08/19
+ *
+ * FreeBasic (http://www.freebasic.net/) language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2005/08/19 (1.0.0)
+ * - First Release
+ *
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'FreeBasic',
+ 'COMMENT_SINGLE' => array(1 => "'", 2 => '#'),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ "append", "as", "asc", "asin", "asm", "atan2", "atn", "beep", "bin", "binary", "bit",
+ "bitreset", "bitset", "bload", "bsave", "byref", "byte", "byval", "call",
+ "callocate", "case", "cbyte", "cdbl", "cdecl", "chain", "chdir", "chr", "cint",
+ "circle", "clear", "clng", "clngint", "close", "cls", "color", "command",
+ "common", "cons", "const", "continue", "cos", "cshort", "csign", "csng",
+ "csrlin", "cubyte", "cuint", "culngint", "cunsg", "curdir", "cushort", "custom",
+ "cvd", "cvi", "cvl", "cvlongint", "cvs", "cvshort", "data", "date",
+ "deallocate", "declare", "defbyte", "defdbl", "defined", "defint", "deflng",
+ "deflngint", "defshort", "defsng", "defstr", "defubyte", "defuint",
+ "defulngint", "defushort", "dim", "dir", "do", "double", "draw", "dylibload",
+ "dylibsymbol", "else", "elseif", "end", "enum", "environ", 'environ$', "eof",
+ "eqv", "erase", "err", "error", "exec", "exepath", "exit", "exp", "export",
+ "extern", "field", "fix", "flip", "for", "fre", "freefile", "function", "get",
+ "getjoystick", "getkey", "getmouse", "gosub", "goto", "hex", "hibyte", "hiword",
+ "if", "iif", "imagecreate", "imagedestroy", "imp", "inkey", "inp", "input",
+ "instr", "int", "integer", "is", "kill", "lbound", "lcase", "left", "len",
+ "let", "lib", "line", "lobyte", "loc", "local", "locate", "lock", "lof", "log",
+ "long", "longint", "loop", "loword", "lset", "ltrim", "mid", "mkd", "mkdir",
+ "mki", "mkl", "mklongint", "mks", "mkshort", "mod", "multikey", "mutexcreate",
+ "mutexdestroy", "mutexlock", "mutexunlock", "name", "next", "not", "oct", "on",
+ "once", "open", "option", "or", "out", "output", "overload", "paint", "palette",
+ "pascal", "pcopy", "peek", "peeki", "peeks", "pipe", "pmap", "point", "pointer",
+ "poke", "pokei", "pokes", "pos", "preserve", "preset", "print", "private",
+ "procptr", "pset", "ptr", "public", "put", "random", "randomize", "read",
+ "reallocate", "redim", "rem", "reset", "restore", "resume",
+ "return", "rgb", "rgba", "right", "rmdir", "rnd", "rset", "rtrim", "run",
+ "sadd", "screen", "screencopy", "screeninfo", "screenlock", "screenptr",
+ "screenres", "screenset", "screensync", "screenunlock", "seek", "statement",
+ "selectcase", "setdate", "setenviron", "setmouse",
+ "settime", "sgn", "shared", "shell", "shl", "short", "shr", "sin", "single",
+ "sizeof", "sleep", "space", "spc", "sqr", "static", "stdcall", "step", "stop",
+ "str", "string", "strptr", "sub", "swap", "system", "tab", "tan",
+ "then", "threadcreate", "threadwait", "time", "timer", "to", "trans",
+ "trim", "type", "ubound", "ubyte", "ucase", "uinteger", "ulongint", "union",
+ "unlock", "unsigned", "until", "ushort", "using", "va_arg", "va_first",
+ "va_next", "val", "val64", "valint", "varptr", "view", "viewprint", "wait",
+ "wend", "while", "width", "window", "windowtitle", "with", "write", "xor",
+ "zstring", "explicit", "escape", "true", "false"
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #b1b100;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #808080;',
+ 2 => 'color: #339933;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099;'
+ ),
+ 'SCRIPT' => array(
+ ),
+ 'REGEXPS' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/genero.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/genero.php
new file mode 100644
index 000000000..1e3d7efb6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/genero.php
@@ -0,0 +1,463 @@
+<?php
+/*************************************************************************************
+ * genero.php
+ * ----------
+ * Author: Lars Gersmann (lars.gersmann@gmail.com)
+ * Copyright: (c) 2007 Lars Gersmann, Nigel McNie (http://qbnz.com/highlighter/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2007/07/01
+ *
+ * Genero (FOURJ's Genero 4GL) language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2007/07/01 (1.0.0)
+ * - Initial release
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'genero',
+ 'COMMENT_SINGLE' => array(1 => '--', 2 => '#'),
+ 'COMMENT_MULTI' => array('{' => '}'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ "ABSOLUTE",
+ "ACCEPT",
+ "ACTION",
+ "ADD",
+ "AFTER",
+ "ALL",
+ "ALTER",
+ "AND",
+ "ANY",
+ "APPEND",
+ "APPLICATION",
+ "AS",
+ "AT",
+ "ATTRIBUTE",
+ "ATTRIBUTES",
+ "AUDIT",
+ "AVG",
+ "BEFORE",
+ "BEGIN",
+ "BETWEEN",
+ "BORDER",
+ "BOTTOM",
+ "BREAKPOINT",
+ "BUFFER",
+ "BUFFERED",
+ "BY",
+ "CALL",
+ "CANCEL",
+ "CASE",
+ "CENTURY",
+ "CHANGE",
+ "CHECK",
+ "CLEAR",
+ "CLIPPED",
+ "CLOSE",
+ "CLUSTER",
+ "COLUMN",
+ "COLUMNS",
+ "COMMAND",
+ "COMMENT",
+ "COMMIT",
+ "COMMITTED",
+ "CONCURRENT ",
+ "CONNECT",
+ "CONNECTION",
+ "CONSTANT",
+ "CONSTRAINED",
+ "CONSTRAINT",
+ "CONSTRUCT",
+ "CONTINUE",
+ "CONTROL",
+ "COUNT",
+ "CREATE",
+ "CROSS",
+ "CURRENT",
+ "DATABASE",
+ "DBA",
+ "DEC",
+ "DECLARE",
+ "DEFAULT",
+ "DEFAULTS",
+ "DEFER",
+ "DEFINE",
+ "DELETE",
+ "DELIMITER",
+ "DESCRIBE",
+ "DESTINATION",
+ "DIM",
+ "DIALOG",
+ "DIMENSION",
+ "DIRTY",
+ "DISCONNECT",
+ "DISPLAY",
+ "DISTINCT",
+ "DORMANT",
+ "DOWN",
+ "DROP",
+ "DYNAMIC",
+ "ELSE",
+ "END",
+ "ERROR",
+ "ESCAPE",
+ "EVERY",
+ "EXCLUSIVE",
+ "EXECUTE",
+ "EXISTS",
+ "EXIT",
+ "EXPLAIN",
+ "EXTEND",
+ "EXTENT",
+ "EXTERNAL",
+ "FETCH",
+ "FGL_DRAWBOX",
+ "FIELD",
+ "FIELD_TOUCHED",
+ "FILE",
+ "FILL",
+ "FINISH",
+ "FIRST",
+ "FLOAT",
+ "FLUSH",
+ "FOR",
+ "FOREACH",
+ "FORM",
+ "FORMAT",
+ "FOUND",
+ "FRACTION",
+ "FREE",
+ "FROM",
+ "FULL",
+ "FUNCTION",
+ "GET_FLDBUF",
+ "GLOBALS",
+ "GO",
+ "GOTO",
+ "GRANT",
+ "GROUP",
+ "HAVING",
+ "HEADER",
+ "HELP",
+ "HIDE",
+ "HOLD",
+ "HOUR",
+ "IDLE",
+ "IF",
+ "IMAGE",
+ "IMMEDIATE",
+ "IN",
+ "INDEX",
+ "INFIELD",
+ "INITIALIZE",
+ "INNER",
+ "INPUT",
+ "INSERT",
+ "INTERRUPT",
+ "INTERVAL",
+ "INTO",
+ "INVISIBLE",
+ "IS",
+ "ISOLATION",
+ "JOIN",
+ "KEEP",
+ "KEY",
+ "LABEL",
+ "LAST",
+ "LEFT",
+ "LENGTH",
+ "LET",
+ "LIKE",
+ "LINE",
+ "LINENO",
+ "LINES",
+ "LOAD",
+ "LOCATE",
+ "LOCK",
+ "LOG",
+ "LSTR",
+ "MAIN",
+ "MARGIN",
+ "MATCHES",
+ "MAX",
+ "MAXCOUNT",
+ "MDY",
+ "MEMORY",
+ "MENU",
+ "MESSAGE",
+ "MIN",
+ "MINUTE",
+ "MOD",
+ "MODE",
+ "MODIFY",
+ "MONEY",
+ "NAME",
+ "NEED",
+ "NEXT",
+ "NO",
+ "NORMAL",
+ "NOT",
+ "NOTFOUND",
+ "NULL",
+ "NUMERIC",
+ "OF",
+ "ON",
+ "OPEN",
+ "OPTION",
+ "OPTIONS",
+ "OR",
+ "ORDER",
+ "OTHERWISE",
+ "OUTER",
+ "OUTPUT",
+ "PAGE",
+ "PAGENO",
+ "PAUSE",
+ "PERCENT",
+ "PICTURE",
+ "PIPE",
+ "PRECISION",
+ "PREPARE",
+ "PREVIOUS",
+ "PRINT",
+ "PRINTER",
+ "PRINTX",
+ "PRIOR",
+ "PRIVILEGES",
+ "PROCEDURE",
+ "PROGRAM",
+ "PROMPT",
+ "PUBLIC",
+ "PUT",
+ "QUIT",
+ "READ",
+ "REAL",
+ "RECORD",
+ "RECOVER",
+ "RED ",
+ "RELATIVE",
+ "RENAME",
+ "REOPTIMIZATION",
+ "REPEATABLE",
+ "REPORT",
+ "RESOURCE",
+ "RETURN",
+ "RETURNING",
+ "REVERSE",
+ "REVOKE",
+ "RIGHT",
+ "ROLLBACK",
+ "ROLLFORWARD",
+ "ROW",
+ "ROWS",
+ "RUN",
+ "SCHEMA",
+ "SCREEN",
+ "SCROLL",
+ "SECOND",
+ "SELECT",
+ "SERIAL",
+ "SET",
+ "SFMT",
+ "SHARE",
+ "SHIFT",
+ "SHOW",
+ "SIGNAL ",
+ "SIZE",
+ "SKIP",
+ "SLEEP",
+ "SOME",
+ "SPACE",
+ "SPACES",
+ "SQL",
+ "SQLERRMESSAGE",
+ "SQLERROR",
+ "SQLSTATE",
+ "STABILITY",
+ "START",
+ "STATISTICS",
+ "STEP",
+ "STOP",
+ "STYLE",
+ "SUM",
+ "SYNONYM",
+ "TABLE",
+ "TEMP",
+ "TERMINATE",
+ "TEXT",
+ "THEN",
+ "THROUGH",
+ "THRU",
+ "TO",
+ "TODAY",
+ "TOP",
+ "TRAILER",
+ "TRANSACTION ",
+ "UNBUFFERED",
+ "UNCONSTRAINED",
+ "UNDERLINE",
+ "UNION",
+ "UNIQUE",
+ "UNITS",
+ "UNLOAD",
+ "UNLOCK",
+ "UP",
+ "UPDATE",
+ "USE",
+ "USER",
+ "USING",
+ "VALIDATE",
+ "VALUE",
+ "VALUES",
+ "VARCHAR",
+ "VIEW",
+ "WAIT",
+ "WAITING",
+ "WARNING",
+ "WHEN",
+ "WHENEVER",
+ "WHERE",
+ "WHILE",
+ "WINDOW",
+ "WITH",
+ "WITHOUT",
+ "WORDWRAP",
+ "WORK",
+ "WRAP"
+ ),
+ 2 => array(
+ '&amp;IFDEF', '&amp;ENDIF'
+ ),
+ 3 => array(
+ "ARRAY",
+ "BYTE",
+ "CHAR",
+ "CHARACTER",
+ "CURSOR",
+ "DATE",
+ "DATETIME",
+ "DECIMAL",
+ "DOUBLE",
+ "FALSE",
+ "INT",
+ "INTEGER",
+ "SMALLFLOAT",
+ "SMALLINT",
+ "STRING",
+ "TIME",
+ "TRUE"
+ ),
+ 4 => array(
+ "BLACK",
+ "BLINK",
+ "BLUE",
+ "BOLD",
+ "ANSI",
+ "ASC",
+ "ASCENDING",
+ "ASCII",
+ "CYAN",
+ "DESC",
+ "DESCENDING",
+ "GREEN",
+ "MAGENTA",
+ "OFF",
+ "WHITE",
+ "YELLOW",
+ "YEAR",
+ "DAY",
+ "MONTH",
+ "WEEKDAY"
+ ),
+ ),
+ 'SYMBOLS' => array(
+ '+', '-', '*', '?', '=', '/', '%', '>', '<', '^', '!', '|', ':',
+ '(', ')', '[', ']'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #0600FF;',
+ 2 => 'color: #0000FF; font-weight: bold;',
+ 3 => 'color: #008000;',
+ 4 => 'color: #FF0000;',
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #008080; font-style: italic;',
+ 2 => 'color: #008080;',
+ 'MULTI' => 'color: #008080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #008080; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #000000;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #808080;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #FF0000;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #0000FF;',
+ 2 => 'color: #0000FF;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #008000;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/gettext.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/gettext.php
new file mode 100644
index 000000000..a1dcd8c8a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/gettext.php
@@ -0,0 +1,97 @@
+<?php
+/*************************************************************************************
+ * gettext.php
+ * --------
+ * Author: Milian Wolff (mail@milianw.de)
+ * Copyright: (c) 2008 Milian Wolff
+ * Release Version: 1.0.8.4
+ * Date Started: 2008/05/25
+ *
+ * GNU Gettext .po/.pot language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/08/02 (1.0.8)
+ * - New comments: flags and previous-fields
+ * - New keywords: msgctxt, msgid_plural
+ * - Msgstr array indices
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'GNU Gettext',
+ 'COMMENT_SINGLE' => array('#:', '#.', '#,', '#|', '#'),
+ 'COMMENT_MULTI' => array(),
+ 'COMMENT_REGEXP' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array('msgctxt', 'msgid_plural', 'msgid', 'msgstr'),
+ ),
+ 'SYMBOLS' => array(),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => true,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #000000; font-weight: bold;'
+ ),
+ 'COMMENTS' => array(
+ 0 => 'color: #000099;',
+ 1 => 'color: #000099;',
+ 2 => 'color: #000099;',
+ 3 => 'color: #006666;',
+ 4 => 'color: #666666; font-style: italic;',
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'REGEXPS' => array(),
+ 'SYMBOLS' => array(),
+ 'NUMBERS' => array(
+ 0 => 'color: #000099;'
+ ),
+ 'METHODS' => array(),
+ 'SCRIPT' => array(),
+ 'BRACKETS' => array(
+ 0 => 'color: #000099;'
+ ),
+ ),
+ 'URLS' => array(
+ 1 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(),
+ 'REGEXPS' => array(),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'TAB_WIDTH' => 4,
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/glsl.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/glsl.php
new file mode 100644
index 000000000..9b31fa482
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/glsl.php
@@ -0,0 +1,205 @@
+<?php
+/*************************************************************************************
+ * glsl.php
+ * -----
+ * Author: Benny Baumann (BenBE@omorphia.de)
+ * Copyright: (c) 2008 Benny Baumann (BenBE@omorphia.de)
+ * Release Version: 1.0.8.4
+ * Date Started: 2008/03/20
+ *
+ * glSlang language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/03/20 (1.0.7.21)
+ * - First Release
+ *
+ * TODO
+ * ----
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'glSlang',
+ 'COMMENT_SINGLE' => array(1 => '//', 2 => '#'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'COMMENT_REGEXP' => array(
+ //Multiline-continued single-line comments
+ 1 => '/\/\/(?:\\\\\\\\|\\\\\\n|.)*$/m',
+ //Multiline-continued preprocessor define
+ 2 => '/#(?:\\\\\\\\|\\\\\\n|.)*$/m'
+ ),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'if', 'else', 'for', 'while', 'do', 'break', 'continue', 'asm',
+ 'switch', 'case', 'default', 'return', 'discard',
+ 'namespace', 'using', 'sizeof', 'cast'
+ ),
+ 2 => array(
+ 'const', 'uniform', 'attribute', 'centroid', 'varying', 'invariant',
+ 'in', 'out', 'inout', 'input', 'output', 'typedef', 'volatile',
+ 'public', 'static', 'extern', 'external', 'packed',
+ 'inline', 'noinline', 'noperspective', 'flat'
+ ),
+ 3 => array(
+ 'void', 'bool', 'int', 'long', 'short', 'float', 'half', 'fixed',
+ 'unsigned', 'lowp', 'mediump', 'highp', 'precision',
+ 'vec2', 'vec3', 'vec4', 'bvec2', 'bvec3', 'bvec4',
+ 'dvec2', 'dvec3', 'dvec4', 'fvec2', 'fvec3', 'fvec4',
+ 'hvec2', 'hvec3', 'hvec4', 'ivec2', 'ivec3', 'ivec4',
+ 'mat2', 'mat3', 'mat4', 'mat2x2', 'mat3x2', 'mat4x2',
+ 'mat2x3', 'mat3x3', 'mat4x3', 'mat2x4', 'mat3x4', 'mat4x4',
+ 'sampler1D', 'sampler2D', 'sampler3D', 'samplerCube',
+ 'sampler1DShadow', 'sampler2DShadow',
+ 'struct', 'class', 'union', 'enum', 'interface', 'template'
+ ),
+ 4 => array(
+ 'this', 'false', 'true'
+ ),
+ 5 => array(
+ 'radians', 'degrees', 'sin', 'cos', 'tan', 'asin', 'acos', 'atan',
+ 'pow', 'exp2', 'log2', 'sqrt', 'inversesqrt', 'abs', 'sign', 'ceil',
+ 'floor', 'fract', 'mod', 'min', 'max', 'clamp', 'mix', 'step',
+ 'smoothstep', 'length', 'distance', 'dot', 'cross', 'normalize',
+ 'ftransform', 'faceforward', 'reflect', 'matrixCompMult', 'equal',
+ 'lessThan', 'lessThanEqual', 'greaterThan', 'greaterThanEqual',
+ 'notEqual', 'any', 'all', 'not', 'texture1D', 'texture1DProj',
+ 'texture1DLod', 'texture1DProjLod', 'texture2D', 'texture2DProj',
+ 'texture2DLod', 'texture2DProjLod', 'texture3D', 'texture3DProj',
+ 'texture3DLod', 'texture3DProjLod', 'textureCube', 'textureCubeLod',
+ 'shadow1D', 'shadow1DProj', 'shadow1DLod', 'shadow1DProjLod',
+ 'shadow2D', 'shadow2DProj', 'shadow2DLod', 'shadow2DProjLod',
+ 'noise1', 'noise2', 'noise3', 'noise4'
+ ),
+ 6 => array(
+ 'gl_Position', 'gl_PointSize', 'gl_ClipVertex', 'gl_FragColor',
+ 'gl_FragData', 'gl_FragDepth', 'gl_FragCoord', 'gl_FrontFacing',
+ 'gl_Color', 'gl_SecondaryColor', 'gl_Normal', 'gl_Vertex',
+ 'gl_MultiTexCoord0', 'gl_MultiTexCoord1', 'gl_MultiTexCoord2',
+ 'gl_MultiTexCoord3', 'gl_MultiTexCoord4', 'gl_MultiTexCoord5',
+ 'gl_MultiTexCoord6', 'gl_MultiTexCoord7', 'gl_FogCoord',
+ 'gl_MaxLights', 'gl_MaxClipPlanes', 'gl_MaxTextureUnits',
+ 'gl_MaxTextureCoords', 'gl_MaxVertexAttribs', 'gl_MaxVaryingFloats',
+ 'gl_MaxVertexUniformComponents', 'gl_MaxVertexTextureImageUnits',
+ 'gl_MaxCombinedTextureImageUnits', 'gl_MaxTextureImageUnits',
+ 'gl_MaxFragmentUniformComponents', 'gl_MaxDrawBuffers', 'gl_Point',
+ 'gl_ModelViewMatrix', 'gl_ProjectionMatrix', 'gl_FrontMaterial',
+ 'gl_ModelViewProjectionMatrix', 'gl_TextureMatrix', 'gl_ClipPlane',
+ 'gl_NormalMatrix', 'gl_ModelViewMatrixInverse', 'gl_BackMaterial',
+ 'gl_ProjectionMatrixInverse', 'gl_ModelViewProjectionMatrixInverse',
+ 'gl_TextureMatrixInverse', 'gl_ModelViewMatrixTranspose', 'gl_Fog',
+ 'gl_ProjectionMatrixTranspose', 'gl_NormalScale', 'gl_DepthRange',
+ 'gl_odelViewProjectionMatrixTranspose', 'gl_TextureMatrixTranspose',
+ 'gl_ModelViewMatrixInverseTranspose', 'gl_LightSource',
+ 'gl_ProjectionMatrixInverseTranspose', 'gl_LightModel',
+ 'gl_ModelViewProjectionMatrixInverseTranspose', 'gl_TexCoord',
+ 'gl_TextureMatrixInverseTranspose', 'gl_TextureEnvColor',
+ 'gl_FrontLightModelProduct', 'gl_BackLightModelProduct',
+ 'gl_FrontLightProduct', 'gl_BackLightProduct', 'gl_ObjectPlaneS',
+ 'gl_ObjectPlaneT', 'gl_ObjectPlaneR', 'gl_ObjectPlaneQ',
+ 'gl_EyePlaneS', 'gl_EyePlaneT', 'gl_EyePlaneR', 'gl_EyePlaneQ',
+ 'gl_FrontColor', 'gl_BackColor', 'gl_FrontSecondaryColor',
+ 'gl_BackSecondaryColor', 'gl_FogFragCoord', 'gl_PointCoord'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '{', '}', '[', ']', '=', '+', '-', '*', '/', '!', '%', '^',
+ '&', '?', ':', '.', '|', ';', ',', '<', '>'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => true,
+ 2 => true,
+ 3 => true,
+ 4 => true,
+ 5 => true,
+ 6 => true,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #000000; font-weight: bold;',
+ 2 => 'color: #333399; font-weight: bold;',
+ 3 => 'color: #000066; font-weight: bold;',
+ 4 => 'color: #333399; font-weight: bold;',
+ 5 => 'color: #993333; font-weight: bold;',
+ 6 => 'color: #551111;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #666666; font-style: italic;',
+ 2 => 'color: #009900;',
+ 'MULTI' => 'color: #666666; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #000066;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #0000ff;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #006600;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #000066;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => '',
+ 5 => '',
+ 6 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'TAB_WIDTH' => 4,
+ 'PARSER_CONTROL' => array(
+ 'OOLANG' => array(
+ 'MATCH_BEFORE' => '',
+ 'MATCH_AFTER' => '[a-zA-Z_][a-zA-Z0-9_]*',
+ 'MATCH_SPACES' => '[\s]*'
+ )
+ )
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/gml.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/gml.php
new file mode 100644
index 000000000..da3d3a8e8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/gml.php
@@ -0,0 +1,506 @@
+<?php
+/*************************************************************************************
+ * gml.php
+ * --------
+ * Author: Jos锟 Jorge Enr锟絨uez (jenriquez@users.sourceforge.net)
+ * Copyright: (c) 2005 Jos锟 Jorge Enr锟絨uez Rodr锟絞uez (http://www.zonamakers.com)
+ * Release Version: 1.0.8.4
+ * Date Started: 2005/06/21
+ *
+ * GML language file for GeSHi.
+ *
+ * GML (Game Maker Language) is a script language that is built-in into Game Maker,
+ * a game creation program, more info about Game Maker can be found at
+ * http://www.gamemaker.nl/
+ * All GML keywords were extracted from the Game Maker HTML Help file using a PHP
+ * script (one section at a time). I love PHP for saving me that bunch of work :P!.
+ * I think all GML functions have been indexed here, but I'm not sure about it, so
+ * please let me know of any issue you may find.
+ *
+ * CHANGES
+ * -------
+ * 2005/11/11
+ * - Changed 'CASE_KEYWORDS' fom 'GESHI_CAPS_LOWER' to 'GESHI_CAPS_NO_CHANGE',
+ * so that MCI_command appears correctly (the only GML function using capitals).
+ * - Changed 'CASE_SENSITIVE' options, 'GESHI_COMMENTS' from true to false and all
+ * of the others from false to true.
+ * - Deleted repeated entries.
+ * - div and mod are language keywords, moved (from symbols) to the appropiate section (1).
+ * - Moved self, other, all, noone and global identifiers to language keywords section 1.
+ * - Edited this file lines to a maximum width of 100 characters (as stated in
+ * the GeSHi docs). Well, not strictly to 100 but around it.
+ * - Corrected some minor issues (the vk_f1...vk_f12 keys and similar).
+ * - Deleted the KEYWORDS=>5 and KEYWORDS=>6 sections (actually, they were empty).
+ * I was planning of using those for the GML functions available only in the
+ * registered version of the program, but not anymore.
+ *
+ * 2005/06/26 (1.0.3)
+ * - First Release.
+ *
+ * TODO (updated 2005/11/11)
+ * -------------------------
+ * - Test it for a while and make the appropiate corrections.
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'GML',
+ 'COMMENT_SINGLE' => array(1 => '//'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'"),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ // language keywords
+ 1 => array(
+ 'break', 'continue', 'do', 'until', 'if', 'else',
+ 'exit', 'for', 'repeat', 'return', 'switch',
+ 'case', 'default', 'var', 'while', 'with', 'div', 'mod',
+ // GML Language overview
+ 'self', 'other', 'all', 'noone', 'global',
+ ),
+ // modifiers and built-in variables
+ 2 => array(
+ // Game play
+ 'x','y','xprevious','yprevious','xstart','ystart','hspeed','vspeed','direction','speed',
+ 'friction','gravity','gravity_direction',
+ 'path_index','path_position','path_positionprevious','path_speed','path_orientation',
+ 'path_endaction',
+ 'object_index','id','mask_index','solid','persistent','instance_count','instance_id',
+ 'room_speed','fps','current_time','current_year','current_month','current_day','current_weekday',
+ 'current_hour','current_minute','current_second','alarm','timeline_index','timeline_position',
+ 'timeline_speed',
+ 'room','room_first','room_last','room_width','room_height','room_caption','room_persistent',
+ 'score','lives','health','show_score','show_lives','show_health','caption_score','caption_lives',
+ 'caption_health',
+ 'event_type','event_number','event_object','event_action',
+ 'error_occurred','error_last',
+ // User interaction
+ 'keyboard_lastkey','keyboard_key','keyboard_lastchar','keyboard_string',
+ 'mouse_x','mouse_y','mouse_button','mouse_lastbutton',
+ // Game Graphics
+ 'sprite_index','sprite_width','sprite_height','sprite_xoffset','sprite_yoffset',
+ 'image_number','image_index','image_speed','image_xscale','image_yscale','image_angle',
+ 'image_alpha','image_blend','bbox_left','bbox_right','bbox_top','bbox_bottom',
+ 'background_color','background_showcolor','background_visible','background_foreground',
+ 'background_index','background_x','background_y','background_width','background_height',
+ 'background_htiled','background_vtiled','background_xscale','background_yscale',
+ 'background_hspeed','background_vspeed','background_blend','background_alpha',
+ 'background','left, top, width, height','depth','visible','xscale','yscale','blend','alpha',
+ 'view_enabled','view_current','view_visible','view_yview','view_wview','view_hview','view_xport',
+ 'view_yport','view_wport','view_hport','view_angle','view_hborder','view_vborder','view_hspeed',
+ 'view_vspeed','view_object',
+ 'transition_kind',
+ // Files, registry and executing programs
+ 'game_id','working_directory','temp_directory',
+ 'secure_mode',
+ // Creating particles
+ 'xmin', 'xmax', 'ymin', 'ymax','shape','distribution','particle type','number',
+ 'force','dist','kind','additive', 'parttype1', 'parttype2'
+ ),
+ // functions
+ 3 => array(
+ // Computing things
+ 'random','choose','abs','sign','round','floor','ceil','frac','sqrt','sqr','power','exp','ln',
+ 'log2','log10','logn','sin','cos','tan','arcsin','arccos','arctan','arctan2','degtorad',
+ 'radtodeg','min','max','mean','median','point_distance','point_direction','lengthdir_x',
+ 'lengthdir_y','is_real','is_string',
+ 'chr','ord','real','string','string_format','string_length','string_pos','string_copy',
+ 'string_char_at','string_delete','string_insert','string_replace','string_replace_all',
+ 'string_count','string_lower','string_upper','string_repeat','string_letters','string_digits',
+ 'string_lettersdigits','clipboard_has_text','clipboard_get_text','clipboard_set_text',
+ 'date_current_datetime','date_current_date','date_current_time','date_create_datetime',
+ 'date_create_date','date_create_time','date_valid_datetime','date_valid_date','date_valid_time',
+ 'date_inc_year','date_inc_month','date_inc_week','date_inc_day','date_inc_hour',
+ 'date_inc_minute','date_inc_second','date_get_year','date_get_month','date_get_week',
+ 'date_get_day','date_get_hour', 'date_get_minute','date_get_second','date_get_weekday',
+ 'date_get_day_of_year','date_get_hour_of_year','date_get_minute_of_year',
+ 'date_get_second_of_year','date_year_span','date_month_span','date_week_span','date_day_span',
+ 'date_hour_span','date_minute_span','date_second_span','date_compare_datetime',
+ 'date_compare_date','date_compare_time','date_date_of','date_time_of','date_datetime_string',
+ 'date_date_string','date_time_string','date_days_in_month','date_days_in_year','date_leap_year',
+ 'date_is_today',
+ // Game play
+ 'motion_set','motion_add','place_free','place_empty','place_meeting','place_snapped',
+ 'move_random','move_snap','move_wrap','move_towards_point','move_bounce_solid','move_bounce_all',
+ 'move_contact_solid','move_contact_all','move_outside_solid','move_outside_all',
+ 'distance_to_point','distance_to_object','position_empty','position_meeting',
+ 'path_start','path_end',
+ 'mp_linear_step','mp_linear_step_object','mp_potential_step','mp_potential_step_object',
+ 'mp_potential_settings','mp_linear_path','mp_linear_path_object', 'mp_potential_path',
+ 'mp_potential_path_object','mp_grid_create','mp_grid_destroy','mp_grid_clear_all',
+ 'mp_grid_clear_cell','mp_grid_clear_rectangle','mp_grid_add_cell','mp_grid_add_rectangle',
+ 'mp_grid_add_instances','mp_grid_path','mp_grid_draw',
+ 'collision_point','collision_rectangle','collision_circle','collision_ellipse','collision_line',
+ 'instance_find','instance_exists','instance_number','instance_position','instance_nearest',
+ 'instance_furthest','instance_place','instance_create','instance_copy','instance_destroy',
+ 'instance_change','position_destroy','position_change',
+ 'instance_deactivate_all','instance_deactivate_object','instance_deactivate_region',
+ 'instance_activate_all','instance_activate_object','instance_activate_region',
+ 'sleep',
+ 'room_goto','room_goto_previous','room_goto_next','room_restart','room_previous','room_next',
+ 'game_end','game_restart','game_save','game_load',
+ 'event_perform', 'event_perform_object','event_user','event_inherited',
+ 'show_debug_message','variable_global_exists','variable_local_exists','variable_global_get',
+ 'variable_global_array_get','variable_global_array2_get','variable_local_get',
+ 'variable_local_array_get','variable_local_array2_get','variable_global_set',
+ 'variable_global_array_set','variable_global_array2_set','variable_local_set',
+ 'variable_local_array_set','variable_local_array2_set','set_program_priority',
+ // User interaction
+ 'keyboard_set_map','keyboard_get_map','keyboard_unset_map','keyboard_check',
+ 'keyboard_check_pressed','keyboard_check_released','keyboard_check_direct',
+ 'keyboard_get_numlock','keyboard_set_numlock','keyboard_key_press','keyboard_key_release',
+ 'keyboard_clear','io_clear','io_handle','keyboard_wait',
+ 'mouse_check_button','mouse_check_button_pressed','mouse_check_button_released','mouse_clear',
+ 'mouse_wait',
+ 'joystick_exists','joystick_name','joystick_axes','joystick_buttons','joystick_has_pov',
+ 'joystick_direction','joystick_check_button','joystick_xpos','joystick_ypos','joystick_zpos',
+ 'joystick_rpos','joystick_upos','joystick_vpos','joystick_pov',
+ // Game Graphics
+ 'draw_sprite','draw_sprite_stretched','draw_sprite_tiled','draw_sprite_part','draw_background',
+ 'draw_background_stretched','draw_background_tiled','draw_background_part','draw_sprite_ext',
+ 'draw_sprite_stretched_ext','draw_sprite_tiled_ext','draw_sprite_part_ext','draw_sprite_general',
+ 'draw_background_ext','draw_background_stretched_ext','draw_background_tiled_ext',
+ 'draw_background_part_ext','draw_background_general',
+ 'draw_clear','draw_clear_alpha','draw_point','draw_line','draw_rectangle','draw_roundrect',
+ 'draw_triangle','draw_circle','draw_ellipse','draw_arrow','draw_button','draw_path',
+ 'draw_healthbar','draw_set_color','draw_set_alpha','draw_get_color','draw_get_alpha',
+ 'make_color_rgb','make_color_hsv','color_get_red','color_get_green','color_get_blue',
+ 'color_get_hue','color_get_saturation','color_get_value','merge_color','draw_getpixel',
+ 'screen_save','screen_save_part',
+ 'draw_set_font','draw_set_halign','draw_set_valign','draw_text','draw_text_ext','string_width',
+ 'string_height','string_width_ext','string_height_ext','draw_text_transformed',
+ 'draw_text_ext_transformed','draw_text_color','draw_text_ext_color',
+ 'draw_text_transformed_color','draw_text_ext_transformed_color',
+ 'draw_point_color','draw_line_color','draw_rectangle_color','draw_roundrect_color',
+ 'draw_triangle_color','draw_circle_color','draw_ellipse_color','draw_primitive_begin',
+ 'draw_vertex','draw_vertex_color','draw_primitive_end','sprite_get_texture',
+ 'background_get_texture','texture_preload','texture_set_priority',
+ 'texture_get_width','texture_get_height','draw_primitive_begin_texture','draw_vertex_texture',
+ 'draw_vertex_texture_color','texture_set_interpolation',
+ 'texture_set_blending','texture_set_repeat','draw_set_blend_mode','draw_set_blend_mode_ext',
+ 'surface_create','surface_free','surface_exists','surface_get_width','surface_get_height',
+ 'surface_get_texture','surface_set_target','surface_reset_target','surface_getpixel',
+ 'surface_save','surface_save_part','draw_surface','draw_surface_stretched','draw_surface_tiled',
+ 'draw_surface_part','draw_surface_ext','draw_surface_stretched_ext','draw_surface_tiled_ext',
+ 'draw_surface_part_ext','draw_surface_general','surface_copy','surface_copy_part',
+ 'tile_add','tile_delete','tile_exists','tile_get_x','tile_get_y','tile_get_left','tile_get_top',
+ 'tile_get_width','tile_get_height','tile_get_depth','tile_get_visible','tile_get_xscale',
+ 'tile_get_yscale','tile_get_background','tile_get_blend','tile_get_alpha','tile_set_position',
+ 'tile_set_region','tile_set_background','tile_set_visible','tile_set_depth','tile_set_scale',
+ 'tile_set_blend','tile_set_alpha','tile_layer_hide','tile_layer_show','tile_layer_delete',
+ 'tile_layer_shift','tile_layer_find','tile_layer_delete_at','tile_layer_depth',
+ 'display_get_width','display_get_height','display_get_colordepth','display_get_frequency',
+ 'display_set_size','display_set_colordepth','display_set_frequency','display_set_all',
+ 'display_test_all','display_reset','display_mouse_get_x','display_mouse_get_y','display_mouse_set',
+ 'window_set_visible','window_get_visible','window_set_fullscreen','window_get_fullscreen',
+ 'window_set_showborder','window_get_showborder','window_set_showicons','window_get_showicons',
+ 'window_set_stayontop','window_get_stayontop','window_set_sizeable','window_get_sizeable',
+ 'window_set_caption','window_get_caption','window_set_cursor', 'window_get_cursor',
+ 'window_set_color','window_get_color','window_set_region_scale','window_get_region_scale',
+ 'window_set_position','window_set_size','window_set_rectangle','window_center','window_default',
+ 'window_get_x','window_get_y','window_get_width','window_get_height','window_mouse_get_x',
+ 'window_mouse_get_y','window_mouse_set',
+ 'window_set_region_size','window_get_region_width','window_get_region_height',
+ 'window_view_mouse_get_x','window_view_mouse_get_y','window_view_mouse_set',
+ 'window_views_mouse_get_x','window_views_mouse_get_y','window_views_mouse_set',
+ 'screen_redraw','screen_refresh','set_automatic_draw','set_synchronization','screen_wait_vsync',
+ // Sound and music)
+ 'sound_play','sound_loop','sound_stop','sound_stop_all','sound_isplaying','sound_volume',
+ 'sound_global_volume','sound_fade','sound_pan','sound_background_tempo','sound_set_search_directory',
+ 'sound_effect_set','sound_effect_chorus','sound_effect_echo', 'sound_effect_flanger',
+ 'sound_effect_gargle','sound_effect_reverb','sound_effect_compressor','sound_effect_equalizer',
+ 'sound_3d_set_sound_position','sound_3d_set_sound_velocity','sound_3d_set_sound_distance',
+ 'sound_3d_set_sound_cone',
+ 'cd_init','cd_present','cd_number','cd_playing','cd_paused','cd_track','cd_length',
+ 'cd_track_length','cd_position','cd_track_position','cd_play','cd_stop','cd_pause','cd_resume',
+ 'cd_set_position','cd_set_track_position','cd_open_door','cd_close_door','MCI_command',
+ // Splash screens, highscores, and other pop-ups
+ 'show_text','show_image','show_video','show_info','load_info',
+ 'show_message','show_message_ext','show_question','get_integer','get_string',
+ 'message_background','message_alpha','message_button','message_text_font','message_button_font',
+ 'message_input_font','message_mouse_color','message_input_color','message_caption',
+ 'message_position','message_size','show_menu','show_menu_pos','get_color','get_open_filename',
+ 'get_save_filename','get_directory','get_directory_alt','show_error',
+ 'highscore_show','highscore_set_background','highscore_set_border','highscore_set_font',
+ 'highscore_set_colors','highscore_set_strings','highscore_show_ext','highscore_clear',
+ 'highscore_add','highscore_add_current','highscore_value','highscore_name','draw_highscore',
+ // Resources
+ 'sprite_exists','sprite_get_name','sprite_get_number','sprite_get_width','sprite_get_height',
+ 'sprite_get_transparent','sprite_get_smooth','sprite_get_preload','sprite_get_xoffset',
+ 'sprite_get_yoffset','sprite_get_bbox_left','sprite_get_bbox_right','sprite_get_bbox_top',
+ 'sprite_get_bbox_bottom','sprite_get_bbox_mode','sprite_get_precise',
+ 'sound_exists','sound_get_name','sound_get_kind','sound_get_preload','sound_discard',
+ 'sound_restore',
+ 'background_exists','background_get_name','background_get_width','background_get_height',
+ 'background_get_transparent','background_get_smooth','background_get_preload',
+ 'font_exists','font_get_name','font_get_fontname','font_get_bold','font_get_italic',
+ 'font_get_first','font_get_last',
+ 'path_exists','path_get_name','path_get_length','path_get_kind','path_get_closed',
+ 'path_get_precision','path_get_number','path_get_point_x','path_get_point_y',
+ 'path_get_point_speed','path_get_x','path_get_y','path_get_speed',
+ 'script_exists','script_get_name','script_get_text',
+ 'timeline_exists','timeline_get_name',
+ 'object_exists','object_get_name','object_get_sprite','object_get_solid','object_get_visible',
+ 'object_get_depth','object_get_persistent','object_get_mask','object_get_parent',
+ 'object_is_ancestor',
+ 'room_exists','room_get_name',
+ // Changing resources
+ 'sprite_set_offset','sprite_set_bbox_mode','sprite_set_bbox','sprite_set_precise',
+ 'sprite_duplicate','sprite_assign','sprite_merge','sprite_add','sprite_replace',
+ 'sprite_create_from_screen','sprite_add_from_screen','sprite_create_from_surface',
+ 'sprite_add_from_surface','sprite_delete','sprite_set_alpha_from_sprite',
+ 'sound_add','sound_replace','sound_delete',
+ 'background_duplicate','background_assign','background_add','background_replace',
+ 'background_create_color','background_create_gradient','background_create_from_screen',
+ 'background_create_from_surface','background_delete','background_set_alpha_from_background',
+ 'font_add','font_add_sprite','font_replace_sprite','font_delete',
+ 'path_set_kind','path_set_closed','path_set_precision','path_add','path_delete','path_duplicate',
+ 'path_assign','path_append','path_add_point','path_insert_point','path_change_point',
+ 'path_delete_point','path_clear_points','path_reverse','path_mirror','path_flip','path_rotate',
+ 'path_scale','path_shift',
+ 'execute_string','execute_file','script_execute',
+ 'timeline_add','timeline_delete','timeline_moment_add','timeline_moment_clear',
+ 'object_set_sprite','object_set_solid','object_set_visible','object_set_depth',
+ 'object_set_persistent','object_set_mask','object_set_parent','object_add','object_delete',
+ 'object_event_add','object_event_clear',
+ 'room_set_width','room_set_height','room_set_caption','room_set_persistent','room_set_code',
+ 'room_set_background_color','room_set_background','room_set_view','room_set_view_enabled',
+ 'room_add','room_duplicate','room_assign','room_instance_add','room_instance_clear',
+ 'room_tile_add','room_tile_add_ext','room_tile_clear',
+ // Files, registry and executing programs
+ 'file_text_open_read','file_text_open_write','file_text_open_append','file_text_close',
+ 'file_text_write_string','file_text_write_real','file_text_writeln','file_text_read_string',
+ 'file_text_read_real','file_text_readln','file_text_eof','file_exists','file_delete',
+ 'file_rename','file_copy','directory_exists','directory_create','file_find_first',
+ 'file_find_next','file_find_close','file_attributes', 'filename_name','filename_path',
+ 'filename_dir','filename_drive','filename_ext','filename_change_ext','file_bin_open',
+ 'file_bin_rewrite','file_bin_close','file_bin_size','file_bin_position','file_bin_seek',
+ 'file_bin_write_byte','file_bin_read_byte','parameter_count','parameter_string',
+ 'environment_get_variable',
+ 'registry_write_string','registry_write_real','registry_read_string','registry_read_real',
+ 'registry_exists','registry_write_string_ext','registry_write_real_ext',
+ 'registry_read_string_ext','registry_read_real_ext','registry_exists_ext','registry_set_root',
+ 'ini_open','ini_close','ini_read_string','ini_read_real','ini_write_string','ini_write_real',
+ 'ini_key_exists','ini_section_exists','ini_key_delete','ini_section_delete',
+ 'execute_program','execute_shell',
+ // Data structures
+ 'ds_stack_create','ds_stack_destroy','ds_stack_clear','ds_stack_size','ds_stack_empty',
+ 'ds_stack_push','ds_stack_pop','ds_stack_top',
+ 'ds_queue_create','ds_queue_destroy','ds_queue_clear','ds_queue_size','ds_queue_empty',
+ 'ds_queue_enqueue','ds_queue_dequeue','ds_queue_head','ds_queue_tail',
+ 'ds_list_create','ds_list_destroy','ds_list_clear','ds_list_size','ds_list_empty','ds_list_add',
+ 'ds_list_insert','ds_list_replace','ds_list_delete','ds_list_find_index','ds_list_find_value',
+ 'ds_list_sort',
+ 'ds_map_create','ds_map_destroy','ds_map_clear','ds_map_size','ds_map_empty','ds_map_add',
+ 'ds_map_replace','ds_map_delete','ds_map_exists','ds_map_find_value','ds_map_find_previous',
+ 'ds_map_find_next','ds_map_find_first','ds_map_find_last',
+ 'ds_priority_create','ds_priority_destroy','ds_priority_clear','ds_priority_size',
+ 'ds_priority_empty','ds_priority_add','ds_priority_change_priority','ds_priority_find_priority',
+ 'ds_priority_delete_value','ds_priority_delete_min','ds_priority_find_min',
+ 'ds_priority_delete_max','ds_priority_find_max',
+ 'ds_grid_create','ds_grid_destroy','ds_grid_resize','ds_grid_width','ds_grid_height',
+ 'ds_grid_clear','ds_grid_set','ds_grid_add','ds_grid_multiply','ds_grid_set_region',
+ 'ds_grid_add_region','ds_grid_multiply_region','ds_grid_set_disk','ds_grid_add_disk',
+ 'ds_grid_multiply_disk','ds_grid_get','ds_grid_get_sum','ds_grid_get_max','ds_grid_get_min',
+ 'ds_grid_get_mean','ds_grid_get_disk_sum','ds_grid_get_disk_min','ds_grid_get_disk_max',
+ 'ds_grid_get_disk_mean','ds_grid_value_exists','ds_grid_value_x','ds_grid_value_y',
+ 'ds_grid_value_disk_exists','ds_grid_value_disk_x','ds_grid_value_disk_y',
+ // Creating particles
+ 'effect_create_below','effect_create_above','effect_clear',
+ 'part_type_create','part_type_destroy','part_type_exists','part_type_clear','part_type_shape',
+ 'part_type_sprite','part_type_size','part_type_scale',
+ 'part_type_orientation','part_type_color1','part_type_color2','part_type_color3',
+ 'part_type_color_mix','part_type_color_rgb','part_type_color_hsv',
+ 'part_type_alpha1','part_type_alpha2','part_type_alpha3','part_type_blend','part_type_life',
+ 'part_type_step','part_type_death','part_type_speed','part_type_direction','part_type_gravity',
+ 'part_system_create','part_system_destroy','part_system_exists','part_system_clear',
+ 'part_system_draw_order','part_system_depth','part_system_position',
+ 'part_system_automatic_update','part_system_automatic_draw','part_system_update',
+ 'part_system_drawit','part_particles_create','part_particles_create_color',
+ 'part_particles_clear','part_particles_count',
+ 'part_emitter_create','part_emitter_destroy','part_emitter_destroy_all','part_emitter_exists',
+ 'part_emitter_clear','part_emitter_region','part_emitter_burst','part_emitter_stream',
+ 'part_attractor_create','part_attractor_destroy','part_attractor_destroy_all',
+ 'part_attractor_exists','part_attractor_clear','part_attractor_position','part_attractor_force',
+ 'part_destroyer_create','part_destroyer_destroy','part_destroyer_destroy_all',
+ 'part_destroyer_exists','part_destroyer_clear','part_destroyer_region',
+ 'part_deflector_create','part_deflector_destroy','part_deflector_destroy_all',
+ 'part_deflector_exists','part_deflector_clear','part_deflector_region','part_deflector_kind',
+ 'part_deflector_friction',
+ 'part_changer_create','part_changer_destroy','part_changer_destroy_all','part_changer_exists',
+ 'part_changer_clear','part_changer_region','part_changer_types','part_changer_kind',
+ // Multiplayer games
+ 'mplay_init_ipx','mplay_init_tcpip','mplay_init_modem','mplay_init_serial',
+ 'mplay_connect_status','mplay_end','mplay_ipaddress',
+ 'mplay_session_create','mplay_session_find','mplay_session_name','mplay_session_join',
+ 'mplay_session_mode','mplay_session_status','mplay_session_end',
+ 'mplay_player_find','mplay_player_name','mplay_player_id',
+ 'mplay_data_write','mplay_data_read','mplay_data_mode',
+ 'mplay_message_send','mplay_message_send_guaranteed','mplay_message_receive','mplay_message_id',
+ 'mplay_message_value','mplay_message_player','mplay_message_name','mplay_message_count',
+ 'mplay_message_clear',
+ // Using DLL's
+ 'external_define','external_call','external_free','window_handle',
+ // 3D Graphics
+ 'd3d_start','d3d_end','d3d_set_hidden','d3d_set_perspective',
+ 'd3d_set_depth',
+ 'd3d_primitive_begin','d3d_vertex','d3d_vertex_color','d3d_primitive_end',
+ 'd3d_primitive_begin_texture','d3d_vertex_texture','d3d_vertex_texture_color','d3d_set_culling',
+ 'd3d_draw_block','d3d_draw_cylinder','d3d_draw_cone','d3d_draw_ellipsoid','d3d_draw_wall',
+ 'd3d_draw_floor',
+ 'd3d_set_projection','d3d_set_projection_ext','d3d_set_projection_ortho',
+ 'd3d_set_projection_perspective',
+ 'd3d_transform_set_identity','d3d_transform_set_translation','d3d_transform_set_scaling',
+ 'd3d_transform_set_rotation_x','d3d_transform_set_rotation_y','d3d_transform_set_rotation_z',
+ 'd3d_transform_set_rotation_axis','d3d_transform_add_translation','d3d_transform_add_scaling',
+ 'd3d_transform_add_rotation_x','d3d_transform_add_rotation_y','d3d_transform_add_rotation_z',
+ 'd3d_transform_add_rotation_axis','d3d_transform_stack_clear','d3d_transform_stack_empty',
+ 'd3d_transform_stack_push','d3d_transform_stack_pop','d3d_transform_stack_top',
+ 'd3d_transform_stack_discard',
+ 'd3d_set_fog',
+ 'd3d_set_lighting','d3d_set_shading','d3d_light_define_direction','d3d_light_define_point',
+ 'd3d_light_enable','d3d_vertex_normal','d3d_vertex_normal_color','d3d_vertex_normal_texture',
+ 'd3d_vertex_normal_texture_color',
+ 'd3d_model_create','d3d_model_destroy','d3d_model_clear','d3d_model_save','d3d_model_load',
+ 'd3d_model_draw','d3d_model_primitive_begin','d3d_model_vertex','d3d_model_vertex_color',
+ 'd3d_model_vertex_texture','d3d_model_vertex_texture_color','d3d_model_vertex_normal',
+ 'd3d_model_vertex_normal_color','d3d_model_vertex_normal_texture',
+ 'd3d_model_vertex_normal_texture_color','d3d_model_primitive_end','d3d_model_block',
+ 'd3d_model_cylinder','d3d_model_cone','d3d_model_ellipsoid','d3d_model_wall','d3d_model_floor'
+ ),
+ // constants
+ 4 => array(
+ 'true', 'false', 'pi',
+ 'ev_destroy','ev_step','ev_alarm','ev_keyboard','ev_mouse','ev_collision','ev_other','ev_draw',
+ 'ev_keypress','ev_keyrelease','ev_left_button','ev_right_button','ev_middle_button',
+ 'ev_no_button','ev_left_press','ev_right_press','ev_middle_press','ev_left_release',
+ 'ev_right_release','ev_middle_release','ev_mouse_enter','ev_mouse_leave','ev_mouse_wheel_up',
+ 'ev_mouse_wheel_down','ev_global_left_button','ev_global_right_button','ev_global_middle_button',
+ 'ev_global_left_press','ev_global_right_press','ev_global_middle_press','ev_global_left_release',
+ 'ev_global_right_release','ev_global_middle_release','ev_joystick1_left','ev_joystick1_right',
+ 'ev_joystick1_up','ev_joystick1_down','ev_joystick1_button1','ev_joystick1_button2',
+ 'ev_joystick1_button3','ev_joystick1_button4','ev_joystick1_button5','ev_joystick1_button6',
+ 'ev_joystick1_button7','ev_joystick1_button8','ev_joystick2_left','ev_joystick2_right',
+ 'ev_joystick2_up','ev_joystick2_down','ev_joystick2_button1','ev_joystick2_button2',
+ 'ev_joystick2_button3','ev_joystick2_button4','ev_joystick2_button5','ev_joystick2_button6',
+ 'ev_joystick2_button7','ev_joystick2_button8',
+ 'ev_outside','ev_boundary','ev_game_start','ev_game_end','ev_room_start','ev_room_end',
+ 'ev_no_more_lives','ev_no_more_health','ev_animation_end','ev_end_of_path','ev_user0','ev_user1',
+ 'ev_user2','ev_user3','ev_user4','ev_user5','ev_user6','ev_user7','ev_user8','ev_user9',
+ 'ev_user10','ev_user11','ev_user12','ev_user13','ev_user14','ev_user15','ev_step_normal',
+ 'ev_step_begin','ev_step_end',
+ 'vk_nokey','vk_anykey','vk_left','vk_right','vk_up','vk_down','vk_enter','vk_escape','vk_space',
+ 'vk_shift','vk_control','vk_alt','vk_backspace','vk_tab','vk_home','vk_end','vk_delete',
+ 'vk_insert','vk_pageup','vk_pagedown','vk_pause','vk_printscreen',
+ 'vk_f1','vk_f2','vk_f3','vk_f4','vk_f5','vk_f6','vk_f7','vk_f8','vk_f9','vk_f10','vk_f11','vk_f12',
+ 'vk_numpad0','vk_numpad1','vk_numpad2','vk_numpad3','vk_numpad4','vk_numpad5','vk_numpad6',
+ 'vk_numpad7','vk_numpad8','vk_numpad9', 'vk_multiply','vk_divide','vk_add','vk_subtract',
+ 'vk_decimal','vk_lshift','vk_lcontrol','vk_lalt','vk_rshift','vk_rcontrol','vk_ralt',
+ 'c_aqua','c_black','c_blue','c_dkgray','c_fuchsia','c_gray','c_green','c_lime','c_ltgray',
+ 'c_maroon','c_navy','c_olive','c_purple','c_red','c_silver','c_teal','c_white','c_yellow',
+ 'fa_left', 'fa_center','fa_right','fa_top','fa_middle','fa_bottom',
+ 'pr_pointlist','pr_linelist','pr_linestrip','pr_trianglelist','pr_trianglestrip',
+ 'pr_trianglefan',
+ 'cr_none','cr_arrow','cr_cross','cr_beam','cr_size_nesw','cr_size_ns','cr_size_nwse',
+ 'cr_size_we','cr_uparrow','cr_hourglass','cr_drag','cr_nodrop','cr_hsplit','cr_vsplit',
+ 'cr_multidrag','cr_sqlwait','cr_no','cr_appstart','cr_help','cr_handpoint','cr_size_all',
+ 'se_chorus','se_echo','se_flanger','se_gargle','se_reverb','se_compressor','se_equalizer',
+ 'fa_readonly','fa_hidden','fa_sysfile','fa_volumeid','fa_directory','fa_archive',
+ 'pt_shape_pixel','pt_shape_disk','pt_shape_square','pt_shape_line','pt_shape_star',
+ 'pt_shape_circle','pt_shape_ring','pt_shape_sphere','pt_shape_flare','pt_shape_spark',
+ 'pt_shape_explosion','pt_shape_cloud','pt_shape_smoke','pt_shape_snow',
+ 'ps_shape_rectangle','ps_shape_ellipse ','ps_shape_diamond','ps_shape_line',
+ 'ps_distr_linear','ps_distr_gaussian','ps_force_constant','ps_force_linear','ps_force_quadratic',
+ 'ps_deflect_horizontal', 'ps_deflect_vertical',
+ 'ps_change_motion','ps_change_shape','ps_change_all'
+ ),
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '{', '}', '[', ']',
+ '&&', '||', '^^', '&', '|', '^',
+ '<', '<=', '==', '!=', '>', '>=', '=',
+ '<<', '>>',
+ '+=', '-=', '*=', '/=',
+ '+', '-', '*', '/',
+ '!', '~', ',', ';'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => true,
+ 2 => true,
+ 3 => true,
+ 4 => true,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'font-weight: bold; color: #000000;',
+ 2 => 'font-weight: bold; color: #000000;',
+ 3 => 'color: navy;',
+ 4 => 'color: #663300;',
+ ),
+ 'COMMENTS' => array(
+ 1 => 'font-style: italic; color: green;',
+ 'MULTI' => 'font-style: italic; color: green;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #000000;' //'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #202020;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66; font-weight: bold;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/gnuplot.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/gnuplot.php
new file mode 100644
index 000000000..863d0dbd6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/gnuplot.php
@@ -0,0 +1,296 @@
+<?php
+/*************************************************************************************
+ * gnuplot.php
+ * ----------
+ * Author: Milian Wolff (mail@milianw.de)
+ * Copyright: (c) 2008 Milian Wolff (http://milianw.de)
+ * Release Version: 1.0.8.4
+ * Date Started: 2008/07/07
+ *
+ * Gnuplot script language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/07/07 (1.0.8)
+ * - Initial import
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Gnuplot',
+ 'COMMENT_SINGLE' => array(1 => '#'),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('`', '"', "'"),
+ 'ESCAPE_CHAR' => '\\',
+ 'NUMBERS' =>
+ GESHI_NUMBER_INT_BASIC |
+ GESHI_NUMBER_FLT_NONSCI |
+ GESHI_NUMBER_FLT_SCI_SHORT |
+ GESHI_NUMBER_FLT_SCI_ZERO,
+ 'KEYWORDS' => array(
+ // copy output of help command, indent properly and use this replace regexp:
+ // ([a-z0-9_\-]+)(( )+|$) => '\1',\3
+
+ // commands as found in `help commands`
+ 1 => array(
+ 'bind', 'call', 'cd', 'clear',
+ 'exit', 'fit', 'help', 'history',
+ 'if', 'load', 'lower', 'pause',
+ 'plot', 'print', 'pwd', 'quit',
+ 'raise', 'replot', 'reread', 'reset',
+ 'save', 'set', 'shell', 'show',
+ 'splot', 'system', 'test', 'unset',
+ 'update'
+ ),
+ 2 => array(
+ // set commands as returned by `help set`
+ 'angles', 'arrow', 'autoscale', 'bars',
+ 'bmargin', 'border', 'boxwidth', 'cbdata',
+ 'cbdtics', 'cblabel', 'cbmtics', 'cbrange',
+ 'cbtics', 'clabel', 'clip', 'cntrparam',
+ 'colorbox', 'contour', 'datafile', 'date_specifiers',
+ 'decimalsign', 'dgrid3d', 'dummy', 'encoding',
+ 'fontpath', 'format', 'grid',
+ 'hidden3d', 'historysize', 'isosamples', 'key',
+ 'label', 'lmargin', 'loadpath', 'locale',
+ 'log', 'logscale', 'macros', 'mapping',
+ 'margin', 'missing', 'mouse', 'multiplot',
+ 'mx2tics', 'mxtics', 'my2tics', 'mytics',
+ 'mztics', 'object', 'offsets', 'origin',
+ 'output', 'palette', 'parametric', 'pm3d',
+ 'pointsize', 'polar', 'rmargin',
+ 'rrange', 'samples', 'size', 'style',
+ 'surface', 'table', 'term', 'terminal',
+ 'termoption', 'tics', 'ticscale', 'ticslevel',
+ 'time_specifiers', 'timefmt', 'timestamp', 'title',
+ 'trange', 'urange', 'view',
+ 'vrange', 'x2data', 'x2dtics', 'x2label',
+ 'x2mtics', 'x2range', 'x2tics', 'x2zeroaxis',
+ 'xdata', 'xdtics', 'xlabel', 'xmtics',
+ 'xrange', 'xtics', 'xyplane', 'xzeroaxis',
+ 'y2data', 'y2dtics', 'y2label', 'y2mtics',
+ 'y2range', 'y2tics', 'y2zeroaxis', 'ydata',
+ 'ydtics', 'ylabel', 'ymtics', 'yrange',
+ 'ytics', 'yzeroaxis', 'zdata', 'zdtics',
+ 'zero', 'zeroaxis', 'zlabel', 'zmtics',
+ 'zrange', 'ztics', 'zzeroaxis',
+ // same but with leading no
+ 'noangles', 'noarrow', 'noautoscale', 'nobars',
+ 'nobmargin', 'noborder', 'noboxwidth', 'nocbdata',
+ 'nocbdtics', 'nocblabel', 'nocbmtics', 'nocbrange',
+ 'nocbtics', 'noclabel', 'noclip', 'nocntrparam',
+ 'nocolorbox', 'nocontour', 'nodatafile', 'nodate_specifiers',
+ 'nodecimalsign', 'nodgrid3d', 'nodummy', 'noencoding',
+ 'nofit', 'nofontpath', 'noformat', 'nogrid',
+ 'nohidden3d', 'nohistorysize', 'noisosamples', 'nokey',
+ 'nolabel', 'nolmargin', 'noloadpath', 'nolocale',
+ 'nolog', 'nologscale', 'nomacros', 'nomapping',
+ 'nomargin', 'nomissing', 'nomouse', 'nomultiplot',
+ 'nomx2tics', 'nomxtics', 'nomy2tics', 'nomytics',
+ 'nomztics', 'noobject', 'nooffsets', 'noorigin',
+ 'nooutput', 'nopalette', 'noparametric', 'nopm3d',
+ 'nopointsize', 'nopolar', 'noprint', 'normargin',
+ 'norrange', 'nosamples', 'nosize', 'nostyle',
+ 'nosurface', 'notable', 'noterm', 'noterminal',
+ 'notermoption', 'notics', 'noticscale', 'noticslevel',
+ 'notime_specifiers', 'notimefmt', 'notimestamp', 'notitle',
+ 'notmargin', 'notrange', 'nourange', 'noview',
+ 'novrange', 'nox2data', 'nox2dtics', 'nox2label',
+ 'nox2mtics', 'nox2range', 'nox2tics', 'nox2zeroaxis',
+ 'noxdata', 'noxdtics', 'noxlabel', 'noxmtics',
+ 'noxrange', 'noxtics', 'noxyplane', 'noxzeroaxis',
+ 'noy2data', 'noy2dtics', 'noy2label', 'noy2mtics',
+ 'noy2range', 'noy2tics', 'noy2zeroaxis', 'noydata',
+ 'noydtics', 'noylabel', 'noymtics', 'noyrange',
+ 'noytics', 'noyzeroaxis', 'nozdata', 'nozdtics',
+ 'nozero', 'nozeroaxis', 'nozlabel', 'nozmtics',
+ 'nozrange', 'noztics', 'nozzeroaxis',
+ ),
+ 3 => array(
+ // predefined variables
+ 'pi', 'NaN', 'GNUTERM',
+ 'GPVAL_X_MIN', 'GPVAL_X_MAX', 'GPVAL_Y_MIN', 'GPVAL_Y_MAX',
+ 'GPVAL_TERM', 'GPVAL_TERMOPTIONS', 'GPVAL_OUTPUT',
+ 'GPVAL_VERSION', 'GPVAL_PATcHLEVEL', 'GPVAL_COMPILE_OPTIONS',
+ 'MOUSE_KEY', 'MOUSE_X', 'MOUSE_X2', 'MOUSE_Y', 'MOUSE_Y2',
+ 'MOUSE_BUTTON', 'MOUSE_SHIFT', 'MOUSE_ALT', 'MOUSE_CTRL'
+ ),
+ 4 => array(
+ // predefined functions `help functions`
+ 'abs', 'acos', 'acosh', 'arg',
+ 'asin', 'asinh', 'atan', 'atan2',
+ 'atanh', 'besj0', 'besj1', 'besy0',
+ 'besy1', 'ceil', 'column', 'cos',
+ 'cosh', 'defined', 'erf', 'erfc',
+ 'exists', 'exp', 'floor', 'gamma',
+ 'gprintf', 'ibeta', 'igamma', 'imag',
+ 'int', 'inverf', 'invnorm', 'lambertw',
+ 'lgamma', 'log10', 'norm',
+ 'rand', 'random', 'real', 'sgn',
+ 'sin', 'sinh', 'sprintf', 'sqrt',
+ 'stringcolumn', 'strlen', 'strstrt', 'substr',
+ 'tan', 'tanh', 'timecolumn',
+ 'tm_hour', 'tm_mday', 'tm_min', 'tm_mon',
+ 'tm_sec', 'tm_wday', 'tm_yday', 'tm_year',
+ 'valid', 'word', 'words',
+ ),
+ 5 => array(
+ // mixed arguments
+ // there is no sane way to get these ones easily...
+ 'autofreq', 'x', 'y', 'z',
+ 'lt', 'linetype', 'lw', 'linewidth', 'ls', 'linestyle',
+ 'out', 'rotate by', 'screen',
+ 'enhanced', 'via',
+ // `help set key`
+ 'on', 'off', 'default', 'inside', 'outside', 'tmargin',
+ 'at', 'left', 'right', 'center', 'top', 'bottom', 'vertical', 'horizontal', 'Left', 'Right',
+ 'noreverse', 'reverse', 'noinvert', 'invert', 'samplen', 'spacing', 'width', 'height',
+ 'noautotitle', 'autotitle', 'noenhanced', 'nobox', 'box',
+
+ // help set terminal postscript
+ 'landscape', 'portrait', 'eps', 'defaultplex', 'simplex', 'duplex',
+ 'fontfile', 'add', 'delete', 'nofontfiles', 'level1', 'leveldefault',
+ 'color', 'colour', 'monochrome', 'solid', 'dashed', 'dashlength', 'dl',
+ 'rounded', 'butt', 'palfuncparam', 'blacktext', 'colortext', 'colourtext',
+ 'font',
+
+ // help set terminal png
+ 'notransparent', 'transparent', 'nointerlace', 'interlace',
+ 'notruecolor', 'truecolor', 'tiny', 'small', 'medium', 'large', 'giant',
+ 'nocrop', 'crop',
+
+ // `help plot`
+ 'acsplines', 'bezier', 'binary', 'csplines',
+ 'every',
+ 'example', 'frequency', 'index', 'matrix',
+ 'ranges', 'sbezier', 'smooth',
+ 'special-filenames', 'thru',
+ 'unique', 'using', 'with',
+
+ // `help plotting styles`
+ 'boxerrorbars', 'boxes', 'boxxyerrorbars', 'candlesticks',
+ 'dots', 'errorbars', 'errorlines', 'filledcurves',
+ 'financebars', 'fsteps', 'histeps', 'histograms',
+ 'image', 'impulses', 'labels', 'lines',
+ 'linespoints', 'points', 'rgbimage', 'steps',
+ 'vectors', 'xerrorbars', 'xerrorlines', 'xyerrorbars',
+ 'xyerrorlines', 'yerrorbars', 'yerrorlines',
+
+
+ // terminals `help terminals`
+ 'aed512', 'aed767', 'aifm', 'bitgraph',
+ 'cgm', 'corel', 'dumb', 'dxf',
+ 'eepic', 'emf', 'emtex', 'epslatex',
+ 'epson-180dpi', 'epson-60dpi', 'epson-lx800', 'fig',
+ 'gif', 'gpic', 'hp2623a', 'hp2648',
+ 'hp500c', 'hpdj', 'hpgl', 'hpljii',
+ 'hppj', 'imagen', 'jpeg', 'kc-tek40xx',
+ 'km-tek40xx', 'latex', 'mf', 'mif',
+ 'mp', 'nec-cp6', 'okidata', 'pbm',
+ 'pcl5', 'png', 'pop', 'postscript',
+ 'pslatex', 'pstex', 'pstricks', 'push',
+ 'qms', 'regis', 'selanar', 'starc',
+ 'svg', 'tandy-60dpi', 'tek40xx', 'tek410x',
+ 'texdraw', 'tgif', 'tkcanvas', 'tpic',
+ 'vttek', 'x11', 'xlib',
+ )
+ ),
+ 'REGEXPS' => array(
+ //Variable assignment
+ 0 => "(?<![?;>\w])([a-zA-Z_][a-zA-Z0-9_]*)\s*=",
+ //Numbers with unit
+ 1 => "(?<=^|\s)([0-9]*\.?[0-9]+\s*cm)"
+ ),
+ 'SYMBOLS' => array(
+ '-', '+', '~', '!', '$',
+ '*', '/', '%', '=', '<', '>', '&',
+ '^', '|', '.', 'eq', 'ne', '?:', ':', '`', ','
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => true,
+ 2 => true,
+ 3 => true,
+ 4 => true,
+ 5 => true
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #b1b100;',
+ 2 => 'color: #990000;',
+ 3 => 'color: #550000;',
+ 4 => 'color: #7a0874;',
+ 5 => 'color: #448888;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #adadad; font-style: italic;',
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight:bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #000099; font-weight:bold;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #0000ff;',
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #000; font-weight: bold;'
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #007800;',
+ 1 => 'color: #cc66cc;'
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => 'http://www.google.com/search?q=%22set+{FNAME}%22+site%3Ahttp%3A%2F%2Fwww.gnuplot.info%2Fdocs%2F&amp;btnI=lucky',
+ 3 => '',
+ 4 => '',
+ 5 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'PARSER_CONTROL' => array(
+ 'KEYWORDS' => array(
+ 4 => array(
+ 'DISALLOWED_AFTER' => "(?![\.\-a-zA-Z0-9_%])"
+ )
+ )
+ ),
+ 'TAB_WIDTH' => 4
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/groovy.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/groovy.php
new file mode 100644
index 000000000..7db153c75
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/groovy.php
@@ -0,0 +1,1011 @@
+<?php
+/*************************************************************************************
+ * groovy.php
+ * ----------
+ * Author: Ivan F. Villanueva B. (geshi_groovy@artificialidea.com)
+ * Copyright: (c) 2006 Ivan F. Villanueva B.(http://www.artificialidea.com)
+ * Release Version: 1.0.8.4
+ * Date Started: 2006/04/29
+ *
+ * Groovy language file for GeSHi.
+ *
+ * Keywords from http: http://docs.codehaus.org/download/attachments/2715/groovy-reference-card.pdf?version=1
+ *
+ * CHANGES
+ * -------
+ * 2008/05/23 (1.0.7.22)
+ * - Added description of extra language features (SF#1970248)
+ * 2006/04/29 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2006/04/29)
+ * -------------------------
+ * Testing
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Groovy',
+ 'COMMENT_SINGLE' => array(1 => '//', 3 => '#'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'COMMENT_REGEXP' => array(
+ //Import and Package directives (Basic Support only)
+ 2 => '/(?:(?<=import[\\n\\s])|(?<=package[\\n\\s]))[\\n\\s]*([a-zA-Z0-9_]+\\.)*([a-zA-Z0-9_]+|\*)(?=[\n\s;])/i',
+ ),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'''", '"""', "'", '"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'case', 'do', 'else', 'for', 'foreach', 'if', 'in', 'switch',
+ 'while',
+ ),
+ 2 => array(
+ 'abstract', 'as', 'assert', 'break', 'catch', 'class', 'const',
+ 'continue', 'def', 'default', 'enum', 'extends',
+ 'false', 'final', 'finally', 'goto', 'implements', 'import',
+ 'instanceof', 'interface', 'native', 'new', 'null',
+ 'package', 'private', 'property', 'protected',
+ 'public', 'return', 'static', 'strictfp', 'super',
+ 'synchronized', 'this', 'throw', 'throws',
+ 'transient', 'true', 'try', 'volatile'
+ ),
+ 3 => array(
+ 'AbstractAction', 'AbstractBorder', 'AbstractButton',
+ 'AbstractCellEditor', 'AbstractCollection',
+ 'AbstractColorChooserPanel', 'AbstractDocument',
+ 'AbstractDocument.AttributeContext',
+ 'AbstractDocument.Content',
+ 'AbstractDocument.ElementEdit',
+ 'AbstractLayoutCache',
+ 'AbstractLayoutCache.NodeDimensions', 'AbstractList',
+ 'AbstractListModel', 'AbstractMap',
+ 'AbstractMethodError', 'AbstractSequentialList',
+ 'AbstractSet', 'AbstractTableModel',
+ 'AbstractUndoableEdit', 'AbstractWriter',
+ 'AccessControlContext', 'AccessControlException',
+ 'AccessController', 'AccessException', 'Accessible',
+ 'AccessibleAction', 'AccessibleBundle',
+ 'AccessibleComponent', 'AccessibleContext',
+ 'AccessibleHyperlink', 'AccessibleHypertext',
+ 'AccessibleIcon', 'AccessibleObject',
+ 'AccessibleRelation', 'AccessibleRelationSet',
+ 'AccessibleResourceBundle', 'AccessibleRole',
+ 'AccessibleSelection', 'AccessibleState',
+ 'AccessibleStateSet', 'AccessibleTable',
+ 'AccessibleTableModelChange', 'AccessibleText',
+ 'AccessibleValue', 'Acl', 'AclEntry',
+ 'AclNotFoundException', 'Action', 'ActionEvent',
+ 'ActionListener', 'ActionMap', 'ActionMapUIResource',
+ 'Activatable', 'ActivateFailedException',
+ 'ActivationDesc', 'ActivationException',
+ 'ActivationGroup', 'ActivationGroupDesc',
+ 'ActivationGroupDesc.CommandEnvironment',
+ 'ActivationGroupID', 'ActivationID',
+ 'ActivationInstantiator', 'ActivationMonitor',
+ 'ActivationSystem', 'Activator', 'ActiveEvent',
+ 'Adjustable', 'AdjustmentEvent',
+ 'AdjustmentListener', 'Adler32', 'AffineTransform',
+ 'AffineTransformOp', 'AlgorithmParameterGenerator',
+ 'AlgorithmParameterGeneratorSpi',
+ 'AlgorithmParameters', 'AlgorithmParameterSpec',
+ 'AlgorithmParametersSpi', 'AllPermission',
+ 'AlphaComposite', 'AlreadyBound',
+ 'AlreadyBoundException', 'AlreadyBoundHelper',
+ 'AlreadyBoundHolder', 'AncestorEvent',
+ 'AncestorListener', 'Annotation', 'Any', 'AnyHolder',
+ 'AnySeqHelper', 'AnySeqHolder', 'Applet',
+ 'AppletContext', 'AppletInitializer', 'AppletStub',
+ 'ApplicationException', 'Arc2D', 'Arc2D.Double',
+ 'Arc2D.Float', 'Area', 'AreaAveragingScaleFilter',
+ 'ARG_IN', 'ARG_INOUT', 'ARG_OUT',
+ 'ArithmeticException', 'Array',
+ 'ArrayIndexOutOfBoundsException', 'ArrayList',
+ 'Arrays', 'ArrayStoreException', 'AsyncBoxView',
+ 'Attribute', 'AttributedCharacterIterator',
+ 'AttributedCharacterIterator.Attribute',
+ 'AttributedString', 'AttributeInUseException',
+ 'AttributeList', 'AttributeModificationException',
+ 'Attributes', 'Attributes.Name', 'AttributeSet',
+ 'AttributeSet.CharacterAttribute',
+ 'AttributeSet.ColorAttribute',
+ 'AttributeSet.FontAttribute',
+ 'AttributeSet.ParagraphAttribute', 'AudioClip',
+ 'AudioFileFormat', 'AudioFileFormat.Type',
+ 'AudioFileReader', 'AudioFileWriter', 'AudioFormat',
+ 'AudioFormat.Encoding', 'AudioInputStream',
+ 'AudioPermission', 'AudioSystem',
+ 'AuthenticationException',
+ 'AuthenticationNotSupportedException',
+ 'Authenticator', 'Autoscroll', 'AWTError',
+ 'AWTEvent', 'AWTEventListener',
+ 'AWTEventMulticaster', 'AWTException',
+ 'AWTPermission', 'BadKind', 'BadLocationException',
+ 'BAD_CONTEXT', 'BAD_INV_ORDER', 'BAD_OPERATION',
+ 'BAD_PARAM', 'BAD_POLICY', 'BAD_POLICY_TYPE',
+ 'BAD_POLICY_VALUE', 'BAD_TYPECODE', 'BandCombineOp',
+ 'BandedSampleModel', 'BasicArrowButton',
+ 'BasicAttribute', 'BasicAttributes', 'BasicBorders',
+ 'BasicBorders.ButtonBorder',
+ 'BasicBorders.FieldBorder',
+ 'BasicBorders.MarginBorder',
+ 'BasicBorders.MenuBarBorder',
+ 'BasicBorders.RadioButtonBorder',
+ 'BasicBorders.SplitPaneBorder',
+ 'BasicBorders.ToggleButtonBorder',
+ 'BasicButtonListener', 'BasicButtonUI',
+ 'BasicCheckBoxMenuItemUI', 'BasicCheckBoxUI',
+ 'BasicColorChooserUI', 'BasicComboBoxEditor',
+ 'BasicComboBoxEditor.UIResource',
+ 'BasicComboBoxRenderer',
+ 'BasicComboBoxRenderer.UIResource',
+ 'BasicComboBoxUI', 'BasicComboPopup',
+ 'BasicDesktopIconUI', 'BasicDesktopPaneUI',
+ 'BasicDirectoryModel', 'BasicEditorPaneUI',
+ 'BasicFileChooserUI', 'BasicGraphicsUtils',
+ 'BasicHTML', 'BasicIconFactory',
+ 'BasicInternalFrameTitlePane',
+ 'BasicInternalFrameUI', 'BasicLabelUI',
+ 'BasicListUI', 'BasicLookAndFeel', 'BasicMenuBarUI',
+ 'BasicMenuItemUI', 'BasicMenuUI',
+ 'BasicOptionPaneUI',
+ 'BasicOptionPaneUI.ButtonAreaLayout', 'BasicPanelUI',
+ 'BasicPasswordFieldUI', 'BasicPermission',
+ 'BasicPopupMenuSeparatorUI', 'BasicPopupMenuUI',
+ 'BasicProgressBarUI', 'BasicRadioButtonMenuItemUI',
+ 'BasicRadioButtonUI', 'BasicRootPaneUI',
+ 'BasicScrollBarUI', 'BasicScrollPaneUI',
+ 'BasicSeparatorUI', 'BasicSliderUI',
+ 'BasicSplitPaneDivider', 'BasicSplitPaneUI',
+ 'BasicStroke', 'BasicTabbedPaneUI',
+ 'BasicTableHeaderUI', 'BasicTableUI',
+ 'BasicTextAreaUI', 'BasicTextFieldUI',
+ 'BasicTextPaneUI', 'BasicTextUI',
+ 'BasicTextUI.BasicCaret',
+ 'BasicTextUI.BasicHighlighter',
+ 'BasicToggleButtonUI', 'BasicToolBarSeparatorUI',
+ 'BasicToolBarUI', 'BasicToolTipUI', 'BasicTreeUI',
+ 'BasicViewportUI', 'BatchUpdateException',
+ 'BeanContext', 'BeanContextChild',
+ 'BeanContextChildComponentProxy',
+ 'BeanContextChildSupport',
+ 'BeanContextContainerProxy', 'BeanContextEvent',
+ 'BeanContextMembershipEvent',
+ 'BeanContextMembershipListener', 'BeanContextProxy',
+ 'BeanContextServiceAvailableEvent',
+ 'BeanContextServiceProvider',
+ 'BeanContextServiceProviderBeanInfo',
+ 'BeanContextServiceRevokedEvent',
+ 'BeanContextServiceRevokedListener',
+ 'BeanContextServices', 'BeanContextServicesListener',
+ 'BeanContextServicesSupport',
+ 'BeanContextServicesSupport.BCSSServiceProvider',
+ 'BeanContextSupport',
+ 'BeanContextSupport.BCSIterator', 'BeanDescriptor',
+ 'BeanInfo', 'Beans', 'BevelBorder', 'BigDecimal',
+ 'BigInteger', 'BinaryRefAddr', 'BindException',
+ 'Binding', 'BindingHelper', 'BindingHolder',
+ 'BindingIterator', 'BindingIteratorHelper',
+ 'BindingIteratorHolder', 'BindingIteratorOperations',
+ 'BindingListHelper', 'BindingListHolder',
+ 'BindingType', 'BindingTypeHelper',
+ 'BindingTypeHolder', 'BitSet', 'Blob', 'BlockView',
+ 'Book', 'Boolean', 'BooleanControl',
+ 'BooleanControl.Type', 'BooleanHolder',
+ 'BooleanSeqHelper', 'BooleanSeqHolder', 'Border',
+ 'BorderFactory', 'BorderLayout', 'BorderUIResource',
+ 'BorderUIResource.BevelBorderUIResource',
+ 'BorderUIResource.CompoundBorderUIResource',
+ 'BorderUIResource.EmptyBorderUIResource',
+ 'BorderUIResource.EtchedBorderUIResource',
+ 'BorderUIResource.LineBorderUIResource',
+ 'BorderUIResource.MatteBorderUIResource',
+ 'BorderUIResource.TitledBorderUIResource',
+ 'BoundedRangeModel', 'Bounds', 'Box', 'Box.Filler',
+ 'BoxedValueHelper', 'BoxLayout', 'BoxView',
+ 'BreakIterator', 'BufferedImage',
+ 'BufferedImageFilter', 'BufferedImageOp',
+ 'BufferedInputStream', 'BufferedOutputStream',
+ 'BufferedReader', 'BufferedWriter', 'Button',
+ 'ButtonGroup', 'ButtonModel', 'ButtonUI', 'Byte',
+ 'ByteArrayInputStream', 'ByteArrayOutputStream',
+ 'ByteHolder', 'ByteLookupTable', 'Calendar',
+ 'CallableStatement', 'CannotProceed',
+ 'CannotProceedException', 'CannotProceedHelper',
+ 'CannotProceedHolder', 'CannotRedoException',
+ 'CannotUndoException', 'Canvas', 'CardLayout',
+ 'Caret', 'CaretEvent', 'CaretListener', 'CellEditor',
+ 'CellEditorListener', 'CellRendererPane',
+ 'Certificate', 'Certificate.CertificateRep',
+ 'CertificateEncodingException',
+ 'CertificateException',
+ 'CertificateExpiredException', 'CertificateFactory',
+ 'CertificateFactorySpi',
+ 'CertificateNotYetValidException',
+ 'CertificateParsingException',
+ 'ChangedCharSetException', 'ChangeEvent',
+ 'ChangeListener', 'Character', 'Character.Subset',
+ 'Character.UnicodeBlock', 'CharacterIterator',
+ 'CharArrayReader', 'CharArrayWriter',
+ 'CharConversionException', 'CharHolder',
+ 'CharSeqHelper', 'CharSeqHolder', 'Checkbox',
+ 'CheckboxGroup', 'CheckboxMenuItem',
+ 'CheckedInputStream', 'CheckedOutputStream',
+ 'Checksum', 'Choice', 'ChoiceFormat', 'Class',
+ 'ClassCastException', 'ClassCircularityError',
+ 'ClassDesc', 'ClassFormatError', 'ClassLoader',
+ 'ClassNotFoundException', 'Clip', 'Clipboard',
+ 'ClipboardOwner', 'Clob', 'Cloneable',
+ 'CloneNotSupportedException', 'CMMException',
+ 'CodeSource', 'CollationElementIterator',
+ 'CollationKey', 'Collator', 'Collection',
+ 'Collections', 'Color',
+ 'ColorChooserComponentFactory', 'ColorChooserUI',
+ 'ColorConvertOp', 'ColorModel',
+ 'ColorSelectionModel', 'ColorSpace',
+ 'ColorUIResource', 'ComboBoxEditor', 'ComboBoxModel',
+ 'ComboBoxUI', 'ComboPopup', 'CommunicationException',
+ 'COMM_FAILURE', 'Comparable', 'Comparator',
+ 'Compiler', 'CompletionStatus',
+ 'CompletionStatusHelper', 'Component',
+ 'ComponentAdapter', 'ComponentColorModel',
+ 'ComponentEvent', 'ComponentInputMap',
+ 'ComponentInputMapUIResource', 'ComponentListener',
+ 'ComponentOrientation', 'ComponentSampleModel',
+ 'ComponentUI', 'ComponentView', 'Composite',
+ 'CompositeContext', 'CompositeName', 'CompositeView',
+ 'CompoundBorder', 'CompoundControl',
+ 'CompoundControl.Type', 'CompoundEdit',
+ 'CompoundName', 'ConcurrentModificationException',
+ 'ConfigurationException', 'ConnectException',
+ 'ConnectIOException', 'Connection', 'Constructor',
+ 'Container', 'ContainerAdapter', 'ContainerEvent',
+ 'ContainerListener', 'ContentHandler',
+ 'ContentHandlerFactory', 'ContentModel', 'Context',
+ 'ContextList', 'ContextNotEmptyException',
+ 'ContextualRenderedImageFactory', 'Control',
+ 'Control.Type', 'ControlFactory',
+ 'ControllerEventListener', 'ConvolveOp', 'CRC32',
+ 'CRL', 'CRLException', 'CropImageFilter', 'CSS',
+ 'CSS.Attribute', 'CTX_RESTRICT_SCOPE',
+ 'CubicCurve2D', 'CubicCurve2D.Double',
+ 'CubicCurve2D.Float', 'Current', 'CurrentHelper',
+ 'CurrentHolder', 'CurrentOperations', 'Cursor',
+ 'Customizer', 'CustomMarshal', 'CustomValue',
+ 'DatabaseMetaData', 'DataBuffer', 'DataBufferByte',
+ 'DataBufferInt', 'DataBufferShort',
+ 'DataBufferUShort', 'DataFlavor',
+ 'DataFormatException', 'DatagramPacket',
+ 'DatagramSocket', 'DatagramSocketImpl',
+ 'DatagramSocketImplFactory', 'DataInput',
+ 'DataInputStream', 'DataLine', 'DataLine.Info',
+ 'DataOutput', 'DataOutputStream', 'DataTruncation',
+ 'DATA_CONVERSION', 'Date', 'DateFormat',
+ 'DateFormatSymbols', 'DebugGraphics',
+ 'DecimalFormat', 'DecimalFormatSymbols',
+ 'DefaultBoundedRangeModel', 'DefaultButtonModel',
+ 'DefaultCaret', 'DefaultCellEditor',
+ 'DefaultColorSelectionModel', 'DefaultComboBoxModel',
+ 'DefaultDesktopManager', 'DefaultEditorKit',
+ 'DefaultEditorKit.BeepAction',
+ 'DefaultEditorKit.CopyAction',
+ 'DefaultEditorKit.CutAction',
+ 'DefaultEditorKit.DefaultKeyTypedAction',
+ 'DefaultEditorKit.InsertBreakAction',
+ 'DefaultEditorKit.InsertContentAction',
+ 'DefaultEditorKit.InsertTabAction',
+ 'DefaultEditorKit.PasteAction,',
+ 'DefaultFocusManager', 'DefaultHighlighter',
+ 'DefaultHighlighter.DefaultHighlightPainter',
+ 'DefaultListCellRenderer',
+ 'DefaultListCellRenderer.UIResource',
+ 'DefaultListModel', 'DefaultListSelectionModel',
+ 'DefaultMenuLayout', 'DefaultMetalTheme',
+ 'DefaultMutableTreeNode',
+ 'DefaultSingleSelectionModel',
+ 'DefaultStyledDocument',
+ 'DefaultStyledDocument.AttributeUndoableEdit',
+ 'DefaultStyledDocument.ElementSpec',
+ 'DefaultTableCellRenderer',
+ 'DefaultTableCellRenderer.UIResource',
+ 'DefaultTableColumnModel', 'DefaultTableModel',
+ 'DefaultTextUI', 'DefaultTreeCellEditor',
+ 'DefaultTreeCellRenderer', 'DefaultTreeModel',
+ 'DefaultTreeSelectionModel', 'DefinitionKind',
+ 'DefinitionKindHelper', 'Deflater',
+ 'DeflaterOutputStream', 'Delegate', 'DesignMode',
+ 'DesktopIconUI', 'DesktopManager', 'DesktopPaneUI',
+ 'DGC', 'Dialog', 'Dictionary', 'DigestException',
+ 'DigestInputStream', 'DigestOutputStream',
+ 'Dimension', 'Dimension2D', 'DimensionUIResource',
+ 'DirContext', 'DirectColorModel', 'DirectoryManager',
+ 'DirObjectFactory', 'DirStateFactory',
+ 'DirStateFactory.Result', 'DnDConstants', 'Document',
+ 'DocumentEvent', 'DocumentEvent.ElementChange',
+ 'DocumentEvent.EventType', 'DocumentListener',
+ 'DocumentParser', 'DomainCombiner', 'DomainManager',
+ 'DomainManagerOperations', 'Double', 'DoubleHolder',
+ 'DoubleSeqHelper', 'DoubleSeqHolder',
+ 'DragGestureEvent', 'DragGestureListener',
+ 'DragGestureRecognizer', 'DragSource',
+ 'DragSourceContext', 'DragSourceDragEvent',
+ 'DragSourceDropEvent', 'DragSourceEvent',
+ 'DragSourceListener', 'Driver', 'DriverManager',
+ 'DriverPropertyInfo', 'DropTarget',
+ 'DropTarget.DropTargetAutoScroller',
+ 'DropTargetContext', 'DropTargetDragEvent',
+ 'DropTargetDropEvent', 'DropTargetEvent',
+ 'DropTargetListener', 'DSAKey',
+ 'DSAKeyPairGenerator', 'DSAParameterSpec',
+ 'DSAParams', 'DSAPrivateKey', 'DSAPrivateKeySpec',
+ 'DSAPublicKey', 'DSAPublicKeySpec', 'DTD',
+ 'DTDConstants', 'DynamicImplementation', 'DynAny',
+ 'DynArray', 'DynEnum', 'DynFixed', 'DynSequence',
+ 'DynStruct', 'DynUnion', 'DynValue', 'EditorKit',
+ 'Element', 'ElementIterator', 'Ellipse2D',
+ 'Ellipse2D.Double', 'Ellipse2D.Float', 'EmptyBorder',
+ 'EmptyStackException', 'EncodedKeySpec', 'Entity',
+ 'EnumControl', 'EnumControl.Type', 'Enumeration',
+ 'Environment', 'EOFException', 'Error',
+ 'EtchedBorder', 'Event', 'EventContext',
+ 'EventDirContext', 'EventListener',
+ 'EventListenerList', 'EventObject', 'EventQueue',
+ 'EventSetDescriptor', 'Exception',
+ 'ExceptionInInitializerError', 'ExceptionList',
+ 'ExpandVetoException', 'ExportException',
+ 'ExtendedRequest', 'ExtendedResponse',
+ 'Externalizable', 'FeatureDescriptor', 'Field',
+ 'FieldNameHelper', 'FieldPosition', 'FieldView',
+ 'File', 'FileChooserUI', 'FileDescriptor',
+ 'FileDialog', 'FileFilter', 'FileInputStream',
+ 'FilenameFilter', 'FileNameMap',
+ 'FileNotFoundException', 'FileOutputStream',
+ 'FilePermission', 'FileReader', 'FileSystemView',
+ 'FileView', 'FileWriter', 'FilteredImageSource',
+ 'FilterInputStream', 'FilterOutputStream',
+ 'FilterReader', 'FilterWriter',
+ 'FixedHeightLayoutCache', 'FixedHolder',
+ 'FlatteningPathIterator', 'FlavorMap', 'Float',
+ 'FloatControl', 'FloatControl.Type', 'FloatHolder',
+ 'FloatSeqHelper', 'FloatSeqHolder', 'FlowLayout',
+ 'FlowView', 'FlowView.FlowStrategy', 'FocusAdapter',
+ 'FocusEvent', 'FocusListener', 'FocusManager',
+ 'Font', 'FontFormatException', 'FontMetrics',
+ 'FontRenderContext', 'FontUIResource', 'Format',
+ 'FormatConversionProvider', 'FormView', 'Frame',
+ 'FREE_MEM', 'GapContent', 'GeneralPath',
+ 'GeneralSecurityException', 'GlyphJustificationInfo',
+ 'GlyphMetrics', 'GlyphVector', 'GlyphView',
+ 'GlyphView.GlyphPainter', 'GradientPaint',
+ 'GraphicAttribute', 'Graphics', 'Graphics2D',
+ 'GraphicsConfigTemplate', 'GraphicsConfiguration',
+ 'GraphicsDevice', 'GraphicsEnvironment',
+ 'GrayFilter', 'GregorianCalendar',
+ 'GridBagConstraints', 'GridBagLayout', 'GridLayout',
+ 'Group', 'Guard', 'GuardedObject', 'GZIPInputStream',
+ 'GZIPOutputStream', 'HasControls', 'HashMap',
+ 'HashSet', 'Hashtable', 'HierarchyBoundsAdapter',
+ 'HierarchyBoundsListener', 'HierarchyEvent',
+ 'HierarchyListener', 'Highlighter',
+ 'Highlighter.Highlight',
+ 'Highlighter.HighlightPainter', 'HTML',
+ 'HTML.Attribute', 'HTML.Tag', 'HTML.UnknownTag',
+ 'HTMLDocument', 'HTMLDocument.Iterator',
+ 'HTMLEditorKit', 'HTMLEditorKit.HTMLFactory',
+ 'HTMLEditorKit.HTMLTextAction',
+ 'HTMLEditorKit.InsertHTMLTextAction',
+ 'HTMLEditorKit.LinkController',
+ 'HTMLEditorKit.Parser',
+ 'HTMLEditorKit.ParserCallback',
+ 'HTMLFrameHyperlinkEvent', 'HTMLWriter',
+ 'HttpURLConnection', 'HyperlinkEvent',
+ 'HyperlinkEvent.EventType', 'HyperlinkListener',
+ 'ICC_ColorSpace', 'ICC_Profile', 'ICC_ProfileGray',
+ 'ICC_ProfileRGB', 'Icon', 'IconUIResource',
+ 'IconView', 'IdentifierHelper', 'Identity',
+ 'IdentityScope', 'IDLEntity', 'IDLType',
+ 'IDLTypeHelper', 'IDLTypeOperations',
+ 'IllegalAccessError', 'IllegalAccessException',
+ 'IllegalArgumentException',
+ 'IllegalComponentStateException',
+ 'IllegalMonitorStateException',
+ 'IllegalPathStateException', 'IllegalStateException',
+ 'IllegalThreadStateException', 'Image',
+ 'ImageConsumer', 'ImageFilter',
+ 'ImageGraphicAttribute', 'ImageIcon',
+ 'ImageObserver', 'ImageProducer',
+ 'ImagingOpException', 'IMP_LIMIT',
+ 'IncompatibleClassChangeError',
+ 'InconsistentTypeCode', 'IndexColorModel',
+ 'IndexedPropertyDescriptor',
+ 'IndexOutOfBoundsException', 'IndirectionException',
+ 'InetAddress', 'Inflater', 'InflaterInputStream',
+ 'InheritableThreadLocal', 'InitialContext',
+ 'InitialContextFactory',
+ 'InitialContextFactoryBuilder', 'InitialDirContext',
+ 'INITIALIZE', 'Initializer', 'InitialLdapContext',
+ 'InlineView', 'InputContext', 'InputEvent',
+ 'InputMap', 'InputMapUIResource', 'InputMethod',
+ 'InputMethodContext', 'InputMethodDescriptor',
+ 'InputMethodEvent', 'InputMethodHighlight',
+ 'InputMethodListener', 'InputMethodRequests',
+ 'InputStream', 'InputStreamReader', 'InputSubset',
+ 'InputVerifier', 'Insets', 'InsetsUIResource',
+ 'InstantiationError', 'InstantiationException',
+ 'Instrument', 'InsufficientResourcesException',
+ 'Integer', 'INTERNAL', 'InternalError',
+ 'InternalFrameAdapter', 'InternalFrameEvent',
+ 'InternalFrameListener', 'InternalFrameUI',
+ 'InterruptedException', 'InterruptedIOException',
+ 'InterruptedNamingException', 'INTF_REPOS',
+ 'IntHolder', 'IntrospectionException',
+ 'Introspector', 'Invalid',
+ 'InvalidAlgorithmParameterException',
+ 'InvalidAttributeIdentifierException',
+ 'InvalidAttributesException',
+ 'InvalidAttributeValueException',
+ 'InvalidClassException',
+ 'InvalidDnDOperationException',
+ 'InvalidKeyException', 'InvalidKeySpecException',
+ 'InvalidMidiDataException', 'InvalidName',
+ 'InvalidNameException', 'InvalidNameHelper',
+ 'InvalidNameHolder', 'InvalidObjectException',
+ 'InvalidParameterException',
+ 'InvalidParameterSpecException',
+ 'InvalidSearchControlsException',
+ 'InvalidSearchFilterException', 'InvalidSeq',
+ 'InvalidTransactionException', 'InvalidValue',
+ 'INVALID_TRANSACTION', 'InvocationEvent',
+ 'InvocationHandler', 'InvocationTargetException',
+ 'InvokeHandler', 'INV_FLAG', 'INV_IDENT',
+ 'INV_OBJREF', 'INV_POLICY', 'IOException',
+ 'IRObject', 'IRObjectOperations', 'IstringHelper',
+ 'ItemEvent', 'ItemListener', 'ItemSelectable',
+ 'Iterator', 'JApplet', 'JarEntry', 'JarException',
+ 'JarFile', 'JarInputStream', 'JarOutputStream',
+ 'JarURLConnection', 'JButton', 'JCheckBox',
+ 'JCheckBoxMenuItem', 'JColorChooser', 'JComboBox',
+ 'JComboBox.KeySelectionManager', 'JComponent',
+ 'JDesktopPane', 'JDialog', 'JEditorPane',
+ 'JFileChooser', 'JFrame', 'JInternalFrame',
+ 'JInternalFrame.JDesktopIcon', 'JLabel',
+ 'JLayeredPane', 'JList', 'JMenu', 'JMenuBar',
+ 'JMenuItem', 'JobAttributes',
+ 'JobAttributes.DefaultSelectionType',
+ 'JobAttributes.DestinationType',
+ 'JobAttributes.DialogType',
+ 'JobAttributes.MultipleDocumentHandlingType',
+ 'JobAttributes.SidesType', 'JOptionPane', 'JPanel',
+ 'JPasswordField', 'JPopupMenu',
+ 'JPopupMenu.Separator', 'JProgressBar',
+ 'JRadioButton', 'JRadioButtonMenuItem', 'JRootPane',
+ 'JScrollBar', 'JScrollPane', 'JSeparator', 'JSlider',
+ 'JSplitPane', 'JTabbedPane', 'JTable',
+ 'JTableHeader', 'JTextArea', 'JTextComponent',
+ 'JTextComponent.KeyBinding', 'JTextField',
+ 'JTextPane', 'JToggleButton',
+ 'JToggleButton.ToggleButtonModel', 'JToolBar',
+ 'JToolBar.Separator', 'JToolTip', 'JTree',
+ 'JTree.DynamicUtilTreeNode',
+ 'JTree.EmptySelectionModel', 'JViewport', 'JWindow',
+ 'Kernel', 'Key', 'KeyAdapter', 'KeyEvent',
+ 'KeyException', 'KeyFactory', 'KeyFactorySpi',
+ 'KeyListener', 'KeyManagementException', 'Keymap',
+ 'KeyPair', 'KeyPairGenerator', 'KeyPairGeneratorSpi',
+ 'KeySpec', 'KeyStore', 'KeyStoreException',
+ 'KeyStoreSpi', 'KeyStroke', 'Label', 'LabelUI',
+ 'LabelView', 'LastOwnerException',
+ 'LayeredHighlighter',
+ 'LayeredHighlighter.LayerPainter', 'LayoutManager',
+ 'LayoutManager2', 'LayoutQueue', 'LdapContext',
+ 'LdapReferralException', 'Lease',
+ 'LimitExceededException', 'Line', 'Line.Info',
+ 'Line2D', 'Line2D.Double', 'Line2D.Float',
+ 'LineBorder', 'LineBreakMeasurer', 'LineEvent',
+ 'LineEvent.Type', 'LineListener', 'LineMetrics',
+ 'LineNumberInputStream', 'LineNumberReader',
+ 'LineUnavailableException', 'LinkageError',
+ 'LinkedList', 'LinkException', 'LinkLoopException',
+ 'LinkRef', 'List', 'ListCellRenderer',
+ 'ListDataEvent', 'ListDataListener', 'ListIterator',
+ 'ListModel', 'ListResourceBundle',
+ 'ListSelectionEvent', 'ListSelectionListener',
+ 'ListSelectionModel', 'ListUI', 'ListView',
+ 'LoaderHandler', 'Locale', 'LocateRegistry',
+ 'LogStream', 'Long', 'LongHolder',
+ 'LongLongSeqHelper', 'LongLongSeqHolder',
+ 'LongSeqHelper', 'LongSeqHolder', 'LookAndFeel',
+ 'LookupOp', 'LookupTable', 'MalformedLinkException',
+ 'MalformedURLException', 'Manifest', 'Map',
+ 'Map.Entry', 'MARSHAL', 'MarshalException',
+ 'MarshalledObject', 'Math', 'MatteBorder',
+ 'MediaTracker', 'Member', 'MemoryImageSource',
+ 'Menu', 'MenuBar', 'MenuBarUI', 'MenuComponent',
+ 'MenuContainer', 'MenuDragMouseEvent',
+ 'MenuDragMouseListener', 'MenuElement', 'MenuEvent',
+ 'MenuItem', 'MenuItemUI', 'MenuKeyEvent',
+ 'MenuKeyListener', 'MenuListener',
+ 'MenuSelectionManager', 'MenuShortcut',
+ 'MessageDigest', 'MessageDigestSpi', 'MessageFormat',
+ 'MetaEventListener', 'MetalBorders',
+ 'MetalBorders.ButtonBorder',
+ 'MetalBorders.Flush3DBorder',
+ 'MetalBorders.InternalFrameBorder',
+ 'MetalBorders.MenuBarBorder',
+ 'MetalBorders.MenuItemBorder',
+ 'MetalBorders.OptionDialogBorder',
+ 'MetalBorders.PaletteBorder',
+ 'MetalBorders.PopupMenuBorder',
+ 'MetalBorders.RolloverButtonBorder',
+ 'MetalBorders.ScrollPaneBorder',
+ 'MetalBorders.TableHeaderBorder',
+ 'MetalBorders.TextFieldBorder',
+ 'MetalBorders.ToggleButtonBorder',
+ 'MetalBorders.ToolBarBorder', 'MetalButtonUI',
+ 'MetalCheckBoxIcon', 'MetalCheckBoxUI',
+ 'MetalComboBoxButton', 'MetalComboBoxEditor',
+ 'MetalComboBoxEditor.UIResource',
+ 'MetalComboBoxIcon', 'MetalComboBoxUI',
+ 'MetalDesktopIconUI', 'MetalFileChooserUI',
+ 'MetalIconFactory', 'MetalIconFactory.FileIcon16',
+ 'MetalIconFactory.FolderIcon16',
+ 'MetalIconFactory.PaletteCloseIcon',
+ 'MetalIconFactory.TreeControlIcon',
+ 'MetalIconFactory.TreeFolderIcon',
+ 'MetalIconFactory.TreeLeafIcon',
+ 'MetalInternalFrameTitlePane',
+ 'MetalInternalFrameUI', 'MetalLabelUI',
+ 'MetalLookAndFeel', 'MetalPopupMenuSeparatorUI',
+ 'MetalProgressBarUI', 'MetalRadioButtonUI',
+ 'MetalScrollBarUI', 'MetalScrollButton',
+ 'MetalScrollPaneUI', 'MetalSeparatorUI',
+ 'MetalSliderUI', 'MetalSplitPaneUI',
+ 'MetalTabbedPaneUI', 'MetalTextFieldUI',
+ 'MetalTheme', 'MetalToggleButtonUI',
+ 'MetalToolBarUI', 'MetalToolTipUI', 'MetalTreeUI',
+ 'MetaMessage', 'Method', 'MethodDescriptor',
+ 'MidiChannel', 'MidiDevice', 'MidiDevice.Info',
+ 'MidiDeviceProvider', 'MidiEvent', 'MidiFileFormat',
+ 'MidiFileReader', 'MidiFileWriter', 'MidiMessage',
+ 'MidiSystem', 'MidiUnavailableException',
+ 'MimeTypeParseException', 'MinimalHTMLWriter',
+ 'MissingResourceException', 'Mixer', 'Mixer.Info',
+ 'MixerProvider', 'ModificationItem', 'Modifier',
+ 'MouseAdapter', 'MouseDragGestureRecognizer',
+ 'MouseEvent', 'MouseInputAdapter',
+ 'MouseInputListener', 'MouseListener',
+ 'MouseMotionAdapter', 'MouseMotionListener',
+ 'MultiButtonUI', 'MulticastSocket',
+ 'MultiColorChooserUI', 'MultiComboBoxUI',
+ 'MultiDesktopIconUI', 'MultiDesktopPaneUI',
+ 'MultiFileChooserUI', 'MultiInternalFrameUI',
+ 'MultiLabelUI', 'MultiListUI', 'MultiLookAndFeel',
+ 'MultiMenuBarUI', 'MultiMenuItemUI',
+ 'MultiOptionPaneUI', 'MultiPanelUI',
+ 'MultiPixelPackedSampleModel', 'MultipleMaster',
+ 'MultiPopupMenuUI', 'MultiProgressBarUI',
+ 'MultiScrollBarUI', 'MultiScrollPaneUI',
+ 'MultiSeparatorUI', 'MultiSliderUI',
+ 'MultiSplitPaneUI', 'MultiTabbedPaneUI',
+ 'MultiTableHeaderUI', 'MultiTableUI', 'MultiTextUI',
+ 'MultiToolBarUI', 'MultiToolTipUI', 'MultiTreeUI',
+ 'MultiViewportUI', 'MutableAttributeSet',
+ 'MutableComboBoxModel', 'MutableTreeNode', 'Name',
+ 'NameAlreadyBoundException', 'NameClassPair',
+ 'NameComponent', 'NameComponentHelper',
+ 'NameComponentHolder', 'NamedValue', 'NameHelper',
+ 'NameHolder', 'NameNotFoundException', 'NameParser',
+ 'NamespaceChangeListener', 'NameValuePair',
+ 'NameValuePairHelper', 'Naming', 'NamingContext',
+ 'NamingContextHelper', 'NamingContextHolder',
+ 'NamingContextOperations', 'NamingEnumeration',
+ 'NamingEvent', 'NamingException',
+ 'NamingExceptionEvent', 'NamingListener',
+ 'NamingManager', 'NamingSecurityException',
+ 'NegativeArraySizeException', 'NetPermission',
+ 'NoClassDefFoundError', 'NoInitialContextException',
+ 'NoninvertibleTransformException',
+ 'NoPermissionException', 'NoRouteToHostException',
+ 'NoSuchAlgorithmException',
+ 'NoSuchAttributeException', 'NoSuchElementException',
+ 'NoSuchFieldError', 'NoSuchFieldException',
+ 'NoSuchMethodError', 'NoSuchMethodException',
+ 'NoSuchObjectException', 'NoSuchProviderException',
+ 'NotActiveException', 'NotBoundException',
+ 'NotContextException', 'NotEmpty', 'NotEmptyHelper',
+ 'NotEmptyHolder', 'NotFound', 'NotFoundHelper',
+ 'NotFoundHolder', 'NotFoundReason',
+ 'NotFoundReasonHelper', 'NotFoundReasonHolder',
+ 'NotOwnerException', 'NotSerializableException',
+ 'NO_IMPLEMENT', 'NO_MEMORY', 'NO_PERMISSION',
+ 'NO_RESOURCES', 'NO_RESPONSE',
+ 'NullPointerException', 'Number', 'NumberFormat',
+ 'NumberFormatException', 'NVList', 'Object',
+ 'ObjectChangeListener', 'ObjectFactory',
+ 'ObjectFactoryBuilder', 'ObjectHelper',
+ 'ObjectHolder', 'ObjectImpl', 'ObjectInput',
+ 'ObjectInputStream', 'ObjectInputStream.GetField',
+ 'ObjectInputValidation', 'ObjectOutput',
+ 'ObjectOutputStream', 'ObjectOutputStream.PutField',
+ 'ObjectStreamClass', 'ObjectStreamConstants',
+ 'ObjectStreamException', 'ObjectStreamField',
+ 'ObjectView', 'OBJECT_NOT_EXIST', 'ObjID',
+ 'OBJ_ADAPTER', 'Observable', 'Observer',
+ 'OctetSeqHelper', 'OctetSeqHolder', 'OMGVMCID',
+ 'OpenType', 'Operation',
+ 'OperationNotSupportedException', 'Option',
+ 'OptionalDataException', 'OptionPaneUI', 'ORB',
+ 'OutOfMemoryError', 'OutputStream',
+ 'OutputStreamWriter', 'OverlayLayout', 'Owner',
+ 'Package', 'PackedColorModel', 'Pageable',
+ 'PageAttributes', 'PageAttributes.ColorType',
+ 'PageAttributes.MediaType',
+ 'PageAttributes.OrientationRequestedType',
+ 'PageAttributes.OriginType',
+ 'PageAttributes.PrintQualityType', 'PageFormat',
+ 'Paint', 'PaintContext', 'PaintEvent', 'Panel',
+ 'PanelUI', 'Paper', 'ParagraphView',
+ 'ParameterBlock', 'ParameterDescriptor',
+ 'ParseException', 'ParsePosition', 'Parser',
+ 'ParserDelegator', 'PartialResultException',
+ 'PasswordAuthentication', 'PasswordView', 'Patch',
+ 'PathIterator', 'Permission', 'PermissionCollection',
+ 'Permissions', 'PERSIST_STORE', 'PhantomReference',
+ 'PipedInputStream', 'PipedOutputStream',
+ 'PipedReader', 'PipedWriter', 'PixelGrabber',
+ 'PixelInterleavedSampleModel', 'PKCS8EncodedKeySpec',
+ 'PlainDocument', 'PlainView', 'Point', 'Point2D',
+ 'Point2D.Double', 'Point2D.Float', 'Policy',
+ 'PolicyError', 'PolicyHelper', 'PolicyHolder',
+ 'PolicyListHelper', 'PolicyListHolder',
+ 'PolicyOperations', 'PolicyTypeHelper', 'Polygon',
+ 'PopupMenu', 'PopupMenuEvent', 'PopupMenuListener',
+ 'PopupMenuUI', 'Port', 'Port.Info',
+ 'PortableRemoteObject',
+ 'PortableRemoteObjectDelegate', 'Position',
+ 'Position.Bias', 'PreparedStatement', 'Principal',
+ 'PrincipalHolder', 'Printable',
+ 'PrinterAbortException', 'PrinterException',
+ 'PrinterGraphics', 'PrinterIOException',
+ 'PrinterJob', 'PrintGraphics', 'PrintJob',
+ 'PrintStream', 'PrintWriter', 'PrivateKey',
+ 'PRIVATE_MEMBER', 'PrivilegedAction',
+ 'PrivilegedActionException',
+ 'PrivilegedExceptionAction', 'Process',
+ 'ProfileDataException', 'ProgressBarUI',
+ 'ProgressMonitor', 'ProgressMonitorInputStream',
+ 'Properties', 'PropertyChangeEvent',
+ 'PropertyChangeListener', 'PropertyChangeSupport',
+ 'PropertyDescriptor', 'PropertyEditor',
+ 'PropertyEditorManager', 'PropertyEditorSupport',
+ 'PropertyPermission', 'PropertyResourceBundle',
+ 'PropertyVetoException', 'ProtectionDomain',
+ 'ProtocolException', 'Provider', 'ProviderException',
+ 'Proxy', 'PublicKey', 'PUBLIC_MEMBER',
+ 'PushbackInputStream', 'PushbackReader',
+ 'QuadCurve2D', 'QuadCurve2D.Double',
+ 'QuadCurve2D.Float', 'Random', 'RandomAccessFile',
+ 'Raster', 'RasterFormatException', 'RasterOp',
+ 'Reader', 'Receiver', 'Rectangle', 'Rectangle2D',
+ 'Rectangle2D.Double', 'Rectangle2D.Float',
+ 'RectangularShape', 'Ref', 'RefAddr', 'Reference',
+ 'Referenceable', 'ReferenceQueue',
+ 'ReferralException', 'ReflectPermission', 'Registry',
+ 'RegistryHandler', 'RemarshalException', 'Remote',
+ 'RemoteCall', 'RemoteException', 'RemoteObject',
+ 'RemoteRef', 'RemoteServer', 'RemoteStub',
+ 'RenderableImage', 'RenderableImageOp',
+ 'RenderableImageProducer', 'RenderContext',
+ 'RenderedImage', 'RenderedImageFactory', 'Renderer',
+ 'RenderingHints', 'RenderingHints.Key',
+ 'RepaintManager', 'ReplicateScaleFilter',
+ 'Repository', 'RepositoryIdHelper', 'Request',
+ 'RescaleOp', 'Resolver', 'ResolveResult',
+ 'ResourceBundle', 'ResponseHandler', 'ResultSet',
+ 'ResultSetMetaData', 'ReverbType', 'RGBImageFilter',
+ 'RMIClassLoader', 'RMIClientSocketFactory',
+ 'RMIFailureHandler', 'RMISecurityException',
+ 'RMISecurityManager', 'RMIServerSocketFactory',
+ 'RMISocketFactory', 'Robot', 'RootPaneContainer',
+ 'RootPaneUI', 'RoundRectangle2D',
+ 'RoundRectangle2D.Double', 'RoundRectangle2D.Float',
+ 'RowMapper', 'RSAKey', 'RSAKeyGenParameterSpec',
+ 'RSAPrivateCrtKey', 'RSAPrivateCrtKeySpec',
+ 'RSAPrivateKey', 'RSAPrivateKeySpec', 'RSAPublicKey',
+ 'RSAPublicKeySpec', 'RTFEditorKit',
+ 'RuleBasedCollator', 'Runnable', 'Runtime',
+ 'RunTime', 'RuntimeException', 'RunTimeOperations',
+ 'RuntimePermission', 'SampleModel',
+ 'SchemaViolationException', 'Scrollable',
+ 'Scrollbar', 'ScrollBarUI', 'ScrollPane',
+ 'ScrollPaneConstants', 'ScrollPaneLayout',
+ 'ScrollPaneLayout.UIResource', 'ScrollPaneUI',
+ 'SearchControls', 'SearchResult',
+ 'SecureClassLoader', 'SecureRandom',
+ 'SecureRandomSpi', 'Security', 'SecurityException',
+ 'SecurityManager', 'SecurityPermission', 'Segment',
+ 'SeparatorUI', 'Sequence', 'SequenceInputStream',
+ 'Sequencer', 'Sequencer.SyncMode', 'Serializable',
+ 'SerializablePermission', 'ServantObject',
+ 'ServerCloneException', 'ServerError',
+ 'ServerException', 'ServerNotActiveException',
+ 'ServerRef', 'ServerRequest',
+ 'ServerRuntimeException', 'ServerSocket',
+ 'ServiceDetail', 'ServiceDetailHelper',
+ 'ServiceInformation', 'ServiceInformationHelper',
+ 'ServiceInformationHolder',
+ 'ServiceUnavailableException', 'Set',
+ 'SetOverrideType', 'SetOverrideTypeHelper', 'Shape',
+ 'ShapeGraphicAttribute', 'Short', 'ShortHolder',
+ 'ShortLookupTable', 'ShortMessage', 'ShortSeqHelper',
+ 'ShortSeqHolder', 'Signature', 'SignatureException',
+ 'SignatureSpi', 'SignedObject', 'Signer',
+ 'SimpleAttributeSet', 'SimpleBeanInfo',
+ 'SimpleDateFormat', 'SimpleTimeZone',
+ 'SinglePixelPackedSampleModel',
+ 'SingleSelectionModel', 'SizeLimitExceededException',
+ 'SizeRequirements', 'SizeSequence', 'Skeleton',
+ 'SkeletonMismatchException',
+ 'SkeletonNotFoundException', 'SliderUI', 'Socket',
+ 'SocketException', 'SocketImpl', 'SocketImplFactory',
+ 'SocketOptions', 'SocketPermission',
+ 'SocketSecurityException', 'SoftBevelBorder',
+ 'SoftReference', 'SortedMap', 'SortedSet',
+ 'Soundbank', 'SoundbankReader', 'SoundbankResource',
+ 'SourceDataLine', 'SplitPaneUI', 'SQLData',
+ 'SQLException', 'SQLInput', 'SQLOutput',
+ 'SQLPermission', 'SQLWarning', 'Stack',
+ 'StackOverflowError', 'StateEdit', 'StateEditable',
+ 'StateFactory', 'Statement', 'Streamable',
+ 'StreamableValue', 'StreamCorruptedException',
+ 'StreamTokenizer', 'StrictMath', 'String',
+ 'StringBuffer', 'StringBufferInputStream',
+ 'StringCharacterIterator', 'StringContent',
+ 'StringHolder', 'StringIndexOutOfBoundsException',
+ 'StringReader', 'StringRefAddr', 'StringSelection',
+ 'StringTokenizer', 'StringValueHelper',
+ 'StringWriter', 'Stroke', 'Struct', 'StructMember',
+ 'StructMemberHelper', 'Stub', 'StubDelegate',
+ 'StubNotFoundException', 'Style', 'StyleConstants',
+ 'StyleConstants.CharacterConstants',
+ 'StyleConstants.ColorConstants',
+ 'StyleConstants.FontConstants',
+ 'StyleConstants.ParagraphConstants', 'StyleContext',
+ 'StyledDocument', 'StyledEditorKit',
+ 'StyledEditorKit.AlignmentAction',
+ 'StyledEditorKit.BoldAction',
+ 'StyledEditorKit.FontFamilyAction',
+ 'StyledEditorKit.FontSizeAction',
+ 'StyledEditorKit.ForegroundAction',
+ 'StyledEditorKit.ItalicAction',
+ 'StyledEditorKit.StyledTextAction',
+ 'StyledEditorKit.UnderlineAction', 'StyleSheet',
+ 'StyleSheet.BoxPainter', 'StyleSheet.ListPainter',
+ 'SwingConstants', 'SwingPropertyChangeSupport',
+ 'SwingUtilities', 'SyncFailedException',
+ 'Synthesizer', 'SysexMessage', 'System',
+ 'SystemColor', 'SystemException', 'SystemFlavorMap',
+ 'TabableView', 'TabbedPaneUI', 'TabExpander',
+ 'TableCellEditor', 'TableCellRenderer',
+ 'TableColumn', 'TableColumnModel',
+ 'TableColumnModelEvent', 'TableColumnModelListener',
+ 'TableHeaderUI', 'TableModel', 'TableModelEvent',
+ 'TableModelListener', 'TableUI', 'TableView',
+ 'TabSet', 'TabStop', 'TagElement', 'TargetDataLine',
+ 'TCKind', 'TextAction', 'TextArea', 'TextAttribute',
+ 'TextComponent', 'TextEvent', 'TextField',
+ 'TextHitInfo', 'TextLayout',
+ 'TextLayout.CaretPolicy', 'TextListener',
+ 'TextMeasurer', 'TextUI', 'TexturePaint', 'Thread',
+ 'ThreadDeath', 'ThreadGroup', 'ThreadLocal',
+ 'Throwable', 'Tie', 'TileObserver', 'Time',
+ 'TimeLimitExceededException', 'Timer', 'TimerTask',
+ 'Timestamp', 'TimeZone', 'TitledBorder', 'ToolBarUI',
+ 'Toolkit', 'ToolTipManager', 'ToolTipUI',
+ 'TooManyListenersException', 'Track',
+ 'TransactionRequiredException',
+ 'TransactionRolledbackException',
+ 'TRANSACTION_REQUIRED', 'TRANSACTION_ROLLEDBACK',
+ 'Transferable', 'TransformAttribute', 'TRANSIENT',
+ 'Transmitter', 'Transparency', 'TreeCellEditor',
+ 'TreeCellRenderer', 'TreeExpansionEvent',
+ 'TreeExpansionListener', 'TreeMap', 'TreeModel',
+ 'TreeModelEvent', 'TreeModelListener', 'TreeNode',
+ 'TreePath', 'TreeSelectionEvent',
+ 'TreeSelectionListener', 'TreeSelectionModel',
+ 'TreeSet', 'TreeUI', 'TreeWillExpandListener',
+ 'TypeCode', 'TypeCodeHolder', 'TypeMismatch',
+ 'Types', 'UID', 'UIDefaults',
+ 'UIDefaults.ActiveValue', 'UIDefaults.LazyInputMap',
+ 'UIDefaults.LazyValue', 'UIDefaults.ProxyLazyValue',
+ 'UIManager', 'UIManager.LookAndFeelInfo',
+ 'UIResource', 'ULongLongSeqHelper',
+ 'ULongLongSeqHolder', 'ULongSeqHelper',
+ 'ULongSeqHolder', 'UndeclaredThrowableException',
+ 'UndoableEdit', 'UndoableEditEvent',
+ 'UndoableEditListener', 'UndoableEditSupport',
+ 'UndoManager', 'UnexpectedException',
+ 'UnicastRemoteObject', 'UnionMember',
+ 'UnionMemberHelper', 'UNKNOWN', 'UnknownError',
+ 'UnknownException', 'UnknownGroupException',
+ 'UnknownHostException', 'UnknownObjectException',
+ 'UnknownServiceException', 'UnknownUserException',
+ 'UnmarshalException', 'UnrecoverableKeyException',
+ 'Unreferenced', 'UnresolvedPermission',
+ 'UnsatisfiedLinkError', 'UnsolicitedNotification',
+ 'UnsolicitedNotificationEvent',
+ 'UnsolicitedNotificationListener',
+ 'UnsupportedAudioFileException',
+ 'UnsupportedClassVersionError',
+ 'UnsupportedEncodingException',
+ 'UnsupportedFlavorException',
+ 'UnsupportedLookAndFeelException',
+ 'UnsupportedOperationException',
+ 'UNSUPPORTED_POLICY', 'UNSUPPORTED_POLICY_VALUE',
+ 'URL', 'URLClassLoader', 'URLConnection',
+ 'URLDecoder', 'URLEncoder', 'URLStreamHandler',
+ 'URLStreamHandlerFactory', 'UserException',
+ 'UShortSeqHelper', 'UShortSeqHolder',
+ 'UTFDataFormatException', 'Util', 'UtilDelegate',
+ 'Utilities', 'ValueBase', 'ValueBaseHelper',
+ 'ValueBaseHolder', 'ValueFactory', 'ValueHandler',
+ 'ValueMember', 'ValueMemberHelper',
+ 'VariableHeightLayoutCache', 'Vector', 'VerifyError',
+ 'VersionSpecHelper', 'VetoableChangeListener',
+ 'VetoableChangeSupport', 'View', 'ViewFactory',
+ 'ViewportLayout', 'ViewportUI',
+ 'VirtualMachineError', 'Visibility',
+ 'VisibilityHelper', 'VMID', 'VM_ABSTRACT',
+ 'VM_CUSTOM', 'VM_NONE', 'VM_TRUNCATABLE',
+ 'VoiceStatus', 'Void', 'WCharSeqHelper',
+ 'WCharSeqHolder', 'WeakHashMap', 'WeakReference',
+ 'Window', 'WindowAdapter', 'WindowConstants',
+ 'WindowEvent', 'WindowListener', 'WrappedPlainView',
+ 'WritableRaster', 'WritableRenderedImage',
+ 'WriteAbortedException', 'Writer',
+ 'WrongTransaction', 'WStringValueHelper',
+ 'X509Certificate', 'X509CRL', 'X509CRLEntry',
+ 'X509EncodedKeySpec', 'X509Extension', 'ZipEntry',
+ 'ZipException', 'ZipFile', 'ZipInputStream',
+ 'ZipOutputStream', 'ZoneView',
+ '_BindingIteratorImplBase', '_BindingIteratorStub',
+ '_IDLTypeStub', '_NamingContextImplBase',
+ '_NamingContextStub', '_PolicyStub', '_Remote_Stub'
+ ),
+ 4 => array(
+ 'boolean', 'byte', 'char', 'double', 'float', 'int', 'long',
+ 'short', 'void'
+ ),
+ 5 => array(
+ 'allProperties', 'asImmutable', 'asSynchronized', 'collect',
+ 'count', 'each', 'eachProperty', 'eachPropertyName',
+ 'eachWithIndex', 'find', 'findAll', 'findIndexOf',
+ 'flatten', 'get', 'grep', 'inject', 'intersect',
+ 'join', 'max', 'min', 'pop', 'reverse',
+ 'reverseEach', 'size', 'sort', 'subMap', 'toList'
+ ),
+ 6 => array(
+ 'center', 'contains', 'eachMatch', 'padLeft', 'padRight',
+ 'toCharacter', 'tokenize', 'toLong', 'toURL'
+ ),
+ 7 => array(
+ 'append', 'eachByte', 'eachFile', 'eachFileRecurse', 'eachLine',
+ 'eachLines', 'encodeBase64', 'filterLine', 'getText',
+ 'splitEachLine', 'transformChar', 'transformLine',
+ 'withOutputStream', 'withPrintWriter', 'withReader',
+ 'withStream', 'withStreams', 'withWriter',
+ 'withWriterAppend', 'write', 'writeLine'
+ ),
+ 8 => array(
+ 'dump', 'getLastMatcher', 'inspect', 'invokeMethod', 'print',
+ 'println', 'start', 'startDaemon', 'step', 'times',
+ 'upto', 'use'
+ ),
+ 9 => array(
+ 'call', 'close', 'eachRow', 'execute', 'executeUpdate', 'Sql'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '[', ']', '{', '}', '*', '&', '%', '!', ';', '<', '>', '?', '|', '=',
+ '=>', '||', '-', '+', '<<', '<<<', '&&'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => true,
+ 1 => false,
+ 2 => false,
+ 3 => true,
+ 4 => true,
+ 5 => true,
+ 6 => true,
+ 7 => true,
+ 8 => true,
+ 9 => true
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #b1b100;',
+ 2 => 'color: #000000; font-weight: bold;',
+ 3 => 'color: #aaaadd; font-weight: bold;',
+ 4 => 'color: #993333;',
+ 5 => 'color: #663399;',
+ 6 => 'color: #CC0099;',
+ 7 => 'color: #FFCC33;',
+ 8 => 'color: #993399;',
+ 9 => 'color: #993399; font-weight: bold;'
+ ),
+ 'COMMENTS' => array(
+ 1=> 'color: #808080; font-style: italic;',
+ 2=> 'color: #a1a100;',
+ 3=> 'color: #808080; font-style: italic;',
+ 'MULTI' => 'color: #808080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #006600;',
+ 2 => 'color: #006600;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'SCRIPT' => array(
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #0000ff;'
+ )
+ ),
+ 'URLS' => array(
+ 1 => 'http://www.google.de/search?q=site%3Adocs.codehaus.org/%20{FNAMEL}',
+ 2 => 'http://www.google.de/search?q=site%3Adocs.codehaus.org/%20{FNAMEL}',
+ 3 => 'http://www.google.de/search?as_q={FNAME}&amp;num=100&amp;hl=en&amp;as_occt=url&amp;as_sitesearch=java.sun.com%2Fj2se%2F1.5.0%2Fdocs%2Fapi%2F',
+ 4 => 'http://www.google.de/search?q=site%3Adocs.codehaus.org/%20{FNAME}',
+ 5 => 'http://www.google.de/search?q=site%3Adocs.codehaus.org/%20{FNAME}',
+ 6 => 'http://www.google.de/search?q=site%3Adocs.codehaus.org/%20{FNAME}',
+ 7 => 'http://www.google.de/search?q=site%3Adocs.codehaus.org/%20{FNAME}',
+ 8 => 'http://www.google.de/search?q=site%3Adocs.codehaus.org/%20{FNAME}',
+ 9 => 'http://www.google.de/search?q=site%3Adocs.codehaus.org/%20{FNAME}'
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.'
+ ),
+ 'REGEXPS' => array(
+ //Variables
+ 0 => '\\$\\{[a-zA-Z_][a-zA-Z0-9_]*\\}'
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/haskell.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/haskell.php
new file mode 100644
index 000000000..f0e570f1c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/haskell.php
@@ -0,0 +1,198 @@
+<?php
+/*************************************************************************************
+ * haskell.php
+ * ----------
+ * Author: Jason Dagit (dagit@codersbase.com) based on ocaml.php by Flaie (fireflaie@gmail.com)
+ * Copyright: (c) 2005 Flaie, Nigel McNie (http://qbnz.com/highlighter)
+ * Release Version: 1.0.8.4
+ * Date Started: 2005/08/27
+ *
+ * Haskell language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2005/08/27 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2005/08/27)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Haskell',
+ 'COMMENT_SINGLE' => array( 1 => '--'),
+ 'COMMENT_MULTI' => array('{-' => '-}'),
+ 'COMMENT_REGEXP' => array(2 => "/-->/"),
+ 'CASE_KEYWORDS' => 0,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => "\\",
+ 'KEYWORDS' => array(
+ /* main haskell keywords */
+ 1 => array(
+ 'as',
+ 'case', 'of', 'class', 'data', 'default',
+ 'deriving', 'do', 'forall', 'hiding', 'if', 'then',
+ 'else', 'import', 'infix', 'infixl', 'infixr',
+ 'instance', 'let', 'in', 'module', 'newtype',
+ 'qualified', 'type', 'where'
+ ),
+ /* define names of main librarys, so we can link to it */
+ 2 => array(
+ 'Foreign', 'Numeric', 'Prelude'
+ ),
+ /* just link to Prelude functions, cause it's the default opened library when starting Haskell */
+ 3 => array(
+ 'not', 'otherwise', 'maybe',
+ 'either', 'fst', 'snd', 'curry', 'uncurry',
+ 'compare',
+ 'max', 'min', 'succ', 'pred', 'toEnum', 'fromEnum',
+ 'enumFrom', 'enumFromThen', 'enumFromTo',
+ 'enumFromThenTo', 'minBound', 'maxBound',
+ 'negate', 'abs', 'signum',
+ 'fromInteger', 'toRational', 'quot', 'rem',
+ 'div', 'mod', 'quotRem', 'divMod', 'toInteger',
+ 'recip', 'fromRational', 'pi', 'exp',
+ 'log', 'sqrt', 'logBase', 'sin', 'cos',
+ 'tan', 'asin', 'acos', 'atan', 'sinh', 'cosh',
+ 'tanh', 'asinh', 'acosh', 'atanh',
+ 'properFraction', 'truncate', 'round', 'ceiling',
+ 'floor', 'floatRadix', 'floatDigits', 'floatRange',
+ 'decodeFloat', 'encodeFloat', 'exponent',
+ 'significand', 'scaleFloat', 'isNaN', 'isInfinite',
+ 'isDenomalized', 'isNegativeZero', 'isIEEE',
+ 'atan2', 'subtract', 'even', 'odd', 'gcd',
+ 'lcm', 'fromIntegral', 'realToFrac',
+ 'return', 'fail', 'fmap',
+ 'mapM', 'mapM_', 'sequence', 'sequence_',
+ 'id', 'const','flip',
+ 'until', 'asTypeOf', 'error', 'undefined',
+ 'seq','map','filter', 'head',
+ 'last', 'tail', 'init', 'null', 'length',
+ 'reverse', 'foldl', 'foldl1', 'foldr',
+ 'foldr1', 'and', 'or', 'any', 'all', 'sum',
+ 'product', 'concat', 'concatMap', 'maximum',
+ 'minimum', 'scanl', 'scanl1', 'scanr', 'scanr1',
+ 'iterate', 'repeat', 'cycle', 'take', 'drop',
+ 'splitAt', 'teakWhile', 'dropWhile', 'span',
+ 'break', 'elem', 'notElem', 'lookup', 'zip',
+ 'zip3', 'zipWith', 'zipWith3', 'unzip', 'unzip3',
+ 'lines', 'words', 'unlines',
+ 'unwords', 'showPrec', 'show', 'showList',
+ 'shows', 'showChar', 'showString', 'showParen',
+ 'readsPrec', 'readList', 'reads', 'readParen',
+ 'read', 'lex', 'putChar', 'putStr', 'putStrLn',
+ 'print', 'getChar', 'getLine', 'getContents',
+ 'interact', 'readFile', 'writeFile', 'appendFile',
+ 'readIO', 'readLn', 'ioError', 'userError', 'catch'
+ ),
+ /* here Prelude Types */
+ 4 => array (
+ 'Bool', 'Maybe', 'Either', 'Ord', 'Ordering',
+ 'Char', 'String', 'Eq', 'Enum', 'Bounded',
+ 'Int', 'Integer', 'Float', 'Double', 'Rational',
+ 'Num', 'Real', 'Integral', 'Fractional',
+ 'Floating', 'RealFrac', 'RealFloat', 'Monad',
+ 'Functor', 'Show', 'ShowS', 'Read', 'ReadS',
+ 'IO'
+ ),
+ /* finally Prelude Exceptions */
+ 5 => array (
+ 'IOError', 'IOException'
+ )
+ ),
+ /* highlighting symbols is really important in Haskell */
+ 'SYMBOLS' => array(
+ '|', '->', '<-', '@', '!', '::', '_', '~', '=', '?',
+ '&&', '||', '==', '/=', '<', '<=', '>',
+ '>=','+', '-', '*','/', '%', '**', '^', '^^',
+ '>>=', '>>', '=<<', '$', '.', ',', '$!',
+ '++', '!!'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => true,
+ 2 => true, /* functions name are case seinsitive */
+ 3 => true, /* types name too */
+ 4 => true, /* finally exceptions too */
+ 5 => true
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #06c; font-weight: bold;', /* nice blue */
+ 2 => 'color: #06c; font-weight: bold;', /* blue as well */
+ 3 => 'font-weight: bold;', /* make the preduled functions bold */
+ 4 => 'color: #cccc00; font-weight: bold;', /* give types a different bg */
+ 5 => 'color: maroon;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #5d478b; font-style: italic;',
+ 2 => 'color: #339933; font-weight: bold;',
+ 'MULTI' => 'color: #5d478b; font-style: italic;' /* light purpHle */
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'background-color: #3cb371; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: green;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'background-color: #3cb371;' /* nice green */
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: red;' /* pink */
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #060;' /* dark green */
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #339933; font-weight: bold;'
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ /* some of keywords are Prelude functions */
+ 1 => '',
+ /* link to the wanted library */
+ 2 => 'http://haskell.org/ghc/docs/latest/html/libraries/base/{FNAME}.html',
+ /* link to Prelude functions */
+ 3 => 'http://haskell.org/ghc/docs/latest/html/libraries/base/Prelude.html#v:{FNAME}',
+ /* link to Prelude types */
+ 4 => 'http://haskell.org/ghc/docs/latest/html/libraries/base/Prelude.html#t:{FNAME}',
+ /* link to Prelude exceptions */
+ 5 => 'http://haskell.org/ghc/docs/latest/html/libraries/base/Prelude.html#t:{FNAME}',
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/hq9plus.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/hq9plus.php
new file mode 100644
index 000000000..2a5c429ca
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/hq9plus.php
@@ -0,0 +1,104 @@
+<?php
+/*************************************************************************************
+ * hq9plus.php
+ * ----------
+ * Author: Benny Baumann (BenBE@geshi.org)
+ * Copyright: (c) 2008 Benny Baumann (http://qbnz.com/highlighter/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2009/10/31
+ *
+ * HQ9+ language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/10/31 (1.0.8.1)
+ * - First Release
+ *
+ * TODO
+ * ----
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+$language_data = array (
+ 'LANG_NAME' => 'HQ9+',
+ 'COMMENT_SINGLE' => array(),
+ 'COMMENT_MULTI' => array(),
+ 'COMMENT_REGEXP' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_UPPER,
+ 'QUOTEMARKS' => array(),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 'H', 'Q', '9', '+', 'h', 'q'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ ),
+ 'COMMENTS' => array(
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #a16000;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ ),
+ 'SCRIPT' => array(
+ ),
+ 'REGEXPS' => array(
+ )
+ ),
+ 'URLS' => array(
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'TAB_WIDTH' => 4,
+ 'PARSER_CONTROL' => array(
+ 'ENABLE_FLAGS' => array(
+ 'KEYWORDS' => GESHI_NEVER,
+ 'COMMENTS' => GESHI_NEVER,
+ 'STRINGS' => GESHI_NEVER,
+ 'REGEXPS' => GESHI_NEVER,
+ 'NUMBERS' => GESHI_NEVER
+ )
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/html4strict.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/html4strict.php
new file mode 100644
index 000000000..314351523
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/html4strict.php
@@ -0,0 +1,203 @@
+<?php
+/*************************************************************************************
+ * html4strict.php
+ * ---------------
+ * Author: Nigel McNie (nigel@geshi.org)
+ * Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/07/10
+ *
+ * HTML 4.01 strict language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2005/12/28 (1.0.4)
+ * - Removed escape character for strings
+ * 2004/11/27 (1.0.3)
+ * - Added support for multiple object splitters
+ * 2004/10/27 (1.0.2)
+ * - Added support for URLs
+ * 2004/08/05 (1.0.1)
+ * - Added INS and DEL
+ * - Removed the background colour from tags' styles
+ * 2004/07/14 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2004/11/27)
+ * -------------------------
+ * * Check that only HTML4 strict attributes are highlighted
+ * * Eliminate empty tags that aren't allowed in HTML4 strict
+ * * Split to several files - html4trans, xhtml1 etc
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'HTML',
+ 'COMMENT_SINGLE' => array(),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ 2 => array(
+ 'a', 'abbr', 'acronym', 'address', 'applet',
+
+ 'base', 'basefont', 'bdo', 'big', 'blockquote', 'body', 'br', 'button', 'b',
+
+ 'caption', 'center', 'cite', 'code', 'colgroup', 'col',
+
+ 'dd', 'del', 'dfn', 'dir', 'div', 'dl', 'dt',
+
+ 'em',
+
+ 'fieldset', 'font', 'form', 'frame', 'frameset',
+
+ 'h1', 'h2', 'h3', 'h4', 'h5', 'h6', 'head', 'hr', 'html',
+
+ 'iframe', 'ilayer', 'img', 'input', 'ins', 'isindex', 'i',
+
+ 'kbd',
+
+ 'label', 'legend', 'link', 'li',
+
+ 'map', 'meta',
+
+ 'noframes', 'noscript',
+
+ 'object', 'ol', 'optgroup', 'option',
+
+ 'param', 'pre', 'p',
+
+ 'q',
+
+ 'samp', 'script', 'select', 'small', 'span', 'strike', 'strong', 'style', 'sub', 'sup', 's',
+
+ 'table', 'tbody', 'td', 'textarea', 'text', 'tfoot', 'thead', 'th', 'title', 'tr', 'tt',
+
+ 'ul', 'u',
+
+ 'var',
+ ),
+ 3 => array(
+ 'abbr', 'accept-charset', 'accept', 'accesskey', 'action', 'align', 'alink', 'alt', 'archive', 'axis',
+ 'background', 'bgcolor', 'border',
+ 'cellpadding', 'cellspacing', 'char', 'charoff', 'charset', 'checked', 'cite', 'class', 'classid', 'clear', 'code', 'codebase', 'codetype', 'color', 'cols', 'colspan', 'compact', 'content', 'coords',
+ 'data', 'datetime', 'declare', 'defer', 'dir', 'disabled',
+ 'enctype',
+ 'face', 'for', 'frame', 'frameborder',
+ 'headers', 'height', 'href', 'hreflang', 'hspace', 'http-equiv',
+ 'id', 'ismap',
+ 'label', 'lang', 'language', 'link', 'longdesc',
+ 'marginheight', 'marginwidth', 'maxlength', 'media', 'method', 'multiple',
+ 'name', 'nohref', 'noresize', 'noshade', 'nowrap',
+ 'object', 'onblur', 'onchange', 'onclick', 'ondblclick', 'onfocus', 'onkeydown', 'onkeypress', 'onkeyup', 'onload', 'onmousedown', 'onmousemove', 'onmouseout', 'onmouseover', 'onmouseup', 'onreset', 'onselect', 'onsubmit', 'onunload',
+ 'profile', 'prompt',
+ 'readonly', 'rel', 'rev', 'rowspan', 'rows', 'rules',
+ 'scheme', 'scope', 'scrolling', 'selected', 'shape', 'size', 'span', 'src', 'standby', 'start', 'style', 'summary',
+ 'tabindex', 'target', 'text', 'title', 'type',
+ 'usemap',
+ 'valign', 'value', 'valuetype', 'version', 'vlink', 'vspace',
+ 'width'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '/', '='
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 2 => false,
+ 3 => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 2 => 'color: #000000; font-weight: bold;',
+ 3 => 'color: #000066;'
+ ),
+ 'COMMENTS' => array(
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'SCRIPT' => array(
+ -1 => 'color: #808080; font-style: italic;', // comments
+ 0 => 'color: #00bbdd;',
+ 1 => 'color: #ddbb00;',
+ 2 => 'color: #009900;'
+ ),
+ 'REGEXPS' => array(
+ )
+ ),
+ 'URLS' => array(
+ 2 => 'http://december.com/html/4/element/{FNAMEL}.html',
+ 3 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_ALWAYS,
+ 'SCRIPT_DELIMITERS' => array(
+ -1 => array(
+ '<!--' => '-->'
+ ),
+ 0 => array(
+ '<!DOCTYPE' => '>'
+ ),
+ 1 => array(
+ '&' => ';'
+ ),
+ 2 => array(
+ '<' => '>'
+ )
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ -1 => false,
+ 0 => false,
+ 1 => false,
+ 2 => true
+ ),
+ 'TAB_WIDTH' => 4,
+ 'PARSER_CONTROL' => array(
+ 'KEYWORDS' => array(
+ 2 => array(
+ 'DISALLOWED_BEFORE' => '(?<=&lt;|&lt;\/)',
+ 'DISALLOWED_AFTER' => '(?=\s|\/|&gt;)',
+ )
+ )
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/idl.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/idl.php
new file mode 100644
index 000000000..9160fd150
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/idl.php
@@ -0,0 +1,123 @@
+<?php
+/*************************************************************************************
+ * idl.php
+ * -------
+ * Author: Cedric Bosdonnat (cedricbosdo@openoffice.org)
+ * Copyright: (c) 2006 Cedric Bosdonnat
+ * Release Version: 1.0.8.4
+ * Date Started: 2006/08/20
+ *
+ * Unoidl language file for GeSHi.
+ *
+ * 2006/08/20 (1.0.0)
+ * - First Release
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+
+$language_data = array (
+ 'LANG_NAME' => 'Uno Idl',
+ 'COMMENT_SINGLE' => array(1 => '//', 2 => '#'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'published', 'get', 'set', 'service', 'singleton', 'type', 'module', 'interface', 'struct',
+ 'const', 'constants', 'exception', 'enum', 'raises', 'typedef'
+ ),
+ 2 => array(
+ 'bound', 'maybeambiguous', 'maybedefault', 'maybevoid', 'oneway', 'optional',
+ 'readonly', 'in', 'out', 'inout', 'attribute', 'transient', 'removable'
+ ),
+ 3 => array(
+ 'True', 'False', 'TRUE', 'FALSE'
+ ),
+ 4 => array(
+ 'string', 'long', 'byte', 'hyper', 'boolean', 'any', 'char', 'double',
+ 'void', 'sequence', 'unsigned'
+ ),
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '{', '}', '[', ']', '=', '+', '-', '*', '/', '!', '%', '^', '&', ':', ';', '...'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => true,
+ 2 => true,
+ 3 => true,
+ 4 => true,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #990078; font-weight: bold',
+ 2 => 'color: #36dd1c;',
+ 3 => 'color: #990078; font-weight: bold',
+ 4 => 'color: #0000ec;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #3f7f5f;',
+ 2 => 'color: #808080;',
+ 'MULTI' => 'color: #4080ff; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #666666; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #808080;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #0000dd;'
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '::'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/ini.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/ini.php
new file mode 100644
index 000000000..365b4d6f8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/ini.php
@@ -0,0 +1,128 @@
+<?php
+/*************************************************************************************
+ * ini.php
+ * --------
+ * Author: deguix (cevo_deguix@yahoo.com.br)
+ * Copyright: (c) 2005 deguix
+ * Release Version: 1.0.8.4
+ * Date Started: 2005/03/27
+ *
+ * INI language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/05/23 (1.0.7.22)
+ * - Added description of extra language features (SF#1970248)
+ * 2005/12/28 (1.0.1)
+ * - Removed unnecessary keyword style index
+ * - Added support for " strings
+ * 2005/04/05 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2005/03/27)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'INI',
+ 'COMMENT_SINGLE' => array(0 => ';'),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ ),
+ 'SYMBOLS' => array(
+ '[', ']', '='
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ ),
+ 'COMMENTS' => array(
+ 0 => 'color: #666666; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => ''
+ ),
+ 'BRACKETS' => array(
+ 0 => ''
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #933;'
+ ),
+ 'NUMBERS' => array(
+ 0 => ''
+ ),
+ 'METHODS' => array(
+ 0 => ''
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #000066; font-weight:bold;'
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #000066; font-weight:bold;',
+ 1 => 'color: #000099;',
+ 2 => 'color: #660066;'
+ ),
+ 'SCRIPT' => array(
+ 0 => ''
+ )
+ ),
+ 'URLS' => array(
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ //Section names
+ 0 => '\[.+\]',
+ //Entry names
+ 1 => array(
+ GESHI_SEARCH => '^(\s*)([a-zA-Z0-9_\-]+)(\s*=)',
+ GESHI_REPLACE => '\\2',
+ GESHI_MODIFIERS => 'm',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => '\\3'
+ ),
+ //Entry values
+ 2 => array(
+ // Evil hackery to get around GeSHi bug: <>" and ; are added so <span>s can be matched
+ // Explicit match on variable names because if a comment is before the first < of the span
+ // gets chewed up...
+ GESHI_SEARCH => '([<>";a-zA-Z0-9_]+\s*)=(.*)',
+ GESHI_REPLACE => '\\2',
+ GESHI_MODIFIERS => '',
+ GESHI_BEFORE => '\\1=',
+ GESHI_AFTER => ''
+ )
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/inno.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/inno.php
new file mode 100644
index 000000000..99563514d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/inno.php
@@ -0,0 +1,212 @@
+<?php
+/*************************************************************************************
+ * Inno.php
+ * ----------
+ * Author: Thomas Klingler (hotline@theratech.de) based on delphi.php from J锟絩ja Norbert (jnorbi@vipmail.hu)
+ * Copyright: (c) 2004 J锟絩ja Norbert, Nigel McNie (http://qbnz.com/highlighter)
+ * Release Version: 1.0.8.4
+ * Date Started: 2005/07/29
+ *
+ * Inno Script language inkl. Delphi (Object Pascal) language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2005/09/03
+ * - First Release
+ *
+ * TODO (updated 2005/07/27)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Inno',
+ 'COMMENT_SINGLE' => array(1 => '//'),
+ 'COMMENT_MULTI' => array('(*' => '*)'),
+ 'CASE_KEYWORDS' => 0,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'Setup','Types','Components','Tasks','Dirs','Files','Icons','INI',
+ 'InstallDelete','Languages','Messages','CustomMessage',
+ 'LangOptions','Registry','RUN','UninstallDelete','UninstallRun',
+ 'app','win','sys','syswow64','src','sd','pf','pf32','pf64','cf',
+ 'cf32','cf64','tmp','fonts','dao','group','localappdata','sendto',
+ 'userappdata','commonappdata','userdesktop','commondesktop',
+ 'userdocs','commondocs','userfavorites','commonfavorites',
+ 'userprograms','commonprograms','userstartmenu','commonstartmenu',
+ 'userstartup','commonstartup','usertemplates','commontemplates'
+ ),
+ 2 => array(
+ 'nil', 'false', 'true', 'var', 'type', 'const','And', 'Array', 'As', 'Begin', 'Case', 'Class', 'Constructor', 'Destructor', 'Div', 'Do', 'DownTo', 'Else',
+ 'End', 'Except', 'File', 'Finally', 'For', 'Function', 'Goto', 'If', 'Implementation', 'In', 'Inherited', 'Interface',
+ 'Is', 'Mod', 'Not', 'Object', 'Of', 'On', 'Or', 'Packed', 'Procedure', 'Property', 'Raise', 'Record',
+ 'Repeat', 'Set', 'Shl', 'Shr', 'Then', 'ThreadVar', 'To', 'Try', 'Unit', 'Until', 'Uses', 'While', 'With', 'Xor',
+
+ 'HKCC','HKCR','HKCU','HKLM','HKU','alwaysoverwrite','alwaysskipifsameorolder','append',
+ 'binary','classic','closeonexit','comparetimestamp','confirmoverwrite',
+ 'createkeyifdoesntexist','createonlyiffileexists','createvalueifdoesntexist',
+ 'deleteafterinstall','deletekey','deletevalue','dirifempty','dontcloseonexit',
+ 'dontcopy','dontcreatekey','disablenouninstallwarning','dword','exclusive','expandsz',
+ 'external','files','filesandordirs','fixed','fontisnttruetype','ignoreversion','iscustom','isreadme',
+ 'modern','multisz','new','noerror','none','normal','nowait','onlyifdestfileexists',
+ 'onlyifdoesntexist','onlyifnewer','overwrite','overwritereadonly','postinstall',
+ 'preservestringtype','promptifolder','regserver','regtypelib','restart','restartreplace',
+ 'runhidden','runmaximized','runminimized','sharedfile','shellexec','showcheckbox',
+ 'skipifnotsilent','skipifsilent','silent','skipifdoesntexist',
+ 'skipifsourcedoesntexist','sortfilesbyextension','unchecked','uninsalwaysuninstall',
+ 'uninsclearvalue','uninsdeleteentry','uninsdeletekey','uninsdeletekeyifempty',
+ 'uninsdeletesection','uninsdeletesectionifempty','uninsdeletevalue',
+ 'uninsneveruninstall','useapppaths','verysilent','waituntilidle'
+ ),
+ 3 => array(
+ 'Abs', 'Addr', 'AnsiCompareStr', 'AnsiCompareText', 'AnsiContainsStr', 'AnsiEndsStr', 'AnsiIndexStr', 'AnsiLeftStr',
+ 'AnsiLowerCase', 'AnsiMatchStr', 'AnsiMidStr', 'AnsiPos', 'AnsiReplaceStr', 'AnsiReverseString', 'AnsiRightStr',
+ 'AnsiStartsStr', 'AnsiUpperCase', 'ArcCos', 'ArcSin', 'ArcTan', 'Assigned', 'BeginThread', 'Bounds', 'CelsiusToFahrenheit',
+ 'ChangeFileExt', 'Chr', 'CompareStr', 'CompareText', 'Concat', 'Convert', 'Copy', 'Cos', 'CreateDir', 'CurrToStr',
+ 'CurrToStrF', 'Date', 'DateTimeToFileDate', 'DateTimeToStr', 'DateToStr', 'DayOfTheMonth', 'DayOfTheWeek', 'DayOfTheYear',
+ 'DayOfWeek', 'DaysBetween', 'DaysInAMonth', 'DaysInAYear', 'DaySpan', 'DegToRad', 'DeleteFile', 'DiskFree', 'DiskSize',
+ 'DupeString', 'EncodeDate', 'EncodeDateTime', 'EncodeTime', 'EndOfADay', 'EndOfAMonth', 'Eof', 'Eoln', 'Exp', 'ExtractFileDir',
+ 'ExtractFileDrive', 'ExtractFileExt', 'ExtractFileName', 'ExtractFilePath', 'FahrenheitToCelsius', 'FileAge',
+ 'FileDateToDateTime', 'FileExists', 'FilePos', 'FileSearch', 'FileSetDate', 'FileSize', 'FindClose', 'FindCmdLineSwitch',
+ 'FindFirst', 'FindNext', 'FloatToStr', 'FloatToStrF', 'Format', 'FormatCurr', 'FormatDateTime', 'FormatFloat', 'Frac',
+ 'GetCurrentDir', 'GetLastError', 'GetMem', 'High', 'IncDay', 'IncMinute', 'IncMonth', 'IncYear', 'InputBox',
+ 'InputQuery', 'Int', 'IntToHex', 'IntToStr', 'IOResult', 'IsInfinite', 'IsLeapYear', 'IsMultiThread', 'IsNaN',
+ 'LastDelimiter', 'Length', 'Ln', 'Lo', 'Log10', 'Low', 'LowerCase', 'Max', 'Mean', 'MessageDlg', 'MessageDlgPos',
+ 'MonthOfTheYear', 'Now', 'Odd', 'Ord', 'ParamCount', 'ParamStr', 'Pi', 'Point', 'PointsEqual', 'Pos', 'Pred',
+ 'Printer', 'PromptForFileName', 'PtInRect', 'RadToDeg', 'Random', 'RandomRange', 'RecodeDate', 'RecodeTime', 'Rect',
+ 'RemoveDir', 'RenameFile', 'Round', 'SeekEof', 'SeekEoln', 'SelectDirectory', 'SetCurrentDir', 'Sin', 'SizeOf',
+ 'Slice', 'Sqr', 'Sqrt', 'StringOfChar', 'StringReplace', 'StringToWideChar', 'StrToCurr', 'StrToDate', 'StrToDateTime',
+ 'StrToFloat', 'StrToInt', 'StrToInt64', 'StrToInt64Def', 'StrToIntDef', 'StrToTime', 'StuffString', 'Succ', 'Sum', 'Tan',
+ 'Time', 'TimeToStr', 'Tomorrow', 'Trunc', 'UpCase', 'UpperCase', 'VarType', 'WideCharToString', 'WrapText', 'Yesterday',
+ 'Append', 'AppendStr', 'Assign', 'AssignFile', 'AssignPrn', 'Beep', 'BlockRead', 'BlockWrite', 'Break',
+ 'ChDir', 'Close', 'CloseFile', 'Continue', 'DateTimeToString', 'Dec', 'DecodeDate', 'DecodeDateTime',
+ 'DecodeTime', 'Delete', 'Dispose', 'EndThread', 'Erase', 'Exclude', 'Exit', 'FillChar', 'Flush', 'FreeAndNil',
+ 'FreeMem', 'GetDir', 'GetLocaleFormatSettings', 'Halt', 'Inc', 'Include', 'Insert', 'MkDir', 'Move', 'New',
+ 'ProcessPath', 'Randomize', 'Read', 'ReadLn', 'ReallocMem', 'Rename', 'ReplaceDate', 'ReplaceTime',
+ 'Reset', 'ReWrite', 'RmDir', 'RunError', 'Seek', 'SetLength', 'SetString', 'ShowMessage', 'ShowMessageFmt',
+ 'ShowMessagePos', 'Str', 'Truncate', 'Val', 'Write', 'WriteLn',
+
+ 'AdminPrivilegesRequired','AfterInstall','AllowCancelDuringInstall','AllowNoIcons','AllowRootDirectory','AllowUNCPath','AlwaysRestart','AlwaysShowComponentsList','AlwaysShowDirOnReadyPage','AlwaysShowGroupOnReadyPage ','AlwaysUsePersonalGroup','AppComments','AppContact','AppCopyright','AppendDefaultDirName',
+ 'AppendDefaultGroupName','AppId','AppModifyPath','AppMutex','AppName','AppPublisher',
+ 'AppPublisherURL','AppReadmeFile','AppSupportURL','AppUpdatesURL','AppVerName','AppVersion',
+ 'Attribs','BackColor','BackColor2','BackColorDirection','BackSolid','BeforeInstall',
+ 'ChangesAssociations','ChangesEnvironment','Check','CodeFile','Comment','Compression','CopyMode',
+ 'CreateAppDir','CreateUninstallRegKey','DefaultDirName','DefaultGroupName',
+ 'DefaultUserInfoName','DefaultUserInfoOrg','DefaultUserInfoSerial',
+ 'Description','DestDir','DestName','DirExistsWarning',
+ 'DisableDirPage','DisableFinishedPage',
+ 'DisableProgramGroupPage','DisableReadyMemo','DisableReadyPage',
+ 'DisableStartupPrompt','DiskClusterSize','DiskSliceSize','DiskSpaceMBLabel',
+ 'DiskSpanning','DontMergeDuplicateFiles','EnableDirDoesntExistWarning','Encryption',
+ 'Excludes','ExtraDiskSpaceRequired','Filename','Flags','FlatComponentsList','FontInstall',
+ 'GroupDescription','HotKey','IconFilename','IconIndex','InfoAfterFile','InfoBeforeFile',
+ 'InternalCompressLevel','Key','LanguageDetectionMethod',
+ 'LicenseFile','MergeDuplicateFiles','MessagesFile','MinVersion','Name',
+ 'OnlyBelowVersion','OutputBaseFilename','OutputManifestFile','OutputDir',
+ 'Parameters','Password','Permissions','PrivilegesRequired','ReserveBytes',
+ 'RestartIfNeededByRun','Root','RunOnceId','Section','SetupIconFile',
+ 'ShowComponentSizes','ShowLanguageDialog','ShowTasksTreeLines','SlicesPerDisk',
+ 'SolidCompression','Source','SourceDir','StatusMsg','Subkey',
+ 'TimeStampRounding','TimeStampsInUTC','TouchDate','TouchTime','Type',
+ 'UninstallDisplayIcon','UninstallDisplayName','UninstallFilesDir','UninstallIconFile',
+ 'UninstallLogMode','UninstallRestartComputer','UninstallStyle','Uninstallable',
+ 'UpdateUninstallLogAppName','UsePreviousAppDir','UsePreviousGroup',
+ 'UsePreviousTasks','UsePreviousSetupType','UsePreviousUserInfo',
+ 'UserInfoPage','UseSetupLdr','ValueData','ValueName','ValueType',
+ 'VersionInfoVersion','VersionInfoCompany','VersionInfoDescription','VersionInfoTextVersion',
+ 'WindowResizable','WindowShowCaption','WindowStartMaximized',
+ 'WindowVisible','WizardImageBackColor','WizardImageFile','WizardImageStretch','WizardSmallImageBackColor','WizardSmallImageFile','WizardStyle','WorkingDir'
+ ),
+ 4 => array(
+ 'AnsiChar', 'AnsiString', 'Boolean', 'Byte', 'Cardinal', 'Char', 'Comp', 'Currency', 'Double', 'Extended',
+ 'Int64', 'Integer', 'LongInt', 'LongWord', 'PAnsiChar', 'PAnsiString', 'PChar', 'PCurrency', 'PDateTime',
+ 'PExtended', 'PInt64', 'Pointer', 'PShortString', 'PString', 'PVariant', 'PWideChar', 'PWideString',
+ 'Real', 'Real48', 'ShortInt', 'ShortString', 'Single', 'SmallInt', 'String', 'TBits', 'TConvType', 'TDateTime',
+ 'Text', 'TextFile', 'TFloatFormat', 'TFormatSettings', 'TList', 'TObject', 'TOpenDialog', 'TPoint',
+ 'TPrintDialog', 'TRect', 'TReplaceFlags', 'TSaveDialog', 'TSearchRec', 'TStringList', 'TSysCharSet',
+ 'TThreadFunc', 'Variant', 'WideChar', 'WideString', 'Word'
+ ),
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '[', ']', '{', '}', '@', '%', '&', '*', '|', '/', '<', '>'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #000000; font-weight: bold;',/*bold Black*/
+ 2 => 'color: #000000;font-style: italic;',/*Black*/
+ 3 => 'color: #0000FF;',/*blue*/
+ 4 => 'color: #CC0000;'/*red*/
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #33FF00; font-style: italic;',
+ 'MULTI' => 'color: #33FF00; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #006600;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #000000; font-weight: bold;',
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/intercal.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/intercal.php
new file mode 100644
index 000000000..a58960089
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/intercal.php
@@ -0,0 +1,122 @@
+<?php
+/*************************************************************************************
+ * intercal.php
+ * ----------
+ * Author: Benny Baumann (BenBE@geshi.org)
+ * Copyright: (c) 2008 Benny Baumann (http://qbnz.com/highlighter/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2009/10/31
+ *
+ * INTERCAL language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/10/31 (1.0.8.1)
+ * - First Release
+ *
+ * TODO
+ * ----
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+$language_data = array (
+ 'LANG_NAME' => 'INTERCAL',
+ 'COMMENT_SINGLE' => array(),
+ 'COMMENT_MULTI' => array(),
+ 'COMMENT_REGEXP' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_UPPER,
+ 'QUOTEMARKS' => array(),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ //Politeness
+ 1 => array(
+ 'DO', 'DOES', 'DONT', 'DON\'T', 'NOT', 'PLEASE', 'PLEASENT', 'PLEASEN\'T', 'MAYBE'
+ ),
+ //Statements
+ 2 => array(
+ 'STASH', 'RETRIEVE', 'NEXT', 'RESUME', 'FORGET', 'ABSTAIN', 'ABSTAINING',
+ 'COME', 'FROM', 'CALCULATING', 'REINSTATE', 'IGNORE', 'REMEMBER',
+ 'WRITE', 'IN', 'READ', 'OUT', 'GIVE', 'UP'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '.', ',', ':', ';', '#',
+ '~', '$', '&', '?',
+ '\'', '"', '<-'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #000080; font-weight: bold;',
+ 2 => 'color: #000080; font-weight: bold;'
+ ),
+ 'COMMENTS' => array(
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ ),
+ 'SCRIPT' => array(
+ ),
+ 'REGEXPS' => array(
+ 1 => 'color: #808080; font-style: italic;'
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ 1 => '^\(\d+\)'
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'TAB_WIDTH' => 4,
+ 'PARSER_CONTROL' => array(
+ 'ENABLE_FLAGS' => array(
+ 'COMMENTS' => GESHI_NEVER,
+ 'STRINGS' => GESHI_NEVER,
+ 'NUMBERS' => GESHI_NEVER
+ )
+ )
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/io.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/io.php
new file mode 100644
index 000000000..7ec53a881
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/io.php
@@ -0,0 +1,138 @@
+<?php
+/*************************************************************************************
+ * io.php
+ * -------
+ * Author: Nigel McNie (nigel@geshi.org)
+ * Copyright: (c) 2006 Nigel McNie (http://qbnz.com/highlighter/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2006/09/23
+ *
+ * Io language file for GeSHi. Thanks to Johnathan Wright for the suggestion and help
+ * with this language :)
+ *
+ * CHANGES
+ * -------
+ * 2006/09/23(1.0.0)
+ * - First Release
+ *
+ * TODO
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Io',
+ 'COMMENT_SINGLE' => array(1 => '//', 2 => '#'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'and', 'break', 'else', 'elseif', 'exit', 'for', 'foreach', 'if', 'ifFalse', 'ifNil',
+ 'ifTrue', 'or', 'pass', 'raise', 'return', 'then', 'try', 'wait', 'while', 'yield'
+ ),
+ 2 => array(
+ 'activate', 'activeCoroCount', 'asString', 'block', 'catch', 'clone', 'collectGarbage',
+ 'compileString', 'continue', 'do', 'doFile', 'doMessage', 'doString', 'forward',
+ 'getSlot', 'getenv', 'hasSlot', 'isActive', 'isNil', 'isResumable', 'list', 'message',
+ 'method', 'parent', 'pause', 'perform', 'performWithArgList', 'print', 'proto',
+ 'raiseResumable', 'removeSlot', 'resend', 'resume', 'schedulerSleepSeconds', 'self',
+ 'sender', 'setSchedulerSleepSeconds', 'setSlot', 'shallowCopy', 'slotNames', 'super',
+ 'system', 'thisBlock', 'thisContext', 'thisMessage', 'type', 'uniqueId', 'updateSlot',
+ 'write'
+ ),
+ 3 => array(
+ 'Array', 'AudioDevice', 'AudioMixer', 'Block', 'Box', 'Buffer', 'CFunction', 'CGI',
+ 'Color', 'Curses', 'DBM', 'DNSResolver', 'DOConnection', 'DOProxy', 'DOServer',
+ 'Date', 'Directory', 'Duration', 'DynLib', 'Error', 'Exception', 'FFT', 'File',
+ 'Fnmatch', 'Font', 'Future', 'GL', 'GLE', 'GLScissor', 'GLU', 'GLUCylinder',
+ 'GLUQuadric', 'GLUSphere', 'GLUT', 'Host', 'Image', 'Importer', 'LinkList', 'List',
+ 'Lobby', 'Locals', 'MD5', 'MP3Decoder', 'MP3Encoder', 'Map', 'Message', 'Movie',
+ 'NULL', 'Nil', 'Nop', 'Notifiction', 'Number', 'Object', 'OpenGL', 'Point', 'Protos',
+ 'Regex', 'SGMLTag', 'SQLite', 'Server', 'ShowMessage', 'SleepyCat', 'SleepyCatCursor',
+ 'Socket', 'SocketManager', 'Sound', 'Soup', 'Store', 'String', 'Tree', 'UDPSender',
+ 'UDPReceiver', 'URL', 'User', 'Warning', 'WeakLink'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '[', ']', '{', '}', '!', '@', '%', '&', '*', '|', '/', '<', '>'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #b1b100;',
+ 2 => 'color: #000000; font-weight: bold;',
+ 3 => 'color: #000066;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #808080; font-style: italic;',
+ 2 => 'color: #808080; font-style: italic;',
+ 'MULTI' => 'color: #808080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #006600;',
+ 2 => 'color: #006600;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ 0 => ''
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/java.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/java.php
new file mode 100644
index 000000000..d8a2d5e4d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/java.php
@@ -0,0 +1,983 @@
+<?php
+/*************************************************************************************
+ * java.php
+ * --------
+ * Author: Nigel McNie (nigel@geshi.org)
+ * Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/07/10
+ *
+ * Java language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/05/25 (1.0.7.22)
+ * - Added highlighting of import and package directives as non-OOP
+ * 2005/12/28 (1.0.4)
+ * - Added instanceof keyword
+ * 2004/11/27 (1.0.3)
+ * - Added support for multiple object splitters
+ * 2004/08/05 (1.0.2)
+ * - Added URL support
+ * - Added keyword "this", as bugs in GeSHi class ironed out
+ * 2004/08/05 (1.0.1)
+ * - Added support for symbols
+ * - Added extra missed keywords
+ * 2004/07/14 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2004/11/27)
+ * -------------------------
+ * * Compact the class names like the first few have been
+ * and eliminate repeats
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Java',
+ 'COMMENT_SINGLE' => array(1 => '//'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'COMMENT_REGEXP' => array(
+ //Import and Package directives (Basic Support only)
+ 2 => '/(?:(?<=import[\\n\\s])|(?<=package[\\n\\s]))[\\n\\s]*([a-zA-Z0-9_]+\\.)*([a-zA-Z0-9_]+|\*)(?=[\n\s;])/i',
+ // javadoc comments
+ 3 => '#/\*\*(?![\*\/]).*\*/#sU'
+ ),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'for', 'foreach', 'if', 'else', 'while', 'do',
+ 'switch', 'case', 'return', 'public',
+ 'private', 'protected', 'extends', 'break', 'class',
+ 'new', 'try', 'catch', 'throws', 'finally', 'implements',
+ 'interface', 'throw', 'final', 'native', 'synchronized', 'this',
+ 'abstract', 'transient', 'instanceof', 'assert', 'continue',
+ 'default', 'enum', 'package', 'static', 'strictfp', 'super',
+ 'volatile', 'const', 'goto', 'import'
+ ),
+ 2 => array(
+ 'null', 'false', 'true'
+ ),
+ 3 => array(
+ 'AbstractAction', 'AbstractBorder', 'AbstractButton',
+ 'AbstractCellEditor', 'AbstractCollection',
+ 'AbstractColorChooserPanel', 'AbstractDocument',
+ 'AbstractDocument.AttributeContext',
+ 'AbstractDocument.Content',
+ 'AbstractDocument.ElementEdit',
+ 'AbstractLayoutCache',
+ 'AbstractLayoutCache.NodeDimensions', 'AbstractList',
+ 'AbstractListModel', 'AbstractMap',
+ 'AbstractMethodError', 'AbstractSequentialList',
+ 'AbstractSet', 'AbstractTableModel',
+ 'AbstractUndoableEdit', 'AbstractWriter',
+ 'AccessControlContext', 'AccessControlException',
+ 'AccessController', 'AccessException', 'Accessible',
+ 'AccessibleAction', 'AccessibleBundle',
+ 'AccessibleComponent', 'AccessibleContext',
+ 'AccessibleHyperlink', 'AccessibleHypertext',
+ 'AccessibleIcon', 'AccessibleObject',
+ 'AccessibleRelation', 'AccessibleRelationSet',
+ 'AccessibleResourceBundle', 'AccessibleRole',
+ 'AccessibleSelection', 'AccessibleState',
+ 'AccessibleStateSet', 'AccessibleTable',
+ 'AccessibleTableModelChange', 'AccessibleText',
+ 'AccessibleValue', 'Acl', 'AclEntry',
+ 'AclNotFoundException', 'Action', 'ActionEvent',
+ 'ActionListener', 'ActionMap', 'ActionMapUIResource',
+ 'Activatable', 'ActivateFailedException',
+ 'ActivationDesc', 'ActivationException',
+ 'ActivationGroup', 'ActivationGroupDesc',
+ 'ActivationGroupDesc.CommandEnvironment',
+ 'ActivationGroupID', 'ActivationID',
+ 'ActivationInstantiator', 'ActivationMonitor',
+ 'ActivationSystem', 'Activator', 'ActiveEvent',
+ 'Adjustable', 'AdjustmentEvent',
+ 'AdjustmentListener', 'Adler32', 'AffineTransform',
+ 'AffineTransformOp', 'AlgorithmParameterGenerator',
+ 'AlgorithmParameterGeneratorSpi',
+ 'AlgorithmParameters', 'AlgorithmParameterSpec',
+ 'AlgorithmParametersSpi', 'AllPermission',
+ 'AlphaComposite', 'AlreadyBound',
+ 'AlreadyBoundException', 'AlreadyBoundHelper',
+ 'AlreadyBoundHolder', 'AncestorEvent',
+ 'AncestorListener', 'Annotation', 'Any', 'AnyHolder',
+ 'AnySeqHelper', 'AnySeqHolder', 'Applet',
+ 'AppletContext', 'AppletInitializer', 'AppletStub',
+ 'ApplicationException', 'Arc2D', 'Arc2D.Double',
+ 'Arc2D.Float', 'Area', 'AreaAveragingScaleFilter',
+ 'ARG_IN', 'ARG_INOUT', 'ARG_OUT',
+ 'ArithmeticException', 'Array',
+ 'ArrayIndexOutOfBoundsException', 'ArrayList',
+ 'Arrays', 'ArrayStoreException', 'AsyncBoxView',
+ 'Attribute', 'AttributedCharacterIterator',
+ 'AttributedCharacterIterator.Attribute',
+ 'AttributedString', 'AttributeInUseException',
+ 'AttributeList', 'AttributeModificationException',
+ 'Attributes', 'Attributes.Name', 'AttributeSet',
+ 'AttributeSet.CharacterAttribute',
+ 'AttributeSet.ColorAttribute',
+ 'AttributeSet.FontAttribute',
+ 'AttributeSet.ParagraphAttribute', 'AudioClip',
+ 'AudioFileFormat', 'AudioFileFormat.Type',
+ 'AudioFileReader', 'AudioFileWriter', 'AudioFormat',
+ 'AudioFormat.Encoding', 'AudioInputStream',
+ 'AudioPermission', 'AudioSystem',
+ 'AuthenticationException',
+ 'AuthenticationNotSupportedException',
+ 'Authenticator', 'Autoscroll', 'AWTError',
+ 'AWTEvent', 'AWTEventListener',
+ 'AWTEventMulticaster', 'AWTException',
+ 'AWTPermission', 'BadKind', 'BadLocationException',
+ 'BAD_CONTEXT', 'BAD_INV_ORDER', 'BAD_OPERATION',
+ 'BAD_PARAM', 'BAD_POLICY', 'BAD_POLICY_TYPE',
+ 'BAD_POLICY_VALUE', 'BAD_TYPECODE', 'BandCombineOp',
+ 'BandedSampleModel', 'BasicArrowButton',
+ 'BasicAttribute', 'BasicAttributes', 'BasicBorders',
+ 'BasicBorders.ButtonBorder',
+ 'BasicBorders.FieldBorder',
+ 'BasicBorders.MarginBorder',
+ 'BasicBorders.MenuBarBorder',
+ 'BasicBorders.RadioButtonBorder',
+ 'BasicBorders.SplitPaneBorder',
+ 'BasicBorders.ToggleButtonBorder',
+ 'BasicButtonListener', 'BasicButtonUI',
+ 'BasicCheckBoxMenuItemUI', 'BasicCheckBoxUI',
+ 'BasicColorChooserUI', 'BasicComboBoxEditor',
+ 'BasicComboBoxEditor.UIResource',
+ 'BasicComboBoxRenderer',
+ 'BasicComboBoxRenderer.UIResource',
+ 'BasicComboBoxUI', 'BasicComboPopup',
+ 'BasicDesktopIconUI', 'BasicDesktopPaneUI',
+ 'BasicDirectoryModel', 'BasicEditorPaneUI',
+ 'BasicFileChooserUI', 'BasicGraphicsUtils',
+ 'BasicHTML', 'BasicIconFactory',
+ 'BasicInternalFrameTitlePane',
+ 'BasicInternalFrameUI', 'BasicLabelUI',
+ 'BasicListUI', 'BasicLookAndFeel', 'BasicMenuBarUI',
+ 'BasicMenuItemUI', 'BasicMenuUI',
+ 'BasicOptionPaneUI',
+ 'BasicOptionPaneUI.ButtonAreaLayout', 'BasicPanelUI',
+ 'BasicPasswordFieldUI', 'BasicPermission',
+ 'BasicPopupMenuSeparatorUI', 'BasicPopupMenuUI',
+ 'BasicProgressBarUI', 'BasicRadioButtonMenuItemUI',
+ 'BasicRadioButtonUI', 'BasicRootPaneUI',
+ 'BasicScrollBarUI', 'BasicScrollPaneUI',
+ 'BasicSeparatorUI', 'BasicSliderUI',
+ 'BasicSplitPaneDivider', 'BasicSplitPaneUI',
+ 'BasicStroke', 'BasicTabbedPaneUI',
+ 'BasicTableHeaderUI', 'BasicTableUI',
+ 'BasicTextAreaUI', 'BasicTextFieldUI',
+ 'BasicTextPaneUI', 'BasicTextUI',
+ 'BasicTextUI.BasicCaret',
+ 'BasicTextUI.BasicHighlighter',
+ 'BasicToggleButtonUI', 'BasicToolBarSeparatorUI',
+ 'BasicToolBarUI', 'BasicToolTipUI', 'BasicTreeUI',
+ 'BasicViewportUI', 'BatchUpdateException',
+ 'BeanContext', 'BeanContextChild',
+ 'BeanContextChildComponentProxy',
+ 'BeanContextChildSupport',
+ 'BeanContextContainerProxy', 'BeanContextEvent',
+ 'BeanContextMembershipEvent',
+ 'BeanContextMembershipListener', 'BeanContextProxy',
+ 'BeanContextServiceAvailableEvent',
+ 'BeanContextServiceProvider',
+ 'BeanContextServiceProviderBeanInfo',
+ 'BeanContextServiceRevokedEvent',
+ 'BeanContextServiceRevokedListener',
+ 'BeanContextServices', 'BeanContextServicesListener',
+ 'BeanContextServicesSupport',
+ 'BeanContextServicesSupport.BCSSServiceProvider',
+ 'BeanContextSupport',
+ 'BeanContextSupport.BCSIterator', 'BeanDescriptor',
+ 'BeanInfo', 'Beans', 'BevelBorder', 'BigDecimal',
+ 'BigInteger', 'BinaryRefAddr', 'BindException',
+ 'Binding', 'BindingHelper', 'BindingHolder',
+ 'BindingIterator', 'BindingIteratorHelper',
+ 'BindingIteratorHolder', 'BindingIteratorOperations',
+ 'BindingListHelper', 'BindingListHolder',
+ 'BindingType', 'BindingTypeHelper',
+ 'BindingTypeHolder', 'BitSet', 'Blob', 'BlockView',
+ 'Book', 'Boolean', 'BooleanControl',
+ 'BooleanControl.Type', 'BooleanHolder',
+ 'BooleanSeqHelper', 'BooleanSeqHolder', 'Border',
+ 'BorderFactory', 'BorderLayout', 'BorderUIResource',
+ 'BorderUIResource.BevelBorderUIResource',
+ 'BorderUIResource.CompoundBorderUIResource',
+ 'BorderUIResource.EmptyBorderUIResource',
+ 'BorderUIResource.EtchedBorderUIResource',
+ 'BorderUIResource.LineBorderUIResource',
+ 'BorderUIResource.MatteBorderUIResource',
+ 'BorderUIResource.TitledBorderUIResource',
+ 'BoundedRangeModel', 'Bounds', 'Box', 'Box.Filler',
+ 'BoxedValueHelper', 'BoxLayout', 'BoxView',
+ 'BreakIterator', 'BufferedImage',
+ 'BufferedImageFilter', 'BufferedImageOp',
+ 'BufferedInputStream', 'BufferedOutputStream',
+ 'BufferedReader', 'BufferedWriter', 'Button',
+ 'ButtonGroup', 'ButtonModel', 'ButtonUI', 'Byte',
+ 'ByteArrayInputStream', 'ByteArrayOutputStream',
+ 'ByteHolder', 'ByteLookupTable', 'Calendar',
+ 'CallableStatement', 'CannotProceed',
+ 'CannotProceedException', 'CannotProceedHelper',
+ 'CannotProceedHolder', 'CannotRedoException',
+ 'CannotUndoException', 'Canvas', 'CardLayout',
+ 'Caret', 'CaretEvent', 'CaretListener', 'CellEditor',
+ 'CellEditorListener', 'CellRendererPane',
+ 'Certificate', 'Certificate.CertificateRep',
+ 'CertificateEncodingException',
+ 'CertificateException',
+ 'CertificateExpiredException', 'CertificateFactory',
+ 'CertificateFactorySpi',
+ 'CertificateNotYetValidException',
+ 'CertificateParsingException',
+ 'ChangedCharSetException', 'ChangeEvent',
+ 'ChangeListener', 'Character', 'Character.Subset',
+ 'Character.UnicodeBlock', 'CharacterIterator',
+ 'CharArrayReader', 'CharArrayWriter',
+ 'CharConversionException', 'CharHolder',
+ 'CharSeqHelper', 'CharSeqHolder', 'Checkbox',
+ 'CheckboxGroup', 'CheckboxMenuItem',
+ 'CheckedInputStream', 'CheckedOutputStream',
+ 'Checksum', 'Choice', 'ChoiceFormat', 'Class',
+ 'ClassCastException', 'ClassCircularityError',
+ 'ClassDesc', 'ClassFormatError', 'ClassLoader',
+ 'ClassNotFoundException', 'Clip', 'Clipboard',
+ 'ClipboardOwner', 'Clob', 'Cloneable',
+ 'CloneNotSupportedException', 'CMMException',
+ 'CodeSource', 'CollationElementIterator',
+ 'CollationKey', 'Collator', 'Collection',
+ 'Collections', 'Color',
+ 'ColorChooserComponentFactory', 'ColorChooserUI',
+ 'ColorConvertOp', 'ColorModel',
+ 'ColorSelectionModel', 'ColorSpace',
+ 'ColorUIResource', 'ComboBoxEditor', 'ComboBoxModel',
+ 'ComboBoxUI', 'ComboPopup', 'CommunicationException',
+ 'COMM_FAILURE', 'Comparable', 'Comparator',
+ 'Compiler', 'CompletionStatus',
+ 'CompletionStatusHelper', 'Component',
+ 'ComponentAdapter', 'ComponentColorModel',
+ 'ComponentEvent', 'ComponentInputMap',
+ 'ComponentInputMapUIResource', 'ComponentListener',
+ 'ComponentOrientation', 'ComponentSampleModel',
+ 'ComponentUI', 'ComponentView', 'Composite',
+ 'CompositeContext', 'CompositeName', 'CompositeView',
+ 'CompoundBorder', 'CompoundControl',
+ 'CompoundControl.Type', 'CompoundEdit',
+ 'CompoundName', 'ConcurrentModificationException',
+ 'ConfigurationException', 'ConnectException',
+ 'ConnectIOException', 'Connection', 'Constructor', 'Container',
+ 'ContainerAdapter', 'ContainerEvent',
+ 'ContainerListener', 'ContentHandler',
+ 'ContentHandlerFactory', 'ContentModel', 'Context',
+ 'ContextList', 'ContextNotEmptyException',
+ 'ContextualRenderedImageFactory', 'Control',
+ 'Control.Type', 'ControlFactory',
+ 'ControllerEventListener', 'ConvolveOp', 'CRC32',
+ 'CRL', 'CRLException', 'CropImageFilter', 'CSS',
+ 'CSS.Attribute', 'CTX_RESTRICT_SCOPE',
+ 'CubicCurve2D', 'CubicCurve2D.Double',
+ 'CubicCurve2D.Float', 'Current', 'CurrentHelper',
+ 'CurrentHolder', 'CurrentOperations', 'Cursor',
+ 'Customizer', 'CustomMarshal', 'CustomValue',
+ 'DatabaseMetaData', 'DataBuffer', 'DataBufferByte',
+ 'DataBufferInt', 'DataBufferShort',
+ 'DataBufferUShort', 'DataFlavor',
+ 'DataFormatException', 'DatagramPacket',
+ 'DatagramSocket', 'DatagramSocketImpl',
+ 'DatagramSocketImplFactory', 'DataInput',
+ 'DataInputStream', 'DataLine', 'DataLine.Info',
+ 'DataOutput', 'DataOutputStream',
+ 'DataTruncation', 'DATA_CONVERSION', 'Date',
+ 'DateFormat', 'DateFormatSymbols', 'DebugGraphics',
+ 'DecimalFormat', 'DecimalFormatSymbols',
+ 'DefaultBoundedRangeModel', 'DefaultButtonModel',
+ 'DefaultCaret', 'DefaultCellEditor',
+ 'DefaultColorSelectionModel', 'DefaultComboBoxModel',
+ 'DefaultDesktopManager', 'DefaultEditorKit',
+ 'DefaultEditorKit.BeepAction',
+ 'DefaultEditorKit.CopyAction',
+ 'DefaultEditorKit.CutAction',
+ 'DefaultEditorKit.DefaultKeyTypedAction',
+ 'DefaultEditorKit.InsertBreakAction',
+ 'DefaultEditorKit.InsertContentAction',
+ 'DefaultEditorKit.InsertTabAction',
+ 'DefaultEditorKit.PasteAction,',
+ 'DefaultFocusManager', 'DefaultHighlighter',
+ 'DefaultHighlighter.DefaultHighlightPainter',
+ 'DefaultListCellRenderer',
+ 'DefaultListCellRenderer.UIResource',
+ 'DefaultListModel', 'DefaultListSelectionModel',
+ 'DefaultMenuLayout', 'DefaultMetalTheme',
+ 'DefaultMutableTreeNode',
+ 'DefaultSingleSelectionModel',
+ 'DefaultStyledDocument',
+ 'DefaultStyledDocument.AttributeUndoableEdit',
+ 'DefaultStyledDocument.ElementSpec',
+ 'DefaultTableCellRenderer',
+ 'DefaultTableCellRenderer.UIResource',
+ 'DefaultTableColumnModel', 'DefaultTableModel',
+ 'DefaultTextUI', 'DefaultTreeCellEditor',
+ 'DefaultTreeCellRenderer', 'DefaultTreeModel',
+ 'DefaultTreeSelectionModel', 'DefinitionKind',
+ 'DefinitionKindHelper', 'Deflater',
+ 'DeflaterOutputStream', 'Delegate', 'DesignMode',
+ 'DesktopIconUI', 'DesktopManager', 'DesktopPaneUI',
+ 'DGC', 'Dialog', 'Dictionary', 'DigestException',
+ 'DigestInputStream', 'DigestOutputStream',
+ 'Dimension', 'Dimension2D', 'DimensionUIResource',
+ 'DirContext', 'DirectColorModel', 'DirectoryManager',
+ 'DirObjectFactory', 'DirStateFactory',
+ 'DirStateFactory.Result', 'DnDConstants', 'Document',
+ 'DocumentEvent', 'DocumentEvent.ElementChange',
+ 'DocumentEvent.EventType', 'DocumentListener',
+ 'DocumentParser', 'DomainCombiner', 'DomainManager',
+ 'DomainManagerOperations', 'Double', 'DoubleHolder',
+ 'DoubleSeqHelper', 'DoubleSeqHolder',
+ 'DragGestureEvent', 'DragGestureListener',
+ 'DragGestureRecognizer', 'DragSource',
+ 'DragSourceContext', 'DragSourceDragEvent',
+ 'DragSourceDropEvent', 'DragSourceEvent',
+ 'DragSourceListener', 'Driver', 'DriverManager',
+ 'DriverPropertyInfo', 'DropTarget',
+ 'DropTarget.DropTargetAutoScroller',
+ 'DropTargetContext', 'DropTargetDragEvent',
+ 'DropTargetDropEvent', 'DropTargetEvent',
+ 'DropTargetListener', 'DSAKey',
+ 'DSAKeyPairGenerator', 'DSAParameterSpec',
+ 'DSAParams', 'DSAPrivateKey', 'DSAPrivateKeySpec',
+ 'DSAPublicKey', 'DSAPublicKeySpec', 'DTD',
+ 'DTDConstants', 'DynamicImplementation', 'DynAny',
+ 'DynArray', 'DynEnum', 'DynFixed', 'DynSequence',
+ 'DynStruct', 'DynUnion', 'DynValue', 'EditorKit',
+ 'Element', 'ElementIterator', 'Ellipse2D',
+ 'Ellipse2D.Double', 'Ellipse2D.Float', 'EmptyBorder',
+ 'EmptyStackException', 'EncodedKeySpec', 'Entity',
+ 'EnumControl', 'EnumControl.Type', 'Enumeration',
+ 'Environment', 'EOFException', 'Error',
+ 'EtchedBorder', 'Event', 'EventContext',
+ 'EventDirContext', 'EventListener',
+ 'EventListenerList', 'EventObject', 'EventQueue',
+ 'EventSetDescriptor', 'Exception',
+ 'ExceptionInInitializerError', 'ExceptionList',
+ 'ExpandVetoException', 'ExportException',
+ 'ExtendedRequest', 'ExtendedResponse',
+ 'Externalizable', 'FeatureDescriptor', 'Field',
+ 'FieldNameHelper', 'FieldPosition', 'FieldView',
+ 'File', 'FileChooserUI', 'FileDescriptor',
+ 'FileDialog', 'FileFilter',
+ 'FileInputStream', 'FilenameFilter', 'FileNameMap',
+ 'FileNotFoundException', 'FileOutputStream',
+ 'FilePermission', 'FileReader', 'FileSystemView',
+ 'FileView', 'FileWriter', 'FilteredImageSource',
+ 'FilterInputStream', 'FilterOutputStream',
+ 'FilterReader', 'FilterWriter',
+ 'FixedHeightLayoutCache', 'FixedHolder',
+ 'FlatteningPathIterator', 'FlavorMap', 'Float',
+ 'FloatControl', 'FloatControl.Type', 'FloatHolder',
+ 'FloatSeqHelper', 'FloatSeqHolder', 'FlowLayout',
+ 'FlowView', 'FlowView.FlowStrategy', 'FocusAdapter',
+ 'FocusEvent', 'FocusListener', 'FocusManager',
+ 'Font', 'FontFormatException', 'FontMetrics',
+ 'FontRenderContext', 'FontUIResource', 'Format',
+ 'FormatConversionProvider', 'FormView', 'Frame',
+ 'FREE_MEM', 'GapContent', 'GeneralPath',
+ 'GeneralSecurityException', 'GlyphJustificationInfo',
+ 'GlyphMetrics', 'GlyphVector', 'GlyphView',
+ 'GlyphView.GlyphPainter', 'GradientPaint',
+ 'GraphicAttribute', 'Graphics', 'Graphics2D',
+ 'GraphicsConfigTemplate', 'GraphicsConfiguration',
+ 'GraphicsDevice', 'GraphicsEnvironment',
+ 'GrayFilter', 'GregorianCalendar',
+ 'GridBagConstraints', 'GridBagLayout', 'GridLayout',
+ 'Group', 'Guard', 'GuardedObject', 'GZIPInputStream',
+ 'GZIPOutputStream', 'HasControls', 'HashMap',
+ 'HashSet', 'Hashtable', 'HierarchyBoundsAdapter',
+ 'HierarchyBoundsListener', 'HierarchyEvent',
+ 'HierarchyListener', 'Highlighter',
+ 'Highlighter.Highlight',
+ 'Highlighter.HighlightPainter', 'HTML',
+ 'HTML.Attribute', 'HTML.Tag', 'HTML.UnknownTag',
+ 'HTMLDocument', 'HTMLDocument.Iterator',
+ 'HTMLEditorKit', 'HTMLEditorKit.HTMLFactory',
+ 'HTMLEditorKit.HTMLTextAction',
+ 'HTMLEditorKit.InsertHTMLTextAction',
+ 'HTMLEditorKit.LinkController',
+ 'HTMLEditorKit.Parser',
+ 'HTMLEditorKit.ParserCallback',
+ 'HTMLFrameHyperlinkEvent', 'HTMLWriter',
+ 'HttpURLConnection', 'HyperlinkEvent',
+ 'HyperlinkEvent.EventType', 'HyperlinkListener',
+ 'ICC_ColorSpace', 'ICC_Profile', 'ICC_ProfileGray',
+ 'ICC_ProfileRGB', 'Icon', 'IconUIResource',
+ 'IconView', 'IdentifierHelper', 'Identity',
+ 'IdentityScope', 'IDLEntity', 'IDLType',
+ 'IDLTypeHelper', 'IDLTypeOperations',
+ 'IllegalAccessError', 'IllegalAccessException',
+ 'IllegalArgumentException',
+ 'IllegalComponentStateException',
+ 'IllegalMonitorStateException',
+ 'IllegalPathStateException', 'IllegalStateException',
+ 'IllegalThreadStateException', 'Image',
+ 'ImageConsumer', 'ImageFilter',
+ 'ImageGraphicAttribute', 'ImageIcon',
+ 'ImageObserver', 'ImageProducer',
+ 'ImagingOpException', 'IMP_LIMIT',
+ 'IncompatibleClassChangeError',
+ 'InconsistentTypeCode', 'IndexColorModel',
+ 'IndexedPropertyDescriptor',
+ 'IndexOutOfBoundsException', 'IndirectionException',
+ 'InetAddress', 'Inflater', 'InflaterInputStream',
+ 'InheritableThreadLocal', 'InitialContext',
+ 'InitialContextFactory',
+ 'InitialContextFactoryBuilder', 'InitialDirContext',
+ 'INITIALIZE', 'Initializer', 'InitialLdapContext',
+ 'InlineView', 'InputContext', 'InputEvent',
+ 'InputMap', 'InputMapUIResource', 'InputMethod',
+ 'InputMethodContext', 'InputMethodDescriptor',
+ 'InputMethodEvent', 'InputMethodHighlight',
+ 'InputMethodListener', 'InputMethodRequests',
+ 'InputStream',
+ 'InputStreamReader', 'InputSubset', 'InputVerifier',
+ 'Insets', 'InsetsUIResource', 'InstantiationError',
+ 'InstantiationException', 'Instrument',
+ 'InsufficientResourcesException', 'Integer',
+ 'INTERNAL', 'InternalError', 'InternalFrameAdapter',
+ 'InternalFrameEvent', 'InternalFrameListener',
+ 'InternalFrameUI', 'InterruptedException',
+ 'InterruptedIOException',
+ 'InterruptedNamingException', 'INTF_REPOS',
+ 'IntHolder', 'IntrospectionException',
+ 'Introspector', 'Invalid',
+ 'InvalidAlgorithmParameterException',
+ 'InvalidAttributeIdentifierException',
+ 'InvalidAttributesException',
+ 'InvalidAttributeValueException',
+ 'InvalidClassException',
+ 'InvalidDnDOperationException',
+ 'InvalidKeyException', 'InvalidKeySpecException',
+ 'InvalidMidiDataException', 'InvalidName',
+ 'InvalidNameException',
+ 'InvalidNameHelper', 'InvalidNameHolder',
+ 'InvalidObjectException',
+ 'InvalidParameterException',
+ 'InvalidParameterSpecException',
+ 'InvalidSearchControlsException',
+ 'InvalidSearchFilterException', 'InvalidSeq',
+ 'InvalidTransactionException', 'InvalidValue',
+ 'INVALID_TRANSACTION', 'InvocationEvent',
+ 'InvocationHandler', 'InvocationTargetException',
+ 'InvokeHandler', 'INV_FLAG', 'INV_IDENT',
+ 'INV_OBJREF', 'INV_POLICY', 'IOException',
+ 'IRObject', 'IRObjectOperations', 'IstringHelper',
+ 'ItemEvent', 'ItemListener', 'ItemSelectable',
+ 'Iterator', 'JApplet', 'JarEntry', 'JarException',
+ 'JarFile', 'JarInputStream', 'JarOutputStream',
+ 'JarURLConnection', 'JButton', 'JCheckBox',
+ 'JCheckBoxMenuItem', 'JColorChooser', 'JComboBox',
+ 'JComboBox.KeySelectionManager', 'JComponent',
+ 'JDesktopPane', 'JDialog', 'JEditorPane',
+ 'JFileChooser', 'JFrame', 'JInternalFrame',
+ 'JInternalFrame.JDesktopIcon', 'JLabel',
+ 'JLayeredPane', 'JList', 'JMenu', 'JMenuBar',
+ 'JMenuItem', 'JobAttributes',
+ 'JobAttributes.DefaultSelectionType',
+ 'JobAttributes.DestinationType',
+ 'JobAttributes.DialogType',
+ 'JobAttributes.MultipleDocumentHandlingType',
+ 'JobAttributes.SidesType', 'JOptionPane', 'JPanel',
+ 'JPasswordField', 'JPopupMenu',
+ 'JPopupMenu.Separator', 'JProgressBar',
+ 'JRadioButton', 'JRadioButtonMenuItem', 'JRootPane',
+ 'JScrollBar', 'JScrollPane', 'JSeparator', 'JSlider',
+ 'JSplitPane', 'JTabbedPane', 'JTable',
+ 'JTableHeader', 'JTextArea', 'JTextComponent',
+ 'JTextComponent.KeyBinding', 'JTextField',
+ 'JTextPane', 'JToggleButton',
+ 'JToggleButton.ToggleButtonModel', 'JToolBar',
+ 'JToolBar.Separator', 'JToolTip', 'JTree',
+ 'JTree.DynamicUtilTreeNode',
+ 'JTree.EmptySelectionModel', 'JViewport', 'JWindow',
+ 'Kernel', 'Key', 'KeyAdapter', 'KeyEvent',
+ 'KeyException', 'KeyFactory', 'KeyFactorySpi',
+ 'KeyListener', 'KeyManagementException', 'Keymap',
+ 'KeyPair', 'KeyPairGenerator', 'KeyPairGeneratorSpi',
+ 'KeySpec', 'KeyStore', 'KeyStoreException',
+ 'KeyStoreSpi', 'KeyStroke', 'Label', 'LabelUI',
+ 'LabelView', 'LastOwnerException',
+ 'LayeredHighlighter',
+ 'LayeredHighlighter.LayerPainter', 'LayoutManager',
+ 'LayoutManager2', 'LayoutQueue', 'LdapContext',
+ 'LdapReferralException', 'Lease',
+ 'LimitExceededException', 'Line', 'Line.Info',
+ 'Line2D', 'Line2D.Double', 'Line2D.Float',
+ 'LineBorder', 'LineBreakMeasurer', 'LineEvent',
+ 'LineEvent.Type', 'LineListener', 'LineMetrics',
+ 'LineNumberInputStream', 'LineNumberReader',
+ 'LineUnavailableException', 'LinkageError',
+ 'LinkedList', 'LinkException', 'LinkLoopException',
+ 'LinkRef', 'List', 'ListCellRenderer',
+ 'ListDataEvent', 'ListDataListener', 'ListIterator',
+ 'ListModel', 'ListResourceBundle',
+ 'ListSelectionEvent', 'ListSelectionListener',
+ 'ListSelectionModel', 'ListUI', 'ListView',
+ 'LoaderHandler', 'Locale', 'LocateRegistry',
+ 'LogStream', 'Long', 'LongHolder',
+ 'LongLongSeqHelper', 'LongLongSeqHolder',
+ 'LongSeqHelper', 'LongSeqHolder', 'LookAndFeel',
+ 'LookupOp', 'LookupTable', 'MalformedLinkException',
+ 'MalformedURLException', 'Manifest', 'Map',
+ 'Map.Entry', 'MARSHAL', 'MarshalException',
+ 'MarshalledObject', 'Math', 'MatteBorder',
+ 'MediaTracker', 'Member', 'MemoryImageSource',
+ 'Menu', 'MenuBar', 'MenuBarUI', 'MenuComponent',
+ 'MenuContainer', 'MenuDragMouseEvent',
+ 'MenuDragMouseListener', 'MenuElement', 'MenuEvent',
+ 'MenuItem', 'MenuItemUI', 'MenuKeyEvent',
+ 'MenuKeyListener', 'MenuListener',
+ 'MenuSelectionManager', 'MenuShortcut',
+ 'MessageDigest', 'MessageDigestSpi', 'MessageFormat',
+ 'MetaEventListener', 'MetalBorders',
+ 'MetalBorders.ButtonBorder',
+ 'MetalBorders.Flush3DBorder',
+ 'MetalBorders.InternalFrameBorder',
+ 'MetalBorders.MenuBarBorder',
+ 'MetalBorders.MenuItemBorder',
+ 'MetalBorders.OptionDialogBorder',
+ 'MetalBorders.PaletteBorder',
+ 'MetalBorders.PopupMenuBorder',
+ 'MetalBorders.RolloverButtonBorder',
+ 'MetalBorders.ScrollPaneBorder',
+ 'MetalBorders.TableHeaderBorder',
+ 'MetalBorders.TextFieldBorder',
+ 'MetalBorders.ToggleButtonBorder',
+ 'MetalBorders.ToolBarBorder', 'MetalButtonUI',
+ 'MetalCheckBoxIcon', 'MetalCheckBoxUI',
+ 'MetalComboBoxButton', 'MetalComboBoxEditor',
+ 'MetalComboBoxEditor.UIResource',
+ 'MetalComboBoxIcon', 'MetalComboBoxUI',
+ 'MetalDesktopIconUI', 'MetalFileChooserUI',
+ 'MetalIconFactory', 'MetalIconFactory.FileIcon16',
+ 'MetalIconFactory.FolderIcon16',
+ 'MetalIconFactory.PaletteCloseIcon',
+ 'MetalIconFactory.TreeControlIcon',
+ 'MetalIconFactory.TreeFolderIcon',
+ 'MetalIconFactory.TreeLeafIcon',
+ 'MetalInternalFrameTitlePane',
+ 'MetalInternalFrameUI', 'MetalLabelUI',
+ 'MetalLookAndFeel', 'MetalPopupMenuSeparatorUI',
+ 'MetalProgressBarUI', 'MetalRadioButtonUI',
+ 'MetalScrollBarUI', 'MetalScrollButton',
+ 'MetalScrollPaneUI', 'MetalSeparatorUI',
+ 'MetalSliderUI', 'MetalSplitPaneUI',
+ 'MetalTabbedPaneUI', 'MetalTextFieldUI',
+ 'MetalTheme', 'MetalToggleButtonUI',
+ 'MetalToolBarUI', 'MetalToolTipUI', 'MetalTreeUI',
+ 'MetaMessage', 'Method', 'MethodDescriptor',
+ 'MidiChannel', 'MidiDevice', 'MidiDevice.Info',
+ 'MidiDeviceProvider', 'MidiEvent', 'MidiFileFormat',
+ 'MidiFileReader', 'MidiFileWriter', 'MidiMessage',
+ 'MidiSystem', 'MidiUnavailableException',
+ 'MimeTypeParseException', 'MinimalHTMLWriter',
+ 'MissingResourceException', 'Mixer', 'Mixer.Info',
+ 'MixerProvider', 'ModificationItem', 'Modifier',
+ 'MouseAdapter', 'MouseDragGestureRecognizer',
+ 'MouseEvent', 'MouseInputAdapter',
+ 'MouseInputListener', 'MouseListener',
+ 'MouseMotionAdapter', 'MouseMotionListener',
+ 'MultiButtonUI', 'MulticastSocket',
+ 'MultiColorChooserUI', 'MultiComboBoxUI',
+ 'MultiDesktopIconUI', 'MultiDesktopPaneUI',
+ 'MultiFileChooserUI', 'MultiInternalFrameUI',
+ 'MultiLabelUI', 'MultiListUI', 'MultiLookAndFeel',
+ 'MultiMenuBarUI', 'MultiMenuItemUI',
+ 'MultiOptionPaneUI', 'MultiPanelUI',
+ 'MultiPixelPackedSampleModel', 'MultipleMaster',
+ 'MultiPopupMenuUI', 'MultiProgressBarUI',
+ 'MultiScrollBarUI', 'MultiScrollPaneUI',
+ 'MultiSeparatorUI', 'MultiSliderUI',
+ 'MultiSplitPaneUI', 'MultiTabbedPaneUI',
+ 'MultiTableHeaderUI', 'MultiTableUI', 'MultiTextUI',
+ 'MultiToolBarUI', 'MultiToolTipUI', 'MultiTreeUI',
+ 'MultiViewportUI', 'MutableAttributeSet',
+ 'MutableComboBoxModel', 'MutableTreeNode', 'Name',
+ 'NameAlreadyBoundException', 'NameClassPair',
+ 'NameComponent', 'NameComponentHelper',
+ 'NameComponentHolder', 'NamedValue', 'NameHelper',
+ 'NameHolder', 'NameNotFoundException', 'NameParser',
+ 'NamespaceChangeListener', 'NameValuePair',
+ 'NameValuePairHelper', 'Naming', 'NamingContext',
+ 'NamingContextHelper', 'NamingContextHolder',
+ 'NamingContextOperations', 'NamingEnumeration',
+ 'NamingEvent', 'NamingException',
+ 'NamingExceptionEvent', 'NamingListener',
+ 'NamingManager', 'NamingSecurityException',
+ 'NegativeArraySizeException', 'NetPermission',
+ 'NoClassDefFoundError', 'NoInitialContextException',
+ 'NoninvertibleTransformException',
+ 'NoPermissionException', 'NoRouteToHostException',
+ 'NoSuchAlgorithmException',
+ 'NoSuchAttributeException', 'NoSuchElementException',
+ 'NoSuchFieldError', 'NoSuchFieldException',
+ 'NoSuchMethodError', 'NoSuchMethodException',
+ 'NoSuchObjectException', 'NoSuchProviderException',
+ 'NotActiveException', 'NotBoundException',
+ 'NotContextException', 'NotEmpty', 'NotEmptyHelper',
+ 'NotEmptyHolder', 'NotFound', 'NotFoundHelper',
+ 'NotFoundHolder', 'NotFoundReason',
+ 'NotFoundReasonHelper', 'NotFoundReasonHolder',
+ 'NotOwnerException', 'NotSerializableException',
+ 'NO_IMPLEMENT', 'NO_MEMORY', 'NO_PERMISSION',
+ 'NO_RESOURCES', 'NO_RESPONSE',
+ 'NullPointerException', 'Number', 'NumberFormat',
+ 'NumberFormatException', 'NVList', 'Object',
+ 'ObjectChangeListener', 'ObjectFactory',
+ 'ObjectFactoryBuilder', 'ObjectHelper',
+ 'ObjectHolder', 'ObjectImpl',
+ 'ObjectInput', 'ObjectInputStream',
+ 'ObjectInputStream.GetField',
+ 'ObjectInputValidation', 'ObjectOutput',
+ 'ObjectOutputStream', 'ObjectOutputStream.PutField',
+ 'ObjectStreamClass', 'ObjectStreamConstants',
+ 'ObjectStreamException', 'ObjectStreamField',
+ 'ObjectView', 'OBJECT_NOT_EXIST', 'ObjID',
+ 'OBJ_ADAPTER', 'Observable', 'Observer',
+ 'OctetSeqHelper', 'OctetSeqHolder', 'OMGVMCID',
+ 'OpenType', 'Operation',
+ 'OperationNotSupportedException', 'Option',
+ 'OptionalDataException', 'OptionPaneUI', 'ORB',
+ 'OutOfMemoryError', 'OutputStream',
+ 'OutputStreamWriter', 'OverlayLayout', 'Owner',
+ 'Package', 'PackedColorModel', 'Pageable',
+ 'PageAttributes', 'PageAttributes.ColorType',
+ 'PageAttributes.MediaType',
+ 'PageAttributes.OrientationRequestedType',
+ 'PageAttributes.OriginType',
+ 'PageAttributes.PrintQualityType', 'PageFormat',
+ 'Paint', 'PaintContext', 'PaintEvent', 'Panel',
+ 'PanelUI', 'Paper', 'ParagraphView',
+ 'ParameterBlock', 'ParameterDescriptor',
+ 'ParseException', 'ParsePosition', 'Parser',
+ 'ParserDelegator', 'PartialResultException',
+ 'PasswordAuthentication', 'PasswordView', 'Patch',
+ 'PathIterator', 'Permission',
+ 'PermissionCollection', 'Permissions',
+ 'PERSIST_STORE', 'PhantomReference',
+ 'PipedInputStream', 'PipedOutputStream',
+ 'PipedReader', 'PipedWriter', 'PixelGrabber',
+ 'PixelInterleavedSampleModel', 'PKCS8EncodedKeySpec',
+ 'PlainDocument', 'PlainView', 'Point', 'Point2D',
+ 'Point2D.Double', 'Point2D.Float', 'Policy',
+ 'PolicyError', 'PolicyHelper',
+ 'PolicyHolder', 'PolicyListHelper',
+ 'PolicyListHolder', 'PolicyOperations',
+ 'PolicyTypeHelper', 'Polygon', 'PopupMenu',
+ 'PopupMenuEvent', 'PopupMenuListener', 'PopupMenuUI',
+ 'Port', 'Port.Info', 'PortableRemoteObject',
+ 'PortableRemoteObjectDelegate', 'Position',
+ 'Position.Bias', 'PreparedStatement', 'Principal',
+ 'PrincipalHolder', 'Printable',
+ 'PrinterAbortException', 'PrinterException',
+ 'PrinterGraphics', 'PrinterIOException',
+ 'PrinterJob', 'PrintGraphics', 'PrintJob',
+ 'PrintStream', 'PrintWriter', 'PrivateKey',
+ 'PRIVATE_MEMBER', 'PrivilegedAction',
+ 'PrivilegedActionException',
+ 'PrivilegedExceptionAction', 'Process',
+ 'ProfileDataException', 'ProgressBarUI',
+ 'ProgressMonitor', 'ProgressMonitorInputStream',
+ 'Properties', 'PropertyChangeEvent',
+ 'PropertyChangeListener', 'PropertyChangeSupport',
+ 'PropertyDescriptor', 'PropertyEditor',
+ 'PropertyEditorManager', 'PropertyEditorSupport',
+ 'PropertyPermission', 'PropertyResourceBundle',
+ 'PropertyVetoException', 'ProtectionDomain',
+ 'ProtocolException', 'Provider', 'ProviderException',
+ 'Proxy', 'PublicKey', 'PUBLIC_MEMBER',
+ 'PushbackInputStream', 'PushbackReader',
+ 'QuadCurve2D', 'QuadCurve2D.Double',
+ 'QuadCurve2D.Float', 'Random', 'RandomAccessFile',
+ 'Raster', 'RasterFormatException', 'RasterOp',
+ 'Reader', 'Receiver', 'Rectangle', 'Rectangle2D',
+ 'Rectangle2D.Double', 'Rectangle2D.Float',
+ 'RectangularShape', 'Ref', 'RefAddr', 'Reference',
+ 'Referenceable', 'ReferenceQueue',
+ 'ReferralException', 'ReflectPermission', 'Registry',
+ 'RegistryHandler', 'RemarshalException', 'Remote',
+ 'RemoteCall', 'RemoteException', 'RemoteObject',
+ 'RemoteRef', 'RemoteServer', 'RemoteStub',
+ 'RenderableImage', 'RenderableImageOp',
+ 'RenderableImageProducer', 'RenderContext',
+ 'RenderedImage', 'RenderedImageFactory', 'Renderer',
+ 'RenderingHints', 'RenderingHints.Key',
+ 'RepaintManager', 'ReplicateScaleFilter',
+ 'Repository', 'RepositoryIdHelper', 'Request',
+ 'RescaleOp', 'Resolver', 'ResolveResult',
+ 'ResourceBundle', 'ResponseHandler', 'ResultSet',
+ 'ResultSetMetaData', 'ReverbType', 'RGBImageFilter',
+ 'RMIClassLoader', 'RMIClientSocketFactory',
+ 'RMIFailureHandler', 'RMISecurityException',
+ 'RMISecurityManager', 'RMIServerSocketFactory',
+ 'RMISocketFactory', 'Robot', 'RootPaneContainer',
+ 'RootPaneUI', 'RoundRectangle2D',
+ 'RoundRectangle2D.Double', 'RoundRectangle2D.Float',
+ 'RowMapper', 'RSAKey', 'RSAKeyGenParameterSpec',
+ 'RSAPrivateCrtKey', 'RSAPrivateCrtKeySpec',
+ 'RSAPrivateKey', 'RSAPrivateKeySpec', 'RSAPublicKey',
+ 'RSAPublicKeySpec', 'RTFEditorKit',
+ 'RuleBasedCollator', 'Runnable', 'RunTime',
+ 'Runtime', 'RuntimeException', 'RunTimeOperations',
+ 'RuntimePermission', 'SampleModel',
+ 'SchemaViolationException', 'Scrollable',
+ 'Scrollbar', 'ScrollBarUI', 'ScrollPane',
+ 'ScrollPaneConstants', 'ScrollPaneLayout',
+ 'ScrollPaneLayout.UIResource', 'ScrollPaneUI',
+ 'SearchControls', 'SearchResult',
+ 'SecureClassLoader', 'SecureRandom',
+ 'SecureRandomSpi', 'Security', 'SecurityException',
+ 'SecurityManager', 'SecurityPermission', 'Segment',
+ 'SeparatorUI', 'Sequence', 'SequenceInputStream',
+ 'Sequencer', 'Sequencer.SyncMode', 'Serializable',
+ 'SerializablePermission', 'ServantObject',
+ 'ServerCloneException', 'ServerError',
+ 'ServerException', 'ServerNotActiveException',
+ 'ServerRef', 'ServerRequest',
+ 'ServerRuntimeException', 'ServerSocket',
+ 'ServiceDetail', 'ServiceDetailHelper',
+ 'ServiceInformation', 'ServiceInformationHelper',
+ 'ServiceInformationHolder',
+ 'ServiceUnavailableException', 'Set',
+ 'SetOverrideType', 'SetOverrideTypeHelper', 'Shape',
+ 'ShapeGraphicAttribute', 'Short', 'ShortHolder',
+ 'ShortLookupTable', 'ShortMessage', 'ShortSeqHelper',
+ 'ShortSeqHolder', 'Signature', 'SignatureException',
+ 'SignatureSpi', 'SignedObject', 'Signer',
+ 'SimpleAttributeSet', 'SimpleBeanInfo',
+ 'SimpleDateFormat', 'SimpleTimeZone',
+ 'SinglePixelPackedSampleModel',
+ 'SingleSelectionModel', 'SizeLimitExceededException',
+ 'SizeRequirements', 'SizeSequence', 'Skeleton',
+ 'SkeletonMismatchException',
+ 'SkeletonNotFoundException', 'SliderUI', 'Socket',
+ 'SocketException', 'SocketImpl', 'SocketImplFactory',
+ 'SocketOptions', 'SocketPermission',
+ 'SocketSecurityException', 'SoftBevelBorder',
+ 'SoftReference', 'SortedMap', 'SortedSet',
+ 'Soundbank', 'SoundbankReader', 'SoundbankResource',
+ 'SourceDataLine', 'SplitPaneUI', 'SQLData',
+ 'SQLException', 'SQLInput', 'SQLOutput',
+ 'SQLPermission', 'SQLWarning', 'Stack',
+ 'StackOverflowError', 'StateEdit', 'StateEditable',
+ 'StateFactory', 'Statement', 'Streamable',
+ 'StreamableValue', 'StreamCorruptedException',
+ 'StreamTokenizer', 'StrictMath', 'String',
+ 'StringBuffer', 'StringBufferInputStream',
+ 'StringCharacterIterator', 'StringContent',
+ 'StringHolder', 'StringIndexOutOfBoundsException',
+ 'StringReader', 'StringRefAddr', 'StringSelection',
+ 'StringTokenizer', 'StringValueHelper',
+ 'StringWriter', 'Stroke', 'Struct', 'StructMember',
+ 'StructMemberHelper', 'Stub', 'StubDelegate',
+ 'StubNotFoundException', 'Style', 'StyleConstants',
+ 'StyleConstants.CharacterConstants',
+ 'StyleConstants.ColorConstants',
+ 'StyleConstants.FontConstants',
+ 'StyleConstants.ParagraphConstants', 'StyleContext',
+ 'StyledDocument', 'StyledEditorKit',
+ 'StyledEditorKit.AlignmentAction',
+ 'StyledEditorKit.BoldAction',
+ 'StyledEditorKit.FontFamilyAction',
+ 'StyledEditorKit.FontSizeAction',
+ 'StyledEditorKit.ForegroundAction',
+ 'StyledEditorKit.ItalicAction',
+ 'StyledEditorKit.StyledTextAction',
+ 'StyledEditorKit.UnderlineAction', 'StyleSheet',
+ 'StyleSheet.BoxPainter', 'StyleSheet.ListPainter',
+ 'SwingConstants', 'SwingPropertyChangeSupport',
+ 'SwingUtilities', 'SyncFailedException',
+ 'Synthesizer', 'SysexMessage', 'System',
+ 'SystemColor', 'SystemException', 'SystemFlavorMap',
+ 'TabableView', 'TabbedPaneUI', 'TabExpander',
+ 'TableCellEditor', 'TableCellRenderer',
+ 'TableColumn', 'TableColumnModel',
+ 'TableColumnModelEvent', 'TableColumnModelListener',
+ 'TableHeaderUI', 'TableModel', 'TableModelEvent',
+ 'TableModelListener', 'TableUI', 'TableView',
+ 'TabSet', 'TabStop', 'TagElement', 'TargetDataLine',
+ 'TCKind', 'TextAction', 'TextArea', 'TextAttribute',
+ 'TextComponent', 'TextEvent', 'TextField',
+ 'TextHitInfo', 'TextLayout',
+ 'TextLayout.CaretPolicy', 'TextListener',
+ 'TextMeasurer', 'TextUI', 'TexturePaint', 'Thread',
+ 'ThreadDeath', 'ThreadGroup', 'ThreadLocal',
+ 'Throwable', 'Tie', 'TileObserver', 'Time',
+ 'TimeLimitExceededException', 'Timer',
+ 'TimerTask', 'Timestamp', 'TimeZone', 'TitledBorder',
+ 'ToolBarUI', 'Toolkit', 'ToolTipManager',
+ 'ToolTipUI', 'TooManyListenersException', 'Track',
+ 'TransactionRequiredException',
+ 'TransactionRolledbackException',
+ 'TRANSACTION_REQUIRED', 'TRANSACTION_ROLLEDBACK',
+ 'Transferable', 'TransformAttribute', 'TRANSIENT',
+ 'Transmitter', 'Transparency', 'TreeCellEditor',
+ 'TreeCellRenderer', 'TreeExpansionEvent',
+ 'TreeExpansionListener', 'TreeMap', 'TreeModel',
+ 'TreeModelEvent', 'TreeModelListener', 'TreeNode',
+ 'TreePath', 'TreeSelectionEvent',
+ 'TreeSelectionListener', 'TreeSelectionModel',
+ 'TreeSet', 'TreeUI', 'TreeWillExpandListener',
+ 'TypeCode', 'TypeCodeHolder', 'TypeMismatch',
+ 'Types', 'UID', 'UIDefaults',
+ 'UIDefaults.ActiveValue', 'UIDefaults.LazyInputMap',
+ 'UIDefaults.LazyValue', 'UIDefaults.ProxyLazyValue',
+ 'UIManager', 'UIManager.LookAndFeelInfo',
+ 'UIResource', 'ULongLongSeqHelper',
+ 'ULongLongSeqHolder', 'ULongSeqHelper',
+ 'ULongSeqHolder', 'UndeclaredThrowableException',
+ 'UndoableEdit', 'UndoableEditEvent',
+ 'UndoableEditListener', 'UndoableEditSupport',
+ 'UndoManager', 'UnexpectedException',
+ 'UnicastRemoteObject', 'UnionMember',
+ 'UnionMemberHelper', 'UNKNOWN', 'UnknownError',
+ 'UnknownException', 'UnknownGroupException',
+ 'UnknownHostException',
+ 'UnknownObjectException', 'UnknownServiceException',
+ 'UnknownUserException', 'UnmarshalException',
+ 'UnrecoverableKeyException', 'Unreferenced',
+ 'UnresolvedPermission', 'UnsatisfiedLinkError',
+ 'UnsolicitedNotification',
+ 'UnsolicitedNotificationEvent',
+ 'UnsolicitedNotificationListener',
+ 'UnsupportedAudioFileException',
+ 'UnsupportedClassVersionError',
+ 'UnsupportedEncodingException',
+ 'UnsupportedFlavorException',
+ 'UnsupportedLookAndFeelException',
+ 'UnsupportedOperationException',
+ 'UNSUPPORTED_POLICY', 'UNSUPPORTED_POLICY_VALUE',
+ 'URL', 'URLClassLoader', 'URLConnection',
+ 'URLDecoder', 'URLEncoder', 'URLStreamHandler',
+ 'URLStreamHandlerFactory', 'UserException',
+ 'UShortSeqHelper', 'UShortSeqHolder',
+ 'UTFDataFormatException', 'Util', 'UtilDelegate',
+ 'Utilities', 'ValueBase', 'ValueBaseHelper',
+ 'ValueBaseHolder', 'ValueFactory', 'ValueHandler',
+ 'ValueMember', 'ValueMemberHelper',
+ 'VariableHeightLayoutCache', 'Vector', 'VerifyError',
+ 'VersionSpecHelper', 'VetoableChangeListener',
+ 'VetoableChangeSupport', 'View', 'ViewFactory',
+ 'ViewportLayout', 'ViewportUI',
+ 'VirtualMachineError', 'Visibility',
+ 'VisibilityHelper', 'VMID', 'VM_ABSTRACT',
+ 'VM_CUSTOM', 'VM_NONE', 'VM_TRUNCATABLE',
+ 'VoiceStatus', 'Void', 'WCharSeqHelper',
+ 'WCharSeqHolder', 'WeakHashMap', 'WeakReference',
+ 'Window', 'WindowAdapter', 'WindowConstants',
+ 'WindowEvent', 'WindowListener', 'WrappedPlainView',
+ 'WritableRaster', 'WritableRenderedImage',
+ 'WriteAbortedException', 'Writer',
+ 'WrongTransaction', 'WStringValueHelper',
+ 'X509Certificate', 'X509CRL', 'X509CRLEntry',
+ 'X509EncodedKeySpec', 'X509Extension', 'ZipEntry',
+ 'ZipException', 'ZipFile', 'ZipInputStream',
+ 'ZipOutputStream', 'ZoneView',
+ '_BindingIteratorImplBase', '_BindingIteratorStub',
+ '_IDLTypeStub', '_NamingContextImplBase',
+ '_NamingContextStub', '_PolicyStub', '_Remote_Stub'
+ ),
+ 4 => array(
+ 'void', 'double', 'int', 'boolean', 'byte', 'short', 'long', 'char', 'float'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '[', ']', '{', '}',
+ '+', '-', '*', '/', '%',
+ '!', '&', '|', '^',
+ '<', '>', '=',
+ '?', ':', ';',
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => true,
+ 4 => true
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #000000; font-weight: bold;',
+ 2 => 'color: #000066; font-weight: bold;',
+ 3 => 'color: #003399;',
+ 4 => 'color: #000066; font-weight: bold;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #666666; font-style: italic;',
+ 2 => 'color: #006699;',
+ 3 => 'color: #008000; font-style: italic; font-weight: bold;',
+ 3 => 'color: #008000; font-style: italic; font-weight: bold;',
+ 'MULTI' => 'color: #666666; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #009900;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #0000ff;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #006633;',
+ 2 => 'color: #006633;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #339933;'
+ ),
+ 'SCRIPT' => array(
+ ),
+ 'REGEXPS' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => 'http://www.google.com/search?hl=en&amp;q=allinurl%3A{FNAMEL}+java.sun.com&amp;btnI=I%27m%20Feeling%20Lucky',
+ 4 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/java5.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/java5.php
new file mode 100644
index 000000000..34696d760
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/java5.php
@@ -0,0 +1,1031 @@
+<?php
+/*************************************************************************************
+ * java.php
+ * --------
+ * Author: Nigel McNie (nigel@geshi.org)
+ * Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/07/10
+ *
+ * Java language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/05/25 (1.0.7.22)
+ * - Added highlighting of import and package directives as non-OOP
+ * 2005/12/28 (1.0.4)
+ * - Added instanceof keyword
+ * 2004/11/27 (1.0.3)
+ * - Added support for multiple object splitters
+ * 2004/08/05 (1.0.2)
+ * - Added URL support
+ * - Added keyword "this", as bugs in GeSHi class ironed out
+ * 2004/08/05 (1.0.1)
+ * - Added support for symbols
+ * - Added extra missed keywords
+ * 2004/07/14 (1.0.0)
+ * - First Release
+ *
+ * TODO
+ * -------------------------
+ * *
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Java(TM) 2 Platform Standard Edition 5.0',
+ 'COMMENT_SINGLE' => array(1 => '//'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'COMMENT_REGEXP' => array(
+ //Import and Package directives (Basic Support only)
+ 2 => '/(?:(?<=import[\\n\\s])|(?<=package[\\n\\s]))[\\n\\s]*([a-zA-Z0-9_]+\\.)*([a-zA-Z0-9_]+|\*)(?=[\n\s;])/i',
+ // javadoc comments
+ 3 => '#/\*\*(?![\*\/]).*\*/#sU'
+ ),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ /* see the authoritative list of all 50 Java keywords at */
+ /* http://java.sun.com/docs/books/jls/third_edition/html/lexical.html#229308 */
+
+ /* java keywords, part 1: control flow */
+ 'case', 'default', 'do', 'else', 'for',
+ 'goto', 'if', 'switch', 'while'
+
+ /* IMO 'break', 'continue', 'return' and 'throw' */
+ /* should also be added to this group, as they */
+ /* also manage the control flow, */
+ /* arguably 'try'/'catch'/'finally' as well */
+ ),
+ 2 => array(
+ /* java keywords, part 2 */
+
+ 'break', 'continue', 'return', 'throw',
+ 'try', 'catch', 'finally',
+
+ 'abstract', 'assert', 'class', 'const', 'enum', 'extends',
+ 'final', 'implements', 'import', 'instanceof', 'interface',
+ 'native', 'new', 'package', 'private', 'protected',
+ 'public', 'static', 'strictfp', 'super', 'synchronized',
+ 'this', 'throws', 'transient', 'volatile'
+ ),
+ 3 => array(
+ /* Java keywords, part 3: primitive data types and 'void' */
+ 'boolean', 'byte', 'char', 'double',
+ 'float', 'int', 'long', 'short', 'void'
+ ),
+ 4 => array(
+ /* other reserved words in Java: literals */
+ /* should be styled to look similar to numbers and Strings */
+ 'false', 'null', 'true'
+ ),
+ 5 => array (
+ 'Applet', 'AppletContext', 'AppletStub', 'AudioClip'
+ ),
+ 6 => array (
+ 'AWTError', 'AWTEvent', 'AWTEventMulticaster', 'AWTException', 'AWTKeyStroke', 'AWTPermission', 'ActiveEvent', 'Adjustable', 'AlphaComposite', 'BasicStroke', 'BorderLayout', 'BufferCapabilities', 'BufferCapabilities.FlipContents', 'Button', 'Canvas', 'CardLayout', 'Checkbox', 'CheckboxGroup', 'CheckboxMenuItem', 'Choice', 'Color', 'Component', 'ComponentOrientation', 'Composite', 'CompositeContext', 'Container', 'ContainerOrderFocusTraversalPolicy', 'Cursor', 'DefaultFocusTraversalPolicy', 'DefaultKeyboardFocusManager', 'Dialog', 'Dimension', 'DisplayMode', 'EventQueue', 'FileDialog', 'FlowLayout', 'FocusTraversalPolicy', 'Font', 'FontFormatException', 'FontMetrics', 'Frame', 'GradientPaint', 'Graphics', 'Graphics2D', 'GraphicsConfigTemplate', 'GraphicsConfiguration', 'GraphicsDevice', 'GraphicsEnvironment', 'GridBagConstraints', 'GridBagLayout', 'GridLayout', 'HeadlessException', 'IllegalComponentStateException', 'Image', 'ImageCapabilities', 'Insets', 'ItemSelectable', 'JobAttributes',
+ 'JobAttributes.DefaultSelectionType', 'JobAttributes.DestinationType', 'JobAttributes.DialogType', 'JobAttributes.MultipleDocumentHandlingType', 'JobAttributes.SidesType', 'KeyEventDispatcher', 'KeyEventPostProcessor', 'KeyboardFocusManager', 'Label', 'LayoutManager', 'LayoutManager2', 'MediaTracker', 'Menu', 'MenuBar', 'MenuComponent', 'MenuContainer', 'MenuItem', 'MenuShortcut', 'MouseInfo', 'PageAttributes', 'PageAttributes.ColorType', 'PageAttributes.MediaType', 'PageAttributes.OrientationRequestedType', 'PageAttributes.OriginType', 'PageAttributes.PrintQualityType', 'Paint', 'PaintContext', 'Panel', 'Point', 'PointerInfo', 'Polygon', 'PopupMenu', 'PrintGraphics', 'PrintJob', 'Rectangle', 'RenderingHints', 'RenderingHints.Key', 'Robot', 'ScrollPane', 'ScrollPaneAdjustable', 'Scrollbar', 'Shape', 'Stroke', 'SystemColor', 'TextArea', 'TextComponent', 'TextField', 'TexturePaint', 'Toolkit', 'Transparency', 'Window'
+ ),
+ 7 => array (
+ 'CMMException', 'ColorSpace', 'ICC_ColorSpace', 'ICC_Profile', 'ICC_ProfileGray', 'ICC_ProfileRGB', 'ProfileDataException'
+ ),
+ 8 => array (
+ 'Clipboard', 'ClipboardOwner', 'DataFlavor', 'FlavorEvent', 'FlavorListener', 'FlavorMap', 'FlavorTable', 'MimeTypeParseException', 'StringSelection', 'SystemFlavorMap', 'Transferable', 'UnsupportedFlavorException'
+ ),
+ 9 => array (
+ 'Autoscroll', 'DnDConstants', 'DragGestureEvent', 'DragGestureListener', 'DragGestureRecognizer', 'DragSource', 'DragSourceAdapter', 'DragSourceContext', 'DragSourceDragEvent', 'DragSourceDropEvent', 'DragSourceEvent', 'DragSourceListener', 'DragSourceMotionListener', 'DropTarget', 'DropTarget.DropTargetAutoScroller', 'DropTargetAdapter', 'DropTargetContext', 'DropTargetDragEvent', 'DropTargetDropEvent', 'DropTargetEvent', 'DropTargetListener', 'InvalidDnDOperationException', 'MouseDragGestureRecognizer'
+ ),
+ 10 => array (
+ 'AWTEventListener', 'AWTEventListenerProxy', 'ActionEvent', 'ActionListener', 'AdjustmentEvent', 'AdjustmentListener', 'ComponentAdapter', 'ComponentEvent', 'ComponentListener', 'ContainerAdapter', 'ContainerEvent', 'ContainerListener', 'FocusAdapter', 'FocusEvent', 'FocusListener', 'HierarchyBoundsAdapter', 'HierarchyBoundsListener', 'HierarchyEvent', 'HierarchyListener', 'InputEvent', 'InputMethodEvent', 'InputMethodListener', 'InvocationEvent', 'ItemEvent', 'ItemListener', 'KeyAdapter', 'KeyEvent', 'KeyListener', 'MouseAdapter', 'MouseListener', 'MouseMotionAdapter', 'MouseMotionListener', 'MouseWheelEvent', 'MouseWheelListener', 'PaintEvent', 'TextEvent', 'TextListener', 'WindowAdapter', 'WindowEvent', 'WindowFocusListener', 'WindowListener', 'WindowStateListener'
+ ),
+ 11 => array (
+ 'FontRenderContext', 'GlyphJustificationInfo', 'GlyphMetrics', 'GlyphVector', 'GraphicAttribute', 'ImageGraphicAttribute', 'LineBreakMeasurer', 'LineMetrics', 'MultipleMaster', 'NumericShaper', 'ShapeGraphicAttribute', 'TextAttribute', 'TextHitInfo', 'TextLayout', 'TextLayout.CaretPolicy', 'TextMeasurer', 'TransformAttribute'
+ ),
+ 12 => array (
+ 'AffineTransform', 'Arc2D', 'Arc2D.Double', 'Arc2D.Float', 'Area', 'CubicCurve2D', 'CubicCurve2D.Double', 'CubicCurve2D.Float', 'Dimension2D', 'Ellipse2D', 'Ellipse2D.Double', 'Ellipse2D.Float', 'FlatteningPathIterator', 'GeneralPath', 'IllegalPathStateException', 'Line2D', 'Line2D.Double', 'Line2D.Float', 'NoninvertibleTransformException', 'PathIterator', 'Point2D', 'Point2D.Double', 'Point2D.Float', 'QuadCurve2D', 'QuadCurve2D.Double', 'QuadCurve2D.Float', 'Rectangle2D', 'Rectangle2D.Double', 'Rectangle2D.Float', 'RectangularShape', 'RoundRectangle2D', 'RoundRectangle2D.Double', 'RoundRectangle2D.Float'
+ ),
+ 13 => array (
+ 'InputContext', 'InputMethodHighlight', 'InputMethodRequests', 'InputSubset'
+ ),
+ 14 => array (
+ 'InputMethod', 'InputMethodContext', 'InputMethodDescriptor'
+ ),
+ 15 => array (
+ 'AffineTransformOp', 'AreaAveragingScaleFilter', 'BandCombineOp', 'BandedSampleModel', 'BufferStrategy', 'BufferedImage', 'BufferedImageFilter', 'BufferedImageOp', 'ByteLookupTable', 'ColorConvertOp', 'ColorModel', 'ComponentColorModel', 'ComponentSampleModel', 'ConvolveOp', 'CropImageFilter', 'DataBuffer', 'DataBufferByte', 'DataBufferDouble', 'DataBufferFloat', 'DataBufferInt', 'DataBufferShort', 'DataBufferUShort', 'DirectColorModel', 'FilteredImageSource', 'ImageConsumer', 'ImageFilter', 'ImageObserver', 'ImageProducer', 'ImagingOpException', 'IndexColorModel', 'Kernel', 'LookupOp', 'LookupTable', 'MemoryImageSource', 'MultiPixelPackedSampleModel', 'PackedColorModel', 'PixelGrabber', 'PixelInterleavedSampleModel', 'RGBImageFilter', 'Raster', 'RasterFormatException', 'RasterOp', 'RenderedImage', 'ReplicateScaleFilter', 'RescaleOp', 'SampleModel', 'ShortLookupTable', 'SinglePixelPackedSampleModel', 'TileObserver', 'VolatileImage', 'WritableRaster', 'WritableRenderedImage'
+ ),
+ 16 => array (
+ 'ContextualRenderedImageFactory', 'ParameterBlock', 'RenderContext', 'RenderableImage', 'RenderableImageOp', 'RenderableImageProducer', 'RenderedImageFactory'
+ ),
+ 17 => array (
+ 'Book', 'PageFormat', 'Pageable', 'Paper', 'Printable', 'PrinterAbortException', 'PrinterException', 'PrinterGraphics', 'PrinterIOException', 'PrinterJob'
+ ),
+ 18 => array (
+ 'AppletInitializer', 'BeanDescriptor', 'BeanInfo', 'Beans', 'Customizer', 'DefaultPersistenceDelegate', 'DesignMode', 'Encoder', 'EventHandler', 'EventSetDescriptor', 'ExceptionListener', 'Expression', 'FeatureDescriptor', 'IndexedPropertyChangeEvent', 'IndexedPropertyDescriptor', 'Introspector', 'MethodDescriptor', 'ParameterDescriptor', 'PersistenceDelegate', 'PropertyChangeEvent', 'PropertyChangeListener', 'PropertyChangeListenerProxy', 'PropertyChangeSupport', 'PropertyDescriptor', 'PropertyEditor', 'PropertyEditorManager', 'PropertyEditorSupport', 'PropertyVetoException', 'SimpleBeanInfo', 'VetoableChangeListener', 'VetoableChangeListenerProxy', 'VetoableChangeSupport', 'Visibility', 'XMLDecoder', 'XMLEncoder'
+ ),
+ 19 => array (
+ 'BeanContext', 'BeanContextChild', 'BeanContextChildComponentProxy', 'BeanContextChildSupport', 'BeanContextContainerProxy', 'BeanContextEvent', 'BeanContextMembershipEvent', 'BeanContextMembershipListener', 'BeanContextProxy', 'BeanContextServiceAvailableEvent', 'BeanContextServiceProvider', 'BeanContextServiceProviderBeanInfo', 'BeanContextServiceRevokedEvent', 'BeanContextServiceRevokedListener', 'BeanContextServices', 'BeanContextServicesListener', 'BeanContextServicesSupport', 'BeanContextServicesSupport.BCSSServiceProvider', 'BeanContextSupport', 'BeanContextSupport.BCSIterator'
+ ),
+ 20 => array (
+ 'BufferedInputStream', 'BufferedOutputStream', 'BufferedReader', 'BufferedWriter', 'ByteArrayInputStream', 'ByteArrayOutputStream', 'CharArrayReader', 'CharArrayWriter', 'CharConversionException', 'Closeable', 'DataInput', 'DataOutput', 'EOFException', 'Externalizable', 'File', 'FileDescriptor', 'FileInputStream', 'FileNotFoundException', 'FileOutputStream', 'FilePermission', 'FileReader', 'FileWriter', 'FilenameFilter', 'FilterInputStream', 'FilterOutputStream', 'FilterReader', 'FilterWriter', 'Flushable', 'IOException', 'InputStreamReader', 'InterruptedIOException', 'InvalidClassException', 'InvalidObjectException', 'LineNumberInputStream', 'LineNumberReader', 'NotActiveException', 'NotSerializableException', 'ObjectInput', 'ObjectInputStream', 'ObjectInputStream.GetField', 'ObjectInputValidation', 'ObjectOutput', 'ObjectOutputStream', 'ObjectOutputStream.PutField', 'ObjectStreamClass', 'ObjectStreamConstants', 'ObjectStreamException', 'ObjectStreamField', 'OptionalDataException', 'OutputStreamWriter',
+ 'PipedInputStream', 'PipedOutputStream', 'PipedReader', 'PipedWriter', 'PrintStream', 'PrintWriter', 'PushbackInputStream', 'PushbackReader', 'RandomAccessFile', 'Reader', 'SequenceInputStream', 'Serializable', 'SerializablePermission', 'StreamCorruptedException', 'StreamTokenizer', 'StringBufferInputStream', 'StringReader', 'StringWriter', 'SyncFailedException', 'UTFDataFormatException', 'UnsupportedEncodingException', 'WriteAbortedException', 'Writer'
+ ),
+ 21 => array (
+ 'AbstractMethodError', 'Appendable', 'ArithmeticException', 'ArrayIndexOutOfBoundsException', 'ArrayStoreException', 'AssertionError', 'Boolean', 'Byte', 'CharSequence', 'Character', 'Character.Subset', 'Character.UnicodeBlock', 'Class', 'ClassCastException', 'ClassCircularityError', 'ClassFormatError', 'ClassLoader', 'ClassNotFoundException', 'CloneNotSupportedException', 'Cloneable', 'Comparable', 'Compiler', 'Deprecated', 'Double', 'Enum', 'EnumConstantNotPresentException', 'Error', 'Exception', 'ExceptionInInitializerError', 'Float', 'IllegalAccessError', 'IllegalAccessException', 'IllegalArgumentException', 'IllegalMonitorStateException', 'IllegalStateException', 'IllegalThreadStateException', 'IncompatibleClassChangeError', 'IndexOutOfBoundsException', 'InheritableThreadLocal', 'InstantiationError', 'InstantiationException', 'Integer', 'InternalError', 'InterruptedException', 'Iterable', 'LinkageError', 'Long', 'Math', 'NegativeArraySizeException', 'NoClassDefFoundError', 'NoSuchFieldError',
+ 'NoSuchFieldException', 'NoSuchMethodError', 'NoSuchMethodException', 'NullPointerException', 'Number', 'NumberFormatException', 'OutOfMemoryError', 'Override', 'Package', 'Process', 'ProcessBuilder', 'Readable', 'Runnable', 'Runtime', 'RuntimeException', 'RuntimePermission', 'SecurityException', 'SecurityManager', 'Short', 'StackOverflowError', 'StackTraceElement', 'StrictMath', 'String', 'StringBuffer', 'StringBuilder', 'StringIndexOutOfBoundsException', 'SuppressWarnings', 'System', 'Thread', 'Thread.State', 'Thread.UncaughtExceptionHandler', 'ThreadDeath', 'ThreadGroup', 'ThreadLocal', 'Throwable', 'TypeNotPresentException', 'UnknownError', 'UnsatisfiedLinkError', 'UnsupportedClassVersionError', 'UnsupportedOperationException', 'VerifyError', 'VirtualMachineError', 'Void'
+ ),
+ 22 => array (
+ 'AnnotationFormatError', 'AnnotationTypeMismatchException', 'Documented', 'ElementType', 'IncompleteAnnotationException', 'Inherited', 'Retention', 'RetentionPolicy', 'Target'
+ ),
+ 23 => array (
+ 'ClassDefinition', 'ClassFileTransformer', 'IllegalClassFormatException', 'Instrumentation', 'UnmodifiableClassException'
+ ),
+ 24 => array (
+ 'ClassLoadingMXBean', 'CompilationMXBean', 'GarbageCollectorMXBean', 'ManagementFactory', 'ManagementPermission', 'MemoryMXBean', 'MemoryManagerMXBean', 'MemoryNotificationInfo', 'MemoryPoolMXBean', 'MemoryType', 'MemoryUsage', 'OperatingSystemMXBean', 'RuntimeMXBean', 'ThreadInfo', 'ThreadMXBean'
+ ),
+ 25 => array (
+ 'PhantomReference', 'ReferenceQueue', 'SoftReference', 'WeakReference'
+ ),
+ 26 => array (
+ 'AccessibleObject', 'AnnotatedElement', 'Constructor', 'Field', 'GenericArrayType', 'GenericDeclaration', 'GenericSignatureFormatError', 'InvocationHandler', 'InvocationTargetException', 'MalformedParameterizedTypeException', 'Member', 'Method', 'Modifier', 'ParameterizedType', 'ReflectPermission', 'Type', 'TypeVariable', 'UndeclaredThrowableException', 'WildcardType'
+ ),
+ 27 => array (
+ 'BigDecimal', 'BigInteger', 'MathContext', 'RoundingMode'
+ ),
+ 28 => array (
+ 'Authenticator', 'Authenticator.RequestorType', 'BindException', 'CacheRequest', 'CacheResponse', 'ContentHandlerFactory', 'CookieHandler', 'DatagramPacket', 'DatagramSocket', 'DatagramSocketImpl', 'DatagramSocketImplFactory', 'FileNameMap', 'HttpRetryException', 'HttpURLConnection', 'Inet4Address', 'Inet6Address', 'InetAddress', 'InetSocketAddress', 'JarURLConnection', 'MalformedURLException', 'MulticastSocket', 'NetPermission', 'NetworkInterface', 'NoRouteToHostException', 'PasswordAuthentication', 'PortUnreachableException', 'ProtocolException', 'Proxy.Type', 'ProxySelector', 'ResponseCache', 'SecureCacheResponse', 'ServerSocket', 'Socket', 'SocketAddress', 'SocketException', 'SocketImpl', 'SocketImplFactory', 'SocketOptions', 'SocketPermission', 'SocketTimeoutException', 'URI', 'URISyntaxException', 'URL', 'URLClassLoader', 'URLConnection', 'URLDecoder', 'URLEncoder', 'URLStreamHandler', 'URLStreamHandlerFactory', 'UnknownServiceException'
+ ),
+ 29 => array (
+ 'Buffer', 'BufferOverflowException', 'BufferUnderflowException', 'ByteBuffer', 'ByteOrder', 'CharBuffer', 'DoubleBuffer', 'FloatBuffer', 'IntBuffer', 'InvalidMarkException', 'LongBuffer', 'MappedByteBuffer', 'ReadOnlyBufferException', 'ShortBuffer'
+ ),
+ 30 => array (
+ 'AlreadyConnectedException', 'AsynchronousCloseException', 'ByteChannel', 'CancelledKeyException', 'Channel', 'Channels', 'ClosedByInterruptException', 'ClosedChannelException', 'ClosedSelectorException', 'ConnectionPendingException', 'DatagramChannel', 'FileChannel', 'FileChannel.MapMode', 'FileLock', 'FileLockInterruptionException', 'GatheringByteChannel', 'IllegalBlockingModeException', 'IllegalSelectorException', 'InterruptibleChannel', 'NoConnectionPendingException', 'NonReadableChannelException', 'NonWritableChannelException', 'NotYetBoundException', 'NotYetConnectedException', 'OverlappingFileLockException', 'Pipe', 'Pipe.SinkChannel', 'Pipe.SourceChannel', 'ReadableByteChannel', 'ScatteringByteChannel', 'SelectableChannel', 'SelectionKey', 'Selector', 'ServerSocketChannel', 'SocketChannel', 'UnresolvedAddressException', 'UnsupportedAddressTypeException', 'WritableByteChannel'
+ ),
+ 31 => array (
+ 'AbstractInterruptibleChannel', 'AbstractSelectableChannel', 'AbstractSelectionKey', 'AbstractSelector', 'SelectorProvider'
+ ),
+ 32 => array (
+ 'CharacterCodingException', 'Charset', 'CharsetDecoder', 'CharsetEncoder', 'CoderMalfunctionError', 'CoderResult', 'CodingErrorAction', 'IllegalCharsetNameException', 'MalformedInputException', 'UnmappableCharacterException', 'UnsupportedCharsetException'
+ ),
+ 33 => array (
+ 'CharsetProvider'
+ ),
+ 34 => array (
+ 'AccessException', 'AlreadyBoundException', 'ConnectIOException', 'MarshalException', 'MarshalledObject', 'Naming', 'NoSuchObjectException', 'NotBoundException', 'RMISecurityException', 'RMISecurityManager', 'Remote', 'RemoteException', 'ServerError', 'ServerException', 'ServerRuntimeException', 'StubNotFoundException', 'UnexpectedException', 'UnmarshalException'
+ ),
+ 35 => array (
+ 'Activatable', 'ActivateFailedException', 'ActivationDesc', 'ActivationException', 'ActivationGroup', 'ActivationGroupDesc', 'ActivationGroupDesc.CommandEnvironment', 'ActivationGroupID', 'ActivationGroup_Stub', 'ActivationID', 'ActivationInstantiator', 'ActivationMonitor', 'ActivationSystem', 'Activator', 'UnknownGroupException', 'UnknownObjectException'
+ ),
+ 36 => array (
+ 'DGC', 'Lease', 'VMID'
+ ),
+ 37 => array (
+ 'LocateRegistry', 'Registry', 'RegistryHandler'
+ ),
+ 38 => array (
+ 'ExportException', 'LoaderHandler', 'LogStream', 'ObjID', 'Operation', 'RMIClassLoader', 'RMIClassLoaderSpi', 'RMIClientSocketFactory', 'RMIFailureHandler', 'RMIServerSocketFactory', 'RMISocketFactory', 'RemoteCall', 'RemoteObject', 'RemoteObjectInvocationHandler', 'RemoteRef', 'RemoteServer', 'RemoteStub', 'ServerCloneException', 'ServerNotActiveException', 'ServerRef', 'Skeleton', 'SkeletonMismatchException', 'SkeletonNotFoundException', 'SocketSecurityException', 'UID', 'UnicastRemoteObject', 'Unreferenced'
+ ),
+ 39 => array (
+ 'AccessControlContext', 'AccessControlException', 'AccessController', 'AlgorithmParameterGenerator', 'AlgorithmParameterGeneratorSpi', 'AlgorithmParameters', 'AlgorithmParametersSpi', 'AllPermission', 'AuthProvider', 'BasicPermission', 'CodeSigner', 'CodeSource', 'DigestException', 'DigestInputStream', 'DigestOutputStream', 'DomainCombiner', 'GeneralSecurityException', 'Guard', 'GuardedObject', 'Identity', 'IdentityScope', 'InvalidAlgorithmParameterException', 'InvalidParameterException', 'Key', 'KeyException', 'KeyFactory', 'KeyFactorySpi', 'KeyManagementException', 'KeyPair', 'KeyPairGenerator', 'KeyPairGeneratorSpi', 'KeyRep', 'KeyRep.Type', 'KeyStore', 'KeyStore.Builder', 'KeyStore.CallbackHandlerProtection', 'KeyStore.Entry', 'KeyStore.LoadStoreParameter', 'KeyStore.PasswordProtection', 'KeyStore.PrivateKeyEntry', 'KeyStore.ProtectionParameter', 'KeyStore.SecretKeyEntry', 'KeyStore.TrustedCertificateEntry', 'KeyStoreException', 'KeyStoreSpi', 'MessageDigest', 'MessageDigestSpi',
+ 'NoSuchAlgorithmException', 'NoSuchProviderException', 'PermissionCollection', 'Permissions', 'PrivateKey', 'PrivilegedAction', 'PrivilegedActionException', 'PrivilegedExceptionAction', 'ProtectionDomain', 'Provider', 'Provider.Service', 'ProviderException', 'PublicKey', 'SecureClassLoader', 'SecureRandom', 'SecureRandomSpi', 'Security', 'SecurityPermission', 'Signature', 'SignatureException', 'SignatureSpi', 'SignedObject', 'Signer', 'UnrecoverableEntryException', 'UnrecoverableKeyException', 'UnresolvedPermission'
+ ),
+ 40 => array (
+ 'Acl', 'AclEntry', 'AclNotFoundException', 'Group', 'LastOwnerException', 'NotOwnerException', 'Owner'
+ ),
+ 41 => array (
+ 'CRL', 'CRLException', 'CRLSelector', 'CertPath', 'CertPath.CertPathRep', 'CertPathBuilder', 'CertPathBuilderException', 'CertPathBuilderResult', 'CertPathBuilderSpi', 'CertPathParameters', 'CertPathValidator', 'CertPathValidatorException', 'CertPathValidatorResult', 'CertPathValidatorSpi', 'CertSelector', 'CertStore', 'CertStoreException', 'CertStoreParameters', 'CertStoreSpi', 'Certificate.CertificateRep', 'CertificateFactory', 'CertificateFactorySpi', 'CollectionCertStoreParameters', 'LDAPCertStoreParameters', 'PKIXBuilderParameters', 'PKIXCertPathBuilderResult', 'PKIXCertPathChecker', 'PKIXCertPathValidatorResult', 'PKIXParameters', 'PolicyNode', 'PolicyQualifierInfo', 'TrustAnchor', 'X509CRL', 'X509CRLEntry', 'X509CRLSelector', 'X509CertSelector', 'X509Extension'
+ ),
+ 42 => array (
+ 'DSAKey', 'DSAKeyPairGenerator', 'DSAParams', 'DSAPrivateKey', 'DSAPublicKey', 'ECKey', 'ECPrivateKey', 'ECPublicKey', 'RSAKey', 'RSAMultiPrimePrivateCrtKey', 'RSAPrivateCrtKey', 'RSAPrivateKey', 'RSAPublicKey'
+ ),
+ 43 => array (
+ 'AlgorithmParameterSpec', 'DSAParameterSpec', 'DSAPrivateKeySpec', 'DSAPublicKeySpec', 'ECField', 'ECFieldF2m', 'ECFieldFp', 'ECGenParameterSpec', 'ECParameterSpec', 'ECPoint', 'ECPrivateKeySpec', 'ECPublicKeySpec', 'EllipticCurve', 'EncodedKeySpec', 'InvalidKeySpecException', 'InvalidParameterSpecException', 'KeySpec', 'MGF1ParameterSpec', 'PKCS8EncodedKeySpec', 'PSSParameterSpec', 'RSAKeyGenParameterSpec', 'RSAMultiPrimePrivateCrtKeySpec', 'RSAOtherPrimeInfo', 'RSAPrivateCrtKeySpec', 'RSAPrivateKeySpec', 'RSAPublicKeySpec', 'X509EncodedKeySpec'
+ ),
+ 44 => array (
+ 'BatchUpdateException', 'Blob', 'CallableStatement', 'Clob', 'Connection', 'DataTruncation', 'DatabaseMetaData', 'Driver', 'DriverManager', 'DriverPropertyInfo', 'ParameterMetaData', 'PreparedStatement', 'Ref', 'ResultSet', 'ResultSetMetaData', 'SQLData', 'SQLException', 'SQLInput', 'SQLOutput', 'SQLPermission', 'SQLWarning', 'Savepoint', 'Struct', 'Time', 'Types'
+ ),
+ 45 => array (
+ 'AttributedCharacterIterator', 'AttributedCharacterIterator.Attribute', 'AttributedString', 'Bidi', 'BreakIterator', 'CharacterIterator', 'ChoiceFormat', 'CollationElementIterator', 'CollationKey', 'Collator', 'DateFormat', 'DateFormat.Field', 'DateFormatSymbols', 'DecimalFormat', 'DecimalFormatSymbols', 'FieldPosition', 'Format', 'Format.Field', 'MessageFormat', 'MessageFormat.Field', 'NumberFormat', 'NumberFormat.Field', 'ParseException', 'ParsePosition', 'RuleBasedCollator', 'SimpleDateFormat', 'StringCharacterIterator'
+ ),
+ 46 => array (
+ 'AbstractCollection', 'AbstractList', 'AbstractMap', 'AbstractQueue', 'AbstractSequentialList', 'AbstractSet', 'ArrayList', 'Arrays', 'BitSet', 'Calendar', 'Collection', 'Collections', 'Comparator', 'ConcurrentModificationException', 'Currency', 'Dictionary', 'DuplicateFormatFlagsException', 'EmptyStackException', 'EnumMap', 'EnumSet', 'Enumeration', 'EventListenerProxy', 'EventObject', 'FormatFlagsConversionMismatchException', 'Formattable', 'FormattableFlags', 'Formatter.BigDecimalLayoutForm', 'FormatterClosedException', 'GregorianCalendar', 'HashMap', 'HashSet', 'Hashtable', 'IdentityHashMap', 'IllegalFormatCodePointException', 'IllegalFormatConversionException', 'IllegalFormatException', 'IllegalFormatFlagsException', 'IllegalFormatPrecisionException', 'IllegalFormatWidthException', 'InputMismatchException', 'InvalidPropertiesFormatException', 'Iterator', 'LinkedHashMap', 'LinkedHashSet', 'LinkedList', 'ListIterator', 'ListResourceBundle', 'Locale', 'Map', 'Map.Entry', 'MissingFormatArgumentException',
+ 'MissingFormatWidthException', 'MissingResourceException', 'NoSuchElementException', 'Observable', 'Observer', 'PriorityQueue', 'Properties', 'PropertyPermission', 'PropertyResourceBundle', 'Queue', 'Random', 'RandomAccess', 'ResourceBundle', 'Scanner', 'Set', 'SimpleTimeZone', 'SortedMap', 'SortedSet', 'Stack', 'StringTokenizer', 'TimeZone', 'TimerTask', 'TooManyListenersException', 'TreeMap', 'TreeSet', 'UUID', 'UnknownFormatConversionException', 'UnknownFormatFlagsException', 'Vector', 'WeakHashMap'
+ ),
+ 47 => array (
+ 'AbstractExecutorService', 'ArrayBlockingQueue', 'BlockingQueue', 'BrokenBarrierException', 'Callable', 'CancellationException', 'CompletionService', 'ConcurrentHashMap', 'ConcurrentLinkedQueue', 'ConcurrentMap', 'CopyOnWriteArrayList', 'CopyOnWriteArraySet', 'CountDownLatch', 'CyclicBarrier', 'DelayQueue', 'Delayed', 'Exchanger', 'ExecutionException', 'Executor', 'ExecutorCompletionService', 'ExecutorService', 'Executors', 'Future', 'FutureTask', 'LinkedBlockingQueue', 'PriorityBlockingQueue', 'RejectedExecutionException', 'RejectedExecutionHandler', 'ScheduledExecutorService', 'ScheduledFuture', 'ScheduledThreadPoolExecutor', 'Semaphore', 'SynchronousQueue', 'ThreadFactory', 'ThreadPoolExecutor', 'ThreadPoolExecutor.AbortPolicy', 'ThreadPoolExecutor.CallerRunsPolicy', 'ThreadPoolExecutor.DiscardOldestPolicy', 'ThreadPoolExecutor.DiscardPolicy', 'TimeUnit', 'TimeoutException'
+ ),
+ 48 => array (
+ 'AtomicBoolean', 'AtomicInteger', 'AtomicIntegerArray', 'AtomicIntegerFieldUpdater', 'AtomicLong', 'AtomicLongArray', 'AtomicLongFieldUpdater', 'AtomicMarkableReference', 'AtomicReference', 'AtomicReferenceArray', 'AtomicReferenceFieldUpdater', 'AtomicStampedReference'
+ ),
+ 49 => array (
+ 'AbstractQueuedSynchronizer', 'Condition', 'Lock', 'LockSupport', 'ReadWriteLock', 'ReentrantLock', 'ReentrantReadWriteLock', 'ReentrantReadWriteLock.ReadLock', 'ReentrantReadWriteLock.WriteLock'
+ ),
+ 50 => array (
+ 'Attributes.Name', 'JarEntry', 'JarException', 'JarFile', 'JarInputStream', 'JarOutputStream', 'Manifest', 'Pack200', 'Pack200.Packer', 'Pack200.Unpacker'
+ ),
+ 51 => array (
+ 'ConsoleHandler', 'ErrorManager', 'FileHandler', 'Filter', 'Handler', 'Level', 'LogManager', 'LogRecord', 'Logger', 'LoggingMXBean', 'LoggingPermission', 'MemoryHandler', 'SimpleFormatter', 'SocketHandler', 'StreamHandler', 'XMLFormatter'
+ ),
+ 52 => array (
+ 'AbstractPreferences', 'BackingStoreException', 'InvalidPreferencesFormatException', 'NodeChangeEvent', 'NodeChangeListener', 'PreferenceChangeEvent', 'PreferenceChangeListener', 'Preferences', 'PreferencesFactory'
+ ),
+ 53 => array (
+ 'MatchResult', 'Matcher', 'Pattern', 'PatternSyntaxException'
+ ),
+ 54 => array (
+ 'Adler32', 'CRC32', 'CheckedInputStream', 'CheckedOutputStream', 'Checksum', 'DataFormatException', 'Deflater', 'DeflaterOutputStream', 'GZIPInputStream', 'GZIPOutputStream', 'Inflater', 'InflaterInputStream', 'ZipEntry', 'ZipException', 'ZipFile', 'ZipInputStream', 'ZipOutputStream'
+ ),
+ 55 => array (
+ 'Accessible', 'AccessibleAction', 'AccessibleAttributeSequence', 'AccessibleBundle', 'AccessibleComponent', 'AccessibleContext', 'AccessibleEditableText', 'AccessibleExtendedComponent', 'AccessibleExtendedTable', 'AccessibleExtendedText', 'AccessibleHyperlink', 'AccessibleHypertext', 'AccessibleIcon', 'AccessibleKeyBinding', 'AccessibleRelation', 'AccessibleRelationSet', 'AccessibleResourceBundle', 'AccessibleRole', 'AccessibleSelection', 'AccessibleState', 'AccessibleStateSet', 'AccessibleStreamable', 'AccessibleTable', 'AccessibleTableModelChange', 'AccessibleText', 'AccessibleTextSequence', 'AccessibleValue'
+ ),
+ 56 => array (
+ 'ActivityCompletedException', 'ActivityRequiredException', 'InvalidActivityException'
+ ),
+ 57 => array (
+ 'BadPaddingException', 'Cipher', 'CipherInputStream', 'CipherOutputStream', 'CipherSpi', 'EncryptedPrivateKeyInfo', 'ExemptionMechanism', 'ExemptionMechanismException', 'ExemptionMechanismSpi', 'IllegalBlockSizeException', 'KeyAgreement', 'KeyAgreementSpi', 'KeyGenerator', 'KeyGeneratorSpi', 'Mac', 'MacSpi', 'NoSuchPaddingException', 'NullCipher', 'SealedObject', 'SecretKey', 'SecretKeyFactory', 'SecretKeyFactorySpi', 'ShortBufferException'
+ ),
+ 58 => array (
+ 'DHKey', 'DHPrivateKey', 'DHPublicKey', 'PBEKey'
+ ),
+ 59 => array (
+ 'DESKeySpec', 'DESedeKeySpec', 'DHGenParameterSpec', 'DHParameterSpec', 'DHPrivateKeySpec', 'DHPublicKeySpec', 'IvParameterSpec', 'OAEPParameterSpec', 'PBEKeySpec', 'PBEParameterSpec', 'PSource', 'PSource.PSpecified', 'RC2ParameterSpec', 'RC5ParameterSpec', 'SecretKeySpec'
+ ),
+ 60 => array (
+ 'IIOException', 'IIOImage', 'IIOParam', 'IIOParamController', 'ImageIO', 'ImageReadParam', 'ImageReader', 'ImageTranscoder', 'ImageTypeSpecifier', 'ImageWriteParam', 'ImageWriter'
+ ),
+ 61 => array (
+ 'IIOReadProgressListener', 'IIOReadUpdateListener', 'IIOReadWarningListener', 'IIOWriteProgressListener', 'IIOWriteWarningListener'
+ ),
+ 62 => array (
+ 'IIOInvalidTreeException', 'IIOMetadata', 'IIOMetadataController', 'IIOMetadataFormat', 'IIOMetadataFormatImpl', 'IIOMetadataNode'
+ ),
+ 63 => array (
+ 'BMPImageWriteParam'
+ ),
+ 64 => array (
+ 'JPEGHuffmanTable', 'JPEGImageReadParam', 'JPEGImageWriteParam', 'JPEGQTable'
+ ),
+ 65 => array (
+ 'IIORegistry', 'IIOServiceProvider', 'ImageInputStreamSpi', 'ImageOutputStreamSpi', 'ImageReaderSpi', 'ImageReaderWriterSpi', 'ImageTranscoderSpi', 'ImageWriterSpi', 'RegisterableService', 'ServiceRegistry', 'ServiceRegistry.Filter'
+ ),
+ 66 => array (
+ 'FileCacheImageInputStream', 'FileCacheImageOutputStream', 'FileImageInputStream', 'FileImageOutputStream', 'IIOByteBuffer', 'ImageInputStream', 'ImageInputStreamImpl', 'ImageOutputStream', 'ImageOutputStreamImpl', 'MemoryCacheImageInputStream', 'MemoryCacheImageOutputStream'
+ ),
+ 67 => array (
+ 'AttributeChangeNotification', 'AttributeChangeNotificationFilter', 'AttributeNotFoundException', 'AttributeValueExp', 'BadAttributeValueExpException', 'BadBinaryOpValueExpException', 'BadStringOperationException', 'Descriptor', 'DescriptorAccess', 'DynamicMBean', 'InstanceAlreadyExistsException', 'InstanceNotFoundException', 'InvalidApplicationException', 'JMException', 'JMRuntimeException', 'ListenerNotFoundException', 'MBeanAttributeInfo', 'MBeanConstructorInfo', 'MBeanException', 'MBeanFeatureInfo', 'MBeanInfo', 'MBeanNotificationInfo', 'MBeanOperationInfo', 'MBeanParameterInfo', 'MBeanPermission', 'MBeanRegistration', 'MBeanRegistrationException', 'MBeanServer', 'MBeanServerBuilder', 'MBeanServerConnection', 'MBeanServerDelegate', 'MBeanServerDelegateMBean', 'MBeanServerFactory', 'MBeanServerInvocationHandler', 'MBeanServerNotification', 'MBeanServerPermission', 'MBeanTrustPermission', 'MalformedObjectNameException', 'NotCompliantMBeanException', 'Notification', 'NotificationBroadcaster',
+ 'NotificationBroadcasterSupport', 'NotificationEmitter', 'NotificationFilter', 'NotificationFilterSupport', 'NotificationListener', 'ObjectInstance', 'ObjectName', 'OperationsException', 'PersistentMBean', 'Query', 'QueryEval', 'QueryExp', 'ReflectionException', 'RuntimeErrorException', 'RuntimeMBeanException', 'RuntimeOperationsException', 'ServiceNotFoundException', 'StandardMBean', 'StringValueExp', 'ValueExp'
+ ),
+ 68 => array (
+ 'ClassLoaderRepository', 'MLet', 'MLetMBean', 'PrivateClassLoader', 'PrivateMLet'
+ ),
+ 69 => array (
+ 'DescriptorSupport', 'InvalidTargetObjectTypeException', 'ModelMBean', 'ModelMBeanAttributeInfo', 'ModelMBeanConstructorInfo', 'ModelMBeanInfo', 'ModelMBeanInfoSupport', 'ModelMBeanNotificationBroadcaster', 'ModelMBeanNotificationInfo', 'ModelMBeanOperationInfo', 'RequiredModelMBean', 'XMLParseException'
+ ),
+ 70 => array (
+ 'CounterMonitor', 'CounterMonitorMBean', 'GaugeMonitor', 'GaugeMonitorMBean', 'Monitor', 'MonitorMBean', 'MonitorNotification', 'MonitorSettingException', 'StringMonitor', 'StringMonitorMBean'
+ ),
+ 71 => array (
+ 'ArrayType', 'CompositeData', 'CompositeDataSupport', 'CompositeType', 'InvalidOpenTypeException', 'KeyAlreadyExistsException', 'OpenDataException', 'OpenMBeanAttributeInfo', 'OpenMBeanAttributeInfoSupport', 'OpenMBeanConstructorInfo', 'OpenMBeanConstructorInfoSupport', 'OpenMBeanInfo', 'OpenMBeanInfoSupport', 'OpenMBeanOperationInfo', 'OpenMBeanOperationInfoSupport', 'OpenMBeanParameterInfo', 'OpenMBeanParameterInfoSupport', 'SimpleType', 'TabularData', 'TabularDataSupport', 'TabularType'
+ ),
+ 72 => array (
+ 'InvalidRelationIdException', 'InvalidRelationServiceException', 'InvalidRelationTypeException', 'InvalidRoleInfoException', 'InvalidRoleValueException', 'MBeanServerNotificationFilter', 'Relation', 'RelationException', 'RelationNotFoundException', 'RelationNotification', 'RelationService', 'RelationServiceMBean', 'RelationServiceNotRegisteredException', 'RelationSupport', 'RelationSupportMBean', 'RelationType', 'RelationTypeNotFoundException', 'RelationTypeSupport', 'Role', 'RoleInfo', 'RoleInfoNotFoundException', 'RoleList', 'RoleNotFoundException', 'RoleResult', 'RoleStatus', 'RoleUnresolved', 'RoleUnresolvedList'
+ ),
+ 73 => array (
+ 'JMXAuthenticator', 'JMXConnectionNotification', 'JMXConnector', 'JMXConnectorFactory', 'JMXConnectorProvider', 'JMXConnectorServer', 'JMXConnectorServerFactory', 'JMXConnectorServerMBean', 'JMXConnectorServerProvider', 'JMXPrincipal', 'JMXProviderException', 'JMXServerErrorException', 'JMXServiceURL', 'MBeanServerForwarder', 'NotificationResult', 'SubjectDelegationPermission', 'TargetedNotification'
+ ),
+ 74 => array (
+ 'RMIConnection', 'RMIConnectionImpl', 'RMIConnectionImpl_Stub', 'RMIConnector', 'RMIConnectorServer', 'RMIIIOPServerImpl', 'RMIJRMPServerImpl', 'RMIServer', 'RMIServerImpl', 'RMIServerImpl_Stub'
+ ),
+ 75 => array (
+ 'TimerAlarmClockNotification', 'TimerMBean', 'TimerNotification'
+ ),
+ 76 => array (
+ 'AuthenticationNotSupportedException', 'BinaryRefAddr', 'CannotProceedException', 'CommunicationException', 'CompositeName', 'CompoundName', 'ConfigurationException', 'ContextNotEmptyException', 'InitialContext', 'InsufficientResourcesException', 'InterruptedNamingException', 'InvalidNameException', 'LimitExceededException', 'LinkException', 'LinkLoopException', 'LinkRef', 'MalformedLinkException', 'Name', 'NameAlreadyBoundException', 'NameClassPair', 'NameNotFoundException', 'NameParser', 'NamingEnumeration', 'NamingException', 'NamingSecurityException', 'NoInitialContextException', 'NoPermissionException', 'NotContextException', 'OperationNotSupportedException', 'PartialResultException', 'RefAddr', 'Referenceable', 'ReferralException', 'ServiceUnavailableException', 'SizeLimitExceededException', 'StringRefAddr', 'TimeLimitExceededException'
+ ),
+ 77 => array (
+ 'AttributeInUseException', 'AttributeModificationException', 'BasicAttribute', 'BasicAttributes', 'DirContext', 'InitialDirContext', 'InvalidAttributeIdentifierException', 'InvalidAttributesException', 'InvalidSearchControlsException', 'InvalidSearchFilterException', 'ModificationItem', 'NoSuchAttributeException', 'SchemaViolationException', 'SearchControls', 'SearchResult'
+ ),
+ 78 => array (
+ 'EventContext', 'EventDirContext', 'NamespaceChangeListener', 'NamingEvent', 'NamingExceptionEvent', 'NamingListener', 'ObjectChangeListener'
+ ),
+ 79 => array (
+ 'BasicControl', 'ControlFactory', 'ExtendedRequest', 'ExtendedResponse', 'HasControls', 'InitialLdapContext', 'LdapContext', 'LdapName', 'LdapReferralException', 'ManageReferralControl', 'PagedResultsControl', 'PagedResultsResponseControl', 'Rdn', 'SortControl', 'SortKey', 'SortResponseControl', 'StartTlsRequest', 'StartTlsResponse', 'UnsolicitedNotification', 'UnsolicitedNotificationEvent', 'UnsolicitedNotificationListener'
+ ),
+ 80 => array (
+ 'DirObjectFactory', 'DirStateFactory', 'DirStateFactory.Result', 'DirectoryManager', 'InitialContextFactory', 'InitialContextFactoryBuilder', 'NamingManager', 'ObjectFactory', 'ObjectFactoryBuilder', 'ResolveResult', 'Resolver', 'StateFactory'
+ ),
+ 81 => array (
+ 'ServerSocketFactory', 'SocketFactory'
+ ),
+ 82 => array (
+ 'CertPathTrustManagerParameters', 'HandshakeCompletedEvent', 'HandshakeCompletedListener', 'HostnameVerifier', 'HttpsURLConnection', 'KeyManager', 'KeyManagerFactory', 'KeyManagerFactorySpi', 'KeyStoreBuilderParameters', 'ManagerFactoryParameters', 'SSLContext', 'SSLContextSpi', 'SSLEngine', 'SSLEngineResult', 'SSLEngineResult.HandshakeStatus', 'SSLEngineResult.Status', 'SSLException', 'SSLHandshakeException', 'SSLKeyException', 'SSLPeerUnverifiedException', 'SSLPermission', 'SSLProtocolException', 'SSLServerSocket', 'SSLServerSocketFactory', 'SSLSession', 'SSLSessionBindingEvent', 'SSLSessionBindingListener', 'SSLSessionContext', 'SSLSocket', 'SSLSocketFactory', 'TrustManager', 'TrustManagerFactory', 'TrustManagerFactorySpi', 'X509ExtendedKeyManager', 'X509KeyManager', 'X509TrustManager'
+ ),
+ 83 => array (
+ 'AttributeException', 'CancelablePrintJob', 'Doc', 'DocFlavor', 'DocFlavor.BYTE_ARRAY', 'DocFlavor.CHAR_ARRAY', 'DocFlavor.INPUT_STREAM', 'DocFlavor.READER', 'DocFlavor.SERVICE_FORMATTED', 'DocFlavor.STRING', 'DocFlavor.URL', 'DocPrintJob', 'FlavorException', 'MultiDoc', 'MultiDocPrintJob', 'MultiDocPrintService', 'PrintException', 'PrintService', 'PrintServiceLookup', 'ServiceUI', 'ServiceUIFactory', 'SimpleDoc', 'StreamPrintService', 'StreamPrintServiceFactory', 'URIException'
+ ),
+ 84 => array (
+ 'AttributeSetUtilities', 'DateTimeSyntax', 'DocAttribute', 'DocAttributeSet', 'EnumSyntax', 'HashAttributeSet', 'HashDocAttributeSet', 'HashPrintJobAttributeSet', 'HashPrintRequestAttributeSet', 'HashPrintServiceAttributeSet', 'IntegerSyntax', 'PrintJobAttribute', 'PrintJobAttributeSet', 'PrintRequestAttribute', 'PrintRequestAttributeSet', 'PrintServiceAttribute', 'PrintServiceAttributeSet', 'ResolutionSyntax', 'SetOfIntegerSyntax', 'Size2DSyntax', 'SupportedValuesAttribute', 'TextSyntax', 'URISyntax', 'UnmodifiableSetException'
+ ),
+ 85 => array (
+ 'Chromaticity', 'ColorSupported', 'Compression', 'Copies', 'CopiesSupported', 'DateTimeAtCompleted', 'DateTimeAtCreation', 'DateTimeAtProcessing', 'Destination', 'DocumentName', 'Fidelity', 'Finishings', 'JobHoldUntil', 'JobImpressions', 'JobImpressionsCompleted', 'JobImpressionsSupported', 'JobKOctets', 'JobKOctetsProcessed', 'JobKOctetsSupported', 'JobMediaSheets', 'JobMediaSheetsCompleted', 'JobMediaSheetsSupported', 'JobMessageFromOperator', 'JobName', 'JobOriginatingUserName', 'JobPriority', 'JobPrioritySupported', 'JobSheets', 'JobState', 'JobStateReason', 'JobStateReasons', 'Media', 'MediaName', 'MediaPrintableArea', 'MediaSize', 'MediaSize.Engineering', 'MediaSize.ISO', 'MediaSize.JIS', 'MediaSize.NA', 'MediaSize.Other', 'MediaSizeName', 'MediaTray', 'MultipleDocumentHandling', 'NumberOfDocuments', 'NumberOfInterveningJobs', 'NumberUp', 'NumberUpSupported', 'OrientationRequested', 'OutputDeviceAssigned', 'PDLOverrideSupported', 'PageRanges', 'PagesPerMinute', 'PagesPerMinuteColor',
+ 'PresentationDirection', 'PrintQuality', 'PrinterInfo', 'PrinterIsAcceptingJobs', 'PrinterLocation', 'PrinterMakeAndModel', 'PrinterMessageFromOperator', 'PrinterMoreInfo', 'PrinterMoreInfoManufacturer', 'PrinterName', 'PrinterResolution', 'PrinterState', 'PrinterStateReason', 'PrinterStateReasons', 'PrinterURI', 'QueuedJobCount', 'ReferenceUriSchemesSupported', 'RequestingUserName', 'Severity', 'SheetCollate', 'Sides'
+ ),
+ 86 => array (
+ 'PrintEvent', 'PrintJobAdapter', 'PrintJobAttributeEvent', 'PrintJobAttributeListener', 'PrintJobEvent', 'PrintJobListener', 'PrintServiceAttributeEvent', 'PrintServiceAttributeListener'
+ ),
+ 87 => array (
+ 'PortableRemoteObject'
+ ),
+ 88 => array (
+ 'ClassDesc', 'PortableRemoteObjectDelegate', 'Stub', 'StubDelegate', 'Tie', 'Util', 'UtilDelegate', 'ValueHandler', 'ValueHandlerMultiFormat'
+ ),
+ 89 => array (
+ 'SslRMIClientSocketFactory', 'SslRMIServerSocketFactory'
+ ),
+ 90 => array (
+ 'AuthPermission', 'DestroyFailedException', 'Destroyable', 'PrivateCredentialPermission', 'RefreshFailedException', 'Refreshable', 'Subject', 'SubjectDomainCombiner'
+ ),
+ 91 => array (
+ 'Callback', 'CallbackHandler', 'ChoiceCallback', 'ConfirmationCallback', 'LanguageCallback', 'NameCallback', 'PasswordCallback', 'TextInputCallback', 'TextOutputCallback', 'UnsupportedCallbackException'
+ ),
+ 92 => array (
+ 'DelegationPermission', 'KerberosKey', 'KerberosPrincipal', 'KerberosTicket', 'ServicePermission'
+ ),
+ 93 => array (
+ 'AccountException', 'AccountExpiredException', 'AccountLockedException', 'AccountNotFoundException', 'AppConfigurationEntry', 'AppConfigurationEntry.LoginModuleControlFlag', 'Configuration', 'CredentialException', 'CredentialExpiredException', 'CredentialNotFoundException', 'FailedLoginException', 'LoginContext', 'LoginException'
+ ),
+ 94 => array (
+ 'LoginModule'
+ ),
+ 95 => array (
+ 'X500Principal', 'X500PrivateCredential'
+ ),
+ 96 => array (
+ 'AuthorizeCallback', 'RealmCallback', 'RealmChoiceCallback', 'Sasl', 'SaslClient', 'SaslClientFactory', 'SaslException', 'SaslServer', 'SaslServerFactory'
+ ),
+ 97 => array (
+ 'ControllerEventListener', 'Instrument', 'InvalidMidiDataException', 'MetaEventListener', 'MetaMessage', 'MidiChannel', 'MidiDevice', 'MidiDevice.Info', 'MidiEvent', 'MidiFileFormat', 'MidiMessage', 'MidiSystem', 'MidiUnavailableException', 'Patch', 'Receiver', 'Sequence', 'Sequencer', 'Sequencer.SyncMode', 'ShortMessage', 'Soundbank', 'SoundbankResource', 'Synthesizer', 'SysexMessage', 'Track', 'Transmitter', 'VoiceStatus'
+ ),
+ 98 => array (
+ 'MidiDeviceProvider', 'MidiFileReader', 'MidiFileWriter', 'SoundbankReader'
+ ),
+ 99 => array (
+ 'AudioFileFormat', 'AudioFileFormat.Type', 'AudioFormat', 'AudioFormat.Encoding', 'AudioInputStream', 'AudioPermission', 'AudioSystem', 'BooleanControl', 'BooleanControl.Type', 'Clip', 'CompoundControl', 'CompoundControl.Type', 'Control.Type', 'DataLine', 'DataLine.Info', 'EnumControl', 'EnumControl.Type', 'FloatControl', 'FloatControl.Type', 'Line', 'Line.Info', 'LineEvent', 'LineEvent.Type', 'LineListener', 'LineUnavailableException', 'Mixer', 'Mixer.Info', 'Port', 'Port.Info', 'ReverbType', 'SourceDataLine', 'TargetDataLine', 'UnsupportedAudioFileException'
+ ),
+ 100 => array (
+ 'AudioFileReader', 'AudioFileWriter', 'FormatConversionProvider', 'MixerProvider'
+ ),
+ 101 => array (
+ 'ConnectionEvent', 'ConnectionEventListener', 'ConnectionPoolDataSource', 'DataSource', 'PooledConnection', 'RowSet', 'RowSetEvent', 'RowSetInternal', 'RowSetListener', 'RowSetMetaData', 'RowSetReader', 'RowSetWriter', 'XAConnection', 'XADataSource'
+ ),
+ 102 => array (
+ 'BaseRowSet', 'CachedRowSet', 'FilteredRowSet', 'JdbcRowSet', 'JoinRowSet', 'Joinable', 'Predicate', 'RowSetMetaDataImpl', 'RowSetWarning', 'WebRowSet'
+ ),
+ 103 => array (
+ 'SQLInputImpl', 'SQLOutputImpl', 'SerialArray', 'SerialBlob', 'SerialClob', 'SerialDatalink', 'SerialException', 'SerialJavaObject', 'SerialRef', 'SerialStruct'
+ ),
+ 104 => array (
+ 'SyncFactory', 'SyncFactoryException', 'SyncProvider', 'SyncProviderException', 'SyncResolver', 'TransactionalWriter', 'XmlReader', 'XmlWriter'
+ ),
+ 105 => array (
+ 'AbstractAction', 'AbstractButton', 'AbstractCellEditor', 'AbstractListModel', 'AbstractSpinnerModel', 'Action', 'ActionMap', 'BorderFactory', 'BoundedRangeModel', 'Box', 'Box.Filler', 'BoxLayout', 'ButtonGroup', 'ButtonModel', 'CellEditor', 'CellRendererPane', 'ComboBoxEditor', 'ComboBoxModel', 'ComponentInputMap', 'DebugGraphics', 'DefaultBoundedRangeModel', 'DefaultButtonModel', 'DefaultCellEditor', 'DefaultComboBoxModel', 'DefaultDesktopManager', 'DefaultFocusManager', 'DefaultListCellRenderer', 'DefaultListCellRenderer.UIResource', 'DefaultListModel', 'DefaultListSelectionModel', 'DefaultSingleSelectionModel', 'DesktopManager', 'FocusManager', 'GrayFilter', 'Icon', 'ImageIcon', 'InputMap', 'InputVerifier', 'InternalFrameFocusTraversalPolicy', 'JApplet', 'JButton', 'JCheckBox', 'JCheckBoxMenuItem', 'JColorChooser', 'JComboBox', 'JComboBox.KeySelectionManager', 'JComponent', 'JDesktopPane', 'JDialog', 'JEditorPane', 'JFileChooser', 'JFormattedTextField', 'JFormattedTextField.AbstractFormatter',
+ 'JFormattedTextField.AbstractFormatterFactory', 'JFrame', 'JInternalFrame', 'JInternalFrame.JDesktopIcon', 'JLabel', 'JLayeredPane', 'JList', 'JMenu', 'JMenuBar', 'JMenuItem', 'JOptionPane', 'JPanel', 'JPasswordField', 'JPopupMenu', 'JPopupMenu.Separator', 'JProgressBar', 'JRadioButton', 'JRadioButtonMenuItem', 'JRootPane', 'JScrollBar', 'JScrollPane', 'JSeparator', 'JSlider', 'JSpinner', 'JSpinner.DateEditor', 'JSpinner.DefaultEditor', 'JSpinner.ListEditor', 'JSpinner.NumberEditor', 'JSplitPane', 'JTabbedPane', 'JTable', 'JTable.PrintMode', 'JTextArea', 'JTextField', 'JTextPane', 'JToggleButton', 'JToggleButton.ToggleButtonModel', 'JToolBar', 'JToolBar.Separator', 'JToolTip', 'JTree', 'JTree.DynamicUtilTreeNode', 'JTree.EmptySelectionModel', 'JViewport', 'JWindow', 'KeyStroke', 'LayoutFocusTraversalPolicy', 'ListCellRenderer', 'ListModel', 'ListSelectionModel', 'LookAndFeel', 'MenuElement', 'MenuSelectionManager', 'MutableComboBoxModel', 'OverlayLayout', 'Popup', 'PopupFactory', 'ProgressMonitor',
+ 'ProgressMonitorInputStream', 'Renderer', 'RepaintManager', 'RootPaneContainer', 'ScrollPaneConstants', 'ScrollPaneLayout', 'ScrollPaneLayout.UIResource', 'Scrollable', 'SingleSelectionModel', 'SizeRequirements', 'SizeSequence', 'SortingFocusTraversalPolicy', 'SpinnerDateModel', 'SpinnerListModel', 'SpinnerModel', 'SpinnerNumberModel', 'Spring', 'SpringLayout', 'SpringLayout.Constraints', 'SwingConstants', 'SwingUtilities', 'ToolTipManager', 'TransferHandler', 'UIDefaults', 'UIDefaults.ActiveValue', 'UIDefaults.LazyInputMap', 'UIDefaults.LazyValue', 'UIDefaults.ProxyLazyValue', 'UIManager', 'UIManager.LookAndFeelInfo', 'UnsupportedLookAndFeelException', 'ViewportLayout', 'WindowConstants'
+ ),
+ 106 => array (
+ 'AbstractBorder', 'BevelBorder', 'Border', 'CompoundBorder', 'EmptyBorder', 'EtchedBorder', 'LineBorder', 'MatteBorder', 'SoftBevelBorder', 'TitledBorder'
+ ),
+ 107 => array (
+ 'AbstractColorChooserPanel', 'ColorChooserComponentFactory', 'ColorSelectionModel', 'DefaultColorSelectionModel'
+ ),
+ 108 => array (
+ 'AncestorEvent', 'AncestorListener', 'CaretEvent', 'CaretListener', 'CellEditorListener', 'ChangeEvent', 'ChangeListener', 'DocumentEvent.ElementChange', 'DocumentEvent.EventType', 'DocumentListener', 'EventListenerList', 'HyperlinkEvent', 'HyperlinkEvent.EventType', 'HyperlinkListener', 'InternalFrameAdapter', 'InternalFrameEvent', 'InternalFrameListener', 'ListDataEvent', 'ListDataListener', 'ListSelectionEvent', 'ListSelectionListener', 'MenuDragMouseEvent', 'MenuDragMouseListener', 'MenuEvent', 'MenuKeyEvent', 'MenuKeyListener', 'MenuListener', 'MouseInputAdapter', 'MouseInputListener', 'PopupMenuEvent', 'PopupMenuListener', 'SwingPropertyChangeSupport', 'TableColumnModelEvent', 'TableColumnModelListener', 'TableModelEvent', 'TableModelListener', 'TreeExpansionEvent', 'TreeExpansionListener', 'TreeModelEvent', 'TreeModelListener', 'TreeSelectionEvent', 'TreeSelectionListener', 'TreeWillExpandListener', 'UndoableEditEvent', 'UndoableEditListener'
+ ),
+ 109 => array (
+ 'FileSystemView', 'FileView'
+ ),
+ 110 => array (
+ 'ActionMapUIResource', 'BorderUIResource', 'BorderUIResource.BevelBorderUIResource', 'BorderUIResource.CompoundBorderUIResource', 'BorderUIResource.EmptyBorderUIResource', 'BorderUIResource.EtchedBorderUIResource', 'BorderUIResource.LineBorderUIResource', 'BorderUIResource.MatteBorderUIResource', 'BorderUIResource.TitledBorderUIResource', 'ButtonUI', 'ColorChooserUI', 'ColorUIResource', 'ComboBoxUI', 'ComponentInputMapUIResource', 'ComponentUI', 'DesktopIconUI', 'DesktopPaneUI', 'DimensionUIResource', 'FileChooserUI', 'FontUIResource', 'IconUIResource', 'InputMapUIResource', 'InsetsUIResource', 'InternalFrameUI', 'LabelUI', 'ListUI', 'MenuBarUI', 'MenuItemUI', 'OptionPaneUI', 'PanelUI', 'PopupMenuUI', 'ProgressBarUI', 'RootPaneUI', 'ScrollBarUI', 'ScrollPaneUI', 'SeparatorUI', 'SliderUI', 'SpinnerUI', 'SplitPaneUI', 'TabbedPaneUI', 'TableHeaderUI', 'TableUI', 'TextUI', 'ToolBarUI', 'ToolTipUI', 'TreeUI', 'UIResource', 'ViewportUI'
+ ),
+ 111 => array (
+ 'BasicArrowButton', 'BasicBorders', 'BasicBorders.ButtonBorder', 'BasicBorders.FieldBorder', 'BasicBorders.MarginBorder', 'BasicBorders.MenuBarBorder', 'BasicBorders.RadioButtonBorder', 'BasicBorders.RolloverButtonBorder', 'BasicBorders.SplitPaneBorder', 'BasicBorders.ToggleButtonBorder', 'BasicButtonListener', 'BasicButtonUI', 'BasicCheckBoxMenuItemUI', 'BasicCheckBoxUI', 'BasicColorChooserUI', 'BasicComboBoxEditor', 'BasicComboBoxEditor.UIResource', 'BasicComboBoxRenderer', 'BasicComboBoxRenderer.UIResource', 'BasicComboBoxUI', 'BasicComboPopup', 'BasicDesktopIconUI', 'BasicDesktopPaneUI', 'BasicDirectoryModel', 'BasicEditorPaneUI', 'BasicFileChooserUI', 'BasicFormattedTextFieldUI', 'BasicGraphicsUtils', 'BasicHTML', 'BasicIconFactory', 'BasicInternalFrameTitlePane', 'BasicInternalFrameUI', 'BasicLabelUI', 'BasicListUI', 'BasicLookAndFeel', 'BasicMenuBarUI', 'BasicMenuItemUI', 'BasicMenuUI', 'BasicOptionPaneUI', 'BasicOptionPaneUI.ButtonAreaLayout', 'BasicPanelUI', 'BasicPasswordFieldUI',
+ 'BasicPopupMenuSeparatorUI', 'BasicPopupMenuUI', 'BasicProgressBarUI', 'BasicRadioButtonMenuItemUI', 'BasicRadioButtonUI', 'BasicRootPaneUI', 'BasicScrollBarUI', 'BasicScrollPaneUI', 'BasicSeparatorUI', 'BasicSliderUI', 'BasicSpinnerUI', 'BasicSplitPaneDivider', 'BasicSplitPaneUI', 'BasicTabbedPaneUI', 'BasicTableHeaderUI', 'BasicTableUI', 'BasicTextAreaUI', 'BasicTextFieldUI', 'BasicTextPaneUI', 'BasicTextUI', 'BasicTextUI.BasicCaret', 'BasicTextUI.BasicHighlighter', 'BasicToggleButtonUI', 'BasicToolBarSeparatorUI', 'BasicToolBarUI', 'BasicToolTipUI', 'BasicTreeUI', 'BasicViewportUI', 'ComboPopup', 'DefaultMenuLayout'
+ ),
+ 112 => array (
+ 'DefaultMetalTheme', 'MetalBorders', 'MetalBorders.ButtonBorder', 'MetalBorders.Flush3DBorder', 'MetalBorders.InternalFrameBorder', 'MetalBorders.MenuBarBorder', 'MetalBorders.MenuItemBorder', 'MetalBorders.OptionDialogBorder', 'MetalBorders.PaletteBorder', 'MetalBorders.PopupMenuBorder', 'MetalBorders.RolloverButtonBorder', 'MetalBorders.ScrollPaneBorder', 'MetalBorders.TableHeaderBorder', 'MetalBorders.TextFieldBorder', 'MetalBorders.ToggleButtonBorder', 'MetalBorders.ToolBarBorder', 'MetalButtonUI', 'MetalCheckBoxIcon', 'MetalCheckBoxUI', 'MetalComboBoxButton', 'MetalComboBoxEditor', 'MetalComboBoxEditor.UIResource', 'MetalComboBoxIcon', 'MetalComboBoxUI', 'MetalDesktopIconUI', 'MetalFileChooserUI', 'MetalIconFactory', 'MetalIconFactory.FileIcon16', 'MetalIconFactory.FolderIcon16', 'MetalIconFactory.PaletteCloseIcon', 'MetalIconFactory.TreeControlIcon', 'MetalIconFactory.TreeFolderIcon', 'MetalIconFactory.TreeLeafIcon', 'MetalInternalFrameTitlePane', 'MetalInternalFrameUI', 'MetalLabelUI',
+ 'MetalLookAndFeel', 'MetalMenuBarUI', 'MetalPopupMenuSeparatorUI', 'MetalProgressBarUI', 'MetalRadioButtonUI', 'MetalRootPaneUI', 'MetalScrollBarUI', 'MetalScrollButton', 'MetalScrollPaneUI', 'MetalSeparatorUI', 'MetalSliderUI', 'MetalSplitPaneUI', 'MetalTabbedPaneUI', 'MetalTextFieldUI', 'MetalTheme', 'MetalToggleButtonUI', 'MetalToolBarUI', 'MetalToolTipUI', 'MetalTreeUI', 'OceanTheme'
+ ),
+ 113 => array (
+ 'MultiButtonUI', 'MultiColorChooserUI', 'MultiComboBoxUI', 'MultiDesktopIconUI', 'MultiDesktopPaneUI', 'MultiFileChooserUI', 'MultiInternalFrameUI', 'MultiLabelUI', 'MultiListUI', 'MultiLookAndFeel', 'MultiMenuBarUI', 'MultiMenuItemUI', 'MultiOptionPaneUI', 'MultiPanelUI', 'MultiPopupMenuUI', 'MultiProgressBarUI', 'MultiRootPaneUI', 'MultiScrollBarUI', 'MultiScrollPaneUI', 'MultiSeparatorUI', 'MultiSliderUI', 'MultiSpinnerUI', 'MultiSplitPaneUI', 'MultiTabbedPaneUI', 'MultiTableHeaderUI', 'MultiTableUI', 'MultiTextUI', 'MultiToolBarUI', 'MultiToolTipUI', 'MultiTreeUI', 'MultiViewportUI'
+ ),
+ 114 => array (
+ 'ColorType', 'Region', 'SynthConstants', 'SynthContext', 'SynthGraphicsUtils', 'SynthLookAndFeel', 'SynthPainter', 'SynthStyle', 'SynthStyleFactory'
+ ),
+ 115 => array (
+ 'AbstractTableModel', 'DefaultTableCellRenderer', 'DefaultTableCellRenderer.UIResource', 'DefaultTableColumnModel', 'DefaultTableModel', 'JTableHeader', 'TableCellEditor', 'TableCellRenderer', 'TableColumn', 'TableColumnModel', 'TableModel'
+ ),
+ 116 => array (
+ 'AbstractDocument', 'AbstractDocument.AttributeContext', 'AbstractDocument.Content', 'AbstractDocument.ElementEdit', 'AbstractWriter', 'AsyncBoxView', 'AttributeSet.CharacterAttribute', 'AttributeSet.ColorAttribute', 'AttributeSet.FontAttribute', 'AttributeSet.ParagraphAttribute', 'BadLocationException', 'BoxView', 'Caret', 'ChangedCharSetException', 'ComponentView', 'CompositeView', 'DateFormatter', 'DefaultCaret', 'DefaultEditorKit', 'DefaultEditorKit.BeepAction', 'DefaultEditorKit.CopyAction', 'DefaultEditorKit.CutAction', 'DefaultEditorKit.DefaultKeyTypedAction', 'DefaultEditorKit.InsertBreakAction', 'DefaultEditorKit.InsertContentAction', 'DefaultEditorKit.InsertTabAction', 'DefaultEditorKit.PasteAction', 'DefaultFormatter', 'DefaultFormatterFactory', 'DefaultHighlighter', 'DefaultHighlighter.DefaultHighlightPainter', 'DefaultStyledDocument', 'DefaultStyledDocument.AttributeUndoableEdit', 'DefaultStyledDocument.ElementSpec', 'DefaultTextUI', 'DocumentFilter', 'DocumentFilter.FilterBypass',
+ 'EditorKit', 'ElementIterator', 'FieldView', 'FlowView', 'FlowView.FlowStrategy', 'GapContent', 'GlyphView', 'GlyphView.GlyphPainter', 'Highlighter', 'Highlighter.Highlight', 'Highlighter.HighlightPainter', 'IconView', 'InternationalFormatter', 'JTextComponent', 'JTextComponent.KeyBinding', 'Keymap', 'LabelView', 'LayeredHighlighter', 'LayeredHighlighter.LayerPainter', 'LayoutQueue', 'MaskFormatter', 'MutableAttributeSet', 'NavigationFilter', 'NavigationFilter.FilterBypass', 'NumberFormatter', 'PasswordView', 'PlainDocument', 'PlainView', 'Position', 'Position.Bias', 'Segment', 'SimpleAttributeSet', 'StringContent', 'Style', 'StyleConstants', 'StyleConstants.CharacterConstants', 'StyleConstants.ColorConstants', 'StyleConstants.FontConstants', 'StyleConstants.ParagraphConstants', 'StyleContext', 'StyledDocument', 'StyledEditorKit', 'StyledEditorKit.AlignmentAction', 'StyledEditorKit.BoldAction', 'StyledEditorKit.FontFamilyAction', 'StyledEditorKit.FontSizeAction', 'StyledEditorKit.ForegroundAction',
+ 'StyledEditorKit.ItalicAction', 'StyledEditorKit.StyledTextAction', 'StyledEditorKit.UnderlineAction', 'TabExpander', 'TabSet', 'TabStop', 'TabableView', 'TableView', 'TextAction', 'Utilities', 'View', 'ViewFactory', 'WrappedPlainView', 'ZoneView'
+ ),
+ 117 => array (
+ 'BlockView', 'CSS', 'CSS.Attribute', 'FormSubmitEvent', 'FormSubmitEvent.MethodType', 'FormView', 'HTML', 'HTML.Attribute', 'HTML.Tag', 'HTML.UnknownTag', 'HTMLDocument', 'HTMLDocument.Iterator', 'HTMLEditorKit', 'HTMLEditorKit.HTMLFactory', 'HTMLEditorKit.HTMLTextAction', 'HTMLEditorKit.InsertHTMLTextAction', 'HTMLEditorKit.LinkController', 'HTMLEditorKit.Parser', 'HTMLEditorKit.ParserCallback', 'HTMLFrameHyperlinkEvent', 'HTMLWriter', 'ImageView', 'InlineView', 'ListView', 'MinimalHTMLWriter', 'ObjectView', 'Option', 'StyleSheet', 'StyleSheet.BoxPainter', 'StyleSheet.ListPainter'
+ ),
+ 118 => array (
+ 'ContentModel', 'DTD', 'DTDConstants', 'DocumentParser', 'ParserDelegator', 'TagElement'
+ ),
+ 119 => array (
+ 'RTFEditorKit'
+ ),
+ 120 => array (
+ 'AbstractLayoutCache', 'AbstractLayoutCache.NodeDimensions', 'DefaultMutableTreeNode', 'DefaultTreeCellEditor', 'DefaultTreeCellRenderer', 'DefaultTreeModel', 'DefaultTreeSelectionModel', 'ExpandVetoException', 'FixedHeightLayoutCache', 'MutableTreeNode', 'RowMapper', 'TreeCellEditor', 'TreeCellRenderer', 'TreeModel', 'TreeNode', 'TreePath', 'TreeSelectionModel', 'VariableHeightLayoutCache'
+ ),
+ 121 => array (
+ 'AbstractUndoableEdit', 'CannotRedoException', 'CannotUndoException', 'CompoundEdit', 'StateEdit', 'StateEditable', 'UndoManager', 'UndoableEdit', 'UndoableEditSupport'
+ ),
+ 122 => array (
+ 'InvalidTransactionException', 'TransactionRequiredException', 'TransactionRolledbackException'
+ ),
+ 123 => array (
+ 'XAException', 'XAResource', 'Xid'
+ ),
+ 124 => array (
+ 'XMLConstants'
+ ),
+ 125 => array (
+ 'DatatypeConfigurationException', 'DatatypeConstants', 'DatatypeConstants.Field', 'DatatypeFactory', 'Duration', 'XMLGregorianCalendar'
+ ),
+ 126 => array (
+ 'NamespaceContext', 'QName'
+ ),
+ 127 => array (
+ 'DocumentBuilder', 'DocumentBuilderFactory', 'FactoryConfigurationError', 'ParserConfigurationException', 'SAXParser', 'SAXParserFactory'
+ ),
+ 128 => array (
+ 'ErrorListener', 'OutputKeys', 'Result', 'Source', 'SourceLocator', 'Templates', 'Transformer', 'TransformerConfigurationException', 'TransformerException', 'TransformerFactory', 'TransformerFactoryConfigurationError', 'URIResolver'
+ ),
+ 129 => array (
+ 'DOMResult', 'DOMSource'
+ ),
+ 130 => array (
+ 'SAXResult', 'SAXSource', 'SAXTransformerFactory', 'TemplatesHandler', 'TransformerHandler'
+ ),
+ 131 => array (
+ 'StreamResult', 'StreamSource'
+ ),
+ 132 => array (
+ 'Schema', 'SchemaFactory', 'SchemaFactoryLoader', 'TypeInfoProvider', 'Validator', 'ValidatorHandler'
+ ),
+ 133 => array (
+ 'XPath', 'XPathConstants', 'XPathException', 'XPathExpression', 'XPathExpressionException', 'XPathFactory', 'XPathFactoryConfigurationException', 'XPathFunction', 'XPathFunctionException', 'XPathFunctionResolver', 'XPathVariableResolver'
+ ),
+ 134 => array (
+ 'ChannelBinding', 'GSSContext', 'GSSCredential', 'GSSException', 'GSSManager', 'GSSName', 'MessageProp', 'Oid'
+ ),
+ 135 => array (
+ 'ACTIVITY_COMPLETED', 'ACTIVITY_REQUIRED', 'ARG_IN', 'ARG_INOUT', 'ARG_OUT', 'Any', 'AnyHolder', 'AnySeqHolder', 'BAD_CONTEXT', 'BAD_INV_ORDER', 'BAD_OPERATION', 'BAD_PARAM', 'BAD_POLICY', 'BAD_POLICY_TYPE', 'BAD_POLICY_VALUE', 'BAD_QOS', 'BAD_TYPECODE', 'BooleanHolder', 'BooleanSeqHelper', 'BooleanSeqHolder', 'ByteHolder', 'CODESET_INCOMPATIBLE', 'COMM_FAILURE', 'CTX_RESTRICT_SCOPE', 'CharHolder', 'CharSeqHelper', 'CharSeqHolder', 'CompletionStatus', 'CompletionStatusHelper', 'ContextList', 'CurrentHolder', 'CustomMarshal', 'DATA_CONVERSION', 'DefinitionKind', 'DefinitionKindHelper', 'DomainManager', 'DomainManagerOperations', 'DoubleHolder', 'DoubleSeqHelper', 'DoubleSeqHolder', 'Environment', 'ExceptionList', 'FREE_MEM', 'FixedHolder', 'FloatHolder', 'FloatSeqHelper', 'FloatSeqHolder', 'IDLType', 'IDLTypeHelper', 'IDLTypeOperations', 'IMP_LIMIT', 'INITIALIZE', 'INTERNAL', 'INTF_REPOS', 'INVALID_ACTIVITY', 'INVALID_TRANSACTION', 'INV_FLAG', 'INV_IDENT', 'INV_OBJREF', 'INV_POLICY', 'IRObject',
+ 'IRObjectOperations', 'IdentifierHelper', 'IntHolder', 'LocalObject', 'LongHolder', 'LongLongSeqHelper', 'LongLongSeqHolder', 'LongSeqHelper', 'LongSeqHolder', 'MARSHAL', 'NO_IMPLEMENT', 'NO_MEMORY', 'NO_PERMISSION', 'NO_RESOURCES', 'NO_RESPONSE', 'NVList', 'NamedValue', 'OBJECT_NOT_EXIST', 'OBJ_ADAPTER', 'OMGVMCID', 'ObjectHelper', 'ObjectHolder', 'OctetSeqHelper', 'OctetSeqHolder', 'PERSIST_STORE', 'PRIVATE_MEMBER', 'PUBLIC_MEMBER', 'ParameterMode', 'ParameterModeHelper', 'ParameterModeHolder', 'PolicyError', 'PolicyErrorCodeHelper', 'PolicyErrorHelper', 'PolicyErrorHolder', 'PolicyHelper', 'PolicyHolder', 'PolicyListHelper', 'PolicyListHolder', 'PolicyOperations', 'PolicyTypeHelper', 'PrincipalHolder', 'REBIND', 'RepositoryIdHelper', 'Request', 'ServerRequest', 'ServiceDetail', 'ServiceDetailHelper', 'ServiceInformation', 'ServiceInformationHelper', 'ServiceInformationHolder', 'SetOverrideType', 'SetOverrideTypeHelper', 'ShortHolder', 'ShortSeqHelper', 'ShortSeqHolder', 'StringHolder',
+ 'StringSeqHelper', 'StringSeqHolder', 'StringValueHelper', 'StructMember', 'StructMemberHelper', 'SystemException', 'TCKind', 'TIMEOUT', 'TRANSACTION_MODE', 'TRANSACTION_REQUIRED', 'TRANSACTION_ROLLEDBACK', 'TRANSACTION_UNAVAILABLE', 'TRANSIENT', 'TypeCode', 'TypeCodeHolder', 'ULongLongSeqHelper', 'ULongLongSeqHolder', 'ULongSeqHelper', 'ULongSeqHolder', 'UNSUPPORTED_POLICY', 'UNSUPPORTED_POLICY_VALUE', 'UShortSeqHelper', 'UShortSeqHolder', 'UnionMember', 'UnionMemberHelper', 'UnknownUserException', 'UnknownUserExceptionHelper', 'UnknownUserExceptionHolder', 'UserException', 'VM_ABSTRACT', 'VM_CUSTOM', 'VM_NONE', 'VM_TRUNCATABLE', 'ValueBaseHelper', 'ValueBaseHolder', 'ValueMember', 'ValueMemberHelper', 'VersionSpecHelper', 'VisibilityHelper', 'WCharSeqHelper', 'WCharSeqHolder', 'WStringSeqHelper', 'WStringSeqHolder', 'WStringValueHelper', 'WrongTransaction', 'WrongTransactionHelper', 'WrongTransactionHolder', '_IDLTypeStub', '_PolicyStub'
+ ),
+ 136 => array (
+ 'Invalid', 'InvalidSeq'
+ ),
+ 137 => array (
+ 'BadKind'
+ ),
+ 138 => array (
+ 'ApplicationException', 'BoxedValueHelper', 'CustomValue', 'IDLEntity', 'IndirectionException', 'InvokeHandler', 'RemarshalException', 'ResponseHandler', 'ServantObject', 'Streamable', 'StreamableValue', 'UnknownException', 'ValueBase', 'ValueFactory', 'ValueInputStream', 'ValueOutputStream'
+ ),
+ 139 => array (
+ 'BindingHelper', 'BindingHolder', 'BindingIterator', 'BindingIteratorHelper', 'BindingIteratorHolder', 'BindingIteratorOperations', 'BindingIteratorPOA', 'BindingListHelper', 'BindingListHolder', 'BindingType', 'BindingTypeHelper', 'BindingTypeHolder', 'IstringHelper', 'NameComponent', 'NameComponentHelper', 'NameComponentHolder', 'NameHelper', 'NameHolder', 'NamingContext', 'NamingContextExt', 'NamingContextExtHelper', 'NamingContextExtHolder', 'NamingContextExtOperations', 'NamingContextExtPOA', 'NamingContextHelper', 'NamingContextHolder', 'NamingContextOperations', 'NamingContextPOA', '_BindingIteratorImplBase', '_BindingIteratorStub', '_NamingContextExtStub', '_NamingContextImplBase', '_NamingContextStub'
+ ),
+ 140 => array (
+ 'AddressHelper', 'InvalidAddress', 'InvalidAddressHelper', 'InvalidAddressHolder', 'StringNameHelper', 'URLStringHelper'
+ ),
+ 141 => array (
+ 'AlreadyBound', 'AlreadyBoundHelper', 'AlreadyBoundHolder', 'CannotProceed', 'CannotProceedHelper', 'CannotProceedHolder', 'InvalidNameHolder', 'NotEmpty', 'NotEmptyHelper', 'NotEmptyHolder', 'NotFound', 'NotFoundHelper', 'NotFoundHolder', 'NotFoundReason', 'NotFoundReasonHelper', 'NotFoundReasonHolder'
+ ),
+ 142 => array (
+ 'Parameter'
+ ),
+ 143 => array (
+ 'DynAnyFactory', 'DynAnyFactoryHelper', 'DynAnyFactoryOperations', 'DynAnyHelper', 'DynAnyOperations', 'DynAnySeqHelper', 'DynArrayHelper', 'DynArrayOperations', 'DynEnumHelper', 'DynEnumOperations', 'DynFixedHelper', 'DynFixedOperations', 'DynSequenceHelper', 'DynSequenceOperations', 'DynStructHelper', 'DynStructOperations', 'DynUnionHelper', 'DynUnionOperations', 'DynValueBox', 'DynValueBoxOperations', 'DynValueCommon', 'DynValueCommonOperations', 'DynValueHelper', 'DynValueOperations', 'NameDynAnyPair', 'NameDynAnyPairHelper', 'NameDynAnyPairSeqHelper', 'NameValuePairSeqHelper', '_DynAnyFactoryStub', '_DynAnyStub', '_DynArrayStub', '_DynEnumStub', '_DynFixedStub', '_DynSequenceStub', '_DynStructStub', '_DynUnionStub', '_DynValueStub'
+ ),
+ 144 => array (
+ 'InconsistentTypeCodeHelper'
+ ),
+ 145 => array (
+ 'InvalidValueHelper'
+ ),
+ 146 => array (
+ 'CodeSets', 'Codec', 'CodecFactory', 'CodecFactoryHelper', 'CodecFactoryOperations', 'CodecOperations', 'ComponentIdHelper', 'ENCODING_CDR_ENCAPS', 'Encoding', 'ExceptionDetailMessage', 'IOR', 'IORHelper', 'IORHolder', 'MultipleComponentProfileHelper', 'MultipleComponentProfileHolder', 'ProfileIdHelper', 'RMICustomMaxStreamFormat', 'ServiceContext', 'ServiceContextHelper', 'ServiceContextHolder', 'ServiceContextListHelper', 'ServiceContextListHolder', 'ServiceIdHelper', 'TAG_ALTERNATE_IIOP_ADDRESS', 'TAG_CODE_SETS', 'TAG_INTERNET_IOP', 'TAG_JAVA_CODEBASE', 'TAG_MULTIPLE_COMPONENTS', 'TAG_ORB_TYPE', 'TAG_POLICIES', 'TAG_RMI_CUSTOM_MAX_STREAM_FORMAT', 'TaggedComponent', 'TaggedComponentHelper', 'TaggedComponentHolder', 'TaggedProfile', 'TaggedProfileHelper', 'TaggedProfileHolder', 'TransactionService'
+ ),
+ 147 => array (
+ 'UnknownEncoding', 'UnknownEncodingHelper'
+ ),
+ 148 => array (
+ 'FormatMismatch', 'FormatMismatchHelper', 'InvalidTypeForEncoding', 'InvalidTypeForEncodingHelper'
+ ),
+ 149 => array (
+ 'SYNC_WITH_TRANSPORT', 'SyncScopeHelper'
+ ),
+ 150 => array (
+ 'ACTIVE', 'AdapterManagerIdHelper', 'AdapterNameHelper', 'AdapterStateHelper', 'ClientRequestInfo', 'ClientRequestInfoOperations', 'ClientRequestInterceptor', 'ClientRequestInterceptorOperations', 'DISCARDING', 'HOLDING', 'INACTIVE', 'IORInfo', 'IORInfoOperations', 'IORInterceptor', 'IORInterceptorOperations', 'IORInterceptor_3_0', 'IORInterceptor_3_0Helper', 'IORInterceptor_3_0Holder', 'IORInterceptor_3_0Operations', 'Interceptor', 'InterceptorOperations', 'InvalidSlot', 'InvalidSlotHelper', 'LOCATION_FORWARD', 'NON_EXISTENT', 'ORBIdHelper', 'ORBInitInfo', 'ORBInitInfoOperations', 'ORBInitializer', 'ORBInitializerOperations', 'ObjectReferenceFactory', 'ObjectReferenceFactoryHelper', 'ObjectReferenceFactoryHolder', 'ObjectReferenceTemplate', 'ObjectReferenceTemplateHelper', 'ObjectReferenceTemplateHolder', 'ObjectReferenceTemplateSeqHelper', 'ObjectReferenceTemplateSeqHolder', 'PolicyFactory', 'PolicyFactoryOperations', 'RequestInfo', 'RequestInfoOperations', 'SUCCESSFUL', 'SYSTEM_EXCEPTION',
+ 'ServerIdHelper', 'ServerRequestInfo', 'ServerRequestInfoOperations', 'ServerRequestInterceptor', 'ServerRequestInterceptorOperations', 'TRANSPORT_RETRY', 'USER_EXCEPTION'
+ ),
+ 151 => array (
+ 'DuplicateName', 'DuplicateNameHelper'
+ ),
+ 152 => array (
+ 'AdapterActivator', 'AdapterActivatorOperations', 'ID_ASSIGNMENT_POLICY_ID', 'ID_UNIQUENESS_POLICY_ID', 'IMPLICIT_ACTIVATION_POLICY_ID', 'IdAssignmentPolicy', 'IdAssignmentPolicyOperations', 'IdAssignmentPolicyValue', 'IdUniquenessPolicy', 'IdUniquenessPolicyOperations', 'IdUniquenessPolicyValue', 'ImplicitActivationPolicy', 'ImplicitActivationPolicyOperations', 'ImplicitActivationPolicyValue', 'LIFESPAN_POLICY_ID', 'LifespanPolicy', 'LifespanPolicyOperations', 'LifespanPolicyValue', 'POA', 'POAHelper', 'POAManager', 'POAManagerOperations', 'POAOperations', 'REQUEST_PROCESSING_POLICY_ID', 'RequestProcessingPolicy', 'RequestProcessingPolicyOperations', 'RequestProcessingPolicyValue', 'SERVANT_RETENTION_POLICY_ID', 'Servant', 'ServantActivator', 'ServantActivatorHelper', 'ServantActivatorOperations', 'ServantActivatorPOA', 'ServantLocator', 'ServantLocatorHelper', 'ServantLocatorOperations', 'ServantLocatorPOA', 'ServantManager', 'ServantManagerOperations', 'ServantRetentionPolicy',
+ 'ServantRetentionPolicyOperations', 'ServantRetentionPolicyValue', 'THREAD_POLICY_ID', 'ThreadPolicy', 'ThreadPolicyOperations', 'ThreadPolicyValue', '_ServantActivatorStub', '_ServantLocatorStub'
+ ),
+ 153 => array (
+ 'NoContext', 'NoContextHelper'
+ ),
+ 154 => array (
+ 'AdapterInactive', 'AdapterInactiveHelper', 'State'
+ ),
+ 155 => array (
+ 'AdapterAlreadyExists', 'AdapterAlreadyExistsHelper', 'AdapterNonExistent', 'AdapterNonExistentHelper', 'InvalidPolicy', 'InvalidPolicyHelper', 'NoServant', 'NoServantHelper', 'ObjectAlreadyActive', 'ObjectAlreadyActiveHelper', 'ObjectNotActive', 'ObjectNotActiveHelper', 'ServantAlreadyActive', 'ServantAlreadyActiveHelper', 'ServantNotActive', 'ServantNotActiveHelper', 'WrongAdapter', 'WrongAdapterHelper', 'WrongPolicy', 'WrongPolicyHelper'
+ ),
+ 156 => array (
+ 'CookieHolder'
+ ),
+ 157 => array (
+ 'RunTime', 'RunTimeOperations'
+ ),
+ 158 => array (
+ '_Remote_Stub'
+ ),
+ 159 => array (
+ 'Attr', 'CDATASection', 'CharacterData', 'Comment', 'DOMConfiguration', 'DOMError', 'DOMErrorHandler', 'DOMException', 'DOMImplementation', 'DOMImplementationList', 'DOMImplementationSource', 'DOMStringList', 'DocumentFragment', 'DocumentType', 'EntityReference', 'NameList', 'NamedNodeMap', 'Node', 'NodeList', 'Notation', 'ProcessingInstruction', 'Text', 'TypeInfo', 'UserDataHandler'
+ ),
+ 160 => array (
+ 'DOMImplementationRegistry'
+ ),
+ 161 => array (
+ 'EventException', 'EventTarget', 'MutationEvent', 'UIEvent'
+ ),
+ 162 => array (
+ 'DOMImplementationLS', 'LSException', 'LSInput', 'LSLoadEvent', 'LSOutput', 'LSParser', 'LSParserFilter', 'LSProgressEvent', 'LSResourceResolver', 'LSSerializer', 'LSSerializerFilter'
+ ),
+ 163 => array (
+ 'DTDHandler', 'DocumentHandler', 'EntityResolver', 'ErrorHandler', 'HandlerBase', 'InputSource', 'Locator', 'SAXException', 'SAXNotRecognizedException', 'SAXNotSupportedException', 'SAXParseException', 'XMLFilter', 'XMLReader'
+ ),
+ 164 => array (
+ 'Attributes2', 'Attributes2Impl', 'DeclHandler', 'DefaultHandler2', 'EntityResolver2', 'LexicalHandler', 'Locator2', 'Locator2Impl'
+ ),
+ 165 => array (
+ 'AttributeListImpl', 'AttributesImpl', 'DefaultHandler', 'LocatorImpl', 'NamespaceSupport', 'ParserAdapter', 'ParserFactory', 'XMLFilterImpl', 'XMLReaderAdapter', 'XMLReaderFactory'
+ ),
+ /* ambiguous class names (appear in more than one package) */
+ 166 => array (
+ 'Annotation', 'AnySeqHelper', 'Array', 'Attribute', 'AttributeList', 'AttributeSet', 'Attributes', 'AuthenticationException', 'Binding', 'Bounds', 'Certificate', 'CertificateEncodingException', 'CertificateException', 'CertificateExpiredException', 'CertificateNotYetValidException', 'CertificateParsingException', 'ConnectException', 'ContentHandler', 'Context', 'Control', 'Current', 'CurrentHelper', 'CurrentOperations', 'DOMLocator', 'DataInputStream', 'DataOutputStream', 'Date', 'DefaultLoaderRepository', 'Delegate', 'Document', 'DocumentEvent', 'DynAny', 'DynArray', 'DynEnum', 'DynFixed', 'DynSequence', 'DynStruct', 'DynUnion', 'DynValue', 'DynamicImplementation', 'Element', 'Entity', 'Event', 'EventListener', 'FieldNameHelper', 'FileFilter', 'Formatter', 'ForwardRequest', 'ForwardRequestHelper', 'InconsistentTypeCode', 'InputStream', 'IntrospectionException', 'InvalidAttributeValueException', 'InvalidKeyException', 'InvalidName', 'InvalidNameHelper', 'InvalidValue', 'List', 'MouseEvent',
+ 'NameValuePair', 'NameValuePairHelper', 'ORB', 'Object', 'ObjectIdHelper', 'ObjectImpl', 'OpenType', 'OutputStream', 'ParagraphView', 'Parser', 'Permission', 'Policy', 'Principal', 'Proxy', 'Reference', 'Statement', 'Timer', 'Timestamp', 'TypeMismatch', 'TypeMismatchHelper', 'UNKNOWN', 'UnknownHostException', 'X509Certificate'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '[', ']', '{', '}', '*', '&', '%', '!', ';', '<', '>', '?'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ /* all Java keywords are case sensitive */
+ 1 => true, 2 => true, 3 => true, 4 => true,
+ 5 => true, 6 => true, 7 => true, 8 => true, 9 => true,
+ 10 => true, 11 => true, 12 => true, 13 => true, 14 => true,
+ 15 => true, 16 => true, 17 => true, 18 => true, 19 => true,
+ 20 => true, 21 => true, 22 => true, 23 => true, 24 => true,
+ 25 => true, 26 => true, 27 => true, 28 => true, 29 => true,
+ 30 => true, 31 => true, 32 => true, 33 => true, 34 => true,
+ 35 => true, 36 => true, 37 => true, 38 => true, 39 => true,
+ 40 => true, 41 => true, 42 => true, 43 => true, 44 => true,
+ 45 => true, 46 => true, 47 => true, 48 => true, 49 => true,
+ 50 => true, 51 => true, 52 => true, 53 => true, 54 => true,
+ 55 => true, 56 => true, 57 => true, 58 => true, 59 => true,
+ 60 => true, 61 => true, 62 => true, 63 => true, 64 => true,
+ 65 => true, 66 => true, 67 => true, 68 => true, 69 => true,
+ 70 => true, 71 => true, 72 => true, 73 => true, 74 => true,
+ 75 => true, 76 => true, 77 => true, 78 => true, 79 => true,
+ 80 => true, 81 => true, 82 => true, 83 => true, 84 => true,
+ 85 => true, 86 => true, 87 => true, 88 => true, 89 => true,
+ 90 => true, 91 => true, 92 => true, 93 => true, 94 => true,
+ 95 => true, 96 => true, 97 => true, 98 => true, 99 => true,
+ 100 => true, 101 => true, 102 => true, 103 => true, 104 => true,
+ 105 => true, 106 => true, 107 => true, 108 => true, 109 => true,
+ 110 => true, 111 => true, 112 => true, 113 => true, 114 => true,
+ 115 => true, 116 => true, 117 => true, 118 => true, 119 => true,
+ 120 => true, 121 => true, 122 => true, 123 => true, 124 => true,
+ 125 => true, 126 => true, 127 => true, 128 => true, 129 => true,
+ 130 => true, 131 => true, 132 => true, 133 => true, 134 => true,
+ 135 => true, 136 => true, 137 => true, 138 => true, 139 => true,
+ 140 => true, 141 => true, 142 => true, 143 => true, 144 => true,
+ 145 => true, 146 => true, 147 => true, 148 => true, 149 => true,
+ 150 => true, 151 => true, 152 => true, 153 => true, 154 => true,
+ 155 => true, 156 => true, 157 => true, 158 => true, 159 => true,
+ 160 => true, 161 => true, 162 => true, 163 => true, 164 => true,
+ 165 => true, 166 => true
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #000000; font-weight: bold;',
+ 2 => 'color: #000000; font-weight: bold;',
+ 3 => 'color: #006600; font-weight: bold;',
+ 4 => 'color: #006600; font-weight: bold;',
+ 5 => 'color: #003399; font-weight: bold;',
+ 6 => 'color: #003399; font-weight: bold;',
+ 7 => 'color: #003399; font-weight: bold;',
+ 8 => 'color: #003399; font-weight: bold;',
+ 9 => 'color: #003399; font-weight: bold;',
+ 10 => 'color: #003399; font-weight: bold;',
+ 11 => 'color: #003399; font-weight: bold;',
+ 12 => 'color: #003399; font-weight: bold;',
+ 13 => 'color: #003399; font-weight: bold;',
+ 14 => 'color: #003399; font-weight: bold;',
+ 15 => 'color: #003399; font-weight: bold;',
+ 16 => 'color: #003399; font-weight: bold;',
+ 17 => 'color: #003399; font-weight: bold;',
+ 18 => 'color: #003399; font-weight: bold;',
+ 19 => 'color: #003399; font-weight: bold;',
+ 20 => 'color: #003399; font-weight: bold;',
+ 21 => 'color: #003399; font-weight: bold;',
+ 22 => 'color: #003399; font-weight: bold;',
+ 23 => 'color: #003399; font-weight: bold;',
+ 24 => 'color: #003399; font-weight: bold;',
+ 25 => 'color: #003399; font-weight: bold;',
+ 26 => 'color: #003399; font-weight: bold;',
+ 27 => 'color: #003399; font-weight: bold;',
+ 28 => 'color: #003399; font-weight: bold;',
+ 29 => 'color: #003399; font-weight: bold;',
+ 30 => 'color: #003399; font-weight: bold;',
+ 31 => 'color: #003399; font-weight: bold;',
+ 32 => 'color: #003399; font-weight: bold;',
+ 33 => 'color: #003399; font-weight: bold;',
+ 34 => 'color: #003399; font-weight: bold;',
+ 35 => 'color: #003399; font-weight: bold;',
+ 36 => 'color: #003399; font-weight: bold;',
+ 37 => 'color: #003399; font-weight: bold;',
+ 38 => 'color: #003399; font-weight: bold;',
+ 39 => 'color: #003399; font-weight: bold;',
+ 40 => 'color: #003399; font-weight: bold;',
+ 41 => 'color: #003399; font-weight: bold;',
+ 42 => 'color: #003399; font-weight: bold;',
+ 43 => 'color: #003399; font-weight: bold;',
+ 44 => 'color: #003399; font-weight: bold;',
+ 45 => 'color: #003399; font-weight: bold;',
+ 46 => 'color: #003399; font-weight: bold;',
+ 47 => 'color: #003399; font-weight: bold;',
+ 48 => 'color: #003399; font-weight: bold;',
+ 49 => 'color: #003399; font-weight: bold;',
+ 50 => 'color: #003399; font-weight: bold;',
+ 51 => 'color: #003399; font-weight: bold;',
+ 52 => 'color: #003399; font-weight: bold;',
+ 53 => 'color: #003399; font-weight: bold;',
+ 54 => 'color: #003399; font-weight: bold;',
+ 55 => 'color: #003399; font-weight: bold;',
+ 56 => 'color: #003399; font-weight: bold;',
+ 57 => 'color: #003399; font-weight: bold;',
+ 58 => 'color: #003399; font-weight: bold;',
+ 59 => 'color: #003399; font-weight: bold;',
+ 60 => 'color: #003399; font-weight: bold;',
+ 61 => 'color: #003399; font-weight: bold;',
+ 62 => 'color: #003399; font-weight: bold;',
+ 63 => 'color: #003399; font-weight: bold;',
+ 64 => 'color: #003399; font-weight: bold;',
+ 65 => 'color: #003399; font-weight: bold;',
+ 66 => 'color: #003399; font-weight: bold;',
+ 67 => 'color: #003399; font-weight: bold;',
+ 68 => 'color: #003399; font-weight: bold;',
+ 69 => 'color: #003399; font-weight: bold;',
+ 70 => 'color: #003399; font-weight: bold;',
+ 71 => 'color: #003399; font-weight: bold;',
+ 72 => 'color: #003399; font-weight: bold;',
+ 73 => 'color: #003399; font-weight: bold;',
+ 74 => 'color: #003399; font-weight: bold;',
+ 75 => 'color: #003399; font-weight: bold;',
+ 76 => 'color: #003399; font-weight: bold;',
+ 77 => 'color: #003399; font-weight: bold;',
+ 78 => 'color: #003399; font-weight: bold;',
+ 79 => 'color: #003399; font-weight: bold;',
+ 80 => 'color: #003399; font-weight: bold;',
+ 81 => 'color: #003399; font-weight: bold;',
+ 82 => 'color: #003399; font-weight: bold;',
+ 83 => 'color: #003399; font-weight: bold;',
+ 84 => 'color: #003399; font-weight: bold;',
+ 85 => 'color: #003399; font-weight: bold;',
+ 86 => 'color: #003399; font-weight: bold;',
+ 87 => 'color: #003399; font-weight: bold;',
+ 88 => 'color: #003399; font-weight: bold;',
+ 89 => 'color: #003399; font-weight: bold;',
+ 90 => 'color: #003399; font-weight: bold;',
+ 91 => 'color: #003399; font-weight: bold;',
+ 92 => 'color: #003399; font-weight: bold;',
+ 93 => 'color: #003399; font-weight: bold;',
+ 94 => 'color: #003399; font-weight: bold;',
+ 95 => 'color: #003399; font-weight: bold;',
+ 96 => 'color: #003399; font-weight: bold;',
+ 97 => 'color: #003399; font-weight: bold;',
+ 98 => 'color: #003399; font-weight: bold;',
+ 99 => 'color: #003399; font-weight: bold;',
+ 100 => 'color: #003399; font-weight: bold;',
+ 101 => 'color: #003399; font-weight: bold;',
+ 102 => 'color: #003399; font-weight: bold;',
+ 103 => 'color: #003399; font-weight: bold;',
+ 104 => 'color: #003399; font-weight: bold;',
+ 105 => 'color: #003399; font-weight: bold;',
+ 106 => 'color: #003399; font-weight: bold;',
+ 107 => 'color: #003399; font-weight: bold;',
+ 108 => 'color: #003399; font-weight: bold;',
+ 109 => 'color: #003399; font-weight: bold;',
+ 110 => 'color: #003399; font-weight: bold;',
+ 111 => 'color: #003399; font-weight: bold;',
+ 112 => 'color: #003399; font-weight: bold;',
+ 113 => 'color: #003399; font-weight: bold;',
+ 114 => 'color: #003399; font-weight: bold;',
+ 115 => 'color: #003399; font-weight: bold;',
+ 116 => 'color: #003399; font-weight: bold;',
+ 117 => 'color: #003399; font-weight: bold;',
+ 118 => 'color: #003399; font-weight: bold;',
+ 119 => 'color: #003399; font-weight: bold;',
+ 120 => 'color: #003399; font-weight: bold;',
+ 121 => 'color: #003399; font-weight: bold;',
+ 122 => 'color: #003399; font-weight: bold;',
+ 123 => 'color: #003399; font-weight: bold;',
+ 124 => 'color: #003399; font-weight: bold;',
+ 125 => 'color: #003399; font-weight: bold;',
+ 126 => 'color: #003399; font-weight: bold;',
+ 127 => 'color: #003399; font-weight: bold;',
+ 128 => 'color: #003399; font-weight: bold;',
+ 129 => 'color: #003399; font-weight: bold;',
+ 130 => 'color: #003399; font-weight: bold;',
+ 131 => 'color: #003399; font-weight: bold;',
+ 132 => 'color: #003399; font-weight: bold;',
+ 133 => 'color: #003399; font-weight: bold;',
+ 134 => 'color: #003399; font-weight: bold;',
+ 135 => 'color: #003399; font-weight: bold;',
+ 136 => 'color: #003399; font-weight: bold;',
+ 137 => 'color: #003399; font-weight: bold;',
+ 138 => 'color: #003399; font-weight: bold;',
+ 139 => 'color: #003399; font-weight: bold;',
+ 140 => 'color: #003399; font-weight: bold;',
+ 141 => 'color: #003399; font-weight: bold;',
+ 142 => 'color: #003399; font-weight: bold;',
+ 143 => 'color: #003399; font-weight: bold;',
+ 144 => 'color: #003399; font-weight: bold;',
+ 145 => 'color: #003399; font-weight: bold;',
+ 146 => 'color: #003399; font-weight: bold;',
+ 147 => 'color: #003399; font-weight: bold;',
+ 148 => 'color: #003399; font-weight: bold;',
+ 149 => 'color: #003399; font-weight: bold;',
+ 150 => 'color: #003399; font-weight: bold;',
+ 151 => 'color: #003399; font-weight: bold;',
+ 152 => 'color: #003399; font-weight: bold;',
+ 153 => 'color: #003399; font-weight: bold;',
+ 154 => 'color: #003399; font-weight: bold;',
+ 155 => 'color: #003399; font-weight: bold;',
+ 156 => 'color: #003399; font-weight: bold;',
+ 157 => 'color: #003399; font-weight: bold;',
+ 158 => 'color: #003399; font-weight: bold;',
+ 159 => 'color: #003399; font-weight: bold;',
+ 160 => 'color: #003399; font-weight: bold;',
+ 161 => 'color: #003399; font-weight: bold;',
+ 162 => 'color: #003399; font-weight: bold;',
+ 163 => 'color: #003399; font-weight: bold;',
+ 164 => 'color: #003399; font-weight: bold;',
+ 165 => 'color: #003399; font-weight: bold;',
+ 166 => 'color: #003399; font-weight: bold;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #666666; font-style: italic;',
+ 2 => 'color: #006699;',
+ 3 => 'color: #008000; font-style: italic; font-weight: bold;',
+ 'MULTI' => 'color: #666666; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #009900;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #0000ff;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #006633;',
+ 2 => 'color: #006633;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #339933;'
+ ),
+ 'SCRIPT' => array(
+ ),
+ 'REGEXPS' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => '',
+ 5 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/applet/{FNAME}.html',
+ 6 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/awt/{FNAME}.html',
+ 7 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/awt/color/{FNAME}.html',
+ 8 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/awt/datatransfer/{FNAME}.html',
+ 9 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/awt/dnd/{FNAME}.html',
+ 10 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/awt/event/{FNAME}.html',
+ 11 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/awt/font/{FNAME}.html',
+ 12 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/awt/geom/{FNAME}.html',
+ 13 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/awt/im/{FNAME}.html',
+ 14 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/awt/im/spi/{FNAME}.html',
+ 15 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/awt/image/{FNAME}.html',
+ 16 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/awt/image/renderable/{FNAME}.html',
+ 17 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/awt/print/{FNAME}.html',
+ 18 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/beans/{FNAME}.html',
+ 19 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/beans/beancontext/{FNAME}.html',
+ 20 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/io/{FNAME}.html',
+ 21 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/lang/{FNAME}.html',
+ 22 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/lang/annotation/{FNAME}.html',
+ 23 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/lang/instrument/{FNAME}.html',
+ 24 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/lang/management/{FNAME}.html',
+ 25 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/lang/ref/{FNAME}.html',
+ 26 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/lang/reflect/{FNAME}.html',
+ 27 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/math/{FNAME}.html',
+ 28 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/net/{FNAME}.html',
+ 29 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/nio/{FNAME}.html',
+ 30 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/nio/channels/{FNAME}.html',
+ 31 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/nio/channels/spi/{FNAME}.html',
+ 32 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/nio/charset/{FNAME}.html',
+ 33 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/nio/charset/spi/{FNAME}.html',
+ 34 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/rmi/{FNAME}.html',
+ 35 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/rmi/activation/{FNAME}.html',
+ 36 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/rmi/dgc/{FNAME}.html',
+ 37 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/rmi/registry/{FNAME}.html',
+ 38 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/rmi/server/{FNAME}.html',
+ 39 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/security/{FNAME}.html',
+ 40 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/security/acl/{FNAME}.html',
+ 41 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/security/cert/{FNAME}.html',
+ 42 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/security/interfaces/{FNAME}.html',
+ 43 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/security/spec/{FNAME}.html',
+ 44 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/sql/{FNAME}.html',
+ 45 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/text/{FNAME}.html',
+ 46 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/util/{FNAME}.html',
+ 47 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/util/concurrent/{FNAME}.html',
+ 48 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/util/concurrent/atomic/{FNAME}.html',
+ 49 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/util/concurrent/locks/{FNAME}.html',
+ 50 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/util/jar/{FNAME}.html',
+ 51 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/util/logging/{FNAME}.html',
+ 52 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/util/prefs/{FNAME}.html',
+ 53 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/util/regex/{FNAME}.html',
+ 54 => 'http://java.sun.com/j2se/1.5.0/docs/api/java/util/zip/{FNAME}.html',
+ 55 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/accessibility/{FNAME}.html',
+ 56 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/activity/{FNAME}.html',
+ 57 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/crypto/{FNAME}.html',
+ 58 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/crypto/interfaces/{FNAME}.html',
+ 59 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/crypto/spec/{FNAME}.html',
+ 60 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/imageio/{FNAME}.html',
+ 61 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/imageio/event/{FNAME}.html',
+ 62 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/imageio/metadata/{FNAME}.html',
+ 63 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/imageio/plugins/bmp/{FNAME}.html',
+ 64 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/imageio/plugins/jpeg/{FNAME}.html',
+ 65 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/imageio/spi/{FNAME}.html',
+ 66 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/imageio/stream/{FNAME}.html',
+ 67 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/management/{FNAME}.html',
+ 68 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/management/loading/{FNAME}.html',
+ 69 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/management/modelmbean/{FNAME}.html',
+ 70 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/management/monitor/{FNAME}.html',
+ 71 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/management/openmbean/{FNAME}.html',
+ 72 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/management/relation/{FNAME}.html',
+ 73 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/management/remote/{FNAME}.html',
+ 74 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/management/remote/rmi/{FNAME}.html',
+ 75 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/management/timer/{FNAME}.html',
+ 76 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/naming/{FNAME}.html',
+ 77 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/naming/directory/{FNAME}.html',
+ 78 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/naming/event/{FNAME}.html',
+ 79 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/naming/ldap/{FNAME}.html',
+ 80 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/naming/spi/{FNAME}.html',
+ 81 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/net/{FNAME}.html',
+ 82 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/net/ssl/{FNAME}.html',
+ 83 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/print/{FNAME}.html',
+ 84 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/print/attribute/{FNAME}.html',
+ 85 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/print/attribute/standard/{FNAME}.html',
+ 86 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/print/event/{FNAME}.html',
+ 87 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/rmi/{FNAME}.html',
+ 88 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/rmi/CORBA/{FNAME}.html',
+ 89 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/rmi/ssl/{FNAME}.html',
+ 90 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/security/auth/{FNAME}.html',
+ 91 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/security/auth/callback/{FNAME}.html',
+ 92 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/security/auth/kerberos/{FNAME}.html',
+ 93 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/security/auth/login/{FNAME}.html',
+ 94 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/security/auth/spi/{FNAME}.html',
+ 95 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/security/auth/x500/{FNAME}.html',
+ 96 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/security/sasl/{FNAME}.html',
+ 97 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/sound/midi/{FNAME}.html',
+ 98 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/sound/midi/spi/{FNAME}.html',
+ 99 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/sound/sampled/{FNAME}.html',
+ 100 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/sound/sampled/spi/{FNAME}.html',
+ 101 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/sql/{FNAME}.html',
+ 102 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/sql/rowset/{FNAME}.html',
+ 103 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/sql/rowset/serial/{FNAME}.html',
+ 104 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/sql/rowset/spi/{FNAME}.html',
+ 105 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/swing/{FNAME}.html',
+ 106 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/swing/border/{FNAME}.html',
+ 107 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/swing/colorchooser/{FNAME}.html',
+ 108 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/swing/event/{FNAME}.html',
+ 109 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/swing/filechooser/{FNAME}.html',
+ 110 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/swing/plaf/{FNAME}.html',
+ 111 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/swing/plaf/basic/{FNAME}.html',
+ 112 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/swing/plaf/metal/{FNAME}.html',
+ 113 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/swing/plaf/multi/{FNAME}.html',
+ 114 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/swing/plaf/synth/{FNAME}.html',
+ 115 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/swing/table/{FNAME}.html',
+ 116 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/swing/text/{FNAME}.html',
+ 117 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/swing/text/html/{FNAME}.html',
+ 118 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/swing/text/html/parser/{FNAME}.html',
+ 119 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/swing/text/rtf/{FNAME}.html',
+ 120 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/swing/tree/{FNAME}.html',
+ 121 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/swing/undo/{FNAME}.html',
+ 122 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/transaction/{FNAME}.html',
+ 123 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/transaction/xa/{FNAME}.html',
+ 124 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/xml/{FNAME}.html',
+ 125 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/xml/datatype/{FNAME}.html',
+ 126 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/xml/namespace/{FNAME}.html',
+ 127 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/xml/parsers/{FNAME}.html',
+ 128 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/xml/transform/{FNAME}.html',
+ 129 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/xml/transform/dom/{FNAME}.html',
+ 130 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/xml/transform/sax/{FNAME}.html',
+ 131 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/xml/transform/stream/{FNAME}.html',
+ 132 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/xml/validation/{FNAME}.html',
+ 133 => 'http://java.sun.com/j2se/1.5.0/docs/api/javax/xml/xpath/{FNAME}.html',
+ 134 => 'http://java.sun.com/j2se/1.5.0/docs/api/org/ietf/jgss/{FNAME}.html',
+ 135 => 'http://java.sun.com/j2se/1.5.0/docs/api/org/omg/CORBA/{FNAME}.html',
+ 136 => 'http://java.sun.com/j2se/1.5.0/docs/api/org/omg/CORBA/DynAnyPackage/{FNAME}.html',
+ 137 => 'http://java.sun.com/j2se/1.5.0/docs/api/org/omg/CORBA/TypeCodePackage/{FNAME}.html',
+ 138 => 'http://java.sun.com/j2se/1.5.0/docs/api/org/omg/CORBA/portable/{FNAME}.html',
+ 139 => 'http://java.sun.com/j2se/1.5.0/docs/api/org/omg/CosNaming/{FNAME}.html',
+ 140 => 'http://java.sun.com/j2se/1.5.0/docs/api/org/omg/CosNaming/NamingContextExtPackage/{FNAME}.html',
+ 141 => 'http://java.sun.com/j2se/1.5.0/docs/api/org/omg/CosNaming/NamingContextPackage/{FNAME}.html',
+ 142 => 'http://java.sun.com/j2se/1.5.0/docs/api/org/omg/Dynamic/{FNAME}.html',
+ 143 => 'http://java.sun.com/j2se/1.5.0/docs/api/org/omg/DynamicAny/{FNAME}.html',
+ 144 => 'http://java.sun.com/j2se/1.5.0/docs/api/org/omg/DynamicAny/DynAnyFactoryPackage/{FNAME}.html',
+ 145 => 'http://java.sun.com/j2se/1.5.0/docs/api/org/omg/DynamicAny/DynAnyPackage/{FNAME}.html',
+ 146 => 'http://java.sun.com/j2se/1.5.0/docs/api/org/omg/IOP/{FNAME}.html',
+ 147 => 'http://java.sun.com/j2se/1.5.0/docs/api/org/omg/IOP/CodecFactoryPackage/{FNAME}.html',
+ 148 => 'http://java.sun.com/j2se/1.5.0/docs/api/org/omg/IOP/CodecPackage/{FNAME}.html',
+ 149 => 'http://java.sun.com/j2se/1.5.0/docs/api/org/omg/Messaging/{FNAME}.html',
+ 150 => 'http://java.sun.com/j2se/1.5.0/docs/api/org/omg/PortableInterceptor/{FNAME}.html',
+ 151 => 'http://java.sun.com/j2se/1.5.0/docs/api/org/omg/PortableInterceptor/ORBInitInfoPackage/{FNAME}.html',
+ 152 => 'http://java.sun.com/j2se/1.5.0/docs/api/org/omg/PortableServer/{FNAME}.html',
+ 153 => 'http://java.sun.com/j2se/1.5.0/docs/api/org/omg/PortableServer/CurrentPackage/{FNAME}.html',
+ 154 => 'http://java.sun.com/j2se/1.5.0/docs/api/org/omg/PortableServer/POAManagerPackage/{FNAME}.html',
+ 155 => 'http://java.sun.com/j2se/1.5.0/docs/api/org/omg/PortableServer/POAPackage/{FNAME}.html',
+ 156 => 'http://java.sun.com/j2se/1.5.0/docs/api/org/omg/PortableServer/ServantLocatorPackage/{FNAME}.html',
+ 157 => 'http://java.sun.com/j2se/1.5.0/docs/api/org/omg/SendingContext/{FNAME}.html',
+ 158 => 'http://java.sun.com/j2se/1.5.0/docs/api/org/omg/stub/java/rmi/{FNAME}.html',
+ 159 => 'http://java.sun.com/j2se/1.5.0/docs/api/org/w3c/dom/{FNAME}.html',
+ 160 => 'http://java.sun.com/j2se/1.5.0/docs/api/org/w3c/dom/bootstrap/{FNAME}.html',
+ 161 => 'http://java.sun.com/j2se/1.5.0/docs/api/org/w3c/dom/events/{FNAME}.html',
+ 162 => 'http://java.sun.com/j2se/1.5.0/docs/api/org/w3c/dom/ls/{FNAME}.html',
+ 163 => 'http://java.sun.com/j2se/1.5.0/docs/api/org/xml/sax/{FNAME}.html',
+ 164 => 'http://java.sun.com/j2se/1.5.0/docs/api/org/xml/sax/ext/{FNAME}.html',
+ 165 => 'http://java.sun.com/j2se/1.5.0/docs/api/org/xml/sax/helpers/{FNAME}.html',
+ /* ambiguous class names (appear in more than one package) */
+ 166 => 'http://www.google.com/search?sitesearch=java.sun.com&amp;q=allinurl%3Aj2se%2F1+5+0%2Fdocs%2Fapi+{FNAME}'
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.'
+ /* Java does not use '::' */
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/javascript.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/javascript.php
new file mode 100644
index 000000000..4ffef2b2e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/javascript.php
@@ -0,0 +1,150 @@
+<?php
+/*************************************************************************************
+ * javascript.php
+ * --------------
+ * Author: Ben Keen (ben.keen@gmail.com)
+ * Copyright: (c) 2004 Ben Keen (ben.keen@gmail.com), Nigel McNie (http://qbnz.com/highlighter)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/06/20
+ *
+ * JavaScript language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/05/23 (1.0.7.22)
+ * - Added description of extra language features (SF#1970248)
+ * 2004/11/27 (1.0.1)
+ * - Added support for multiple object splitters
+ * 2004/10/27 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2004/11/27)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Javascript',
+ 'COMMENT_SINGLE' => array(1 => '//'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ //Regular Expressions
+ 'COMMENT_REGEXP' => array(2 => "/(?<=[\\s^])s\\/(?:\\\\.|(?!\n)[^\\/\\\\])+\\/(?:\\\\.|(?!\n)[^\\/\\\\])+\\/[gimsu]*(?=[\\s$\\.\\;])|(?<=[\\s^(=])m?\\/(?:\\\\.|(?!\n)[^\\/\\\\])+\\/[gimsu]*(?=[\\s$\\.\\,\\;\\)])/iU"),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'as', 'break', 'case', 'catch', 'continue', 'decodeURI', 'delete', 'do',
+ 'else', 'encodeURI', 'eval', 'finally', 'for', 'if', 'in', 'is', 'item',
+ 'instanceof', 'return', 'switch', 'this', 'throw', 'try', 'typeof', 'void',
+ 'while', 'write', 'with'
+ ),
+ 2 => array(
+ 'class', 'const', 'default', 'debugger', 'export', 'extends', 'false',
+ 'function', 'import', 'namespace', 'new', 'null', 'package', 'private',
+ 'protected', 'public', 'super', 'true', 'use', 'var'
+ ),
+ 3 => array(
+ // common functions for Window object
+ 'alert', 'back', 'blur', 'close', 'confirm', 'focus', 'forward', 'home',
+ 'name', 'navigate', 'onblur', 'onerror', 'onfocus', 'onload', 'onmove',
+ 'onresize', 'onunload', 'open', 'print', 'prompt', 'scroll', 'status',
+ 'stop',
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '[', ']', '{', '}',
+ '+', '-', '*', '/', '%',
+ '!', '@', '&', '|', '^',
+ '<', '>', '=',
+ ',', ';', '?', ':'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #000066; font-weight: bold;',
+ 2 => 'color: #003366; font-weight: bold;',
+ 3 => 'color: #000066;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #006600; font-style: italic;',
+ 2 => 'color: #009966; font-style: italic;',
+ 'MULTI' => 'color: #006600; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #009900;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #3366CC;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #CC0000;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #660066;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #339933;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ 0 => '',
+ 1 => '',
+ 2 => '',
+ 3 => ''
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_MAYBE,
+ 'SCRIPT_DELIMITERS' => array(
+ 0 => array(
+ '<script type="text/javascript">' => '</script>'
+ ),
+ 1 => array(
+ '<script language="javascript">' => '</script>'
+ )
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ 0 => true,
+ 1 => true
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/kixtart.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/kixtart.php
new file mode 100644
index 000000000..bdffd5875
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/kixtart.php
@@ -0,0 +1,329 @@
+<?php
+/*************************************************************************************
+ * kixtart.php
+ * --------
+ * Author: Riley McArdle (riley@glyff.net)
+ * Copyright: (c) 2007 Riley McArdle (http://www.glyff.net/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2007/08/31
+ *
+ * PHP language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2007/08/31 (1.0.7.22)
+ * - First Release
+ *
+ * TODO (updated 2007/08/31)
+ * -------------------------
+ * *
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'KiXtart',
+ 'COMMENT_SINGLE' => array(1 => ';'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'While', 'Loop',
+ 'Use',
+ 'Small',
+ 'Sleep',
+ 'Shell',
+ 'SetTime',
+ 'SetM',
+ 'SetL',
+ 'Set',
+ 'Select', 'Case',
+ 'Run',
+ 'Return',
+ 'Redim',
+ 'RD',
+ 'Quit',
+ 'Play',
+ 'Move',
+ 'MD',
+ 'Include',
+ 'If', 'Else', 'Endif',
+ 'GoTo',
+ 'GoSub',
+ 'Go',
+ 'Global',
+ 'GetS',
+ 'Get',
+ 'Function', 'Endfunction',
+ 'For', 'Next',
+ 'Each',
+ 'FlushKb',
+ 'Exit',
+ 'Do', 'Until',
+ 'Display',
+ 'Dim',
+ 'Del',
+ 'Debug',
+ 'Copy',
+ 'Cookie1',
+ 'Color',
+ 'CLS',
+ 'CD',
+ 'Call',
+ 'Break',
+ 'Big',
+ 'Beep',
+ ),
+ 2 => array(
+ '@Address',
+ '@Build',
+ '@Color',
+ '@Comment',
+ '@CPU',
+ '@CRLF',
+ '@CSD',
+ '@CurDir',
+ '@Date',
+ '@Day',
+ '@Domain',
+ '@DOS',
+ '@Error',
+ '@FullName',
+ '@HomeDir',
+ '@HomeDrive',
+ '@HomeShr',
+ '@HostName',
+ '@InWin',
+ '@IPaddressX',
+ '@KiX',
+ '@LanRoot',
+ '@LDomain',
+ '@LDrive',
+ '@LM',
+ '@LogonMode',
+ '@LongHomeDir',
+ '@LServer',
+ '@MaxPWAge',
+ '@MDayNo',
+ '@MHz',
+ '@MonthNo',
+ '@Month',
+ '@MSecs',
+ '@OnWoW64',
+ '@PID',
+ '@PrimaryGroup',
+ '@Priv',
+ '@ProductSuite',
+ '@ProductType',
+ '@PWAge',
+ '@RAS',
+ '@Result',
+ '@RServer',
+ '@ScriptDir',
+ '@ScriptExe',
+ '@ScriptName',
+ '@SError',
+ '@SID',
+ '@Site',
+ '@StartDir',
+ '@SysLang',
+ '@Ticks',
+ '@Time',
+ '@TsSession',
+ '@UserID',
+ '@UserLang',
+ '@WDayNo',
+ '@Wksta',
+ '@WUserID',
+ '@YDayNo',
+ '@Year',
+ ),
+ 3 => array(
+ 'WriteValue',
+ 'WriteProfileString',
+ 'WriteLine',
+ 'VarTypeName',
+ 'VarType',
+ 'Val',
+ 'UnloadHive',
+ 'UCase',
+ 'Ubound',
+ 'Trim',
+ 'Substr',
+ 'SRnd',
+ 'Split',
+ 'SidToName',
+ 'ShutDown',
+ 'ShowProgramGroup',
+ 'SetWallpaper',
+ 'SetTitle',
+ 'SetSystemState',
+ 'SetOption',
+ 'SetFocus',
+ 'SetFileAttr',
+ 'SetDefaultPrinter',
+ 'SetConsole',
+ 'SetAscii',
+ 'SendMessage',
+ 'SendKeys',
+ 'SaveKey',
+ 'RTrim',
+ 'Round',
+ 'Rnd',
+ 'Right',
+ 'RedirectOutput',
+ 'ReadValue',
+ 'ReadType',
+ 'ReadProfileString',
+ 'ReadLine',
+ 'Open',
+ 'MessageBox',
+ 'MemorySize',
+ 'LTrim',
+ 'Logoff',
+ 'LogEvent',
+ 'LoadKey',
+ 'LoadHive',
+ 'Len',
+ 'Left',
+ 'LCase',
+ 'KeyExist',
+ 'KbHit',
+ 'Join',
+ 'IsDeclared',
+ 'Int',
+ 'InStrRev',
+ 'InStr',
+ 'InGroup',
+ 'IIF',
+ 'GetObject',
+ 'GetFileVersion',
+ 'GetFileTime',
+ 'GetFileSize',
+ 'GetFileAttr',
+ 'GetDiskSpace',
+ 'FreeFileHandle',
+ 'FormatNumber',
+ 'Fix',
+ 'ExpandEnvironmentVars',
+ 'Exist',
+ 'Execute',
+ 'EnumValue',
+ 'EnumLocalGroup',
+ 'EnumKey',
+ 'EnumIpInfo',
+ 'EnumGroup',
+ 'Dir',
+ 'DelValue',
+ 'DelTree',
+ 'DelProgramItem',
+ 'DelProgramGroup',
+ 'DelPrinterConnection',
+ 'DelKey',
+ 'DecToHex',
+ 'CStr',
+ 'CreateObject',
+ 'CompareFileTimes',
+ 'Close',
+ 'ClearEventLog',
+ 'CInt',
+ 'Chr',
+ 'CDbl',
+ 'Box',
+ 'BackupEventLog',
+ 'At',
+ 'AScan',
+ 'Asc',
+ 'AddProgramItem',
+ 'AddProgramGroup',
+ 'AddPrinterConnection',
+ 'AddKey',
+ 'Abs'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '[', ']', '?', ':', '+', '-', '*', '/', '&', '|', '^', '~', '<', '>', '='
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #b1b100;',
+ 2 => 'color: #000000; font-weight: bold;',
+ 3 => 'color: #000066;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #808080; font-style: italic;',
+ 'MULTI' => 'color: #808080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #006600;',
+ 2 => 'color: #006600;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => 'http://www.kixtart.org/manual/Commands/{FNAMEL}.htm',
+ 2 => '',
+ 3 => 'http://www.kixtart.org/manual/Functions/{FNAMEL}.htm'
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ 0 => true,
+ 1 => true,
+ 2 => true,
+ 3 => true
+ ),
+ 'TAB_WIDTH' => 4
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/klonec.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/klonec.php
new file mode 100644
index 000000000..03d64a166
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/klonec.php
@@ -0,0 +1,282 @@
+<?php
+/*************************************************************************************
+ * klonec.php
+ * --------
+ * Author: AUGER Mickael
+ * Copyright: Synchronic
+ * Release Version: 1.0.8.4
+ * Date Started: 2008/04/16
+ *
+ * KLone with C language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/04/16 (1.0.8)
+ * - First Release
+ *
+ * TODO (updated 2008/04/16)
+ * -------------------------
+ * A tester et a completer si besoin
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'KLone C',
+ 'COMMENT_SINGLE' => array(1 => '//', 2 => '#'),//#pour precede les include de C
+ 'COMMENT_MULTI' => array('/*' => '*/', '<!--' => '-->' ),//comentaires C et KLone suivi de ceux pour HTML
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(//mots-cles C
+ 'if', 'return', 'while', 'case', 'class', 'continue', 'default',
+ 'do', 'else', 'for', 'switch', 'goto',
+ 'null', 'break', 'true', 'enum', 'extern', 'inline', 'false'
+ ),
+ 2 => array(//mots-cles KLone
+ 'out', 'request', 'response',
+ ),
+ 3 => array(//fonctions C usuelles
+ 'printf', 'malloc', 'fopen', 'fclose', 'free', 'fputs', 'fgets', 'feof', 'fwrite',
+ 'perror', 'ferror', 'qsort', 'stats', 'sscanf', 'scanf',
+ 'strdup', 'strcpy', 'strcmp', 'strncpy', 'strcasecmp', 'cat', 'strcat', 'strstr',
+ 'strlen', 'strtof', 'strtod', 'strtok', 'towlower', 'towupper',
+ 'cd', 'system', 'exit', 'exec', 'fork', 'vfork', 'kill', 'signal', 'syslog',
+ 'usleep', 'utime', 'wait', 'waitpid', 'waitid',
+ 'ceil', 'eval', 'round', 'floor',
+ 'atoi', 'atol', 'abs', 'cos', 'sin', 'tan', 'acos', 'asin', 'atan', 'exp',
+ 'time', 'ctime', 'localtime', 'asctime', 'gmtime', 'difftime', 'date'
+ ),
+ 4 => array(//fonctions KLone usuelles
+ 'request_get_cookies', 'request_get_cookie', 'request_get_args', 'request_get_arg',
+ 'request_io', 'request_get_uri', 'request_get_filename', 'request_get_query_string', 'request_get_path_info',
+ 'request_get_if_modified_since', 'request_get_http', 'request_get_client_request',
+ 'request_get_content_length', 'request_get_uploads', 'request_get_uploaded_file',
+ 'request_get_method', 'request_get_protocol', 'request_get_resolved_filename',
+ 'request_get_resolved_path_info', 'request_get_addr', 'request_get_peer_addr',
+ 'request_get_header', 'request_get_field', 'request_get_field_value',
+ 'response_set_content_encoding', 'response_disable_caching', 'response_enable_caching',
+ 'response_set_cookie', 'response_set_method', 'response_get_method',
+ 'response_print_header', 'response_set_field', 'response_del_field',
+ 'response_set_content_type', 'response_set_date', 'response_set_last_modified',
+ 'response_set_content_length', 'response_get_status', 'response_get_header',
+ 'response_io', 'response_redirect', 'response_set_status',
+ 'session_get_vars', 'session_get', 'session_set', 'session_age', 'session_clean', 'session_del',
+ 'io_type', 'io_pipe', 'io_dup', 'io_copy', 'io_seek', 'io_tell', 'io_close',
+ 'io_free', 'io_read', 'io_printf', 'io_flush', 'io_write', 'io_putc', 'io_getc',
+ 'io_get_until', 'io_gets', 'io_codec_add_head', 'io_codec_add_tail',
+ 'io_codecs_remove', 'io_name_set', 'io_name_get'
+ ),
+ 5 => array(//types C
+ 'auto', 'char', 'const', 'double', 'float', 'int', 'long',
+ 'register', 'short', 'signed', 'sizeof', 'static', 'string', 'struct',
+ 'typedef', 'union', 'unsigned', 'void', 'volatile',
+ 'wchar_t', 'time_t', 'FILE'
+ ),
+ 6 => array(//mots-cles HTML
+ 'a', 'abbr', 'acronym', 'address', 'applet',
+
+ 'base', 'basefont', 'bdo', 'big', 'blockquote', 'body', 'br', 'button', 'b',
+
+ 'caption', 'center', 'cite', 'code', 'colgroup', 'col',
+
+ 'dd', 'del', 'dfn', 'dir', 'div', 'dl', 'dt',
+
+ 'em',
+
+ 'fieldset', 'font', 'form', 'frame', 'frameset',
+
+ 'h1', 'h2', 'h3', 'h4', 'h5', 'h6', 'head', 'hr', 'html',
+
+ 'iframe', 'ilayer', 'img', 'input', 'ins', 'isindex', 'i',
+
+ 'kbd',
+
+ 'label', 'legend', 'link', 'li',
+
+ 'map', 'meta',
+
+ 'noframes', 'noscript',
+
+ 'object', 'ol', 'optgroup', 'option',
+
+ 'param', 'pre', 'p',
+
+ 'q',
+
+ 'samp', 'script', 'select', 'small', 'span', 'strike', 'strong', 'style', 'sub', 'sup', 's',
+
+ 'table', 'tbody', 'td', 'textarea', 'text', 'tfoot', 'thead', 'th', 'title', 'tr', 'tt',
+
+ 'ul', 'u',
+
+ 'var',
+ ),
+ 7 => array(//autres mots-cles HTML
+ 'abbr', 'accept-charset', 'accept', 'accesskey', 'action', 'align', 'alink', 'alt', 'archive', 'axis',
+ 'background', 'bgcolor', 'border',
+ 'cellpadding', 'cellspacing', 'char', 'charoff', 'charset', 'checked', 'cite', 'class', 'classid', 'clear', 'code', 'codebase', 'codetype', 'color', 'cols', 'colspan', 'compact', 'content', 'coords',
+ 'data', 'datetime', 'declare', 'defer', 'dir', 'disabled',
+ 'enctype',
+ 'face', 'for', 'frame', 'frameborder',
+ 'headers', 'height', 'href', 'hreflang', 'hspace', 'http-equiv',
+ 'id', 'ismap',
+ 'label', 'lang', 'language', 'link', 'longdesc',
+ 'marginheight', 'marginwidth', 'maxlength', 'media', 'method', 'multiple',
+ 'name', 'nohref', 'noresize', 'noshade', 'nowrap',
+ 'object', 'onblur', 'onchange', 'onclick', 'ondblclick', 'onfocus', 'onkeydown', 'onkeypress', 'onkeyup', 'onload', 'onmousedown', 'onmousemove', 'onmouseout', 'onmouseover', 'onmouseup', 'onreset', 'onselect', 'onsubmit', 'onunload',
+ 'profile', 'prompt',
+ 'readonly', 'rel', 'rev', 'rowspan', 'rows', 'rules',
+ 'scheme', 'scope', 'scrolling', 'selected', 'shape', 'size', 'span', 'src', 'standby', 'start', 'style', 'summary',
+ 'tabindex', 'target', 'text', 'title', 'type',
+ 'usemap',
+ 'valign', 'value', 'valuetype', 'version', 'vlink', 'vspace',
+ 'width'
+ )
+ ),
+ 'SYMBOLS' => array(
+ 1 => array(
+ '<%=', '<%!', '<%', '%>'
+ ),
+ 0 => array(
+ '(', ')', '[', ']', '{', '}',
+ '!', '%', '&', '|', '/',
+ '<', '>',
+ '=', '-', '+', '*',
+ '.', ':', ',', ';', '^'
+ )
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => false,
+ 5 => false,
+ 6 => false,
+ 7 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #b1b100; font-weight: bold;',//pour les mots-cles C
+ 2 => 'color: #000000; font-weight: bold;',//pour les mots-cles KLone
+ 3 => 'color: #6600FF;',//pour les fonctions C
+ 4 => 'color: #6600FF;',//pour les fonctions Klone
+ 5 => 'color: #0099FF; font-weight: bold;',//pour les types C
+ 6 => 'color: #990099; font-weight: bold;',//pour les mots-cles HTML
+ 7 => 'color: #000066;'//pour les autres mots-cles HTML
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #808080; font-style: italic;',//commentaire sur une ligne C et KLone
+ 2 => 'color: #339933;',//pour les #... en C
+ 'MULTI' => 'color: #808080; font-style: italic;'//commentaire sur plusieurs lignes C et KLone
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #000000;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #006600;',
+ 2 => 'color: #006600;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #000000;',
+ 1 => 'color: #000000; font-weight: bold;'
+ ),
+ 'REGEXPS' => array(),
+ 'SCRIPT' => array(
+ 0 => 'background-color:#ffccff; font-weight: bold; color:#000000;',
+ 1 => '',
+ 2 => '',
+ 3 => 'color: #00bbdd; font-weight: bold;',
+ 4 => 'color: #ddbb00;',
+ 5 => 'color: #009900;'
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => 'http://www.opengroup.org/onlinepubs/009695399/functions/{FNAMEL}.html',
+ 4 => 'http://www.koanlogic.com/klone/api/html/globals.html',
+ 5 => '',
+ 6 => 'http://december.com/html/4/element/{FNAMEL}.html',
+ 7 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.',
+ 2 => '::'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_ALWAYS,
+ 'SCRIPT_DELIMITERS' => array(
+ //delimiteurs pour KLone
+ 0 => array(
+ '<%=' => '%>'
+ ),
+ 1 => array(
+ '<%!' => '%>'
+ ),
+ 2 => array(
+ '<%' => '%>'
+ ),
+ //delimiteur pour HTML
+ 3 => array(
+ '<!DOCTYPE' => '>'
+ ),
+ 4 => array(
+ '&' => ';'
+ ),
+ 5 => array(
+ '<' => '>'
+ )
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ 0 => false,
+ 1 => true,
+ 2 => true,
+ 3 => false,
+ 4 => false,
+ 5 => true
+ ),
+ 'TAB_WIDTH' => 4,
+ 'PARSER_CONTROL' => array(
+ 'KEYWORDS' => array(
+ 6 => array(
+ 'DISALLOWED_BEFORE' => '(?<=&lt;|&lt;\/)',
+ 'DISALLOWED_AFTER' => '(?=\s|\/|&gt;)',
+ ),
+ 7 => array(
+ 'DISALLOWED_AFTER' => '(?=\s*=)',
+ )
+ )
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/klonecpp.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/klonecpp.php
new file mode 100644
index 000000000..fd2d53864
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/klonecpp.php
@@ -0,0 +1,310 @@
+<?php
+/*************************************************************************************
+ * klonecpp.php
+ * --------
+ * Author: AUGER Mickael
+ * Copyright: Synchronic
+ * Release Version: 1.0.8.4
+ * Date Started: 2008/04/16
+ *
+ * KLone with C++ language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/04/16 (1.0.8)
+ * - First Release
+ *
+ * TODO (updated 2008/04/16)
+ * -------------------------
+ * A tester et a completer si besoin
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'KLone C++',
+ 'COMMENT_SINGLE' => array(1 => '//', 2 => '#'),//#pour precede les include de C
+ 'COMMENT_MULTI' => array('/*' => '*/', '<!--' => '-->' ),//comentaires C et KLone suivi de ceux pour HTML
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(//mots-cles C++
+ 'if', 'return', 'while', 'case', 'continue', 'default',
+ 'do', 'else', 'for', 'switch', 'goto',
+ 'break', 'true', 'enum', 'extern', 'inline', 'false',
+ 'errno', 'stdin', 'stdout', 'stderr',
+ 'virtual', 'public', 'private', 'protected', 'template', 'using', 'namespace',
+ 'try', 'catch', 'dynamic_cast', 'const_cast', 'reinterpret_cast',
+ 'static_cast', 'explicit', 'friend', 'typename', 'typeid', 'class',
+ 'EDOM', 'ERANGE', 'FLT_RADIX', 'FLT_ROUNDS', 'FLT_DIG', 'DBL_DIG', 'LDBL_DIG',
+ 'FLT_EPSILON', 'DBL_EPSILON', 'LDBL_EPSILON', 'FLT_MANT_DIG', 'DBL_MANT_DIG',
+ 'LDBL_MANT_DIG', 'FLT_MAX', 'DBL_MAX', 'LDBL_MAX', 'FLT_MAX_EXP', 'DBL_MAX_EXP',
+ 'LDBL_MAX_EXP', 'FLT_MIN', 'DBL_MIN', 'LDBL_MIN', 'FLT_MIN_EXP', 'DBL_MIN_EXP',
+ 'LDBL_MIN_EXP', 'CHAR_BIT', 'CHAR_MAX', 'CHAR_MIN', 'SCHAR_MAX', 'SCHAR_MIN',
+ 'UCHAR_MAX', 'SHRT_MAX', 'SHRT_MIN', 'USHRT_MAX', 'INT_MAX', 'INT_MIN',
+ 'UINT_MAX', 'LONG_MAX', 'LONG_MIN', 'ULONG_MAX', 'HUGE_VAL', 'SIGABRT',
+ 'SIGFPE', 'SIGILL', 'SIGINT', 'SIGSEGV', 'SIGTERM', 'SIG_DFL', 'SIG_ERR',
+ 'SIG_IGN', 'BUFSIZ', 'EOF', 'FILENAME_MAX', 'FOPEN_MAX', 'L_tmpnam', 'NULL',
+ 'SEEK_CUR', 'SEEK_END', 'SEEK_SET', 'TMP_MAX',
+ 'EXIT_FAILURE', 'EXIT_SUCCESS', 'RAND_MAX', 'CLOCKS_PER_SEC'
+ ),
+ 2 => array(//mots-cles KLone
+ 'out', 'request', 'response',
+ ),
+ 3 => array(//fonctions C++ usuelles
+ 'cin', 'cerr', 'clog', 'cout', 'delete', 'new', 'this',
+ 'printf', 'fprintf', 'snprintf', 'sprintf', 'assert',
+ 'isalnum', 'isalpha', 'isdigit', 'iscntrl', 'isgraph', 'islower', 'isprint',
+ 'ispunct', 'isspace', 'isupper', 'isxdigit', 'tolower', 'toupper',
+ 'exp', 'log', 'log10', 'pow', 'sqrt', 'ceil', 'floor', 'fabs', 'ldexp',
+ 'frexp', 'modf', 'fmod', 'sin', 'cos', 'tan', 'asin', 'acos', 'atan', 'atan2',
+ 'sinh', 'cosh', 'tanh', 'setjmp', 'longjmp',
+ 'va_start', 'va_arg', 'va_end', 'offsetof', 'sizeof', 'fopen', 'freopen',
+ 'fflush', 'fclose', 'remove', 'rename', 'tmpfile', 'tmpname', 'setvbuf',
+ 'setbuf', 'vfprintf', 'vprintf', 'vsprintf', 'fscanf', 'scanf', 'sscanf',
+ 'fgetc', 'fgets', 'fputc', 'fputs', 'getc', 'getchar', 'gets', 'putc',
+ 'putchar', 'puts', 'ungetc', 'fread', 'fwrite', 'fseek', 'ftell', 'rewind',
+ 'fgetpos', 'fsetpos', 'clearerr', 'feof', 'ferror', 'perror', 'abs', 'labs',
+ 'div', 'ldiv', 'atof', 'atoi', 'atol', 'strtod', 'strtol', 'strtoul', 'calloc',
+ 'malloc', 'realloc', 'free', 'abort', 'exit', 'atexit', 'system', 'getenv',
+ 'bsearch', 'qsort', 'rand', 'srand', 'strcpy', 'strncpy', 'strcat', 'strncat',
+ 'strcmp', 'strncmp', 'strcoll', 'strchr', 'strrchr', 'strspn', 'strcspn',
+ 'strpbrk', 'strstr', 'strlen', 'strerror', 'strtok', 'strxfrm', 'memcpy',
+ 'memmove', 'memcmp', 'memchr', 'memset', 'clock', 'time', 'difftime', 'mktime',
+ 'asctime', 'ctime', 'gmtime', 'localtime', 'strftime'
+ ),
+ 4 => array(//fonctions KLone usuelles
+ 'request_get_cookies', 'request_get_cookie', 'request_get_args', 'request_get_arg',
+ 'request_io', 'request_get_uri', 'request_get_filename', 'request_get_query_string', 'request_get_path_info',
+ 'request_get_if_modified_since', 'request_get_http', 'request_get_client_request',
+ 'request_get_content_length', 'request_get_uploads', 'request_get_uploaded_file',
+ 'request_get_method', 'request_get_protocol', 'request_get_resolved_filename',
+ 'request_get_resolved_path_info', 'request_get_addr', 'request_get_peer_addr',
+ 'request_get_header', 'request_get_field', 'request_get_field_value',
+ 'response_set_content_encoding', 'response_disable_caching', 'response_enable_caching',
+ 'response_set_cookie', 'response_set_method', 'response_get_method',
+ 'response_print_header', 'response_set_field', 'response_del_field',
+ 'response_set_content_type', 'response_set_date', 'response_set_last_modified',
+ 'response_set_content_length', 'response_get_status', 'response_get_header',
+ 'response_io', 'response_redirect', 'response_set_status',
+ 'session_get_vars', 'session_get', 'session_set', 'session_age', 'session_clean', 'session_del',
+ 'io_type', 'io_pipe', 'io_dup', 'io_copy', 'io_seek', 'io_tell', 'io_close',
+ 'io_free', 'io_read', 'io_printf', 'io_flush', 'io_write', 'io_putc', 'io_getc',
+ 'io_get_until', 'io_gets', 'io_codec_add_head', 'io_codec_add_tail',
+ 'io_codecs_remove', 'io_name_set', 'io_name_get'
+ ),
+ 5 => array(//types C++
+ 'auto', 'bool', 'char', 'const', 'double', 'float', 'int', 'long', 'longint',
+ 'register', 'short', 'shortint', 'signed', 'static', 'struct',
+ 'typedef', 'union', 'unsigned', 'void', 'volatile', 'jmp_buf',
+ 'signal', 'raise', 'va_list', 'ptrdiff_t', 'size_t', 'FILE', 'fpos_t',
+ 'div_t', 'ldiv_t', 'clock_t', 'time_t', 'tm',
+ 'string', 'wchar_t'
+ ),
+ 6 => array(//mots-cles HTML
+ 'a', 'abbr', 'acronym', 'address', 'applet',
+
+ 'base', 'basefont', 'bdo', 'big', 'blockquote', 'body', 'br', 'button', 'b',
+
+ 'caption', 'center', 'cite', 'code', 'colgroup', 'col',
+
+ 'dd', 'del', 'dfn', 'dir', 'div', 'dl', 'dt',
+
+ 'em',
+
+ 'fieldset', 'font', 'form', 'frame', 'frameset',
+
+ 'h1', 'h2', 'h3', 'h4', 'h5', 'h6', 'head', 'hr', 'html',
+
+ 'iframe', 'ilayer', 'img', 'input', 'ins', 'isindex', 'i',
+
+ 'kbd',
+
+ 'label', 'legend', 'link', 'li',
+
+ 'map', 'meta',
+
+ 'noframes', 'noscript',
+
+ 'object', 'ol', 'optgroup', 'option',
+
+ 'param', 'pre', 'p',
+
+ 'q',
+
+ 'samp', 'script', 'select', 'small', 'span', 'strike', 'strong', 'style', 'sub', 'sup', 's',
+
+ 'table', 'tbody', 'td', 'textarea', 'text', 'tfoot', 'thead', 'th', 'title', 'tr', 'tt',
+
+ 'ul', 'u',
+
+ 'var',
+ ),
+ 7 => array(//autres mots-cles HTML
+ 'abbr', 'accept-charset', 'accept', 'accesskey', 'action', 'align', 'alink', 'alt', 'archive', 'axis',
+ 'background', 'bgcolor', 'border',
+ 'cellpadding', 'cellspacing', 'char', 'charoff', 'charset', 'checked', 'cite', 'class', 'classid', 'clear', 'code', 'codebase', 'codetype', 'color', 'cols', 'colspan', 'compact', 'content', 'coords',
+ 'data', 'datetime', 'declare', 'defer', 'dir', 'disabled',
+ 'enctype',
+ 'face', 'for', 'frame', 'frameborder',
+ 'headers', 'height', 'href', 'hreflang', 'hspace', 'http-equiv',
+ 'id', 'ismap',
+ 'label', 'lang', 'language', 'link', 'longdesc',
+ 'marginheight', 'marginwidth', 'maxlength', 'media', 'method', 'multiple',
+ 'name', 'nohref', 'noresize', 'noshade', 'nowrap',
+ 'object', 'onblur', 'onchange', 'onclick', 'ondblclick', 'onfocus', 'onkeydown', 'onkeypress', 'onkeyup', 'onload', 'onmousedown', 'onmousemove', 'onmouseout', 'onmouseover', 'onmouseup', 'onreset', 'onselect', 'onsubmit', 'onunload',
+ 'profile', 'prompt',
+ 'readonly', 'rel', 'rev', 'rowspan', 'rows', 'rules',
+ 'scheme', 'scope', 'scrolling', 'selected', 'shape', 'size', 'span', 'src', 'standby', 'start', 'style', 'summary',
+ 'tabindex', 'target', 'text', 'title', 'type',
+ 'usemap',
+ 'valign', 'value', 'valuetype', 'version', 'vlink', 'vspace',
+ 'width'
+ )
+ ),
+ 'SYMBOLS' => array(
+ 1 => array(
+ '<%=', '<%!', '<%', '%>'
+ ),
+ 0 => array(
+ '(', ')', '[', ']', '{', '}',
+ '!', '%', '&', '|', '/',
+ '<', '>',
+ '=', '-', '+', '*',
+ '.', ':', ',', ';', '^'
+ )
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => false,
+ 5 => false,
+ 6 => false,
+ 7 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #b1b100; font-weight: bold;',//pour les mots-cles C++
+ 2 => 'color: #000000; font-weight: bold;',//pour les mots-cles KLone
+ 3 => 'color: #6600FF;',//pour les fonctions C++
+ 4 => 'color: #6600FF;',//pour les fonctions Klone
+ 5 => 'color: #0099FF; font-weight: bold;',//pour les types C++
+ 6 => 'color: #990099; font-weight: bold;',//pour les mots-cles HTML
+ 7 => 'color: #000066;'//pour les autres mots-cles HTML
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #808080; font-style: italic;',//commentaire sur une ligne C++ et KLone
+ 2 => 'color: #339933;',//pour les #... en C++
+ 'MULTI' => 'color: #808080; font-style: italic;'//commentaire sur plusieurs lignes C++ et KLone
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #000000;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #006600;',
+ 2 => 'color: #006600;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #000000;',
+ 1 => 'color: #000000; font-weight: bold;'
+ ),
+ 'REGEXPS' => array(),
+ 'SCRIPT' => array(
+ 0 => 'background-color:#ffccff; font-weight: bold; color:#000000;',
+ 1 => '',
+ 2 => '',
+ 3 => 'color: #00bbdd; font-weight: bold;',
+ 4 => 'color: #ddbb00;',
+ 5 => 'color: #009900;'
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => 'http://www.opengroup.org/onlinepubs/009695399/functions/{FNAMEL}.html',
+ 4 => 'http://www.koanlogic.com/klone/api/html/globals.html',
+ 5 => '',
+ 6 => 'http://december.com/html/4/element/{FNAMEL}.html',
+ 7 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.',
+ 2 => '::'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_ALWAYS,
+ 'SCRIPT_DELIMITERS' => array(
+ //delimiteurs pour KLone
+ 0 => array(
+ '<%=' => '%>'
+ ),
+ 1 => array(
+ '<%!' => '%>'
+ ),
+ 2 => array(
+ '<%' => '%>'
+ ),
+ //delimiteur pour HTML
+ 3 => array(
+ '<!DOCTYPE' => '>'
+ ),
+ 4 => array(
+ '&' => ';'
+ ),
+ 5 => array(
+ '<' => '>'
+ )
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ 0 => false,
+ 1 => true,
+ 2 => true,
+ 3 => false,
+ 4 => false,
+ 5 => true
+ ),
+ 'TAB_WIDTH' => 4,
+ 'PARSER_CONTROL' => array(
+ 'KEYWORDS' => array(
+ 6 => array(
+ 'DISALLOWED_BEFORE' => '(?<=&lt;|&lt;\/)',
+ 'DISALLOWED_AFTER' => '(?=\s|\/|&gt;)',
+ ),
+ 7 => array(
+ 'DISALLOWED_AFTER' => '(?=\s*=)',
+ )
+ )
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/latex.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/latex.php
new file mode 100644
index 000000000..bad2c1861
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/latex.php
@@ -0,0 +1,218 @@
+<?php
+/*************************************************************************************
+ * latex.php
+ * -----
+ * Author: efi, Matthias Pospiech (matthias@pospiech.eu)
+ * Copyright: (c) 2006 efi, Matthias Pospiech (matthias@pospiech.eu), Nigel McNie (http://qbnz.com/highlighter)
+ * Release Version: 1.0.8.4
+ * Date Started: 2006/09/23
+ *
+ * LaTeX language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/08/18 (1.0.8.1)
+ * - Changes in color and some additional command recognition
+ * - No special Color for Brackets, it is only distracting
+ * if color should be reintroduced it should be less bright
+ * - Math color changed from green to violett, since green is now used for comments
+ * - Comments are now colored and the only green. The reason for coloring the comments
+ * is that often important information is in the comments und was merely unvisible before.
+ * - New Color for [Options]
+ * - color for labels not specialised anymore. It makes sence in large documents but less in
+ * small web examples.
+ * - \@keyword introduced
+ * - Fixed \& escaped ampersand
+ * 2006/09/23 (1.0.0)
+ * - First Release
+ *
+ * TODO
+ * -------------------------
+ * *
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'LaTeX',
+ 'COMMENT_SINGLE' => array(
+ 1 => '%'
+ ),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array(),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'addlinespace','address','appendix','author','backmatter',
+ 'bfseries','bibitem','bigskip','blindtext','caption','captionabove',
+ 'captionbelow','cdot','centering','cite','color','colorbox','date',
+ 'def','definecolor','documentclass','edef','eqref','else','email','emph','fbox',
+ 'fi','flushleft','flushright','footnote','frac','frontmatter','graphicspath','hfill',
+ 'hline','hspace','huge','include','includegraphics','infty','input','int','ifx',
+ 'item','label','LaTeX','left','let','limits','listfiles','listoffigures',
+ 'listoftables','mainmatter','makeatletter','makeatother','makebox',
+ 'makeindex','maketitle','mbox','mediumskip','newcommand',
+ 'newenvironment','newpage','nocite','nonumber','pagestyle','par','paragraph','parbox',
+ 'parident','parskip','partial','raggedleft','raggedright','raisebox','ref',
+ 'renewcommand','renewenvironment','right','rule','section','setlength',
+ 'sffamily','subparagraph','subsection','subsubsection','sum','table',
+ 'tableofcontents','textbf','textcolor','textit','textnormal',
+ 'textsuperscript','texttt','title','today','ttfamily','urlstyle',
+ 'usepackage','vspace'
+ )
+ ),
+ 'SYMBOLS' => array(
+ "&", "\\", "{", "}", "[", "]"
+ ),
+ 'CASE_SENSITIVE' => array(
+ 1 => true,
+ GESHI_COMMENTS => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #800000;',
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #2C922C; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000000; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #000000;'
+ ),
+ 'NUMBERS' => array(
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #E02020; '
+ ),
+ 'REGEXPS' => array(
+ 1 => 'color: #8020E0; font-weight: normal;', // Math inner
+ 2 => 'color: #C08020; font-weight: normal;', // [Option]
+ 3 => 'color: #8020E0; font-weight: normal;', // Maths
+ 4 => 'color: #800000; font-weight: normal;', // Structure: Labels
+ 5 => 'color: #00008B; font-weight: bold;', // Structure (\section{->x<-})
+ 6 => 'color: #800000; font-weight: normal;', // Structure (\section)
+ 7 => 'color: #0000D0; font-weight: normal;', // Environment \end or \begin{->x<-} (brighter blue)
+ 8 => 'color: #C00000; font-weight: normal;', // Structure \end or \begin
+ 9 => 'color: #2020C0; font-weight: normal;', // {...}
+ 10 => 'color: #800000; font-weight: normal;', // \%, \& etc.
+ 11 => 'color: #E00000; font-weight: normal;', // \@keyword
+ 12 => 'color: #800000; font-weight: normal;', // \keyword
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => 'http://www.golatex.de/wiki/index.php?title=%5C{FNAME}',
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ // Math inner
+ 1 => array(
+ GESHI_SEARCH => "(\\\\begin\\{(equation|displaymath|eqnarray|subeqnarray|math|multline|gather|align|alignat|flalign)\\})(.*)(\\\\end\\{\\2\\})",
+ GESHI_REPLACE => '\3',
+ GESHI_MODIFIERS => 'Us',
+ GESHI_BEFORE => '\1',
+ GESHI_AFTER => '\4'
+ ),
+ // [options]
+ 2 => array(
+ GESHI_SEARCH => "(?<=\[).+(?=\])",
+ GESHI_REPLACE => '\0',
+ GESHI_MODIFIERS => 'Us',
+ GESHI_BEFORE => '',
+ GESHI_AFTER => ''
+ ),
+ // Math mode with $ ... $
+ 3 => array(
+ GESHI_SEARCH => "\\$.+\\$",
+ GESHI_REPLACE => '\0',
+ GESHI_MODIFIERS => 'Us',
+ GESHI_BEFORE => '',
+ GESHI_AFTER => ''
+ ),
+ // Structure: Label
+ 4 => "\\\\(?:label|pageref|ref|cite)(?=[^a-zA-Z])",
+ // Structure: sections
+ 5 => array(
+ GESHI_SEARCH => "(\\\\(?:part|chapter|(?:sub){0,2}section|(?:sub)?paragraph|addpart|addchap|addsec)\*?\\{)(.*)(?=\\})",
+ GESHI_REPLACE => '\\2',
+ GESHI_MODIFIERS => 'U',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => ''
+ ),
+ // Structure: sections
+ 6 => "\\\\(?:part|chapter|(?:sub){0,2}section|(?:sub)?paragraph|addpart|addchap|addsec)\*?(?=[^a-zA-Z])",
+ // environment \begin{} and \end{} (i.e. the things inside the {})
+ 7 => array(
+ GESHI_SEARCH => "(\\\\(?:begin|end)\\{)(.*)(?=\\})",
+ GESHI_REPLACE => '\\2',
+ GESHI_MODIFIERS => 'U',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => ''
+ ),
+ // Structure \begin and \end
+ 8 => "\\\\(?:end|begin)(?=[^a-zA-Z])",
+ // {parameters}
+ 9 => array(
+ GESHI_SEARCH => "(?<=\\{)(?!<\|!REG3XP5!>).*(?=\\})",
+ GESHI_REPLACE => '\0',
+ GESHI_MODIFIERS => 'Us',
+ GESHI_BEFORE => '',
+ GESHI_AFTER => ''
+ ),
+ // \%, \& usw.
+ 10 => "\\\\(?:[_$%]|&amp;)",
+ // \@keywords
+ 11 => "(?<!<\|!REG3XP[8]!>)\\\\@[a-zA-Z]+\*?",
+ // \keywords
+ 12 => "(?<!<\|!REG3XP[468]!>)\\\\[a-zA-Z]+\*?",
+
+// ---------------------------------------------
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'PARSER_CONTROL' => array(
+ 'COMMENTS' => array(
+ 'DISALLOWED_BEFORE' => '\\'
+ ),
+ 'KEYWORDS' => array(
+ 'DISALLOWED_BEFORE' => "(?<=\\\\)",
+ 'DISALLOWED_AFTER' => "(?![A-Za-z0-9])"
+ ),
+ 'ENABLE_FLAGS' => array(
+ 'NUMBERS' => GESHI_NEVER,
+ 'BRACKETS' => GESHI_NEVER
+ )
+ )
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/lisp.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/lisp.php
new file mode 100644
index 000000000..aa492058b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/lisp.php
@@ -0,0 +1,144 @@
+<?php
+/*************************************************************************************
+ * lisp.php
+ * --------
+ * Author: Roberto Rossi (rsoftware@altervista.org)
+ * Copyright: (c) 2004 Roberto Rossi (http://rsoftware.altervista.org), Nigel McNie (http://qbnz.com/highlighter
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/08/30
+ *
+ * Generic Lisp language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2005/12/9 (1.0.2)
+ * - Added support for :keywords and ::access (Denis Mashkevich)
+ * 2004/11/27 (1.0.1)
+ * - Added support for multiple object splitters
+ * 2004/08/30 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2004/11/27)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Lisp',
+ 'COMMENT_SINGLE' => array(1 => ';'),
+ 'COMMENT_MULTI' => array(';|' => '|;'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'not','defun','princ','when',
+ 'eval','apply','funcall','quote','identity','function',
+ 'complement','backquote','lambda','set','setq','setf',
+ 'defmacro','gensym','make','symbol','intern',
+ 'name','value','plist','get',
+ 'getf','putprop','remprop','hash','array','aref',
+ 'car','cdr','caar','cadr','cdar','cddr','caaar','caadr','cadar',
+ 'caddr','cdaar','cdadr','cddar','cdddr','caaaar','caaadr',
+ 'caadar','caaddr','cadaar','cadadr','caddar','cadddr',
+ 'cdaaar','cdaadr','cdadar','cdaddr','cddaar','cddadr',
+ 'cdddar','cddddr','cons','list','append','reverse','last','nth',
+ 'nthcdr','member','assoc','subst','sublis','nsubst',
+ 'nsublis','remove','length',
+ 'mapc','mapcar','mapl','maplist','mapcan','mapcon','rplaca',
+ 'rplacd','nconc','delete','atom','symbolp','numberp',
+ 'boundp','null','listp','consp','minusp','zerop','plusp',
+ 'evenp','oddp','eq','eql','equal','cond','case','and','or',
+ 'let','l','if','prog','prog1','prog2','progn','go','return',
+ 'do','dolist','dotimes','catch','throw','error','cerror','break',
+ 'continue','errset','baktrace','evalhook','truncate','float',
+ 'rem','min','max','abs','sin','cos','tan','expt','exp','sqrt',
+ 'random','logand','logior','logxor','lognot','bignums','logeqv',
+ 'lognand','lognor','logorc2','logtest','logbitp','logcount',
+ 'integer','nil','parse-integer'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '{', '}', '[', ']',
+ '!', '%', '^', '&',
+ ' + ',' - ',' * ',' / ',
+ '=','<','>',
+ '.',':',',',';',
+ '|'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #b1b100;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #808080; font-style: italic;',
+ 'MULTI' => 'color: #808080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ 0 => 'color: #555;',
+ 1 => 'color: #555;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ '::', ':'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'PARSER_CONTROL' => array(
+ 'OOLANG' => array(
+ 'MATCH_AFTER' => '[a-zA-Z][a-zA-Z0-9_\-]*'
+ )
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/locobasic.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/locobasic.php
new file mode 100644
index 000000000..a799d6900
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/locobasic.php
@@ -0,0 +1,130 @@
+<?php
+/*************************************************************************************
+ * locobasic.php
+ * -------------
+ * Author: Nacho Cabanes
+ * Copyright: (c) 2009 Nacho Cabanes (http://www.nachocabanes.com)
+ * Release Version: 1.0.8.4
+ * Date Started: 2009/03/22
+ *
+ * Locomotive Basic (Amstrad CPC series) language file for GeSHi.
+ *
+ * More details at http://en.wikipedia.org/wiki/Locomotive_BASIC
+ *
+ * CHANGES
+ * -------
+ * 2009/03/22 (1.0.8.3)
+ * - First Release
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Locomotive Basic',
+ 'COMMENT_SINGLE' => array(1 => "'", 2 => 'REM'),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ "AFTER", "AND", "AUTO", "BORDER", "BREAK", "CALL", "CAT", "CHAIN",
+ "CLEAR", "CLG", "CLS", "CLOSEIN", "CLOSEOUT", "CONT", "CURSOR",
+ "DATA", "DEF", "DEFINT", "DEFREAL", "DEFSTR", "DEG", "DELETE",
+ "DERR", "DI", "DIM", "DRAW", "DRAWR", "EDIT", "EI", "ELSE", "END",
+ "ENV", "ENT", "EOF", "ERASE", "ERL", "ERR", "ERROR", "EVERY",
+ "FILL", "FN", "FOR", "FRAME", "GOSUB", "GOTO", "GRAPHICS", "HIMEM",
+ "IF", "INK", "INPUT", "KEY", "LET", "LINE", "LIST", "LOAD",
+ "LOCATE", "MASK", "MEMORY", "MERGE", "MODE", "MOVE", "MOVER", "NEW",
+ "NEXT", "NOT", "ON", "OPENIN", "OPENOUT", "OR", "ORIGIN", "PAPER",
+ "PEEK", "PEN", "PLOT", "PLOTR", "POKE", "PRINT", "RAD", "RANDOMIZE",
+ "READ", "RELEASE", "REMAIN", "RENUM", "RESTORE", "RESUME", "RETURN",
+ "RUN", "SAVE", "SPEED", "SOUND", "SPC", "SQ", "STEP", "STOP", "SWAP",
+ "SYMBOL", "TAB", "TAG", "TAGOFF", "TEST", "TESTR", "TIME", "TO",
+ "THEN", "TRON", "TROFF", "USING", "WAIT", "WEND", "WHILE", "WIDTH",
+ "WINDOW", "WRITE", "XOR", "ZONE"
+ ),
+ 2 => array(
+ "ABS", "ASC", "ATN", "BIN", "CHR", "CINT", "COPYCHR", "COS",
+ "CREAL", "DEC", "FIX", "FRE", "EXP", "HEX", "INKEY", "INP", "INSTR",
+ "INT", "JOY", "LEFT", "LEN", "LOG", "LOG10", "LOWER", "MAX", "MID",
+ "MIN", "MOD", "OUT", "PI", "POS", "RIGHT", "RND", "ROUND", "SGN",
+ "SIN", "SPACE", "SQR", "STR", "STRING", "TAN", "UNT", "UPPER",
+ "VAL", "VPOS", "XPOS", "YPOS"
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #0000ff; font-weight: bold;',
+ 2 => 'color: #008888; font-weight: bold;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #808080;',
+ 2 => 'color: #808080;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #0044ff;'
+ ),
+ 'METHODS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099;'
+ ),
+ 'SCRIPT' => array(
+ ),
+ 'REGEXPS' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/lolcode.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/lolcode.php
new file mode 100644
index 000000000..fc60e3e9f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/lolcode.php
@@ -0,0 +1,152 @@
+<?php
+/*************************************************************************************
+ * lolcode.php
+ * ----------
+ * Author: Benny Baumann (BenBE@geshi.org)
+ * Copyright: (c) 2008 Benny Baumann (http://qbnz.com/highlighter/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2009/10/31
+ *
+ * LOLcode language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/10/31 (1.0.8.1)
+ * - First Release
+ *
+ * TODO
+ * ----
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+$language_data = array (
+ 'LANG_NAME' => 'LOLcode',
+ 'COMMENT_SINGLE' => array(),
+ 'COMMENT_MULTI' => array(),
+ 'COMMENT_REGEXP' => array(
+ 1 => "/\bBTW\b.*$/im",
+ 2 => "/(^|\b)(?:OBTW\b.+?\bTLDR|LOL\b.+?\/LOL)(\b|$)/si"
+ ),
+ 'CASE_KEYWORDS' => GESHI_CAPS_UPPER,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '',
+ 'ESCAPE_REGEXP' => array(
+ 1 => '/:[)>o":]/',
+ 2 => '/:\([\da-f]+\)/i',
+ 3 => '/:\{\w+\}/i',
+ 4 => '/:\[\w+\]/i',
+ ),
+ 'KEYWORDS' => array(
+ //Statements
+ 1 => array(
+ 'VISIBLE', 'HAI', 'KTHX', 'KTHXBYE', 'SMOOSH', 'GIMMEH', 'PLZ',
+ 'ON', 'INVISIBLE', 'R', 'ITZ', 'GTFO', 'COMPLAIN', 'GIMME',
+
+ 'OPEN', 'FILE', 'I HAS A', 'AWSUM THX', 'O NOES', 'CAN', 'HAS', 'HAZ',
+ 'HOW DOES I', 'IF U SAY SO', 'FOUND YR', 'BORROW', 'OWN', 'ALONG',
+ 'WITH', 'WIT', 'LOOK', 'AT', 'AWSUM', 'THX'
+ ),
+ //Conditionals
+ 2 => array(
+ 'IZ', 'YARLY', 'NOWAI', 'WTF?', 'MEBBE', 'OMG', 'OMGWTF',
+ 'ORLY?', 'OF', 'NOPE', 'SO', 'IM', 'MAI',
+
+ 'O RLY?', 'SUM', 'BOTH SAEM', 'DIFFRINT', 'BOTH', 'EITHER', 'WON',
+ 'DIFF', 'PRODUKT', 'QUOSHUNT', 'MOD', 'MKAY', 'OK', 'THING',
+ 'BIGNESS'
+ ),
+ //Repetition
+ 3 => array(
+ 'IN', 'OUTTA', 'LOOP', 'WHILE'
+ ),
+ //Operators \Math
+ 4 => array(
+ 'AN', 'AND', 'NOT', 'UP', 'YR', 'UPPIN', 'NERF', 'NERFIN', 'NERFZ',
+ 'SMASHING', 'UR', 'KINDA', 'LIKE', 'SAEM', 'BIG', 'SMALL',
+ 'BIGGR', 'SMALLR', 'BIGGER', 'SMALLER', 'GOOD', 'CUTE', 'THAN'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '.', ',', '?',
+ '!!'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #008000;',
+ 2 => 'color: #000080;',
+ 3 => 'color: #000080;',
+ 4 => 'color: #800000;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #666666; style: italic;',
+ 2 => 'color: #666666; style: italic;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ ),
+ 'SCRIPT' => array(
+ ),
+ 'REGEXPS' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'PARSER_CONTROL' => array(
+ 'KEYWORDS' => array(
+ 'SPACE_AS_WHITESPACE' => true
+ )
+ ),
+ 'TAB_WIDTH' => 4
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/lotusformulas.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/lotusformulas.php
new file mode 100644
index 000000000..e82d6bf3d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/lotusformulas.php
@@ -0,0 +1,318 @@
+<?php
+/*************************************************************************************
+ * lotusformulas.php
+ * ------------------------
+ * Author: Richard Civil (info@richardcivil.net)
+ * Copyright: (c) 2008 Richard Civil (info@richardcivil.net), Nigel McNie (http://qbnz.com/highlighter)
+ * Release Version: 1.0.8.4
+ * Date Started: 2008/04/12
+ *
+ * @Formula/@Command language file for GeSHi.
+ *
+ * @Formula/@Command source: IBM Lotus Notes/Domino 8 Designer Help
+ *
+ * CHANGES
+ * -------
+ * 2008/04/12 (1.0.7.22)
+ * - First Release
+ *
+ * TODO (updated 2008/04/12)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Lotus Notes @Formulas',
+ 'COMMENT_SINGLE' => array(1 => "'"),
+ 'COMMENT_MULTI' => array('REM' => ';'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ 1 => array (
+ '[ZoomPreview]', '[WorkspaceStackReplicaIcons]',
+ '[WorkspaceProperties]', '[WindowWorkspace]',
+ '[WindowTile]', '[WindowRestore]', '[WindowNext]',
+ '[WindowMinimizeAll]', '[WindowMinimize]', '[WindowMaximizeAll]',
+ '[WindowMaximize]', '[WindowCascade]', '[ViewSwitchForm]',
+ '[ViewShowUnread]', '[ViewShowServerNames]', '[ViewShowSearchBar]',
+ '[ViewShowRuler]', '[ViewShowPageBreaks]', '[ViewShowOnlyUnread]',
+ '[ViewShowOnlySelected]', '[ViewShowOnlySearchResults]',
+ '[ViewShowOnlyCategories]', '[ViewShowObject]',
+ '[ViewShowFieldHelp]', '[ViewRenamePerson]', '[ViewRefreshUnread]',
+ '[ViewRefreshFields]', '[ViewNavigatorsNone]',
+ '[ViewNavigatorsFolders]', '[ViewMoveName]', '[ViewHorizScrollbar]',
+ '[ViewExpandWithChildren]', '[ViewExpandAll]', '[ViewExpand]',
+ '[ViewCollapseAll]', '[ViewCollapse]', '[ViewChange]',
+ '[ViewCertify]', '[ViewBesideFolders]', '[ViewBelowFolders]',
+ '[ViewArrangeIcons]', '[V3EditPrevField]', '[V3EditNextField]',
+ '[UserIDSwitch]', '[UserIDSetPassword]', '[UserIDMergeCopy]',
+ '[UserIDInfo]', '[UserIDEncryptionKeys]', '[UserIDCreateSafeCopy]',
+ '[UserIDClearPassword]', '[UserIDCertificates]',
+ '[ToolsUserLogoff]', '[ToolsSpellCheck]', '[ToolsSmartIcons]',
+ '[ToolsSetupUserSetup]', '[ToolsSetupPorts]', '[ToolsSetupMail]',
+ '[ToolsSetupLocation]', '[ToolsScanUnreadSelected]',
+ '[ToolsScanUnreadPreferred]', '[ToolsScanUnreadChoose]',
+ '[ToolsRunMacro]', '[ToolsRunBackgroundMacros]', '[ToolsReplicate]',
+ '[ToolsRefreshSelectedDocs]', '[ToolsRefreshAllDocs]',
+ '[ToolsMarkSelectedUnread]', '[ToolsMarkSelectedRead]',
+ '[ToolsMarkAllUnread]', '[ToolsMarkAllRead]', '[ToolsHangUp]',
+ '[ToolsCategorize]', '[ToolsCall]', '[TextUnderline]',
+ '[TextSpacingSingle]', '[TextSpacingOneAndaHalf]',
+ '[TextSpacingDouble]', '[TextSetFontSize]', '[TextSetFontFace]',
+ '[TextSetFontColor]', '[TextReduceFont]', '[TextPermanentPen]',
+ '[TextParagraphStyles]', '[TextParagraph]', '[TextOutdent]',
+ '[TextNumbers]', '[TextNormal]', '[TextItalic]', '[TextFont]',
+ '[TextEnlargeFont]', '[TextCycleSpacing]', '[TextBullet]',
+ '[TextBold]', '[TextAlignRight]', '[TextAlignNone]',
+ '[TextAlignLeft]', '[TextAlignFull]', '[TextAlignCenter]',
+ '[SwitchView]', '[SwitchForm]', '[StyleCycleKey]',
+ '[SmartIconsNextSet]', '[SmartIconsFloating]', '[ShowProperties]',
+ '[ShowHidePreviewPane]', '[ShowHideParentPreview]',
+ '[ShowHideLinkPreview]', '[ShowHideIMContactList]',
+ '[SetCurrentLocation]', '[SendInstantMessage]',
+ '[SectionRemoveHeader]', '[SectionProperties]',
+ '[SectionExpandAll]', '[SectionExpand]', '[SectionDefineEditors]',
+ '[SectionCollapseAll]', '[SectionCollapse]', '[RunScheduledAgents]',
+ '[RunAgent]', '[ReplicatorStop]', '[ReplicatorStart]',
+ '[ReplicatorSendReceiveMail]', '[ReplicatorSendMail]',
+ '[ReplicatorReplicateWithServer]', '[ReplicatorReplicateSelected]',
+ '[ReplicatorReplicateNext]', '[ReplicatorReplicateHigh]',
+ '[Replicator]', '[RenameDatabase]', '[RemoveFromFolder]',
+ '[RemoteDebugLotusScript]', '[ReloadWindow]', '[RefreshWindow]',
+ '[RefreshParentNote]', '[RefreshHideFormulas]', '[RefreshFrame]',
+ '[PublishDatabase]', '[PictureProperties]', '[PasteBitmapAsObject]',
+ '[PasteBitmapAsBackground]', '[OpenView]', '[OpenPage]',
+ '[OpenNavigator]', '[OpenInNewWindow]', '[OpenHelpDocument]',
+ '[OpenFrameset]', '[OpenDocument]', '[OpenCalendar]',
+ '[ObjectProperties]', '[ObjectOpen]', '[ObjectDisplayAs]',
+ '[NavPrevUnread]', '[NavPrevSelected]', '[NavPrevMain]',
+ '[NavPrev]', '[NavNextUnread]', '[NavNextSelected]',
+ '[NavNextMain]', '[NavNext]', '[NavigatorTest]',
+ '[NavigatorProperties]', '[NavigateToBacklink]',
+ '[NavigatePrevUnread]', '[NavigatePrevSelected]',
+ '[NavigatePrevMain]', '[NavigatePrevHighlight]', '[NavigatePrev]',
+ '[NavigateNextUnread]', '[NavigateNextSelected]',
+ '[NavigateNextMain]', '[NavigateNextHighlight]', '[NavigateNext]',
+ '[MoveToTrash]', '[MailSendPublicKey]', '[MailSendEncryptionKey]',
+ '[MailSendCertificateRequest]', '[MailSend]', '[MailScanUnread]',
+ '[MailRequestNewPublicKey]', '[MailRequestNewName]',
+ '[MailRequestCrossCert]', '[MailOpen]', '[MailForwardAsAttachment]',
+ '[MailForward]', '[MailComposeMemo]', '[MailAddress]',
+ '[LayoutProperties]', '[LayoutElementSendToBack]',
+ '[LayoutElementProperties]', '[LayoutElementBringToFront]',
+ '[LayoutAddText]', '[LayoutAddGraphic]', '[InsertSubform]',
+ '[HotspotProperties]', '[HotspotClear]', '[HelpUsingDatabase]',
+ '[HelpAboutNotes]', '[HelpAboutDatabase]', '[GoUpLevel]',
+ '[FormTestDocument]', '[FormActions]', '[FolderRename]',
+ '[FolderProperties]', '[FolderMove]', '[FolderExpandWithChildren]',
+ '[FolderExpandAll]', '[FolderExpand]', '[FolderDocuments]',
+ '[FolderCustomize]', '[FolderCollapse]', '[Folder]',
+ '[FindFreeTimeDialog]', '[FileSaveNewVersion]', '[FileSave]',
+ '[FilePrintSetup]', '[FilePrint]', '[FilePageSetup]',
+ '[FileOpenDBRepID]', '[FileOpenDatabase]', '[FileNewReplica]',
+ '[FileNewDatabase]', '[FileImport]', '[FileFullTextUpdate]',
+ '[FileFullTextInfo]', '[FileFullTextDelete]',
+ '[FileFullTextCreate]', '[FileExport]', '[FileExit]',
+ '[FileDatabaseUseServer]', '[FileDatabaseRemove]',
+ '[FileDatabaseInfo]', '[FileDatabaseDelete]', '[FileDatabaseCopy]',
+ '[FileDatabaseCompact]', '[FileDatabaseACL]', '[FileCloseWindow]',
+ '[ExitNotes]', '[Execute]', '[ExchangeUnreadMarks]', '[EmptyTrash]',
+ '[EditUp]', '[EditUntruncate]', '[EditUndo]', '[EditTop]',
+ '[EditTableInsertRowColumn]', '[EditTableFormat]',
+ '[EditTableDeleteRowColumn]', '[EditShowHideHiddenChars]',
+ '[EditSelectByDate]', '[EditSelectAll]', '[EditRight]',
+ '[EditRestoreDocument]', '[EditResizePicture]',
+ '[EditQuoteSelection]', '[EditProfileDocument]', '[EditProfile]',
+ '[EditPrevField]', '[EditPhoneNumbers]', '[EditPasteSpecial]',
+ '[EditPaste]', '[EditOpenLink]', '[EditNextField]',
+ '[EditMakeDocLink]', '[EditLocations]', '[EditLinks]', '[EditLeft]',
+ '[EditInsertText]', '[EditInsertTable]', '[EditInsertPopup]',
+ '[EditInsertPageBreak]', '[EditInsertObject]',
+ '[EditInsertFileAttachment]', '[EditInsertButton]',
+ '[EditIndentFirstLine]', '[EditIndent]', '[EditHorizScrollbar]',
+ '[EditHeaderFooter]', '[EditGotoField]', '[EditFindNext]',
+ '[EditFindInPreview]', '[EditFind]', '[EditEncryptionKeys]',
+ '[EditDown]', '[EditDocument]', '[EditDetach]', '[EditDeselectAll]',
+ '[EditCut]', '[EditCopy]', '[EditClear]', '[EditButton]',
+ '[EditBottom]', '[DiscoverFolders]', '[Directories]',
+ '[DialingRules]', '[DesignViewSelectFormula]', '[DesignViews]',
+ '[DesignViewNewColumn]', '[DesignViewFormFormula]',
+ '[DesignViewEditActions]', '[DesignViewColumnDef]',
+ '[DesignViewAttributes]', '[DesignViewAppendColumn]',
+ '[DesignSynopsis]', '[DesignSharedFields]', '[DesignReplace]',
+ '[DesignRefresh]', '[DesignMacros]', '[DesignIcon]',
+ '[DesignHelpUsingDocument]', '[DesignHelpAboutDocument]',
+ '[DesignFormWindowTitle]', '[DesignFormUseField]',
+ '[DesignFormShareField]', '[DesignForms]', '[DesignFormNewField]',
+ '[DesignFormFieldDef]', '[DesignFormAttributes]',
+ '[DesignDocumentInfo]', '[DebugLotusScript]',
+ '[DatabaseReplSettings]', '[DatabaseDelete]', '[CreateView]',
+ '[CreateTextbox]', '[CreateSubForm]', '[CreateSection]',
+ '[CreateRectangularHotspot]', '[CreateRectangle]',
+ '[CreatePolyline]', '[CreatePolygon]', '[CreateNavigator]',
+ '[CreateLayoutRegion]', '[CreateForm]', '[CreateFolder]',
+ '[CreateEllipse]', '[CreateControlledAccessSection]',
+ '[CreateAgent]', '[CreateAction]', '[CopySelectedAsTable]',
+ '[ComposeWithReference]', '[Compose]', '[CloseWindow]', '[Clear]',
+ '[ChooseFolders]', '[CalendarGoTo]', '[CalendarFormat]',
+ '[AttachmentView]', '[AttachmentProperties]', '[AttachmentLaunch]',
+ '[AttachmentDetachAll]', '[AgentTestRun]', '[AgentSetServerName]',
+ '[AgentRun]', '[AgentLog]', '[AgentEnableDisable]', '[AgentEdit]',
+ '[AdminTraceConnection]', '[AdminStatisticsConfig]',
+ '[AdminSendMailTrace]', '[AdminRemoteConsole]',
+ '[AdminRegisterUser]', '[AdminRegisterServer]',
+ '[AdminRegisterFromFile]', '[AdminOutgoingMail]',
+ '[AdminOpenUsersView]', '[AdminOpenStatistics]',
+ '[AdminOpenServersView]', '[AdminOpenServerLog]',
+ '[AdminOpenGroupsView]', '[AdminOpenCertLog]', '[AdminOpenCatalog]',
+ '[AdminOpenAddressBook]', '[AdminNewOrgUnit]',
+ '[AdminNewOrganization]', '[Administration]',
+ '[AdminIDFileSetPassword]', '[AdminIDFileExamine]',
+ '[AdminIDFileClearPassword]', '[AdminDatabaseQuotas]',
+ '[AdminDatabaseAnalysis]', '[AdminCrossCertifyKey]',
+ '[AdminCrossCertifyIDFile]', '[AdminCreateGroup]', '[AdminCertify]',
+ '[AddToIMContactList]', '[AddDatabaseRepID]', '[AddDatabase]',
+ '[AddBookmark]'
+ ),
+ 2 => array(
+ 'SELECT', 'FIELD', 'ENVIRONMENT', 'DEFAULT', '@Zone ', '@Yesterday',
+ '@Yes', '@Year', '@Word', '@Wide', '@While', '@Weekday',
+ '@WebDbName', '@ViewTitle', '@ViewShowThisUnread', '@Version',
+ '@VerifyPassword', '@ValidateInternetAddress', '@V4UserAccess',
+ '@V3UserName', '@V2If', '@UserRoles', '@UserPrivileges',
+ '@UserNamesList', '@UserNameLanguage', '@UserName', '@UserAccess',
+ '@UrlQueryString', '@URLOpen', '@URLHistory', '@URLGetHeader',
+ '@URLEncode', '@URLDecode', '@UpperCase', '@UpdateFormulaContext',
+ '@Unique', '@UndeleteDocument', '@Unavailable', '@True', '@Trim',
+ '@Transform', '@ToTime', '@ToNumber', '@Tomorrow', '@Today',
+ '@TimeZoneToText', '@TimeToTextInZone', '@TimeMerge', '@Time',
+ '@ThisValue', '@ThisName', '@TextToTime', '@TextToNumber', '@Text',
+ '@TemplateVersion', '@Tan', '@Sum', '@Success', '@Subset',
+ '@StatusBar', '@Sqrt', '@Soundex', '@Sort', '@Sin', '@Sign',
+ '@SetViewInfo', '@SetTargetFrame', '@SetProfileField',
+ '@SetHTTPHeader', '@SetField', '@SetEnvironment', '@SetDocField',
+ '@Set', '@ServerName', '@ServerAccess', '@Select', '@Second',
+ '@Round', '@RightBack', '@Right', '@Return', '@Responses',
+ '@ReplicaID', '@ReplaceSubstring', '@Replace', '@Repeat',
+ '@RegQueryValue', '@RefreshECL', '@Random', '@ProperCase',
+ '@Prompt', '@Power', '@PostedCommand', '@PolicyIsFieldLocked',
+ '@Platform', '@PickList', '@Pi', '@PasswordQuality', '@Password',
+ '@OrgDir', '@OptimizeMailAddress', '@OpenInNewWindow', '@Now',
+ '@Nothing', '@NoteID', '@No', '@NewLine', '@Narrow', '@NameLookup',
+ '@Name', '@Month', '@Modulo', '@Modified', '@Minute', '@Min',
+ '@MiddleBack', '@Middle', '@Member', '@Max', '@Matches',
+ '@MailSignPreference', '@MailSend', '@MailSavePreference',
+ '@MailEncryptSentPreference', '@MailEncryptSavedPreference',
+ '@MailDbName', '@LowerCase', '@Log', '@Locale', '@Ln', '@Like',
+ '@Length', '@LeftBack', '@Left', '@LDAPServer', '@LaunchApp',
+ '@LanguagePreference', '@Keywords', '@IsVirtualizedDirectory',
+ '@IsValid', '@IsUsingJavaElement', '@IsUnavailable', '@IsTime',
+ '@IsText', '@IsResponseDoc', '@IsNumber', '@IsNull', '@IsNotMember',
+ '@IsNewDoc', '@IsModalHelp', '@IsMember', '@IsExpandable',
+ '@IsError', '@IsEmbeddedInsideWCT', '@IsDocTruncated',
+ '@IsDocBeingSaved', '@IsDocBeingRecalculated', '@IsDocBeingMailed',
+ '@IsDocBeingLoaded', '@IsDocBeingEdited', '@IsDB2', '@IsCategory',
+ '@IsAvailable', '@IsAppInstalled', '@IsAgentEnabled', '@Integer',
+ '@InheritedDocumentUniqueID', '@Implode', '@IfError', '@If',
+ '@Hour', '@HashPassword', '@HardDeleteDocument', '@GetViewInfo',
+ '@GetProfileField', '@GetPortsList', '@GetIMContactListGroupNames',
+ '@GetHTTPHeader', '@GetFocusTable', '@GetField', '@GetDocField',
+ '@GetCurrentTimeZone', '@GetAddressBooks', '@FormLanguage', '@For',
+ '@FontList', '@FloatEq', '@FileDir', '@False', '@Failure',
+ '@Explode', '@Exp', '@Eval', '@Error', '@Environment', '@Ends',
+ '@EnableAlarms', '@Elements', '@EditUserECL', '@EditECL',
+ '@DoWhile', '@Domain', '@DocumentUniqueID', '@DocSiblings',
+ '@DocParentNumber', '@DocOmmittedLength', '@DocNumber', '@DocMark',
+ '@DocLock', '@DocLevel', '@DocLength', '@DocFields',
+ '@DocDescendants', '@DocChildren', '@Do', '@DialogBox',
+ '@DeleteField', '@DeleteDocument', '@DDETerminate', '@DDEPoke',
+ '@DDEInitiate', '@DDEExecute', '@DbTitle', '@DbName', '@DbManager',
+ '@DbLookup', '@DbExists', '@DbCommand', '@DbColumn', '@DB2Schema',
+ '@Day', '@Date', '@Created', '@Count', '@Cos', '@Contains',
+ '@ConfigFile', '@Compare', '@Command', '@ClientType',
+ '@CheckFormulaSyntax', '@CheckAlarms', '@Char', '@Certificate',
+ '@BusinessDays', '@BrowserInfo', '@Begins', '@Author',
+ '@Attachments', '@AttachmentNames', '@AttachmentModifiedTimes',
+ '@AttachmentLengths', '@ATan2', '@ATan', '@ASin', '@Ascii',
+ '@AllDescendants', '@AllChildren', '@All', '@AdminECLIsLocked',
+ '@Adjust', '@AddToFolder', '@ACos', '@Accessed', '@AbstractSimple',
+ '@Abstract', '@Abs'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #800000;',
+ 2 => 'color: #0000FF;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #008000;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #000000;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #FF00FF;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #FF00FF;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #0000AA;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099;'
+ ),
+ 'SCRIPT' => array(
+ ),
+ 'REGEXPS' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'TAB_WIDTH' => 2
+ );
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/lotusscript.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/lotusscript.php
new file mode 100644
index 000000000..5272377b1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/lotusscript.php
@@ -0,0 +1,191 @@
+<?php
+/**
+ * lotusscript.php
+ * ------------------------
+ * Author: Richard Civil (info@richardcivil.net)
+ * Copyright: (c) 2008 Richard Civil (info@richardcivil.net), Nigel McNie (http://qbnz.com/highlighter)
+ * Release Version: 1.0.8.4
+ * Date Started: 2008/04/12
+ *
+ * LotusScript language file for GeSHi.
+ *
+ * LotusScript source: IBM Lotus Notes/Domino 8 Designer Help
+ *
+ * CHANGES
+ * -------
+ * 2008/04/12 (1.0.7.22)
+ * - First Release
+ *
+ * TODO (2008/04/12)
+ * -----------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'LotusScript',
+ 'COMMENT_SINGLE' => array(1 => "'"),
+ 'COMMENT_MULTI' => array('%REM' => '%END REM'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"' , "|"),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ 1 => array (
+ 'Yield', 'Year', 'Xor', 'Write', 'With', 'Width', 'While', 'Wend',
+ 'Weekday', 'VarType', 'Variant', 'Val', 'UString', 'UString$',
+ 'UseLSX', 'Use', 'Until', 'Unlock', 'Unicode', 'Uni', 'UChr',
+ 'UChr$', 'UCase', 'UCase$', 'UBound', 'TypeName', 'Type', 'TRUE',
+ 'Trim', 'Trim$', 'Today', 'To', 'TimeValue', 'TimeSerial', 'Timer',
+ 'TimeNumber', 'Time', 'Time$', 'Then', 'Text', 'Tan', 'Tab', 'Sub',
+ 'StrToken', 'StrToken$', 'StrRightBack', 'StrRightBack$',
+ 'StrRight', 'StrRight$', 'StrLeftBack', 'StrLeftBack$', 'StrLeft',
+ 'StrLeft$', 'String', 'String$', 'StrConv', 'StrCompare', 'StrComp',
+ 'Str', 'Str$', 'Stop', 'Step', 'Static', 'Sqr', 'Split', 'Spc',
+ 'Space', 'Space$', 'Sleep', 'Single', 'Sin', 'Shell', 'Shared',
+ 'Sgn', 'SetFileAttr', 'SetAttr', 'Set', 'SendKeys', 'Select',
+ 'Seek', 'Second', 'RTrim', 'RTrim$', 'RSet', 'Round', 'Rnd',
+ 'RmDir', 'RightC', 'RightC$', 'RightBP', 'RightBP$', 'RightB',
+ 'RightB$', 'Right', 'Right$', 'Return', 'Resume', 'Reset',
+ 'Replace', 'Remove', 'Rem', 'ReDim', 'Read', 'Randomize',
+ 'Random', 'Put', 'Public', 'Property', 'Private', 'Print',
+ 'Preserve', 'Pitch', 'PI', 'Output', 'Or', 'Option', 'Open', 'On',
+ 'Oct', 'Oct$', 'NULL', 'Now', 'NOTHING', 'Not', 'NoPitch', 'NoCase',
+ 'Next', 'New', 'Name', 'MsgBox', 'Month', 'Mod', 'MkDir', 'Minute',
+ 'MidC', 'MidC$', 'MidBP', 'MidBP$', 'MidB', 'MidB$', 'Mid', 'Mid$',
+ 'MessageBox', 'Me', 'LTrim', 'LTrim$', 'LSServer', 'LSI_Info',
+ 'LSet', 'Loop', 'Long', 'Log', 'LOF', 'Lock', 'LOC', 'LMBCS',
+ 'ListTag', 'List', 'Line', 'Like', 'Lib', 'Let', 'LenC', 'LenBP',
+ 'LenB', 'Len', 'LeftC', 'LeftC$', 'LeftBP', 'LeftBP$', 'LeftB',
+ 'LeftB$', 'Left', 'Left$', 'LCase', 'LCase$', 'LBound', 'Kill',
+ 'Join', 'IsUnknown', 'IsScalar', 'IsObject', 'IsNumeric', 'IsNull',
+ 'IsList', 'IsEmpty', 'IsElement', 'IsDate', 'IsArray', 'IsA', 'Is',
+ 'Integer', 'Int', 'InStrC', 'InStrBP', 'InStrB', 'InStr', 'InputBP',
+ 'InputBP$', 'InputBox', 'InputBox$', 'InputB', 'InputB$', 'Input',
+ 'Input$', 'In', 'IMSetMode', 'Implode', 'Implode$', 'Imp',
+ 'IMEStatus', 'If', 'Hour', 'Hex', 'Hex$', 'Goto', 'GoSub',
+ 'GetThreadInfo', 'GetFileAttr', 'GetAttr', 'Get', 'Function',
+ 'FullTrim', 'From', 'FreeFile', 'Fraction', 'Format', 'Format$',
+ 'ForAll', 'For', 'Fix', 'FileLen', 'FileDateTime', 'FileCopy',
+ 'FileAttr', 'FALSE', 'Explicit', 'Exp', 'Exit', 'Execute', 'Event',
+ 'Evaluate', 'Error', 'Error$', 'Err', 'Erl', 'Erase', 'Eqv', 'EOF',
+ 'Environ', 'Environ$', 'End', 'ElseIf', 'Else', 'Double', 'DoEvents',
+ 'Do', 'Dir', 'Dir$', 'Dim', 'DestroyLock', 'Delete', 'DefVar',
+ 'DefStr', 'DefSng', 'DefLng', 'DefInt', 'DefDbl', 'DefCur',
+ 'DefByte', 'DefBool', 'Declare', 'Day', 'DateValue', 'DateSerial',
+ 'DateNumber', 'Date', 'Date$', 'DataType', 'CVDate', 'CVar',
+ 'Currency', 'CurDrive', 'CurDrive$', 'CurDir', 'CurDir$', 'CStr',
+ 'CSng', 'CreateLock', 'Cos', 'Const', 'Compare', 'Command',
+ 'Command$', 'CodeUnlock', 'CodeLockCheck', 'CodeLock', 'Close',
+ 'CLng', 'Class', 'CInt', 'Chr', 'Chr$', 'ChDrive', 'ChDir', 'CDbl',
+ 'CDat', 'CCur', 'CByte', 'CBool', 'Case', 'Call', 'ByVal', 'Byte',
+ 'Boolean', 'Bind', 'Binary', 'Bin', 'Bin$', 'Beep', 'Base', 'Atn2',
+ 'Atn', 'ASin', 'Asc', 'As', 'ArrayUnique', 'ArrayReplace',
+ 'ArrayGetIndex', 'ArrayAppend', 'Append', 'AppActivate', 'Any',
+ 'And', 'Alias', 'ActivateApp', 'ACos', 'Access', 'Abs', '%Include',
+ '%If', '%END', '%ElseIf', '%Else'
+ ),
+ 2 => array (
+ 'NotesXSLTransformer', 'NotesXMLProcessor', 'NotesViewNavigator',
+ 'NotesViewEntryCollection', 'NotesViewEntry', 'NotesViewColumn',
+ 'NotesView', 'NotesUIWorkspace', 'NotesUIView', 'NotesUIScheduler',
+ 'NotesUIDocument', 'NotesUIDatabase', 'NotesTimer', 'NotesStream',
+ 'NotesSession', 'NotesSAXParser', 'NotesSAXException',
+ 'NotesSAXAttributeList', 'NotesRichTextTable', 'NotesRichTextTab',
+ 'NotesRichTextStyle', 'NotesRichTextSection', 'NotesRichTextRange',
+ 'NotesRichTextParagraphStyle', 'NotesRichTextNavigator',
+ 'NotesRichTextItem', 'NotesRichTextDocLink',
+ 'NotesReplicationEntry', 'NotesReplication', 'NotesRegistration',
+ 'NotesOutlineEntry', 'NotesOutline', 'NotesNoteCollection',
+ 'NotesNewsLetter', 'NotesName', 'NotesMIMEHeader',
+ 'NotesMIMEEntity', 'NotesLog', 'NotesItem', 'NotesInternational',
+ 'NotesForm', 'NotesEmbeddedObject', 'NotesDXLImporter',
+ 'NotesDXLExporter', 'NotesDOMXMLDeclNode', 'NotesDOMTextNode',
+ 'NotesDOMProcessingInstructionNode', 'NotesDOMParser',
+ 'NotesDOMNotationNode', 'NotesDOMNodeList', 'NotesDOMNode',
+ 'NotesDOMNamedNodeMap', 'NotesDOMEntityReferenceNode',
+ 'NotesDOMEntityNode', 'NotesDOMElementNode',
+ 'NotesDOMDocumentTypeNode', 'NotesDOMDocumentNode',
+ 'NotesDOMDocumentFragmentNode', 'NotesDOMCommentNode',
+ 'NotesDOMCharacterDataNote', 'NotesDOMCDATASectionNode',
+ 'NotesDOMAttributeNode', 'NotesDocumentCollection', 'NotesDocument',
+ 'NotesDbDirectory', 'NotesDateTime', 'NotesDateRange',
+ 'NotesDatabase', 'NotesColorObject', 'NotesAgent',
+ 'NotesAdministrationProcess', 'NotesACLEntry', 'NotesACL',
+ 'Navigator', 'Field', 'Button'
+ )
+ ) ,
+ 'SYMBOLS' => array(
+ '(', ')'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #0000FF;',
+ 2 => 'color: #0000EE;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #008000;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #000000;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #000000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #FF00FF;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #0000AA;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #006600;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099;'
+ ),
+ 'SCRIPT' => array(
+ ),
+ 'REGEXPS' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'TAB_WIDTH' => 2
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/lscript.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/lscript.php
new file mode 100644
index 000000000..1a5b0726e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/lscript.php
@@ -0,0 +1,387 @@
+<?php
+/*************************************************************************************
+ * lscript.php
+ * ---------
+ * Author: Arendedwinter (admin@arendedwinter.com)
+ * Copyright: (c) 2008 Beau McGuigan (http://www.arendedwinter.com)
+ * Release Version: 1.0.8.4
+ * Date Started: 15/11/2008
+ *
+ * Lightwave Script language file for GeSHi.
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'LScript',
+ 'COMMENT_SINGLE' => array(1 => '//'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ //Yes, I'm aware these are out of order,
+ //I had to rearrange and couldn't be bothered changing the numbers...
+ 7 => array(
+ '@data', '@define', '@else', '@end', '@fpdepth', '@if', '@include',
+ '@insert', '@library', '@localipc', '@name', '@save', '@script',
+ '@sequence', '@version', '@warnings'
+ ),
+ 1 => array(
+ 'break', 'case', 'continue', 'else', 'end', 'false', 'for',
+ 'foreach', 'if', 'return', 'switch', 'true', 'while',
+ ),
+ 3 => array(
+ 'active', 'alertlevel', 'alpha', 'alphaprefix', 'animfilename', 'autokeycreate',
+ 'backdroptype', 'blue', 'boxthreshold', 'button',
+ 'channelsvisible', 'childrenvisible', 'compfg', 'compbg', 'compfgalpha',
+ 'coneangles', 'cosine', 'count', 'ctl', 'curFilename', 'curFrame',
+ 'currenttime', 'curTime', 'curType',
+ 'depth', 'diffshade', 'diffuse', 'dimensions', 'displayopts', 'dynamicupdate',
+ 'end', 'eta',
+ 'filename', 'flags', 'fogtype', 'fps', 'frame', 'frameend', 'frameheight',
+ 'framestart', 'framestep', 'framewidth',
+ 'generalopts', 'genus', 'geometry', 'gNorm', 'goal', 'green',
+ 'h', 'hasAlpha', 'height',
+ 'id', 'innerlimit', 'isColor',
+ 'keyCount', 'keys',
+ 'limiteregion', 'locked', 'luminous',
+ 'maxsamplesperpixel', 'minsamplesperpixel', 'mirror', 'motionx', 'motiony',
+ 'name', 'newFilename', 'newFrame', 'newTime', 'newType', 'null', 'numthreads',
+ 'objID', 'oPos', 'outerlimit', 'oXfrm',
+ 'parent', 'pixel', 'pixelaspect', 'point', 'points', 'pointcount', 'polNum',
+ 'polycount', 'polygon', 'polygons', 'postBehavior', 'preBehavior', 'previewend',
+ 'previewstart', 'previewstep',
+ 'range', 'rawblue', 'rawgreen', 'rawred', 'rayLength', 'raySource', 'red',
+ 'reflectblue', 'reflectgreen', 'reflectred', 'recursiondepth', 'renderend',
+ 'renderopts', 'renderstart', 'renderstep', 'rendertype', 'restlength',
+ 'rgbprefix', 'roughness',
+ 'selected', 'setColor', 'setPattern', 'shading', 'shadow', 'shadows',
+ 'shadowtype', 'size', 'source', 'special', 'specshade', 'specular',
+ 'spotsize', 'start', 'sx', 'sy', 'sz',
+ 'target', 'totallayers', 'totalpoints', 'totalpolygons', 'trans', 'transparency',
+ 'type',
+ 'value', 'view', 'visible', 'visibility',
+ 'w', 'width', 'wNorm', 'wPos', 'wXfrm',
+ 'x', 'xoffset',
+ 'y', 'yoffset',
+ 'z'
+ ),
+ 4 => array(
+ 'addLayer', 'addParticle', 'alphaspot', 'ambient', 'asAsc', 'asBin',
+ 'asInt', 'asNum', 'asStr', 'asVec', 'attach', 'axislocks',
+ 'backdropColor', 'backdropRay', 'backdropSqueeze', 'bone', 'blurLength',
+ 'close', 'color', 'contains', 'copy', 'createKey',
+ 'deleteKey', 'detach', 'drawCircle', 'drawLine', 'drawPoint', 'drawText',
+ 'drawTriangle',
+ 'edit', 'eof', 'event',
+ 'firstChannel', 'firstLayer', 'firstSelect', 'focalLength', 'fogColor',
+ 'fogMaxAmount', 'fogMaxDist', 'fogMinAmount', 'fogMinDist',
+ 'fovAngles', 'fStop', 'firstChild', 'focalDistance',
+ 'get', 'getChannelGroup', 'getEnvelope', 'getForward', 'getKeyBias',
+ 'getKeyContinuity', 'getKeyCurve', 'getKeyHermite', 'getKeyTension',
+ 'getKeyTime', 'getKeyValue', 'getParticle', 'getPivot', 'getPosition',
+ 'getRight', 'getRotation', 'getSelect', 'getScaling', 'getTag', 'getTexture',
+ 'getUp', 'getValue', 'getWorldPosition', 'getWorldForward', 'getWorldRight',
+ 'getWorldRotation', 'getWorldUp', 'globalBlur', 'globalMask', 'globalResolution',
+ 'hasCCEnd', 'hasCCStart',
+ 'illuminate', 'indexOf', 'isAscii', 'isAlnum', 'isAlpha', 'isBone',
+ 'isCamera', 'isChannel', 'isChannelGroup', 'isCntrl', 'isCurve', 'isDigit',
+ 'isEnvelope', 'isImage', 'isInt', 'isLight', 'isLower', 'isMapped', 'isMesh',
+ 'isNil', 'isNum', 'IsOpen', 'isOriginal', 'isPrint', 'isPunct', 'isScene',
+ 'isSpace', 'isStr', 'isUpper', 'isValid', 'isVMap', 'isVec', 'isXDigit',
+ 'keyExists',
+ 'layer', 'layerName', 'layerVisible', 'limits', 'line', 'linecount', 'load', 'luma',
+ 'next', 'nextLayer', 'nextSelect', 'nextChannel', 'nextChild', 'nl',
+ 'offset', 'open',
+ 'pack', 'param', 'parse', 'paste', 'persist', 'polygonCount', 'position',
+ 'rayCast', 'rayTrace', 'read', 'readByte', 'readInt', 'readNumber',
+ 'readDouble', 'readShort', 'readString', 'readVector', 'reduce',
+ 'remParticle', 'renderCamera', 'reopen', 'replace', 'reset', 'restParam',
+ 'rewind', 'rgb', 'rgbambient', 'rgbcolor', 'rgbspot',
+ 'save', 'schemaPosition', 'select', 'set', 'setChannelGroup', 'setKeyBias',
+ 'setKeyContinuity', 'setKeyCurve',
+ 'setKeyHermite', 'setKeyTension', 'setKeyValue', 'setParticle', 'setPoints',
+ 'setTag', 'setValue', 'server', 'serverFlags', 'sortA', 'sortD', 'surface',
+ 'trunc',
+ 'write', 'writeln', 'writeByte', 'writeData', 'writeNumber', 'writeDouble',
+ 'writeShort', 'writeString', 'writeVector',
+ 'vertex', 'vertexCount',
+ 'zoomFactor'
+ ),
+ 2 => array(
+ 'abs', 'acos', 'angle', 'append', 'ascii', 'asin', 'atan',
+ 'binary',
+ 'ceil', 'center', 'chdir', 'clearimage', 'cloned', 'comringattach',
+ 'comringdecode', 'comringdetach', 'comringencode', 'comringmsg', 'cos',
+ 'cosh', 'cot', 'cross2d', 'cross3d', 'csc', 'ctlstring', 'ctlinteger',
+ 'ctlnumber', 'ctlvector', 'ctldistance', 'ctlchoice', 'ctltext',
+ 'ctlcolor', 'ctlsurface', 'ctlfont', 'ctlpopup', 'ctledit', 'ctlpercent',
+ 'ctlangle', 'ctlrgb', 'ctlhsv', 'ctlcheckbox', 'ctlstate', 'ctlfilename',
+ 'ctlbutton', 'ctllistbox', 'ctlslider', 'ctlminislider', 'ctlsep', 'ctlimage',
+ 'ctltab', 'ctlallitems', 'ctlmeshitems', 'ctlcameraitems', 'ctllightitems',
+ 'ctlboneitems', 'ctlimageitems', 'ctlchannel', 'ctlviewport', 'Control_Management',
+ 'ctlpage', 'ctlgroup', 'ctlposition', 'ctlactive', 'ctlvisible', 'ctlalign',
+ 'ctlrefresh', 'ctlmenu', 'ctlinfo',
+ 'date', 'debug', 'deg', 'dot2d', 'dot3d', 'drawborder', 'drawbox', 'drawcircle',
+ 'drawelipse', 'drawerase', 'drawfillcircle', 'drawfillelipse', 'drawline',
+ 'drawpixel', 'drawtext', 'drawtextwidth', 'drawtextheight', 'dump',
+ 'error', 'exp', 'expose', 'extent',
+ 'fac', 'filecrc', 'filedelete', 'fileexists', 'filefind', 'filerename',
+ 'filestat', 'floor', 'format', 'frac', 'fullpath',
+ 'gamma', 'getdir', 'getenv', 'getfile', 'getfirstitem', 'getsep', 'getvalue',
+ 'globalrecall', 'globalstore',
+ 'hash', 'hex', 'hostBuild', 'hostVersion', 'hypot',
+ 'info', 'integer',
+ 'library', 'licenseId', 'lscriptVersion', 'load', 'loadimage', 'log', 'log10',
+ 'matchdirs', 'matchfiles', 'max', 'min', 'mkdir', 'mod', 'monend', 'moninit', 'monstep',
+ 'nil', 'normalize', 'number',
+ 'octal', 'overlayglyph',
+ 'parse', 'platform', 'pow',
+ 'rad', 'random', 'randu', 'range', 'read', 'readdouble', 'readInt', 'readNumber',
+ 'readShort', 'recall', 'regexp', 'reqabort', 'reqbegin', 'reqend', 'reqisopen',
+ 'reqkeyboard', 'reqopen', 'reqposition', 'reqpost', 'reqredraw',
+ 'reqsize', 'reqresize', 'requpdate', 'rmdir', 'round', 'runningUnder',
+ 'save', 'sec', 'select', 'selector', 'setdesc', 'setvalue', 'sin', 'sinh', 'size',
+ 'sizeof', 'sleep', 'spawn', 'split', 'sqrt', 'step', 'store', 'string', 'strleft',
+ 'strlower', 'strright', 'strsub', 'strupper',
+ 'tan', 'tanh', 'targetobject', 'terminate', 'text', 'time',
+ 'wait', 'warn', 'when', 'write', 'writeDouble', 'writeInt', 'writeNumber', 'writeShort',
+ 'var', 'vector', 'visitnodes', 'vmag',
+ ),
+ 5 => array(
+ 'addcurve', 'addpoint', 'addpolygon', 'addquad', 'addtriangle', 'alignpols',
+ 'autoflex', 'axisdrill',
+ 'bend', 'bevel', 'boolean', 'boundingbox',
+ 'changepart', 'changesurface', 'close', 'closeall', 'cmdseq', 'copy', 'copysurface',
+ 'createsurface', 'cut',
+ 'deformregion', 'delete',
+ 'editbegin', 'editend', 'exit', 'extrude',
+ 'fixedflex', 'flip', 'fontclear', 'fontcount', 'fontindex', 'fontload',
+ 'fontname', 'fracsubdivide', 'freezecurves',
+ 'getdefaultsurface',
+ 'jitter',
+ 'lathe', 'layerName', 'layerVisible', 'lyrbg', 'lyrdata', 'lyrempty', 'lyremptybg',
+ 'lyremptyfg', 'lyrfg', 'lyrsetbg', 'lyrsetfg', 'lyrswap',
+ 'magnet', 'make4patch', 'makeball', 'makebox', 'makecone', 'makedisc',
+ 'maketesball', 'maketext', 'mergepoints', 'mergepols', 'meshedit', 'mirror',
+ 'morphpols', 'move',
+ 'new', 'nextsurface',
+ 'paste', 'pathclone', 'pathextrude', 'pixel', 'pointcount', 'pointinfo',
+ 'pointmove', 'pole', 'polycount', 'polyinfo', 'polynormal', 'polypointcount',
+ 'polypoints', 'polysurface',
+ 'quantize',
+ 'railclone', 'railextrude', 'redo', 'removepols', 'rempoint', 'rempoly',
+ 'renamesurface', 'revert', 'rotate',
+ 'scale', 'selhide', 'selinvert', 'selmode', 'selpoint', 'selpolygon', 'selunhide',
+ 'selectvmap', 'setlayername', 'setobject', 'setpivot', 'setsurface', 'shapebevel',
+ 'shear', 'skinpols', 'smooth', 'smoothcurves', 'smoothscale', 'smoothshift',
+ 'soliddrill', 'splitpols', 'subdivide', 'swaphidden',
+ 'taper', 'triple', 'toggleCCend', 'toggleCCstart', 'togglepatches', 'twist',
+ 'undo', 'undogroupend', 'undogroupbegin', 'unifypols', 'unweld',
+ 'vortex',
+ 'weldaverage', 'weldpoints'
+ ),
+ 6 => array(
+ 'About', 'AboutOpenGL', 'AdaptiveSampling', 'AdaptiveThreshold',
+ 'AddAreaLight', 'AddBone', 'AddButton', 'AddCamera', 'AddChildBone',
+ 'AddDistantLight', 'AddEnvelope', 'AddLinearLight', 'AddNull',
+ 'AddPartigon', 'AddPlugins', 'AddPointLight', 'AddPosition',
+ 'AddRotation', 'AddScale', 'AddSpotlight', 'AddToSelection',
+ 'AdjustRegionTool', 'AffectCaustics', 'AffectDiffuse', 'AffectOpenGL',
+ 'AffectSpecular', 'AlertLevel', 'AmbientColor', 'AmbientIntensity',
+ 'Antialiasing', 'ApertureHeight', 'ApplyServer', 'AreaLight',
+ 'AutoConfirm', 'AutoFrameAdvance', 'AutoKey',
+ 'BackdropColor', 'BackView', 'BController', 'BLimits', 'BLurLength', 'BoneActive',
+ 'BoneFalloffType', 'BoneJointComp', 'BoneJointCompAmounts', 'BoneJointCompParent',
+ 'BoneLimitedRange', 'BoneMaxRange', 'BoneMinRange', 'BoneMuscleFlex',
+ 'BoneMuscleFlexAmounts', 'BoneMuscleFlexParent', 'BoneNormalization',
+ 'BoneRestLength', 'BoneRestPosition', 'BoneRestRotation', 'BoneSource',
+ 'BoneStrength', 'BoneStrengthMultiply', 'BoneWeightMapName', 'BoneWeightMapOnly',
+ 'BoneWeightShade', 'BoneXRay', 'BottomView', 'BoundingBoxThreshold',
+ 'BStiffness',
+ 'CacheCaustics', 'CacheRadiosity', 'CacheShadowMap',
+ 'CameraMask', 'CameraView', 'CameraZoomTool', 'CastShadow', 'CausticIntensity',
+ 'CenterItem', 'CenterMouse', 'ChangeTool', 'ClearAllBones', 'ClearAllCameras',
+ 'ClearAllLights', 'ClearAllObjects', 'ClearAudio', 'ClearScene', 'ClearSelected',
+ 'Clone', 'CommandHistory', 'CommandInput', 'Compositing', 'ConeAngleTool',
+ 'ContentDirectory', 'CreateKey',
+ 'DecreaseGrid', 'DeleteKey', 'DepthBufferAA', 'DepthOfField', 'DisplayOptions',
+ 'DistantLight', 'DrawAntialiasing', 'DrawBones', 'DrawChildBones', 'DynamicUpdate',
+ 'EditBones', 'EditCameras', 'EditKeys', 'EditLights',
+ 'EditMenus', 'EditObjects', 'EditPlugins', 'EditServer', 'EnableCaustics',
+ 'EnableDeformations', 'EnableIK', 'EnableLensFlares', 'EnableRadiosity', 'EnableServer',
+ 'EnableShadowMaps', 'EnableVIPER', 'EnableVolumetricLights', 'EnableXH',
+ 'EnableYP', 'EnableZB', 'EnahancedAA', 'ExcludeLight', 'ExcludeObject',
+ 'EyeSeparation',
+ 'FasterBones', 'FirstFrame', 'FirstItem', 'FitAll', 'FitSelected',
+ 'FlareIntensity', 'FlareOptions', 'FocalDistance', 'FogColor', 'FogMaxAmount',
+ 'FogMaxDistance', 'FogMinAmount', 'FogMinDistance', 'FogType', 'FractionalFrames',
+ 'FrameSize', 'FramesPerSecond', 'FrameStep', 'FreePreview', 'FrontView', 'FullTimeIK',
+ 'GeneralOptions', 'Generics', 'GlobalApertureHeight', 'GlobalBlurLength',
+ 'GlobalFrameSize', 'GlobalIllumination', 'GlobalMaskPosition', 'GlobalMotionBlur',
+ 'GlobalParticleBlur', 'GlobalPixelAspect', 'GlobalResolutionMulitplier', 'GoalItem',
+ 'GoalStrength', 'GoToFrame', 'GradientBackdrop', 'GraphEditor', 'GridSize', 'GroundColor',
+ 'HController', 'HideToolbar', 'HideWindows', 'HLimits', 'HStiffness',
+ 'ImageEditor', 'ImageProcessing', 'IncludeLight', 'IncludeObject', 'IncreaseGrid',
+ 'IndirectBounces', 'Item_SetWindowPos', 'ItemActive', 'ItemColor', 'ItemLock',
+ 'ItemProperties', 'ItemVisibilty',
+ 'KeepGoalWithinReach',
+ 'LastFrame', 'LastItem', 'LastPluginInterface', 'Layout_SetWindowPos',
+ 'Layout_SetWindowSize', 'LeftView', 'LensFlare', 'LensFStop', 'LightColor',
+ 'LightConeAngle', 'LightEdgeAngle', 'LightFalloffType', 'LightIntensity',
+ 'LightIntensityTool', 'LightQuality', 'LightRange', 'LightView', 'LimitB',
+ 'LimitDynamicRange', 'LimitedRegion', 'LimitH', 'LimitP', 'LinearLight',
+ 'LoadAudio', 'LoadFromScene', 'LoadMotion', 'LoadObject', 'LoadObjectLayer',
+ 'LoadPreview', 'LoadScene', 'LocalCoordinateSystem',
+ 'MakePreview', 'MaskColor', 'MaskPosition', 'MasterPlugins', 'MatchGoalOrientation',
+ 'MatteColor', 'MatteObject', 'MetaballResolution', 'Model', 'MorphAmount',
+ 'MorphAmountTool', 'MorphMTSE', 'MorphSurfaces', 'MorphTarget', 'MotionBlur',
+ 'MotionBlurDOFPreview', 'MotionOptions', 'MovePathTool', 'MovePivotTool', 'MoveTool',
+ 'NadirColor', 'NetRender', 'NextFrame', 'NextItem', 'NextKey', 'NextSibling',
+ 'NextViewLayout', 'NoiseReduction', 'Numeric',
+ 'ObjectDissolve',
+ 'ParentCoordinateSystem', 'ParentInPlace', 'ParentItem',
+ 'ParticleBlur', 'PathAlignLookAhead', 'PathAlignMaxLookSteps', 'PathAlignReliableDist',
+ 'Pause', 'PController', 'PerspectiveView',
+ 'PivotPosition', 'PivotRotation', 'PixelAspect', 'PlayAudio', 'PlayBackward',
+ 'PlayForward', 'PlayPreview', 'PLimits', 'PointLight', 'PolygonEdgeColor',
+ 'PolygonEdgeFlags', 'PolygonEdgeThickness', 'PolygonEdgeZScale', 'PolygonSize',
+ 'Position', 'Presets', 'PreviewFirstFrame', 'PreviewFrameStep', 'PreviewLastFrame',
+ 'PreviewOptions', 'PreviousFrame', 'PreviousItem', 'PreviousKey', 'PreviousSibling',
+ 'PreviousViewLayout', 'PStiffness',
+ 'Quit',
+ 'RadiosityIntensity', 'RadiosityTolerance', 'RadiosityType', 'RayRecursionLimit',
+ 'RayTraceReflection', 'RayTraceShadows',
+ 'RayTraceTransparency', 'ReceiveShadow', 'RecentContentDirs', 'RecentScenes',
+ 'ReconstructionFilter', 'RecordMaxAngles', 'RecordMinAngles', 'RecordPivotRotation',
+ 'RecordRestPosition', 'Redraw', 'RedrawNow', 'Refresh', 'RefreshNow', 'RegionPosition',
+ 'RemoveEnvelope', 'RemoveFromSelection', 'RemoveServer', 'Rename', 'RenderFrame',
+ 'RenderOptions', 'RenderScene', 'RenderSelected', 'RenderThreads',
+ 'ReplaceObjectLayer', 'ReplaceWithNull', 'ReplaceWithObject', 'Reset',
+ 'ResolutionMultiplier', 'RestLengthTool', 'RightView', 'RotatePivotTool',
+ 'RotateTool', 'Rotation',
+ 'SaveAllObjects', 'SaveCommandList', 'SaveCommandMessages',
+ 'SaveEndomorph', 'SaveLight', 'SaveLWSC1', 'SaveMotion', 'SaveObject', 'SaveObjectCopy',
+ 'SavePreview', 'SaveScene', 'SaveSceneAs', 'SaveSceneCopy', 'SaveTransformed',
+ 'SaveViewLayout', 'Scale', 'Scene_SetWindowPos', 'Scene_SetWindowSize',
+ 'SceneEditor', 'SchematicPosition', 'SchematicView', 'SelectAllBones',
+ 'SelectAllCameras', 'SelectAllLights', 'SelectAllObjects', 'SelectByName',
+ 'SelectChild', 'SelectItem', 'SelectParent', 'SelfShadow', 'ShadowColor',
+ 'ShadowExclusion', 'ShadowMapAngle', 'ShadowMapFitCone', 'ShadowMapFuzziness',
+ 'ShadowMapSize', 'ShadowType', 'ShowCages', 'ShowFieldChart', 'ShowHandles',
+ 'ShowIKChains', 'ShowMotionPaths', 'ShowSafeAreas', 'ShowTargetLines',
+ 'ShrinkEdgesWithDistance', 'SingleView', 'SizeTool', 'SkelegonsToBones', 'SkyColor',
+ 'Spotlight', 'SquashTool', 'Statistics', 'StatusMsg', 'Stereoscopic', 'StretchTool',
+ 'SubdivisionOrder', 'SubPatchLevel', 'SurfaceEditor', 'Synchronize',
+ 'TargetItem', 'TopView',
+ 'UnaffectedByFog', 'UnaffectedByIK', 'Undo', 'UnseenByAlphaChannel', 'UnseenByCamera',
+ 'UnseenByRays', 'UseGlobalResolution', 'UseGlobalBlur', 'UseGlobalMask',
+ 'UseMorphedPositions',
+ 'ViewLayout', 'VIPER', 'VolumetricLighting',
+ 'VolumetricLightingOptions', 'VolumetricRadiosity', 'Volumetrics',
+ 'WorldCoordinateSystem',
+ 'XYView', 'XZView',
+ 'ZenithColor', 'ZoomFactor', 'ZoomIn', 'ZoomInX2', 'ZoomOut', 'ZoomOutX2', 'ZYView',
+ 'Camera', 'Channel', 'ChannelGroup', 'Envelope', 'File', 'Glyph', 'Icon', 'Image',
+ 'Light', 'Mesh', 'Scene', 'Surface', 'VMap'
+ ),
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '{', '}', '[', ']', '=', '<', '>', '+', '-', '*', '/', '!', '%', '&', '@'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => true,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => false,
+ 5 => false,
+ 6 => false,
+ 7 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #000000; font-weight: bold;',
+ 2 => 'color: #FF6820; font-weight: bold;', //LS_COMMANDS
+ 3 => 'color: #007F7F; font-weight: bold;', //LS_MEMBERS
+ 4 => 'color: #800080; font-weight: bold;', //LS_METHODS
+ 5 => 'color: #51BD95; font-weight: bold;', //LS_MODELER
+ 6 => 'color: #416F85; font-weight: bold;', //LS_GENERAL
+ 7 => 'color: #C92929; font-weight: bold;' //LS_COMMANDS (cont)
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #7F7F7F;',
+ 'MULTI' => 'color: #7F7F7F;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #0040A0;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #00C800;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #6953AC;'
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #0040A0;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ ),
+ 'ESCAPE_CHAR' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => '',
+ 5 => '',
+ 6 => '',
+ 7 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'TAB_WIDTH' => 4,
+ 'PARSER_CONTROL' => array(
+ 'KEYWORDS' => array(
+ 3 => array(
+ 'DISALLOWED_BEFORE' => '(?<=\.)'
+ ),
+ 4 => array(
+ 'DISALLOWED_BEFORE' => '(?<=\.)'
+ )
+ )
+ )
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/lsl2.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/lsl2.php
new file mode 100644
index 000000000..0b62ee8b7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/lsl2.php
@@ -0,0 +1,898 @@
+<?php
+/*************************************************************************************
+ * lsl2.php
+ * --------
+ * Author: William Fry (william.fry@nyu.edu)
+ * Copyright: (c) 2009 William Fry
+ * Release Version: 1.0.8.4
+ * Date Started: 2009/02/04
+ *
+ * Linden Scripting Language (LSL2) language file for GeSHi.
+ *
+ * Data derived and validated against the following:
+ * http://wiki.secondlife.com/wiki/LSL_Portal
+ * http://www.lslwiki.net/lslwiki/wakka.php?wakka=HomePage
+ * http://rpgstats.com/wiki/index.php?title=Main_Page
+ *
+ * CHANGES
+ * -------
+ * 2009/02/05 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2009/02/05)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'LSL2',
+ 'COMMENT_SINGLE' => array(1 => '//'),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array( // flow control
+ 'do',
+ 'else',
+ 'for',
+ 'if',
+ 'jump',
+ 'return',
+ 'state',
+ 'while',
+ ),
+ 2 => array( // manifest constants
+ 'ACTIVE',
+ 'AGENT',
+ 'AGENT_ALWAYS_RUN',
+ 'AGENT_ATTACHMENTS',
+ 'AGENT_AWAY',
+ 'AGENT_BUSY',
+ 'AGENT_CROUCHING',
+ 'AGENT_FLYING',
+ 'AGENT_IN_AIR',
+ 'AGENT_MOUSELOOK',
+ 'AGENT_ON_OBJECT',
+ 'AGENT_SCRIPTED',
+ 'AGENT_SITTING',
+ 'AGENT_TYPING',
+ 'AGENT_WALKING',
+ 'ALL_SIDES',
+ 'ANIM_ON',
+ 'ATTACH_BACK',
+ 'ATTACH_BELLY',
+ 'ATTACH_CHEST',
+ 'ATTACH_CHIN',
+ 'ATTACH_HEAD',
+ 'ATTACH_HUD_BOTTOM',
+ 'ATTACH_HUD_BOTTOM_LEFT',
+ 'ATTACH_HUD_BOTTOM_RIGHT',
+ 'ATTACH_HUD_CENTER_1',
+ 'ATTACH_HUD_CENTER_2',
+ 'ATTACH_HUD_TOP_CENTER',
+ 'ATTACH_HUD_TOP_LEFT',
+ 'ATTACH_HUD_TOP_RIGHT',
+ 'ATTACH_LEAR',
+ 'ATTACH_LEYE',
+ 'ATTACH_LFOOT',
+ 'ATTACH_LHAND',
+ 'ATTACH_LHIP',
+ 'ATTACH_LLARM',
+ 'ATTACH_LLLEG',
+ 'ATTACH_LPEC',
+ 'ATTACH_LSHOULDER',
+ 'ATTACH_LUARM',
+ 'ATTACH_LULEG',
+ 'ATTACH_MOUTH',
+ 'ATTACH_NOSE',
+ 'ATTACH_PELVIS',
+ 'ATTACH_REAR',
+ 'ATTACH_REYE',
+ 'ATTACH_RFOOT',
+ 'ATTACH_RHAND',
+ 'ATTACH_RHIP',
+ 'ATTACH_RLARM',
+ 'ATTACH_RLLEG',
+ 'ATTACH_RPEC',
+ 'ATTACH_RSHOULDER',
+ 'ATTACH_RUARM',
+ 'ATTACH_RULEG',
+ 'CAMERA_ACTIVE',
+ 'CAMERA_BEHINDNESS_ANGLE',
+ 'CAMERA_BEHINDNESS_LAG',
+ 'CAMERA_DISTANCE',
+ 'CAMERA_FOCUS',
+ 'CAMERA_FOCUS_LAG',
+ 'CAMERA_FOCUS_LOCKED',
+ 'CAMERA_FOCUS_OFFSET',
+ 'CAMERA_FOCUS_THRESHOLD',
+ 'CAMERA_PITCH',
+ 'CAMERA_POSITION',
+ 'CAMERA_POSITION_LAG',
+ 'CAMERA_POSITION_LOCKED',
+ 'CAMERA_POSITION_THRESHOLD',
+ 'CHANGED_ALLOWED_DROP',
+ 'CHANGED_COLOR',
+ 'CHANGED_INVENTORY',
+ 'CHANGED_LINK',
+ 'CHANGED_OWNER',
+ 'CHANGED_REGION',
+ 'CHANGED_SCALE',
+ 'CHANGED_SHAPE',
+ 'CHANGED_TELEPORT',
+ 'CHANGED_TEXTURE',
+ 'CLICK_ACTION_NONE',
+ 'CLICK_ACTION_OPEN',
+ 'CLICK_ACTION_OPEN_MEDIA',
+ 'CLICK_ACTION_PAY',
+ 'CLICK_ACTION_SIT',
+ 'CLICK_ACTION_TOUCH',
+ 'CONTROL_BACK',
+ 'CONTROL_DOWN',
+ 'CONTROL_FWD',
+ 'CONTROL_LBUTTON',
+ 'CONTROL_LEFT',
+ 'CONTROL_ML_LBUTTON',
+ 'CONTROL_RIGHT',
+ 'CONTROL_ROT_LEFT',
+ 'CONTROL_ROT_RIGHT',
+ 'CONTROL_UP',
+ 'DATA_BORN',
+ 'DATA_NAME',
+ 'DATA_ONLINE',
+ 'DATA_PAYINFO',
+ 'DATA_RATING',
+ 'DATA_SIM_POS',
+ 'DATA_SIM_RATING',
+ 'DATA_SIM_STATUS',
+ 'DEBUG_CHANNEL',
+ 'DEG_TO_RAD',
+ 'EOF',
+ 'FALSE',
+ 'HTTP_BODY_MAXLENGTH',
+ 'HTTP_BODY_TRUNCATED',
+ 'HTTP_METHOD',
+ 'HTTP_MIMETYPE',
+ 'HTTP_VERIFY_CERT',
+ 'INVENTORY_ALL',
+ 'INVENTORY_ANIMATION',
+ 'INVENTORY_BODYPART',
+ 'INVENTORY_CLOTHING',
+ 'INVENTORY_GESTURE',
+ 'INVENTORY_LANDMARK',
+ 'INVENTORY_NONE',
+ 'INVENTORY_NOTECARD',
+ 'INVENTORY_OBJECT',
+ 'INVENTORY_SCRIPT',
+ 'INVENTORY_SOUND',
+ 'INVENTORY_TEXTURE',
+ 'LAND_LEVEL',
+ 'LAND_LOWER',
+ 'LAND_NOISE',
+ 'LAND_RAISE',
+ 'LAND_REVERT',
+ 'LAND_SMOOTH',
+ 'LINK_ALL_CHILDREN',
+ 'LINK_ALL_OTHERS',
+ 'LINK_ROOT',
+ 'LINK_SET',
+ 'LINK_THIS',
+ 'LIST_STAT_GEOMETRIC_MEAN',
+ 'LIST_STAT_MAX',
+ 'LIST_STAT_MEAN',
+ 'LIST_STAT_MEDIAN',
+ 'LIST_STAT_MIN',
+ 'LIST_STAT_NUM_COUNT',
+ 'LIST_STAT_RANGE',
+ 'LIST_STAT_STD_DEV',
+ 'LIST_STAT_SUM',
+ 'LIST_STAT_SUM_SQUARES',
+ 'LOOP',
+ 'MASK_BASE',
+ 'MASK_EVERYONE',
+ 'MASK_GROUP',
+ 'MASK_NEXT',
+ 'MASK_OWNER',
+ 'NULL_KEY',
+ 'OBJECT_CREATOR',
+ 'OBJECT_DESC',
+ 'OBJECT_GROUP',
+ 'OBJECT_NAME',
+ 'OBJECT_OWNER',
+ 'OBJECT_POS',
+ 'OBJECT_ROT',
+ 'OBJECT_UNKNOWN_DETAIL',
+ 'OBJECT_VELOCITY',
+ 'PARCEL_DETAILS_AREA',
+ 'PARCEL_DETAILS_DESC',
+ 'PARCEL_DETAILS_GROUP',
+ 'PARCEL_DETAILS_NAME',
+ 'PARCEL_DETAILS_OWNER',
+ 'PARCEL_FLAG_ALLOW_ALL_OBJECT_ENTRY',
+ 'PARCEL_FLAG_ALLOW_CREATE_GROUP_OBJECTS',
+ 'PARCEL_FLAG_ALLOW_CREATE_OBJECTS',
+ 'PARCEL_FLAG_ALLOW_DAMAGE',
+ 'PARCEL_FLAG_ALLOW_FLY',
+ 'PARCEL_FLAG_ALLOW_GROUP_OBJECT_ENTRY',
+ 'PARCEL_FLAG_ALLOW_GROUP_SCRIPTS',
+ 'PARCEL_FLAG_ALLOW_LANDMARK',
+ 'PARCEL_FLAG_ALLOW_SCRIPTS',
+ 'PARCEL_FLAG_ALLOW_TERRAFORM',
+ 'PARCEL_FLAG_LOCAL_SOUND_ONLY',
+ 'PARCEL_FLAG_RESTRICT_PUSHOBJECT',
+ 'PARCEL_FLAG_USE_ACCESS_GROUP',
+ 'PARCEL_FLAG_USE_ACCESS_LIST',
+ 'PARCEL_FLAG_USE_BAN_LIST',
+ 'PARCEL_FLAG_USE_LAND_PASS_LIST',
+ 'PARCEL_MEDIA_COMMAND_AGENT',
+ 'PARCEL_MEDIA_COMMAND_AUTO_ALIGN',
+ 'PARCEL_MEDIA_COMMAND_DESC',
+ 'PARCEL_MEDIA_COMMAND_LOOP_SET',
+ 'PARCEL_MEDIA_COMMAND_PAUSE',
+ 'PARCEL_MEDIA_COMMAND_PLAY',
+ 'PARCEL_MEDIA_COMMAND_SIZE',
+ 'PARCEL_MEDIA_COMMAND_STOP',
+ 'PARCEL_MEDIA_COMMAND_TEXTURE',
+ 'PARCEL_MEDIA_COMMAND_TIME',
+ 'PARCEL_MEDIA_COMMAND_TYPE',
+ 'PARCEL_MEDIA_COMMAND_URL',
+ 'PASSIVE',
+ 'PAYMENT_INFO_ON_FILE',
+ 'PAYMENT_INFO_USED',
+ 'PAY_DEFAULT',
+ 'PAY_HIDE',
+ 'PERMISSION_ATTACH',
+ 'PERMISSION_CHANGE_LINKS',
+ 'PERMISSION_CONTROL_CAMERA',
+ 'PERMISSION_DEBIT',
+ 'PERMISSION_TAKE_CONTROLS',
+ 'PERMISSION_TRACK_CAMERA',
+ 'PERMISSION_TRIGGER_ANIMATION',
+ 'PERM_ALL',
+ 'PERM_COPY',
+ 'PERM_MODIFY',
+ 'PERM_MOVE',
+ 'PERM_TRANSFER',
+ 'PI',
+ 'PI_BY_TWO',
+ 'PRIM_BUMP_BARK',
+ 'PRIM_BUMP_BLOBS',
+ 'PRIM_BUMP_BRICKS',
+ 'PRIM_BUMP_BRIGHT',
+ 'PRIM_BUMP_CHECKER',
+ 'PRIM_BUMP_CONCRETE',
+ 'PRIM_BUMP_DARK',
+ 'PRIM_BUMP_DISKS',
+ 'PRIM_BUMP_GRAVEL',
+ 'PRIM_BUMP_LARGETILE',
+ 'PRIM_BUMP_NONE',
+ 'PRIM_BUMP_SHINY',
+ 'PRIM_BUMP_SIDING',
+ 'PRIM_BUMP_STONE',
+ 'PRIM_BUMP_STUCCO',
+ 'PRIM_BUMP_SUCTION',
+ 'PRIM_BUMP_TILE',
+ 'PRIM_BUMP_WEAVE',
+ 'PRIM_BUMP_WOOD',
+ 'PRIM_COLOR',
+ 'PRIM_FULLBRIGHT',
+ 'PRIM_HOLE_CIRCLE',
+ 'PRIM_HOLE_DEFAULT',
+ 'PRIM_HOLE_SQUARE',
+ 'PRIM_HOLE_TRIANGLE',
+ 'PRIM_MATERIAL',
+ 'PRIM_MATERIAL_FLESH',
+ 'PRIM_MATERIAL_GLASS',
+ 'PRIM_MATERIAL_LIGHT',
+ 'PRIM_MATERIAL_METAL',
+ 'PRIM_MATERIAL_PLASTIC',
+ 'PRIM_MATERIAL_RUBBER',
+ 'PRIM_MATERIAL_STONE',
+ 'PRIM_MATERIAL_WOOD',
+ 'PRIM_PHANTOM',
+ 'PRIM_PHYSICS',
+ 'PRIM_POSITION',
+ 'PRIM_ROTATION',
+ 'PRIM_SHINY_HIGH',
+ 'PRIM_SHINY_LOW',
+ 'PRIM_SHINY_MEDIUM',
+ 'PRIM_SHINY_NONE',
+ 'PRIM_SIZE',
+ 'PRIM_TEMP_ON_REZ',
+ 'PRIM_TEXTURE',
+ 'PRIM_TYPE',
+ 'PRIM_TYPE_BOX',
+ 'PRIM_TYPE_CYLINDER',
+ 'PRIM_TYPE_PRISM',
+ 'PRIM_TYPE_RING',
+ 'PRIM_TYPE_SPHERE',
+ 'PRIM_TYPE_TORUS',
+ 'PRIM_TYPE_TUBE',
+ 'PSYS_PART_BOUNCE_MASK',
+ 'PSYS_PART_EMISSIVE_MASK',
+ 'PSYS_PART_END_ALPHA',
+ 'PSYS_PART_END_COLOR',
+ 'PSYS_PART_END_SCALE',
+ 'PSYS_PART_FLAGS',
+ 'PSYS_PART_FOLLOW_SRC_MASK',
+ 'PSYS_PART_FOLLOW_VELOCITY_MASK',
+ 'PSYS_PART_INTERP_COLOR_MASK',
+ 'PSYS_PART_INTERP_SCALE_MASK',
+ 'PSYS_PART_MAX_AGE',
+ 'PSYS_PART_START_ALPHA',
+ 'PSYS_PART_START_COLOR',
+ 'PSYS_PART_START_SCALE',
+ 'PSYS_PART_TARGET_LINEAR_MASK',
+ 'PSYS_PART_TARGET_POS_MASK',
+ 'PSYS_PART_WIND_MASK',
+ 'PSYS_SRC_ACCEL',
+ 'PSYS_SRC_ANGLE_BEGIN',
+ 'PSYS_SRC_ANGLE_END',
+ 'PSYS_SRC_BURST_PART_COUNT',
+ 'PSYS_SRC_BURST_RADIUS',
+ 'PSYS_SRC_BURST_RATE',
+ 'PSYS_SRC_BURST_SPEED_MAX',
+ 'PSYS_SRC_BURST_SPEED_MIN',
+ 'PSYS_SRC_INNERANGLE',
+ 'PSYS_SRC_MAX_AGE',
+ 'PSYS_SRC_OMEGA',
+ 'PSYS_SRC_OUTERANGLE',
+ 'PSYS_SRC_PATTERN',
+ 'PSYS_SRC_PATTERN_ANGLE',
+ 'PSYS_SRC_PATTERN_ANGLE_CONE',
+ 'PSYS_SRC_PATTERN_ANGLE_CONE_EMPTY',
+ 'PSYS_SRC_PATTERN_DROP',
+ 'PSYS_SRC_PATTERN_EXPLODE',
+ 'PSYS_SRC_TARGET_KEY',
+ 'PSYS_SRC_TEXTURE',
+ 'RAD_TO_DEG',
+ 'REMOTE_DATA_CHANNEL',
+ 'REMOTE_DATA_REQUEST',
+ 'SCRIPTED',
+ 'SQRT2',
+ 'STATUS_BLOCK_GRAB',
+ 'STATUS_DIE_AT_EDGE',
+ 'STATUS_PHANTOM',
+ 'STATUS_PHYSICS',
+ 'STATUS_RETURN_AT_EDGE',
+ 'STATUS_ROTATE_X',
+ 'STATUS_ROTATE_Y',
+ 'STATUS_ROTATE_Z',
+ 'STATUS_SANDBOX',
+ 'TRUE',
+ 'TWO_PI',
+ 'VEHICLE_ANGULAR_DEFLECTION_EFFICIENCY',
+ 'VEHICLE_ANGULAR_DEFLECTION_TIMESCALE',
+ 'VEHICLE_ANGULAR_FRICTION_TIMESCALE',
+ 'VEHICLE_ANGULAR_MOTOR_DECAY_TIMESCALE',
+ 'VEHICLE_ANGULAR_MOTOR_DIRECTION',
+ 'VEHICLE_ANGULAR_MOTOR_TIMESCALE',
+ 'VEHICLE_BANKING_EFFICIENCY',
+ 'VEHICLE_BANKING_MIX',
+ 'VEHICLE_BANKING_TIMESCALE',
+ 'VEHICLE_BUOYANCY',
+ 'VEHICLE_FLAG_CAMERA_DECOUPLED',
+ 'VEHICLE_FLAG_HOVER_GLOBAL_HEIGHT',
+ 'VEHICLE_FLAG_HOVER_TERRAIN_ONLY',
+ 'VEHICLE_FLAG_HOVER_UP_ONLY',
+ 'VEHICLE_FLAG_HOVER_WATER_ONLY',
+ 'VEHICLE_FLAG_LIMIT_MOTOR_UP',
+ 'VEHICLE_FLAG_LIMIT_ROLL_ONLY',
+ 'VEHICLE_FLAG_MOUSELOOK_BANK',
+ 'VEHICLE_FLAG_MOUSELOOK_STEER',
+ 'VEHICLE_FLAG_NO_DEFLECTION_UP',
+ 'VEHICLE_HOVER_EFFICIENCY',
+ 'VEHICLE_HOVER_HEIGHT',
+ 'VEHICLE_HOVER_TIMESCALE',
+ 'VEHICLE_LINEAR_DEFLECTION_EFFICIENCY',
+ 'VEHICLE_LINEAR_DEFLECTION_TIMESCALE',
+ 'VEHICLE_LINEAR_FRICTION_TIMESCALE',
+ 'VEHICLE_LINEAR_MOTOR_DECAY_TIMESCALE',
+ 'VEHICLE_LINEAR_MOTOR_DIRECTION',
+ 'VEHICLE_LINEAR_MOTOR_OFFSET',
+ 'VEHICLE_LINEAR_MOTOR_TIMESCALE',
+ 'VEHICLE_REFERENCE_FRAME',
+ 'VEHICLE_TYPE_AIRPLANE',
+ 'VEHICLE_TYPE_BALLOON',
+ 'VEHICLE_TYPE_BOAT',
+ 'VEHICLE_TYPE_CAR',
+ 'VEHICLE_TYPE_NONE',
+ 'VEHICLE_TYPE_SLED',
+ 'VEHICLE_VERTICAL_ATTRACTION_EFFICIENCY',
+ 'VEHICLE_VERTICAL_ATTRACTION_TIMESCALE',
+ 'ZERO_ROTATION',
+ 'ZERO_VECTOR',
+ ),
+ 3 => array( // handlers
+ 'at_rot_target',
+ 'at_target',
+ 'attached',
+ 'changed',
+ 'collision',
+ 'collision_end',
+ 'collision_start',
+ 'control',
+ 'dataserver',
+ 'email',
+ 'http_response',
+ 'land_collision',
+ 'land_collision_end',
+ 'land_collision_start',
+ 'link_message',
+ 'listen',
+ 'money',
+ 'moving_end',
+ 'moving_start',
+ 'no_sensor',
+ 'not_at_rot_target',
+ 'not_at_target',
+ 'object_rez',
+ 'on_rez',
+ 'remote_data',
+ 'run_time_permissions',
+ 'sensor',
+ 'state_entry',
+ 'state_exit',
+ 'timer',
+ 'touch',
+ 'touch_end',
+ 'touch_start',
+ ),
+ 4 => array( // data types
+ 'float',
+ 'integer',
+ 'key',
+ 'list',
+ 'rotation',
+ 'string',
+ 'vector',
+ ),
+ 5 => array( // library
+ 'default',
+ 'llAbs',
+ 'llAcos',
+ 'llAddToLandBanList',
+ 'llAddToLandPassList',
+ 'llAdjustSoundVolume',
+ 'llAllowInventoryDrop',
+ 'llAngleBetween',
+ 'llApplyImpulse',
+ 'llApplyRotationalImpulse',
+ 'llAsin',
+ 'llAtan2',
+ 'llAttachToAvatar',
+ 'llAvatarOnSitTarget',
+ 'llAxes2Rot',
+ 'llAxisAngle2Rot',
+ 'llBase64ToInteger',
+ 'llBase64ToString',
+ 'llBreakAllLinks',
+ 'llBreakLink',
+ 'llCeil',
+ 'llClearCameraParams',
+ 'llCloseRemoteDataChannel',
+ 'llCloud',
+ 'llCollisionFilter',
+ 'llCollisionSound',
+ 'llCollisionSprite',
+ 'llCos',
+ 'llCreateLink',
+ 'llCSV2List',
+ 'llDeleteSubList',
+ 'llDeleteSubString',
+ 'llDetachFromAvatar',
+ 'llDetectedGrab',
+ 'llDetectedGroup',
+ 'llDetectedKey',
+ 'llDetectedLinkNumber',
+ 'llDetectedName',
+ 'llDetectedOwner',
+ 'llDetectedPos',
+ 'llDetectedRot',
+ 'llDetectedTouchBinormal',
+ 'llDetectedTouchFace',
+ 'llDetectedTouchNormal',
+ 'llDetectedTouchPos',
+ 'llDetectedTouchST',
+ 'llDetectedTouchUV',
+ 'llDetectedType',
+ 'llDetectedVel',
+ 'llDialog',
+ 'llDie',
+ 'llDumpList2String',
+ 'llEdgeOfWorld',
+ 'llEjectFromLand',
+ 'llEmail',
+ 'llEscapeURL',
+ 'llEuler2Rot',
+ 'llFabs',
+ 'llFloor',
+ 'llForceMouselook',
+ 'llFrand',
+ 'llGetAccel',
+ 'llGetAgentInfo',
+ 'llGetAgentLanguage',
+ 'llGetAgentSize',
+ 'llGetAlpha',
+ 'llGetAndResetTime',
+ 'llGetAnimation',
+ 'llGetAnimationList',
+ 'llGetAttached',
+ 'llGetBoundingBox',
+ 'llGetCameraPos',
+ 'llGetCameraRot',
+ 'llGetCenterOfMass',
+ 'llGetColor',
+ 'llGetCreator',
+ 'llGetDate',
+ 'llGetEnergy',
+ 'llGetForce',
+ 'llGetFreeMemory',
+ 'llGetGeometricCenter',
+ 'llGetGMTclock',
+ 'llGetInventoryCreator',
+ 'llGetInventoryKey',
+ 'llGetInventoryName',
+ 'llGetInventoryNumber',
+ 'llGetInventoryPermMask',
+ 'llGetInventoryType',
+ 'llGetKey',
+ 'llGetLandOwnerAt',
+ 'llGetLinkKey',
+ 'llGetLinkName',
+ 'llGetLinkNumber',
+ 'llGetListEntryType',
+ 'llGetListLength',
+ 'llGetLocalPos',
+ 'llGetLocalRot',
+ 'llGetMass',
+ 'llGetNextEmail',
+ 'llGetNotecardLine',
+ 'llGetNumberOfNotecardLines',
+ 'llGetNumberOfPrims',
+ 'llGetNumberOfSides',
+ 'llGetObjectDesc',
+ 'llGetObjectDetails',
+ 'llGetObjectMass',
+ 'llGetObjectName',
+ 'llGetObjectPermMask',
+ 'llGetObjectPrimCount',
+ 'llGetOmega',
+ 'llGetOwner',
+ 'llGetOwnerKey',
+ 'llGetParcelDetails',
+ 'llGetParcelFlags',
+ 'llGetParcelMaxPrims',
+ 'llGetParcelPrimCount',
+ 'llGetParcelPrimOwners',
+ 'llGetPermissions',
+ 'llGetPermissionsKey',
+ 'llGetPos',
+ 'llGetPrimitiveParams',
+ 'llGetRegionAgentCount',
+ 'llGetRegionCorner',
+ 'llGetRegionFlags',
+ 'llGetRegionFPS',
+ 'llGetRegionName',
+ 'llGetRegionTimeDilation',
+ 'llGetRootPosition',
+ 'llGetRootRotation',
+ 'llGetRot',
+ 'llGetScale',
+ 'llGetScriptName',
+ 'llGetScriptState',
+ 'llGetSimulatorHostname',
+ 'llGetStartParameter',
+ 'llGetStatus',
+ 'llGetSubString',
+ 'llGetSunDirection',
+ 'llGetTexture',
+ 'llGetTextureOffset',
+ 'llGetTextureRot',
+ 'llGetTextureScale',
+ 'llGetTime',
+ 'llGetTimeOfDay',
+ 'llGetTimestamp',
+ 'llGetTorque',
+ 'llGetUnixTime',
+ 'llGetVel',
+ 'llGetWallclock',
+ 'llGiveInventory',
+ 'llGiveInventoryList',
+ 'llGiveMoney',
+ 'llGround',
+ 'llGroundContour',
+ 'llGroundNormal',
+ 'llGroundRepel',
+ 'llGroundSlope',
+ 'llHTTPRequest',
+ 'llInsertString',
+ 'llInstantMessage',
+ 'llIntegerToBase64',
+ 'llKey2Name',
+ 'llList2CSV',
+ 'llList2Float',
+ 'llList2Integer',
+ 'llList2Key',
+ 'llList2List',
+ 'llList2ListStrided',
+ 'llList2Rot',
+ 'llList2String',
+ 'llList2Vector',
+ 'llListen',
+ 'llListenControl',
+ 'llListenRemove',
+ 'llListFindList',
+ 'llListInsertList',
+ 'llListRandomize',
+ 'llListReplaceList',
+ 'llListSort',
+ 'llListStatistics',
+ 'llLoadURL',
+ 'llLog',
+ 'llLog10',
+ 'llLookAt',
+ 'llLoopSound',
+ 'llLoopSoundMaster',
+ 'llLoopSoundSlave',
+ 'llMapDestination',
+ 'llMD5String',
+ 'llMessageLinked',
+ 'llMinEventDelay',
+ 'llModifyLand',
+ 'llModPow',
+ 'llMoveToTarget',
+ 'llOffsetTexture',
+ 'llOpenRemoteDataChannel',
+ 'llOverMyLand',
+ 'llOwnerSay',
+ 'llParcelMediaCommandList',
+ 'llParcelMediaQuery',
+ 'llParseString2List',
+ 'llParseStringKeepNulls',
+ 'llParticleSystem',
+ 'llPassCollisions',
+ 'llPassTouches',
+ 'llPlaySound',
+ 'llPlaySoundSlave',
+ 'llPow',
+ 'llPreloadSound',
+ 'llPushObject',
+ 'llRegionSay',
+ 'llReleaseControls',
+ 'llRemoteDataReply',
+ 'llRemoteDataSetRegion',
+ 'llRemoteLoadScriptPin',
+ 'llRemoveFromLandBanList',
+ 'llRemoveFromLandPassList',
+ 'llRemoveInventory',
+ 'llRemoveVehicleFlags',
+ 'llRequestAgentData',
+ 'llRequestInventoryData',
+ 'llRequestPermissions',
+ 'llRequestSimulatorData',
+ 'llResetLandBanList',
+ 'llResetLandPassList',
+ 'llResetOtherScript',
+ 'llResetScript',
+ 'llResetTime',
+ 'llRezAtRoot',
+ 'llRezObject',
+ 'llRot2Angle',
+ 'llRot2Axis',
+ 'llRot2Euler',
+ 'llRot2Fwd',
+ 'llRot2Left',
+ 'llRot2Up',
+ 'llRotateTexture',
+ 'llRotBetween',
+ 'llRotLookAt',
+ 'llRotTarget',
+ 'llRotTargetRemove',
+ 'llRound',
+ 'llSameGroup',
+ 'llSay',
+ 'llScaleTexture',
+ 'llScriptDanger',
+ 'llSendRemoteData',
+ 'llSensor',
+ 'llSensorRemove',
+ 'llSensorRepeat',
+ 'llSetAlpha',
+ 'llSetBuoyancy',
+ 'llSetCameraAtOffset',
+ 'llSetCameraEyeOffset',
+ 'llSetCameraParams',
+ 'llSetClickAction',
+ 'llSetColor',
+ 'llSetDamage',
+ 'llSetForce',
+ 'llSetForceAndTorque',
+ 'llSetHoverHeight',
+ 'llSetLinkAlpha',
+ 'llSetLinkColor',
+ 'llSetLinkPrimitiveParams',
+ 'llSetLinkTexture',
+ 'llSetLocalRot',
+ 'llSetObjectDesc',
+ 'llSetObjectName',
+ 'llSetParcelMusicURL',
+ 'llSetPayPrice',
+ 'llSetPos',
+ 'llSetPrimitiveParams',
+ 'llSetRemoteScriptAccessPin',
+ 'llSetRot',
+ 'llSetScale',
+ 'llSetScriptState',
+ 'llSetSitText',
+ 'llSetSoundQueueing',
+ 'llSetSoundRadius',
+ 'llSetStatus',
+ 'llSetText',
+ 'llSetTexture',
+ 'llSetTextureAnim',
+ 'llSetTimerEvent',
+ 'llSetTorque',
+ 'llSetTouchText',
+ 'llSetVehicleFlags',
+ 'llSetVehicleFloatParam',
+ 'llSetVehicleRotationParam',
+ 'llSetVehicleType',
+ 'llSetVehicleVectorParam',
+ 'llSHA1String',
+ 'llShout',
+ 'llSin',
+ 'llSitTarget',
+ 'llSleep',
+ 'llSqrt',
+ 'llStartAnimation',
+ 'llStopAnimation',
+ 'llStopHover',
+ 'llStopLookAt',
+ 'llStopMoveToTarget',
+ 'llStopSound',
+ 'llStringLength',
+ 'llStringToBase64',
+ 'llStringTrim',
+ 'llSubStringIndex',
+ 'llTakeControls',
+ 'llTan',
+ 'llTarget',
+ 'llTargetOmega',
+ 'llTargetRemove',
+ 'llTeleportAgentHome',
+ 'llToLower',
+ 'llToUpper',
+ 'llTriggerSound',
+ 'llTriggerSoundLimited',
+ 'llUnescapeURL',
+ 'llUnSit',
+ 'llVecDist',
+ 'llVecMag',
+ 'llVecNorm',
+ 'llVolumeDetect',
+ 'llWater',
+ 'llWhisper',
+ 'llWind',
+ 'llXorBase64StringsCorrect',
+ ),
+ 6 => array( // deprecated
+ 'llMakeExplosion',
+ 'llMakeFire',
+ 'llMakeFountain',
+ 'llMakeSmoke',
+ 'llSound',
+ 'llSoundPreload',
+ 'llXorBase64Strings',
+ ),
+ 7 => array( // unimplemented
+ 'llPointAt',
+ 'llRefreshPrimURL',
+ 'llReleaseCamera',
+ 'llRemoteLoadScript',
+ 'llSetPrimURL',
+ 'llStopPointAt',
+ 'llTakeCamera',
+ 'llTextBox',
+ ),
+ 8 => array( // God mode
+ 'llGodLikeRezObject',
+ 'llSetInventoryPermMask',
+ 'llSetObjectPermMask',
+ ),
+ ),
+ 'SYMBOLS' => array(
+ '{', '}', '(', ')', '[', ']',
+ '=', '+', '-', '*', '/',
+ '+=', '-=', '*=', '/=', '++', '--',
+ '!', '%', '&amp;', '|', '&amp;&amp;', '||',
+ '==', '!=', '&lt;', '&gt;', '&lt;=', '&gt;=',
+ '~', '&lt;&lt;', '&gt;&gt;', '^', ':',
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => true,
+ 1 => true,
+ 2 => true,
+ 3 => true,
+ 4 => true,
+ 5 => true,
+ 6 => true,
+ 7 => true,
+ 8 => true,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #0000ff;',
+ 2 => 'color: #000080;',
+ 3 => 'color: #008080;',
+ 4 => 'color: #228b22;',
+ 5 => 'color: #b22222;',
+ 6 => 'color: #8b0000; background-color: #ffff00;',
+ 7 => 'color: #8b0000; background-color: #fa8072;',
+ 8 => 'color: #000000; background-color: #ba55d3;',
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #ff7f50; font-style: italic;',
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #000000;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #006400;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #000000;'
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #000000;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => 'http://www.lslwiki.net/lslwiki/wakka.php?wakka={FNAME}', // http://wiki.secondlife.com/wiki/{FNAME}
+ 4 => 'http://www.lslwiki.net/lslwiki/wakka.php?wakka={FNAME}', // http://wiki.secondlife.com/wiki/{FNAME}
+ 5 => 'http://www.lslwiki.net/lslwiki/wakka.php?wakka={FNAME}', // http://wiki.secondlife.com/wiki/{FNAME}
+ 6 => 'http://www.lslwiki.net/lslwiki/wakka.php?wakka={FNAME}', // http://wiki.secondlife.com/wiki/{FNAME}
+ 7 => 'http://www.lslwiki.net/lslwiki/wakka.php?wakka={FNAME}', // http://wiki.secondlife.com/wiki/{FNAME}
+ 8 => 'http://www.lslwiki.net/lslwiki/wakka.php?wakka={FNAME}', // http://wiki.secondlife.com/wiki/{FNAME}
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/lua.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/lua.php
new file mode 100644
index 000000000..f85086bb2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/lua.php
@@ -0,0 +1,137 @@
+<?php
+/*************************************************************************************
+ * lua.php
+ * -------
+ * Author: Roberto Rossi (rsoftware@altervista.org)
+ * Copyright: (c) 2004 Roberto Rossi (http://rsoftware.altervista.org), Nigel McNie (http://qbnz.com/highlighter)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/07/10
+ *
+ * LUA language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2005/08/26 (1.0.2)
+ * - Added support for objects and methods
+ * - Removed unusable keywords
+ * 2004/11/27 (1.0.1)
+ * - Added support for multiple object splitters
+ * 2004/10/27 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2004/11/27)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Lua',
+ 'COMMENT_SINGLE' => array(1 => "--"),
+ 'COMMENT_MULTI' => array('--[[' => ']]'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'and','break','do','else','elseif','end','false','for','function','if',
+ 'in','local','nil','not','or','repeat','return','then','true','until','while',
+ '_VERSION','assert','collectgarbage','dofile','error','gcinfo','loadfile','loadstring',
+ 'print','tonumber','tostring','type','unpack',
+ '_ALERT','_ERRORMESSAGE','_INPUT','_PROMPT','_OUTPUT',
+ '_STDERR','_STDIN','_STDOUT','call','dostring','foreach','foreachi','getn','globals','newtype',
+ 'rawget','rawset','require','sort','tinsert','tremove',
+ 'abs','acos','asin','atan','atan2','ceil','cos','deg','exp',
+ 'floor','format','frexp','gsub','ldexp','log','log10','max','min','mod','rad','random','randomseed',
+ 'sin','sqrt','strbyte','strchar','strfind','strlen','strlower','strrep','strsub','strupper','tan',
+ 'openfile','closefile','readfrom','writeto','appendto',
+ 'remove','rename','flush','seek','tmpfile','tmpname','read','write',
+ 'clock','date','difftime','execute','exit','getenv','setlocale','time',
+ '_G','getfenv','getmetatable','ipairs','loadlib','next','pairs','pcall',
+ 'rawegal','setfenv','setmetatable','xpcall',
+ 'string.byte','string.char','string.dump','string.find','string.len',
+ 'string.lower','string.rep','string.sub','string.upper','string.format','string.gfind','string.gsub',
+ 'table.concat','table.foreach','table.foreachi','table.getn','table.sort','table.insert','table.remove','table.setn',
+ 'math.abs','math.acos','math.asin','math.atan','math.atan2','math.ceil','math.cos','math.deg','math.exp',
+ 'math.floor','math.frexp','math.ldexp','math.log','math.log10','math.max','math.min','math.mod',
+ 'math.pi','math.rad','math.random','math.randomseed','math.sin','math.sqrt','math.tan',
+ 'coroutine.create','coroutine.resume','coroutine.status',
+ 'coroutine.wrap','coroutine.yield',
+ 'io.close','io.flush','io.input','io.lines','io.open','io.output','io.read','io.tmpfile','io.type','io.write',
+ 'io.stdin','io.stdout','io.stderr',
+ 'os.clock','os.date','os.difftime','os.execute','os.exit','os.getenv','os.remove','os.rename',
+ 'os.setlocale','os.time','os.tmpname',
+ 'string','table','math','coroutine','io','os','debug'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '{', '}', '!', '@', '%', '&', '*', '|', '/', '<', '>', '=', ';'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => true
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #b1b100;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #808080; font-style: italic;',
+ 'MULTI' => 'color: #808080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ 0 => 'color: #b1b100;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/m68k.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/m68k.php
new file mode 100644
index 000000000..cc5807c6f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/m68k.php
@@ -0,0 +1,143 @@
+<?php
+/*************************************************************************************
+ * m68k.php
+ * --------
+ * Author: Benny Baumann (BenBE@omorphia.de)
+ * Copyright: (c) 2007 Benny Baumann (http://www.omorphia.de/), Nigel McNie (http://qbnz.com/highlighter)
+ * Release Version: 1.0.8.4
+ * Date Started: 2007/02/06
+ *
+ * Motorola 68000 Assembler language file for GeSHi.
+ *
+ * Syntax definition as commonly used by the motorola documentation for the
+ * MC68HC908GP32 Microcontroller (and maybe others).
+ *
+ * CHANGES
+ * -------
+ * 2008/05/23 (1.0.7.22)
+ * - Added description of extra language features (SF#1970248)
+ * 2007/06/02 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2007/06/02)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Motorola 68000 Assembler',
+ 'COMMENT_SINGLE' => array(1 => ';'),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ /*CPU*/
+ 1 => array(
+ 'adc','add','ais','aix','and','asl','asr','bcc','bclr','bcs','beq',
+ 'bge','bgt','bhcc','bhcs','bhi','bhs','bih','bil','bit','ble','blo',
+ 'bls','blt','bmc','bmi','bms','bne','bpl','bra','brclr','brn',
+ 'brset','bset','bsr','cbeq','clc','cli','clr','cmp','com','cphx',
+ 'cpx','daa','dbnz','dec','div','eor','inc','jmp','jsr','lda','ldhx',
+ 'ldx','lsl','lsr','mov','mul','neg','nop','nsa','ora','psha','pshh',
+ 'pshx','pula','pulh','pulx','rol','ror','rsp','rti','rts','sbc',
+ 'sec','sei','sta','sthx','stop','stx','sub','swi','tap','tax','tpa',
+ 'tst','tsx','txa','txs','wait'
+ ),
+ /*registers*/
+ 2 => array(
+ 'a','h','x',
+ 'hx','sp'
+ ),
+ /*Directive*/
+ 3 => array(
+ '#define','#endif','#else','#ifdef','#ifndef','#include','#undef',
+ '.db','.dd','.df','.dq','.dt','.dw','.end','.org','equ'
+ ),
+ ),
+ 'SYMBOLS' => array(
+ ','
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #0000ff; font-weight:bold;',
+ 2 => 'color: #0000ff;',
+ 3 => 'color: #46aa03; font-weight:bold;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #adadad; font-style: italic;',
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #0000ff;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #7f007f;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #dd22dd;'
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #008000;'
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #22bbff;',
+ 1 => 'color: #22bbff;',
+ 2 => 'color: #993333;'
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ //Hex numbers
+ 0 => '#?0[0-9a-fA-F]{1,32}[hH]',
+ //Binary numbers
+ 1 => '\%[01]{1,64}[bB]',
+ //Labels
+ 2 => '^[_a-zA-Z][_a-zA-Z0-9]*?\:'
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'TAB_WIDTH' => 8
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/make.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/make.php
new file mode 100644
index 000000000..f01209ebf
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/make.php
@@ -0,0 +1,151 @@
+<?php
+/*************************************************************************************
+ * make.php
+ * --------
+ * Author: Neil Bird <phoenix@fnxweb.com>
+ * Copyright: (c) 2008 Neil Bird
+ * Release Version: 1.0.8.4
+ * Date Started: 2008/08/26
+ *
+ * make language file for GeSHi.
+ *
+ * (GNU make specific)
+ *
+ * CHANGES
+ * -------
+ * 2008/09/05 (1.0.0)
+ * - First Release
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'GNU make',
+ 'COMMENT_SINGLE' => array(1 => '#'),
+ 'COMMENT_REGEXP' => array(
+ //Escaped String Starters
+ 2 => "/\\\\['\"]/siU"
+ ),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ // core
+ 'ifeq', 'else', 'endif', 'ifneq', 'ifdef', 'ifndef',
+ 'include', 'vpath', 'export', 'unexport', 'override',
+ 'info', 'warning', 'error'
+ ),
+ 2 => array(
+ // macros, literals
+ '.SUFFIXES', '.PHONY', '.DEFAULT', '.PRECIOUS', '.IGNORE', '.SILENT', '.EXPORT_ALL_VARIABLES', '.KEEP_STATE',
+ '.LIBPATTERNS', '.NOTPARALLEL', '.DELETE_ON_ERROR', '.INTERMEDIATE', '.POSIX', '.SECONDARY'
+ ),
+ /*
+ 3 => array(
+ // funcs - see regex
+ //'subst', 'addprefix', 'addsuffix', 'basename', 'call', 'dir', 'error', 'eval', 'filter-out', 'filter',
+ //'findstring', 'firstword', 'foreach', 'if', 'join', 'notdir', 'origin', 'patsubst', 'shell', 'sort', 'strip',
+ //'suffix', 'warning', 'wildcard', 'word', 'wordlist', 'words'
+ )*/
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '[', ']', '{', '}',
+ '!', '@', '%', '&', '|', '/',
+ '<', '>',
+ '=', '-', '+', '*',
+ '.', ':', ',', ';',
+ '$'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ //3 => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #666622; font-weight: bold;',
+ 2 => 'color: #990000;',
+ //3 => 'color: #000000; font-weight: bold;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #339900; font-style: italic;',
+ 2 => 'color: #000099; font-weight: bold;',
+ 'MULTI' => ''
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array( # keep same as symbols so as to make ${} and $() equiv.
+ 0 => 'color: #004400;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #CC2200;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #CC2200;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #004400;'
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #000088; font-weight: bold;',
+ 1 => 'color: #0000CC; font-weight: bold;',
+ 2 => 'color: #000088;'
+ ),
+ 'SCRIPT' => array(),
+ 'METHODS' => array()
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ //3 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(),
+ 'REGEXPS' => array(
+ //Simple variables
+ 0 => "\\$(?:[^{(&]|&(?:amp|lt|gt);)",
+ //Complex variables/functions [built-ins]
+ 1 => array(
+ GESHI_SEARCH => '(\\$[({])(subst|addprefix|addsuffix|basename|call|dir|error|eval|filter-out|filter,|findstring|firstword|foreach|if|join|notdir|origin|patsubst|shell|sort|strip,|suffix|warning|wildcard|word|wordlist|words)([ })])',
+ GESHI_REPLACE => '\\2',
+ GESHI_MODIFIERS => '',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => '\\3'
+ ),
+ //Complex variables/functions [others]
+ 2 => array(
+ GESHI_SEARCH => '(\\$[({])([A-Za-z_][A-Za-z_0-9]*)([ })])',
+ GESHI_REPLACE => '\\2',
+ GESHI_MODIFIERS => '',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => '\\3'
+ ),
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(),
+ 'TAB_WIDTH' => 8
+// vim: set sw=4 sts=4 :
+);
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/matlab.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/matlab.php
new file mode 100644
index 000000000..f7b649573
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/matlab.php
@@ -0,0 +1,227 @@
+<?php
+/*************************************************************************************
+ * matlab.php
+ * -----------
+ * Author: Florian Knorn (floz@gmx.de)
+ * Copyright: (c) 2004 Florian Knorn (http://www.florian-knorn.com)
+ * Release Version: 1.0.8.4
+ * Date Started: 2005/02/09
+ *
+ * Matlab M-file language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2006-03-25 (1.0.7.22)
+ * - support for the transpose operator
+ * - many keywords added
+ * - links to the matlab documentation at mathworks
+ * by: Olivier Verdier (olivier.verdier@free.fr)
+ * 2005/05/07 (1.0.0)
+ * - First Release
+ *
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Matlab M',
+ 'COMMENT_SINGLE' => array(1 => '%'),
+ 'COMMENT_MULTI' => array(),
+ //Matlab Strings
+ 'COMMENT_REGEXP' => array(
+ 2 => "/(?<![\\w\\)\\]\\}\\.])('[^\\n']*?')/"
+ ),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array(),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'break', 'case', 'catch', 'continue', 'elseif', 'else', 'end', 'for',
+ 'function', 'global', 'if', 'otherwise', 'persistent', 'return',
+ 'switch', 'try', 'while'
+ ),
+ 2 => array(
+ 'all','any','exist','is','logical','mislocked',
+
+ 'abs','acos','acosh','acot','acoth','acsc','acsch','airy','angle',
+ 'ans','area','asec','asech','asin','asinh','atan','atan2','atanh',
+ 'auread','autumn','auwrite','axes','axis','balance','bar','bar3',
+ 'bar3h','barh','besselh','besseli','besselj','besselk','Bessely',
+ 'beta','betainc','betaln','bicg','bicgstab','bin2dec','bitand',
+ 'bitcmp','bitget','bitmax','bitor','bitset','bitshift','bitxor',
+ 'blkdiag','bone','box','brighten','builtin','bwcontr','calendar',
+ 'camdolly','camlight','camlookat','camorbit','campan','campos',
+ 'camproj','camroll','camtarget','camup','camva','camzoom','capture',
+ 'cart2pol','cart2sph','cat','caxis','cdf2rdf','ceil','cell',
+ 'cell2struct','celldisp','cellfun','cellplot','cellstr','cgs',
+ 'char','chol','cholinc','cholupdate','cla','clabel','class','clc',
+ 'clf','clg','clock','close','colmmd','colorbar','colorcube',
+ 'colordef','colormap','colperm','comet','comet3','compan','compass',
+ 'complex','computer','cond','condeig','condest','coneplot','conj',
+ 'contour','contourc','contourf','contourslice','contrast','conv',
+ 'conv2','convhull','cool','copper','copyobj','corrcoef','cos',
+ 'cosh','cot','coth','cov','cplxpair','cputime','cross','csc','csch',
+ 'cumprod','cumsum','cumtrapz','cylinder','daspect','date','datenum',
+ 'datestr','datetick','datevec','dbclear','dbcont','dbdown',
+ 'dblquad','dbmex','dbquit','dbstack','dbstatus','dbstep','dbstop',
+ 'dbtype','dbup','deblank','dec2bin','dec2hex','deconv','del2',
+ 'delaunay','det','diag','dialog','diff','diffuse','dlmread',
+ 'dlmwrite','dmperm','double','dragrect','drawnow','dsearch','eig',
+ 'eigs','ellipj','ellipke','eomday','eps','erf','erfc','erfcx',
+ 'erfiny','error','errorbar','errordlg','etime','eval','evalc',
+ 'evalin','exp','expint','expm','eye','ezcontour','ezcontourf',
+ 'ezmesh','ezmeshc','ezplot','ezplot3','ezpolar','ezsurf','ezsurfc',
+ 'factor','factorial','fclose','feather','feof','ferror','feval',
+ 'fft','fft2','fftshift','fgetl','fgets','fieldnames','figure',
+ 'fill','fill3','filter','filter2','find','findfigs','findobj',
+ 'findstr','fix','flag','flipdim','fliplr','flipud','floor','flops',
+ 'fmin','fmins','fopen','fplot','fprintf','fread','frewind','fscanf',
+ 'fseek','ftell','full','funm','fwrite','fzero','gallery','gamma',
+ 'gammainc','gammaln','gca','gcbo','gcd','gcf','gco','get',
+ 'getfield','ginput','gmres','gradient','gray','graymon','grid',
+ 'griddata','gsvd','gtext','hadamard','hankel','hdf','helpdlg',
+ 'hess','hex2dec','hex2num','hidden','hilb','hist','hold','hot',
+ 'hsv','hsv2rgb','i','ifft','ifft2','ifftn','ifftshift','imag',
+ 'image','imfinfo','imread','imwrite','ind2sub','Inf','inferiorto',
+ 'inline','inpolygon','input','inputdlg','inputname','int16',
+ 'int2str','int32','int8','interp1','interp2','interp3','interpft',
+ 'interpn','intersect','inv','invhilb','ipermute','isa','ishandle',
+ 'ismember','isocaps','isonormals','isosurface','j','jet','keyboard',
+ 'lcm','legend','legendre','light','lighting','lightingangle',
+ 'lin2mu','line','lines','linspace','listdlg','loadobj','log',
+ 'log10','log2','loglog','logm','logspace','lower','lscov','lu',
+ 'luinc','magic','mat2str','material','max','mean','median','menu',
+ 'menuedit','mesh','meshc','meshgrid','min','mod','msgbox','mu2lin',
+ 'NaN','nargchk','nargin','nargout','nchoosek','ndgrid','ndims',
+ 'newplot','nextpow2','nnls','nnz','nonzeros','norm','normest','now',
+ 'null','num2cell','num2str','nzmax','ode113,','ode15s,','ode23s,',
+ 'ode23t,','ode23tb','ode45,','odefile','odeget','odeset','ones',
+ 'orient','orth','pagedlg','pareto','pascal','patch','pause',
+ 'pbaspect','pcg','pcolor','peaks','perms','permute','pi','pie',
+ 'pie3','pinv','plot','plot3','plotmatrix','plotyy','pol2cart',
+ 'polar','poly','polyarea','polyder','polyeig','polyfit','polyval',
+ 'polyvalm','pow2','primes','print','printdlg','printopt','prism',
+ 'prod','propedit','qmr','qr','qrdelete','qrinsert','qrupdate',
+ 'quad','questdlg','quiver','quiver3','qz','rand','randn','randperm',
+ 'rank','rat','rats','rbbox','rcond','real','realmax','realmin',
+ 'rectangle','reducepatch','reducevolume','refresh','rem','repmat',
+ 'reset','reshape','residue','rgb2hsv','rgbplot','ribbon','rmfield',
+ 'roots','rose','rot90','rotate','rotate3d','round','rref',
+ 'rrefmovie','rsf2csf','saveobj','scatter','scatter3','schur',
+ 'script','sec','sech','selectmoveresize','semilogx','semilogy',
+ 'set','setdiff','setfield','setxor','shading','shg','shiftdim',
+ 'shrinkfaces','sign','sin','single','sinh','slice','smooth3','sort',
+ 'sortrows','sound','soundsc','spalloc','sparse','spconvert',
+ 'spdiags','specular','speye','spfun','sph2cart','sphere','spinmap',
+ 'spline','spones','spparms','sprand','sprandn','sprandsym','spring',
+ 'sprintf','sqrt','sqrtm','squeeze','sscanf','stairs','std','stem',
+ 'stem3','str2double','str2num','strcat','strcmp','strcmpi',
+ 'stream2','stream3','streamline','strings','strjust','strmatch',
+ 'strncmp','strrep','strtok','struct','struct2cell','strvcat',
+ 'sub2ind','subplot','subspace','subvolume','sum','summer',
+ 'superiorto','surf','surf2patch','surface','surfc','surfl',
+ 'surfnorm','svd','svds','symmmd','symrcm','symvar','tan','tanh',
+ 'texlabel','text Create','textread','textwrap','tic','title','toc',
+ 'toeplitz','trace','trapz','tril','trimesh','trisurf','triu',
+ 'tsearch','uicontext Create','uicontextmenu','uicontrol',
+ 'uigetfile','uimenu','uint32','uint8','uiputfile','uiresume',
+ 'uisetcolor','uisetfont','uiwait Used','union','unique','unwrap',
+ 'upper','var','varargin','varargout','vectorize','view','viewmtx',
+ 'voronoi','waitbar','waitforbuttonpress','warndlg','warning',
+ 'waterfall','wavread','wavwrite','weekday','whitebg','wilkinson',
+ 'winter','wk1read','wk1write','xlabel','xlim','ylabel','ylim',
+ 'zeros','zlabel','zlim','zoom',
+ //'[Keywords 6]',
+ 'addpath','cd','clear','copyfile','delete','diary','dir','disp',
+ 'doc','docopt','echo','edit','fileparts','format','fullfile','help',
+ 'helpdesk','helpwin','home','inmem','lasterr','lastwarn','length',
+ 'load','lookfor','ls','matlabrc','matlabroot','mkdir','mlock',
+ 'more','munlock','open','openvar','pack','partialpath','path',
+ 'pathtool','profile','profreport','pwd','quit','rmpath','save',
+ 'saveas','size','tempdir','tempname','type','ver','version','web',
+ 'what','whatsnew','which','who','whos','workspace'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '...'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ //3 => false,
+ //4 => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #0000FF;',
+ 2 => 'color: #0000FF;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #228B22;',
+ 2 => 'color:#A020F0;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => ''
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #080;'
+ ),
+ 'STRINGS' => array(
+ //0 => 'color: #A020F0;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #33f;'
+ ),
+ 'METHODS' => array(
+ 1 => '',
+ 2 => ''
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #080;'
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #33f;'
+ ),
+ 'SCRIPT' => array(
+ 0 => ''
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => 'http://www.mathworks.com/access/helpdesk/help/techdoc/ref/{FNAMEL}.html'
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.',
+ 2 => '::'
+ ),
+ 'REGEXPS' => array(
+ //Complex numbers
+ 0 => '(?<![\\w])[+-]?[\\d]*([\\d]\\.|\\.[\\d])?[\\d]*[ij](?![\\w])'
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/mirc.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/mirc.php
new file mode 100644
index 000000000..bc773458c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/mirc.php
@@ -0,0 +1,171 @@
+<?php
+/*************************************************************************************
+ * mirc.php
+ * -----
+ * Author: Alberto 'Birckin' de Areba (Birckin@hotmail.com)
+ * Copyright: (c) 2006 Alberto de Areba
+ * Release Version: 1.0.8.4
+ * Date Started: 2006/05/29
+ *
+ * mIRC Scripting language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/05/23 (1.0.7.22)
+ * - Added description of extra language features (SF#1970248)
+ * 2006/05/29 (1.0.0)
+ * - First Release
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'mIRC Scripting',
+ 'COMMENT_SINGLE' => array(1 => ';'),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array(),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'alias', 'menu', 'dialog',
+ ),
+ 2 => array(
+ 'if', 'elseif', 'else', 'while', 'return', 'goto', 'var'
+ ),
+ 3 => array(
+ 'action','ajinvite','amsg','ame','anick','aop','auser',
+ 'avoice','auto','autojoin','away','background','ban','beep',
+ 'channel','clear','clearall','clipboard','close','closemsg','color',
+ 'copy','creq','ctcp','ctcpreply','ctcps','dcc','dde','ddeserver',
+ 'debug','describe','disable','disconnect','dlevel','dll','dns',
+ 'dqwindow','ebeeps','echo','editbox','emailaddr','enable','events',
+ 'exit','filter','findtext','finger','flash','flood','flush',
+ 'flushini','font','fsend','fserve','fullname','ghide','gload',
+ 'gmove','gopts','gplay','gpoint','gqreq','groups','gshow','gsize',
+ 'gstop','gtalk','gunload','guser','help','hop','ignore','invite',
+ 'join','kick','linesep','links','list','load','loadbuf','localinfo',
+ 'log','me','mdi','mkdir','mnick','mode','msg','names','nick','noop',
+ 'notice','notify','omsg','onotice','part','partall','pdcc',
+ 'perform','ping','play','pop','protect','pvoice','qmsg','qme',
+ 'query','queryrn','quit','raw','remini','remote','remove','rename',
+ 'enwin','resetidle','rlevel','rmdir','run','ruser','save','savebuf',
+ 'saveini','say','server','showmirc','sline','sound','speak','splay',
+ 'sreq','strip','time',
+ //'timer[N/name]', //Handled as a regular expression below ...
+ 'timers','timestamp','titlebar','tnick','tokenize','topic','ulist',
+ 'unload','updatenl','url','uwho','window','winhelp','write',
+ 'writeini','who','whois','whowas'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '{', '}', '[', ']', '/'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #994444;',
+ 2 => 'color: #000000; font-weight: bold;',
+ 3 => 'color: #990000; font-weight: bold;',
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #808080; font-style: italic;',
+ ),
+ 'ESCAPE_CHAR' => array(
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #FF0000;',
+ ),
+ 'STRINGS' => array(
+ ),
+ 'NUMBERS' => array(
+ 0 => '',
+ ),
+ 'METHODS' => array(
+ 0 => 'color: #008000;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #FF0000;',
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #000099;',
+ 1 => 'color: #990000;',
+ 2 => 'color: #000099;',
+ 3 => 'color: #888800;',
+ 4 => 'color: #888800;',
+ 5 => 'color: #000099;',
+ 6 => 'color: #990000; font-weight: bold;',
+ 7 => 'color: #990000; font-weight: bold;'
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => 'http://www.mirc.com/{FNAMEL}'
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array('.'),
+ 'REGEXPS' => array(
+ //Variable names
+ 0 => '\$[a-zA-Z0-9]+',
+ //Variable names
+ 1 => '(%|&amp;)[\w\x80-\xFE]+',
+ //Client to Client Protocol handling
+ 2 => '(on|ctcp) (!|@|&amp;)?(\d|\*):[a-zA-Z]+:',
+ /*4 => array(
+ GESHI_SEARCH => '((on|ctcp) (!|@|&)?(\d|\*):(Action|Active|Agent|AppActive|Ban|Chat|Close|Connect|Ctcp|CtcpReply|DccServer|DeHelp|DeOp|DeVoice|Dialog|Dns|Error|Exit|FileRcvd|FileSent|GetFail|Help|Hotlink|Input|Invite|Join|KeyDown|KeyUp|Kick|Load|Logon|MidiEnd|Mode|Mp3End|Nick|NoSound|Notice|Notify|Op|Open|Part|Ping|Pong|PlayEnd|Quit|Raw|RawMode|SendFail|Serv|ServerMode|ServerOp|Signal|Snotice|Start|Text|Topic|UnBan|Unload|Unotify|User|Mode|Voice|Wallops|WaveEnd):)',
+ GESHI_REPLACE => '\\1',
+ GESHI_MODIFIERS => 'i',
+ GESHI_BEFORE => '',
+ GESHI_AFTER => ''
+ ),*/
+ //Channel names
+ 3 => '(#|@)[a-zA-Z0-9]+',
+ 4 => '-[a-z\d]+',
+ //Raw protocol handling
+ 5 => 'raw (\d|\*):',
+ //Timer handling
+ 6 => '(?<!>|:|\/)\/timer(?!s\b)[0-9a-zA-Z_]+',
+ // /...
+ 7 => '(?<!>|:|\/|\w)\/[a-zA-Z][a-zA-Z0-9]*(?!>)'
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'PARSER_CONTROL' => array(
+ 'ENABLE_FLAGS' => array(
+ 'NUMBERS' => GESHI_NEVER
+ ),
+ 'KEYWORDS' => array(
+ 'DISALLOWED_BEFORE' => '(?<![\w\$\|\#;<^&])'
+ )
+ )
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/modula3.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/modula3.php
new file mode 100644
index 000000000..a1f04ca59
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/modula3.php
@@ -0,0 +1,135 @@
+<?php
+/*************************************************************************************
+ * modula3.php
+ * ----------
+ * Author: mbishop (mbishop@esoteriq.org)
+ * Copyright: (c) 2009 mbishop (mbishop@esoteriq.org)
+ * Release Version: 1.0.8.4
+ * Date Started: 2009/01/21
+ *
+ * Modula-3 language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ *
+ * TODO
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Modula-3',
+ 'COMMENT_SINGLE' => array(),
+ 'COMMENT_MULTI' => array('(*' => '*)'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'HARDQUOTE' => array("'", "'"),
+ 'HARDESCAPE' => array("''"),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'AND', 'ANY', 'ARRAY', 'AS', 'BEGIN', 'BITS', 'BRANDED', 'BY', 'CASE',
+ 'CONST', 'DIV', 'DO', 'ELSE', 'ELSIF', 'END', 'EVAL', 'EXCEPT', 'EXCEPTION',
+ 'EXIT', 'EXPORTS', 'FINALLY', 'FOR', 'FROM', 'GENERIC', 'IF', 'IMPORT', 'IN',
+ 'INTERFACE', 'LOCK', 'LOOP', 'METHODS', 'MOD', 'MODULE', 'NOT', 'OBJECT', 'OF',
+ 'OR', 'OVERRIDE', 'PROCEDURE', 'RAISE', 'RAISES', 'READONLY', 'RECORD', 'REF',
+ 'REPEAT', 'RETURN', 'REVEAL', 'ROOT', 'SET', 'THEN', 'TO', 'TRY', 'TYPE', 'TYPECASE',
+ 'UNSAFE', 'UNTIL', 'UNTRACED', 'VALUE', 'VAR', 'WHILE', 'WITH'
+ ),
+ 2 => array(
+ 'NIL', 'NULL', 'FALSE', 'TRUE',
+ ),
+ 3 => array(
+ 'ABS','ADR','ADRSIZE','BITSIZE','BYTESIZE','CEILING','DEC','DISPOSE',
+ 'EXTENDED','FIRST','FLOAT','FLOOR','INC','ISTYPE','LAST','LOOPHOLE','MAX','MIN',
+ 'NARROW','NEW','NUMBER','ORD','ROUND','SUBARRAY','TRUNC','TYPECODE', 'VAL'
+ ),
+ 4 => array(
+ 'ADDRESS', 'BOOLEAN', 'CARDINAL', 'CHAR', 'INTEGER',
+ 'LONGREAL', 'MUTEX', 'REAL', 'REFANY', 'TEXT'
+ ),
+ ),
+ 'SYMBOLS' => array(
+ ',', ':', '=', '+', '-', '*', '/', '#'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => true,
+ 2 => true,
+ 3 => true,
+ 4 => true,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #000000; font-weight: bold;',
+ 2 => 'color: #000000; font-weight: bold;',
+ 3 => 'color: #000066;',
+ 4 => 'color: #000066; font-weight: bold;'
+ ),
+ 'COMMENTS' => array(
+ 'MULTI' => 'color: #666666; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;',
+ 'HARD' => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #009900;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;',
+ 'HARD' => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #0066ee;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #339933;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'TAB_WIDTH' => 4
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/mpasm.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/mpasm.php
new file mode 100644
index 000000000..53aa9e7a1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/mpasm.php
@@ -0,0 +1,164 @@
+<?php
+/*************************************************************************************
+ * mpasm.php
+ * ---------
+ * Author: Bakalex (bakalex@gmail.com)
+ * Copyright: (c) 2004 Bakalex, Nigel McNie (http://qbnz.com/highlighter)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/12/6
+ *
+ * Microchip Assembler language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/05/23 (1.0.7.22)
+ * - Added description of extra language features (SF#1970248)
+ * 2005/01/29 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2005/12/6)
+ * -------------------------
+ *
+ * For the moment, i've only added PIC16C6X registers. We need more (PIC16F/C7x/8x,
+ * PIC10, PIC18 and dsPIC registers).
+ * Must take a look to dsPIC instructions.
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Microchip Assembler',
+ 'COMMENT_SINGLE' => array(1 => ';'),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ /*Directive Language*/
+ 4 => array(
+ 'CONSTANT', '#DEFINE', 'END', 'EQU', 'ERROR', 'ERROR-LEVEL', '#INCLUDE', 'LIST',
+ 'MESSG', 'NOLIST', 'ORG', 'PAGE', 'PROCESSOR', 'RADIX', 'SET', 'SPACE', 'SUBTITLE',
+ 'TITLE', '#UNDEFINE', 'VARIABLE', 'ELSE', 'ENDIF', 'ENDW', 'IF', 'IFDEF', 'IFNDEF',
+ 'WHILE', '__BADRAM', 'CBLOCK', '__CONFIG', 'DA', 'DATA', 'DB', 'DE', 'DT', 'DW',
+ 'ENDC', 'FILL', '__IDLOCS', '__MAXRAM', 'RES', 'ENDM', 'EXITM', 'EXPAND', 'LOCAL',
+ 'MACRO', 'NOEXPAND', 'BANKISEL', 'BANKSEL', 'CODE', 'EXTERN', 'GLOBAL', 'IDATA',
+ 'PAGESEL', 'UDATA', 'UDATA_ACS', 'UDATA_OVR', 'UDATA_SHR'
+ ),
+ /* 12&14-bit Specific Instruction Set*/
+ 1 => array(
+ 'andlw', 'call', 'clrwdt', 'goto', 'iorlw', 'movlw', 'option', 'retlw', 'sleep',
+ 'tris', 'xorlw', 'addwf', 'andwf', 'clrf', 'clrw', 'comf', 'decf', 'decfsz', 'incf',
+ 'incfsz', 'iorwf', 'movf', 'nop', 'rlf', 'rrf', 'subwf', 'swapf', 'xorwf',
+ 'bcf', 'bsf', 'btfsc', 'btfss',
+ 'addlw', 'retfie', 'return', 'sublw', 'addcf', 'adddcf', 'b', 'bc', 'bdc',
+ 'bnc', 'bndc', 'bnz', 'bz', 'clrc', 'clrdc', 'clrz', 'lcall', 'lgoto', 'movfw',
+ 'negf', 'setc', 'setdc', 'setz', 'skpc', 'skpdc', 'skpnc', 'skpndc', 'skpnz', 'skpz',
+ 'subcf', 'subdcf', 'tstf'
+ ),
+ /* 16-bit Specific Instructiob Set */
+ 2 => array (
+ 'movfp', 'movlb', 'movlp', 'movpf', 'movwf', 'tablrd', 'tablwt', 'tlrd', 'tlwt',
+ 'addwfc', 'daw', 'mullw', 'negw', 'rlcf', 'rlncf', 'rrcf', 'rrncf', 'setf', 'subwfb',
+ 'btg', 'cpfseq', 'cpfsgt', 'cpfslt', 'dcfsnz', 'infsnz', 'tstfsz', 'lfsr', 'bnn',
+ 'bnov', 'bra', 'pop', 'push', 'rcall', 'reset'
+ ),
+ /* Registers */
+ 3 => array(
+ 'INDF', 'TMR0', 'PCL', 'STATUS', 'FSR', 'PORTA', 'PORTB', 'PORTC', 'PORTD', 'PORTE',
+ 'PCLATH', 'INTCON', 'PIR1', 'PIR2', 'TMR1L', 'TMR1H', 'T1CON', 'TMR2', 'T2CON', 'TMR2L',
+ 'TMR2H', 'TMR0H', 'TMR0L', 'SSPBUF', 'SSPCON', 'CCPR1L', 'CCPR1H', 'CCP1CON', 'RCSTA',
+ 'TXREG', 'RCREG', 'CCPR2L', 'CCPR2H', 'CCP2CON', 'OPTION', 'TRISA', 'TRISB', 'TRISC',
+ 'TRISD', 'TRISE', 'PIE2', 'PIE1', 'PR2', 'SSPADD', 'SSPSTAT', 'TXSTA', 'SPBRG'
+ ),
+ /*Operands*/
+ 5 => array(
+ 'high','low'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '[', ']', '(', ')'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => false,
+ 5 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #00007f;',
+ 2 => 'color: #0000ff;',
+ 3 => 'color: #007f00;',
+ 4 => 'color: #46aa03; font-weight:bold;',
+ 5 => 'color: #7f0000;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #adadad; font-style: italic;',
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #7f007f;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #ff0000;',
+ 1 => 'color: #ff0000;'
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => '',
+ 5 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ //Hex numbers
+ 0 => '[0-9a-fA-F]{1,32}[hH]',
+ //Binary numbers
+ 1 => '[01]{1,64}[bB]'
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/mxml.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/mxml.php
new file mode 100644
index 000000000..d34a92531
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/mxml.php
@@ -0,0 +1,145 @@
+<?php
+/*************************************************************************************
+ * mxml.php
+ * -------
+ * Author: David Spurr
+ * Copyright: (c) 2007 David Spurr (http://www.defusion.org.uk/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2007/10/04
+ *
+ * MXML language file for GeSHi. Based on the XML file by Nigel McNie
+ *
+ * CHANGES
+ * -------
+ * 2007/10/04 (1.0.7.22)
+ * - First Release
+ *
+ * TODO
+ * ----
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'MXML',
+ 'COMMENT_SINGLE' => array(),
+ 'COMMENT_MULTI' => array('<!--' => '-->'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ ),
+ 'SYMBOLS' => array(
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ ),
+ 'COMMENTS' => array(
+ 'MULTI' => 'color: #808080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'SCRIPT' => array(
+ 0 => 'color: #00bbdd;',
+ 1 => 'color: #ddbb00;',
+ 2 => 'color: #339933;',
+ 3 => 'color: #000000;'
+ ),
+ 'REGEXPS' => array(
+ 0 => 'font-weight: bold; color: black;',
+ 1 => 'color: #7400FF;',
+ 2 => 'color: #7400FF;'
+ )
+ ),
+ 'URLS' => array(
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ // xml declaration
+ 0 => array(
+ GESHI_SEARCH => '(&lt;[\/?|(\?xml)]?[a-z0-9_\-:]*(\?&gt;))',
+ GESHI_REPLACE => '\\1',
+ GESHI_MODIFIERS => 'i',
+ GESHI_BEFORE => '',
+ GESHI_AFTER => ''
+ ),
+ // opening tags
+ 1 => array(
+ GESHI_SEARCH => '(&lt;\/?[a-z]+:[a-z]+)',
+ GESHI_REPLACE => '\\1',
+ GESHI_MODIFIERS => 'i',
+ GESHI_BEFORE => '',
+ GESHI_AFTER => ''
+ ),
+ // closing tags
+ 2 => array(
+ GESHI_SEARCH => '(\/?&gt;)',
+ GESHI_REPLACE => '\\1',
+ GESHI_MODIFIERS => 'i',
+ GESHI_BEFORE => '',
+ GESHI_AFTER => ''
+ )
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_ALWAYS,
+ 'SCRIPT_DELIMITERS' => array(
+ 0 => array(
+ '<!DOCTYPE' => '>'
+ ),
+ 1 => array(
+ '&' => ';'
+ ),
+ 2 => array(
+ //'<![CDATA[' => ']]>'
+ '<mx:Script>' => '</mx:Script>'
+ ),
+ 3 => array(
+ '<' => '>'
+ )
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ 0 => false,
+ 1 => false,
+ 2 => false,
+ 3 => true
+ ),
+ 'TAB_WIDTH' => 4
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/mysql.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/mysql.php
new file mode 100644
index 000000000..f41092c16
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/mysql.php
@@ -0,0 +1,475 @@
+<?php
+/*************************************************************************************
+ * mysql.php
+ * ---------
+ * Author: Marjolein Katsma (marjolein.is.back@gmail.com)
+ * Copyright: (c) 2008 Marjolein Katsma (http://blog.marjoleinkatsma.com/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2008-12-12
+ *
+ * MySQL language file for GeSHi.
+ *
+ * Based on original MySQL language file by Carl F眉rstenberg (2004); brought
+ * up-to-date for current MySQL versions, and with more classes for different
+ * types of keywords; several minor errors were corrected as well.
+ *
+ * Some "classes" have two groups here: this is to allow for the fact that some
+ * keywords in MySQL have a double function: many of those are either a function
+ * (must be immediately followed by an opening bracket) or some other keyword:
+ * so they can be distinguished by the presence (or not) of that opening bracket.
+ * (An immediately following opening bracket is a default rule for functions in
+ * MySQL, though this may be overridden; because it's only a default, we use a
+ * regex lookahead only where necessary to distinguish homonyms, not generally
+ * to match any function.)
+ * Other keywords with double usage cannot be distinguished and are classified
+ * in the "Mix" category.
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'MySQL',
+ //'COMMENT_SINGLE' => array(1 =>'--', 2 => '#'), // '--' MUST be folowed by whitespace,not necessarily a space
+ 'COMMENT_SINGLE' => array(
+ 1 =>'-- ',
+ 2 => '#'
+ ),
+ 'COMMENT_REGEXP' => array(
+ 1 => "/(?:--\s).*?$/", // double dash followed by any whitespace
+ ),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE, // @@@ would be nice if this could be defined per group!
+ 'QUOTEMARKS' => array("'", '"', '`'),
+ 'ESCAPE_CHAR' => '\\', // by default only, can be specified
+ 'ESCAPE_REGEXP' => array(
+ 1 => "/[_%]/", // search wildcards
+ ),
+ 'NUMBERS' =>
+ GESHI_NUMBER_INT_BASIC |
+ GESHI_NUMBER_OCT_PREFIX |
+ GESHI_NUMBER_HEX_PREFIX |
+ GESHI_NUMBER_FLT_NONSCI |
+ GESHI_NUMBER_FLT_SCI_SHORT |
+ GESHI_NUMBER_FLT_SCI_ZERO,
+ 'KEYWORDS' => array(
+ 1 => array(
+ // Mix: statement keywords and keywords that don't fit in any other
+ // category, or have multiple usage/meanings
+ 'ACTION','ADD','AFTER','ALGORITHM','ALL','ALTER','ANALYZE','ANY',
+ 'ASC','AS','BDB','BEGIN','BERKELEYDB','BINARY','BTREE','CALL',
+ 'CASCADED','CASCADE','CHAIN','CHECK','COLUMNS','COLUMN','COMMENT',
+ 'COMMIT','COMMITTED','CONSTRAINT','CONTAINS SQL','CONSISTENT',
+ 'CONVERT','CREATE','CROSS','DATA','DATABASES',
+ 'DECLARE','DEFINER','DELAYED','DELETE','DESCRIBE','DESC',
+ 'DETERMINISTIC','DISABLE','DISCARD','DISTINCTROW','DISTINCT','DO',
+ 'DROP','DUMPFILE','DUPLICATE KEY','ENABLE','ENCLOSED BY','ENGINE',
+ 'ERRORS','ESCAPED BY','EXISTS','EXPLAIN','EXTENDED','FIELDS',
+ 'FIRST','FOR EACH ROW','FORCE','FOREIGN KEY','FROM','FULL',
+ 'FUNCTION','GLOBAL','GRANT','GROUP BY','HANDLER','HASH','HAVING',
+ 'HELP','HIGH_PRIORITY','IF NOT EXISTS','IGNORE','IMPORT','INDEX',
+ 'INFILE','INNER','INNODB','INOUT','INTO','INVOKER',
+ 'ISOLATION LEVEL','JOIN','KEYS','KEY','KILL','LANGUAGE SQL','LAST',
+ 'LIMIT','LINES','LOAD','LOCAL','LOCK','LOW_PRIORITY',
+ 'MASTER_SERVER_ID','MATCH','MERGE','MIDDLEINT','MODIFIES SQL DATA',
+ 'MODIFY','MRG_MYISAM','NATURAL','NEXT','NO SQL','NO','ON',
+ 'OPTIMIZE','OPTIONALLY','OPTION','ORDER BY','OUTER','OUTFILE','OUT',
+ 'PARTIAL','PREV','PRIMARY KEY','PRIVILEGES','PROCEDURE','PURGE',
+ 'QUICK','READS SQL DATA','READ','REFERENCES','RELEASE','RENAME',
+ 'REPEATABLE','REQUIRE','RESTRICT','RETURNS','REVOKE',
+ 'ROLLBACK','ROUTINE','RTREE','SAVEPOINT','SELECT',
+ 'SERIALIZABLE','SESSION','SET','SHARE MODE','SHOW','SIMPLE',
+ 'SNAPSHOT','SOME','SONAME','SQL SECURITY','SQL_BIG_RESULT',
+ 'SQL_BUFFER_RESULT','SQL_CACHE','SQL_CALC_FOUND_ROWS',
+ 'SQL_NO_CACHE','SQL_SMALL_RESULT','SSL','START','STARTING BY',
+ 'STATUS','STRAIGHT_JOIN','STRIPED','TABLESPACE','TABLES','TABLE',
+ 'TEMPORARY','TEMPTABLE','TERMINATED BY','TO','TRANSACTIONS',
+ 'TRANSACTION','TRIGGER','TYPES','TYPE','UNCOMMITTED','UNDEFINED',
+ 'UNION','UNLOCK_TABLES','UPDATE','USAGE','USE','USER_RESOURCES',
+ 'USING','VALUES','VALUE','VIEW','WARNINGS','WHERE','WITH ROLLUP',
+ 'WITH','WORK','WRITE',
+ ),
+ 2 => array( //No ( must follow
+ // Mix: statement keywords distinguished from functions by the same name
+ "CURRENT_USER", "DATABASE", "IN", "INSERT", "DEFAULT", "REPLACE", "SCHEMA", "TRUNCATE"
+ ),
+ 3 => array(
+ // Values (Constants)
+ 'FALSE','NULL','TRUE',
+ ),
+ 4 => array(
+ // Column Data Types
+ 'BIGINT','BIT','BLOB','BOOLEAN','BOOL','CHARACTER VARYING',
+ 'CHAR VARYING','DATETIME','DECIMAL','DEC','DOUBLE PRECISION',
+ 'DOUBLE','ENUM','FIXED','FLOAT','GEOMETRYCOLLECTION','GEOMETRY',
+ 'INTEGER','INT','LINESTRING','LONGBLOB','LONGTEXT','MEDIUMBLOB',
+ 'MEDIUMINT','MEDIUMTEXT','MULTIPOINT','MULTILINESTRING',
+ 'MULTIPOLYGON','NATIONAL CHARACTER','NATIONAL CHARACTER VARYING',
+ 'NATIONAL CHAR VARYING','NATIONAL VARCHAR','NCHAR VARCHAR','NCHAR',
+ 'NUMERIC','POINT','POLYGON','REAL','SERIAL',
+ 'SMALLINT','TEXT','TIMESTAMP','TINYBLOB','TINYINT',
+ 'TINYTEXT','VARBINARY','VARCHARACTER','VARCHAR',
+ ),
+ 5 => array( //No ( must follow
+ // Column data types distinguished from functions by the same name
+ "CHAR", "DATE", "TIME"
+ ),
+ 6 => array(
+ // Table, Column & Index Attributes
+ 'AUTO_INCREMENT','AVG_ROW_LENGTH','BOTH','CHECKSUM','CONNECTION',
+ 'DATA DIRECTORY','DEFAULT NULL','DELAY_KEY_WRITE','FULLTEXT',
+ 'INDEX DIRECTORY','INSERT_METHOD','LEADING','MAX_ROWS','MIN_ROWS',
+ 'NOT NULL','PACK_KEYS','ROW_FORMAT','SERIAL DEFAULT VALUE','SIGNED',
+ 'SPATIAL','TRAILING','UNIQUE','UNSIGNED','ZEROFILL'
+ ),
+ 7 => array( //No ( must follow
+ // Column attribute distinguished from function by the same name
+ "CHARSET"
+ ),
+ 8 => array(
+ // Date and Time Unit Specifiers
+ 'DAY_HOUR','DAY_MICROSECOND','DAY_MINUTE','DAY_SECOND',
+ 'HOUR_MICROSECOND','HOUR_MINUTE','HOUR_SECOND',
+ 'MINUTE_MICROSECOND','MINUTE_SECOND',
+ 'SECOND_MICROSECOND','YEAR_MONTH'
+ ),
+ 9 => array( //No ( must follow
+ // Date-time unit specifiers distinguished from functions by the same name
+ "DAY", "HOUR", "MICROSECOND", "MINUTE", "MONTH", "QUARTER", "SECOND", "WEEK", "YEAR"
+ ),
+ 10 => array(
+ // Operators (see also Symbols)
+ 'AND','BETWEEN','CHARACTER SET','COLLATE','DIV','IS NOT NULL',
+ 'IS NOT','IS NULL','IS','LIKE','NOT','OFFSET','OR','REGEXP','RLIKE',
+ 'SOUNDS LIKE','XOR'
+ ),
+ 11 => array( //No ( must follow
+ // Operator distinghuished from function by the same name
+ "INTERVAL"
+ ),
+ 12 => array(
+ // Control Flow (functions)
+ 'CASE','ELSE','END','IFNULL','IF','NULLIF','THEN','WHEN',
+ ),
+ 13 => array(
+ // String Functions
+ 'ASCII','BIN','BIT_LENGTH','CHAR_LENGTH','CHARACTER_LENGTH',
+ 'CONCAT_WS','CONCAT','ELT','EXPORT_SET','FIELD',
+ 'FIND_IN_SET','FORMAT','HEX','INSTR','LCASE','LEFT','LENGTH',
+ 'LOAD_FILE','LOCATE','LOWER','LPAD','LTRIM','MAKE_SET','MID',
+ 'OCTET_LENGTH','ORD','POSITION','QUOTE','REPEAT','REVERSE',
+ 'RIGHT','RPAD','RTRIM','SOUNDEX','SPACE','STRCMP','SUBSTRING_INDEX',
+ 'SUBSTRING','TRIM','UCASE','UNHEX','UPPER',
+ ),
+ 14 => array( //A ( must follow
+ // String functions distinguished from other keywords by the same name
+ "INSERT", "REPLACE", "CHAR"
+ ),
+ 15 => array(
+ // Numeric Functions
+ 'ABS','ACOS','ASIN','ATAN2','ATAN','CEILING','CEIL',
+ 'CONV','COS','COT','CRC32','DEGREES','EXP','FLOOR','LN','LOG10',
+ 'LOG2','LOG','MOD','OCT','PI','POWER','POW','RADIANS','RAND',
+ 'ROUND','SIGN','SIN','SQRT','TAN',
+ ),
+ 16 => array( //A ( must follow
+ // Numeric function distinguished from other keyword by the same name
+ "TRUNCATE"
+ ),
+ 17 => array(
+ // Date and Time Functions
+ 'ADDDATE','ADDTIME','CONVERT_TZ','CURDATE','CURRENT_DATE',
+ 'CURRENT_TIME','CURRENT_TIMESTAMP','CURTIME','DATE_ADD',
+ 'DATE_FORMAT','DATE_SUB','DATEDIFF','DAYNAME','DAYOFMONTH',
+ 'DAYOFWEEK','DAYOFYEAR','EXTRACT','FROM_DAYS','FROM_UNIXTIME',
+ 'GET_FORMAT','LAST_DAY','LOCALTIME','LOCALTIMESTAMP','MAKEDATE',
+ 'MAKETIME','MONTHNAME','NOW','PERIOD_ADD',
+ 'PERIOD_DIFF','SEC_TO_TIME','STR_TO_DATE','SUBDATE','SUBTIME',
+ 'SYSDATE','TIME_FORMAT','TIME_TO_SEC',
+ 'TIMESTAMPADD','TIMESTAMPDIFF','TO_DAYS',
+ 'UNIX_TIMESTAMP','UTC_DATE','UTC_TIME','UTC_TIMESTAMP','WEEKDAY',
+ 'WEEKOFYEAR','YEARWEEK',
+ ),
+ 18 => array( //A ( must follow
+ // Date-time functions distinguished from other keywords by the same name
+ "DATE", "DAY", "HOUR", "MICROSECOND", "MINUTE", "MONTH", "QUARTER",
+ "SECOND", "TIME", "WEEK", "YEAR"
+ ),
+ 19 => array(
+ // Comparison Functions
+ 'COALESCE','GREATEST','ISNULL','LEAST',
+ ),
+ 20 => array( //A ( must follow
+ // Comparison functions distinguished from other keywords by the same name
+ "IN", "INTERVAL"
+ ),
+ 21 => array(
+ // Encryption and Compression Functions
+ 'AES_DECRYPT','AES_ENCRYPT','COMPRESS','DECODE','DES_DECRYPT',
+ 'DES_ENCRYPT','ENCODE','ENCRYPT','MD5','OLD_PASSWORD','PASSWORD',
+ 'SHA1','SHA','UNCOMPRESS','UNCOMPRESSED_LENGTH',
+ ),
+ 22 => array(
+ // GROUP BY (aggregate) Functions
+ 'AVG','BIT_AND','BIT_OR','BIT_XOR','COUNT','GROUP_CONCAT',
+ 'MAX','MIN','STDDEV_POP','STDDEV_SAMP','STDDEV','STD','SUM',
+ 'VAR_POP','VAR_SAMP','VARIANCE',
+ ),
+ 23 => array(
+ // Information Functions
+ 'BENCHMARK','COERCIBILITY','COLLATION','CONNECTION_ID',
+ 'FOUND_ROWS','LAST_INSERT_ID','ROW_COUNT',
+ 'SESSION_USER','SYSTEM_USER','USER','VERSION',
+ ),
+ 24 => array( //A ( must follow
+ // Information functions distinguished from other keywords by the same name
+ "CURRENT_USER", "DATABASE", "SCHEMA", "CHARSET"
+ ),
+ 25 => array(
+ // Miscellaneous Functions
+ 'ExtractValue','BIT_COUNT','GET_LOCK','INET_ATON','INET_NTOA',
+ 'IS_FREE_LOCK','IS_USED_LOCK','MASTER_POS_WAIT','NAME_CONST',
+ 'RELEASE_LOCK','SLEEP','UpdateXML','UUID',
+ ),
+ 26 => array( //A ( must follow
+ // Miscellaneous function distinguished from other keyword by the same name
+ "DEFAULT"
+ ),
+ 27 => array(
+ // Geometry Functions
+ 'Area','AsBinary','AsText','AsWKB','AsWKT','Boundary','Buffer',
+ 'Centroid','Contains','ConvexHull','Crosses',
+ 'Difference','Dimension','Disjoint','Distance',
+ 'EndPoint','Envelope','Equals','ExteriorRing',
+ 'GLength','GeomCollFromText','GeomCollFromWKB','GeomFromText',
+ 'GeomFromWKB','GeometryCollectionFromText',
+ 'GeometryCollectionFromWKB','GeometryFromText','GeometryFromWKB',
+ 'GeometryN','GeometryType',
+ 'InteriorRingN','Intersection','Intersects','IsClosed','IsEmpty',
+ 'IsRing','IsSimple',
+ 'LineFromText','LineFromWKB','LineStringFromText',
+ 'LineStringFromWKB',
+ 'MBRContains','MBRDisjoint','MBREqual','MBRIntersects',
+ 'MBROverlaps','MBRTouches','MBRWithin','MLineFromText',
+ 'MLineFromWKB','MPointFromText','MPointFromWKB','MPolyFromText',
+ 'MPolyFromWKB','MultiLineStringFromText','MultiLineStringFromWKB',
+ 'MultiPointFromText','MultiPointFromWKB','MultiPolygonFromText',
+ 'MultiPolygonFromWKB',
+ 'NumGeometries','NumInteriorRings','NumPoints',
+ 'Overlaps',
+ 'PointFromText','PointFromWKB','PointN','PointOnSurface',
+ 'PolyFromText','PolyFromWKB','PolygonFromText','PolygonFromWKB',
+ 'Related','SRID','StartPoint','SymDifference',
+ 'Touches',
+ 'Union',
+ 'Within',
+ 'X',
+ 'Y',
+ ),
+ ),
+ 'SYMBOLS' => array(
+ 1 => array(
+ /* Operators */
+ '=', ':=', // assignment operators
+ '||', '&&', '!', // locical operators
+ '=', '<=>', '>=', '>', '<=', '<', '<>', '!=', // comparison operators
+ '|', '&', '^', '~', '<<', '>>', // bitwise operators
+ '-', '+', '*', '/', '%', // numerical operators
+ ),
+ 2 => array(
+ /* Other syntactical symbols */
+ '(', ')',
+ ',', ';',
+ ),
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => false,
+ 5 => false,
+ 6 => false,
+ 7 => false,
+ 8 => false,
+ 9 => false,
+ 10 => false,
+ 11 => false,
+ 12 => false,
+ 13 => false,
+ 13 => false,
+ 14 => false,
+ 15 => false,
+ 16 => false,
+ 17 => false,
+ 18 => false,
+ 19 => false,
+ 20 => false,
+ 21 => false,
+ 22 => false,
+ 23 => false,
+ 24 => false,
+ 25 => false,
+ 26 => false,
+ 27 => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #990099; font-weight: bold;', // mix
+ 2 => 'color: #990099; font-weight: bold;', // mix
+ 3 => 'color: #9900FF; font-weight: bold;', // constants
+ 4 => 'color: #999900; font-weight: bold;', // column data types
+ 5 => 'color: #999900; font-weight: bold;', // column data types
+ 6 => 'color: #FF9900; font-weight: bold;', // attributes
+ 7 => 'color: #FF9900; font-weight: bold;', // attributes
+ 8 => 'color: #9900FF; font-weight: bold;', // date-time units
+ 9 => 'color: #9900FF; font-weight: bold;', // date-time units
+
+ 10 => 'color: #CC0099; font-weight: bold;', // operators
+ 11 => 'color: #CC0099; font-weight: bold;', // operators
+
+ 12 => 'color: #009900;', // control flow (functions)
+ 13 => 'color: #000099;', // string functions
+ 14 => 'color: #000099;', // string functions
+ 15 => 'color: #000099;', // numeric functions
+ 16 => 'color: #000099;', // numeric functions
+ 17 => 'color: #000099;', // date-time functions
+ 18 => 'color: #000099;', // date-time functions
+ 19 => 'color: #000099;', // comparison functions
+ 20 => 'color: #000099;', // comparison functions
+ 21 => 'color: #000099;', // encryption functions
+ 22 => 'color: #000099;', // aggregate functions
+ 23 => 'color: #000099;', // information functions
+ 24 => 'color: #000099;', // information functions
+ 25 => 'color: #000099;', // miscellaneous functions
+ 26 => 'color: #000099;', // miscellaneous functions
+ 27 => 'color: #00CC00;', // geometry functions
+ ),
+ 'COMMENTS' => array(
+ 'MULTI' => 'color: #808000; font-style: italic;',
+ 1 => 'color: #808080; font-style: italic;',
+ 2 => 'color: #808080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #004000; font-weight: bold;',
+ 1 => 'color: #008080; font-weight: bold;' // search wildcards
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #FF00FF;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #008000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #008080;'
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 1 => 'color: #CC0099;', // operators
+ 2 => 'color: #000033;', // syntax
+ ),
+ 'SCRIPT' => array(
+ ),
+ 'REGEXPS' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => 'http://search.mysql.com/search?site=refman-51&amp;q={FNAME}&amp;lr=lang_en',
+ 2 => 'http://search.mysql.com/search?site=refman-51&amp;q={FNAME}&amp;lr=lang_en',
+ 3 => 'http://search.mysql.com/search?site=refman-51&amp;q={FNAME}&amp;lr=lang_en',
+ 4 => 'http://search.mysql.com/search?site=refman-51&amp;q={FNAME}&amp;lr=lang_en',
+ 5 => 'http://search.mysql.com/search?site=refman-51&amp;q={FNAME}&amp;lr=lang_en',
+ 6 => 'http://search.mysql.com/search?site=refman-51&amp;q={FNAME}&amp;lr=lang_en',
+ 7 => 'http://search.mysql.com/search?site=refman-51&amp;q={FNAME}&amp;lr=lang_en',
+ 8 => 'http://search.mysql.com/search?site=refman-51&amp;q={FNAME}&amp;lr=lang_en',
+ 9 => 'http://search.mysql.com/search?site=refman-51&amp;q={FNAME}&amp;lr=lang_en',
+
+ 10 => 'http://dev.mysql.com/doc/refman/5.1/en/non-typed-operators.html',
+ 11 => 'http://dev.mysql.com/doc/refman/5.1/en/non-typed-operators.html',
+
+ 12 => 'http://dev.mysql.com/doc/refman/5.1/en/control-flow-functions.html',
+ 13 => 'http://dev.mysql.com/doc/refman/5.1/en/string-functions.html',
+ 14 => 'http://dev.mysql.com/doc/refman/5.1/en/string-functions.html',
+ 15 => 'http://dev.mysql.com/doc/refman/5.1/en/numeric-functions.html',
+ 16 => 'http://dev.mysql.com/doc/refman/5.1/en/numeric-functions.html',
+ 17 => 'http://dev.mysql.com/doc/refman/5.1/en/date-and-time-functions.html',
+ 18 => 'http://dev.mysql.com/doc/refman/5.1/en/date-and-time-functions.html',
+ 19 => 'http://dev.mysql.com/doc/refman/5.1/en/comparison-operators.html',
+ 20 => 'http://dev.mysql.com/doc/refman/5.1/en/comparison-operators.html',
+ 21 => 'http://dev.mysql.com/doc/refman/5.1/en/encryption-functions.html',
+ 22 => 'http://dev.mysql.com/doc/refman/5.1/en/group-by-functions-and-modifiers.html',
+ 23 => 'http://dev.mysql.com/doc/refman/5.1/en/information-functions.html',
+ 24 => 'http://dev.mysql.com/doc/refman/5.1/en/information-functions.html',
+ 25 => 'http://dev.mysql.com/doc/refman/5.1/en/func-op-summary-ref.html',
+ 26 => 'http://dev.mysql.com/doc/refman/5.1/en/func-op-summary-ref.html',
+ 27 => 'http://dev.mysql.com/doc/refman/5.1/en/analysing-spatial-information.html',
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'TAB_WIDTH' => 4,
+ 'PARSER_CONTROL' => array(
+ 'KEYWORDS' => array(
+ 2 => array(
+ 'DISALLOWED_AFTER' => '(?![\(\w])'
+ ),
+ 5 => array(
+ 'DISALLOWED_AFTER' => '(?![\(\w])'
+ ),
+ 7 => array(
+ 'DISALLOWED_AFTER' => '(?![\(\w])'
+ ),
+ 9 => array(
+ 'DISALLOWED_AFTER' => '(?![\(\w])'
+ ),
+ 11 => array(
+ 'DISALLOWED_AFTER' => '(?![\(\w])'
+ ),
+
+ 14 => array(
+ 'DISALLOWED_AFTER' => '(?=\()'
+ ),
+ 16 => array(
+ 'DISALLOWED_AFTER' => '(?=\()'
+ ),
+ 18 => array(
+ 'DISALLOWED_AFTER' => '(?=\()'
+ ),
+ 20 => array(
+ 'DISALLOWED_AFTER' => '(?=\()'
+ ),
+ 24 => array(
+ 'DISALLOWED_AFTER' => '(?=\()'
+ ),
+ 26 => array(
+ 'DISALLOWED_AFTER' => '(?=\()'
+ )
+ )
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/nsis.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/nsis.php
new file mode 100644
index 000000000..63767b025
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/nsis.php
@@ -0,0 +1,351 @@
+<?php
+/*************************************************************************************
+ * nsis.php
+ * --------
+ * Author: deguix (cevo_deguix@yahoo.com.br), Tux (http://tux.a4.cz/)
+ * Copyright: (c) 2005 deguix, 2004 Tux (http://tux.a4.cz/), Nigel McNie (http://qbnz.com/highlighter)
+ * Release Version: 1.0.8.4
+ * Date Started: 2005/12/03
+ *
+ * Nullsoft Scriptable Install System language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2005/12/03 (2.0.2)
+ * - Updated to NSIS 2.11.
+ * 2005/06/17 (2.0.1)
+ * - Updated to NSIS 2.07b0.
+ * 2005/04/05 (2.0.0)
+ * - Updated to NSIS 2.06.
+ * 2004/11/27 (1.0.2)
+ * - Added support for multiple object splitters
+ * 2004/10/27 (1.0.1)
+ * - Added support for URLs
+ * 2004/08/05 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2004/11/27)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'NSIS',
+ 'COMMENT_SINGLE' => array(1 => ';', 2 => '#'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'",'"','`'),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ 1 => array(
+ '!appendfile', '!addIncludeDir', '!addplugindir', '!cd', '!define', '!delfile', '!echo', '!else',
+ '!endif', '!error', '!execute', '!ifdef', '!ifmacrodef', '!ifmacrondef', '!ifndef', '!include',
+ '!insertmacro', '!macro', '!macroend', '!packhdr', '!tempfile', '!system', '!undef', '!verbose',
+ '!warning'
+ ),
+ 2 => array(
+ 'AddBrandingImage', 'AllowRootDirInstall', 'AutoCloseWindow', 'BGFont',
+ 'BGGradient', 'BrandingText', 'Caption', 'ChangeUI', 'CheckBitmap', 'CompletedText', 'ComponentText',
+ 'CRCCheck', 'DetailsButtonText', 'DirShow', 'DirText', 'DirVar', 'DirVerify', 'FileErrorText',
+ 'Function', 'FunctionEnd', 'Icon', 'InstallButtonText', 'InstallColors', 'InstallDir',
+ 'InstallDirRegKey', 'InstProgressFlags', 'InstType', 'LangString', 'LangStringUP', 'LicenseBkColor',
+ 'LicenseData', 'LicenseForceSelection', 'LicenseLangString', 'LicenseText', 'LoadLanguageFile',
+ 'MiscButtonText', 'Name', 'OutFile', 'Page', 'PageEx', 'PageExEnd', 'Section',
+ 'SectionEnd', 'SectionGroup', 'SectionGroupEnd', 'SetCompressor', 'SetFont', 'ShowInstDetails',
+ 'ShowUninstDetails', 'SilentInstall', 'SilentUnInstall', 'SpaceTexts', 'SubCaption', 'SubSection',
+ 'SubSectionEnd', 'UninstallButtonText', 'UninstallCaption', 'UninstallIcon', 'UninstallSubCaption',
+ 'UninstallText', 'UninstPage', 'Var', 'VIAddVersionKey', 'VIProductVersion', 'WindowIcon', 'XPStyle'
+ ),
+ 3 => array(
+ 'AddSize', 'AllowSkipFiles', 'FileBufSize', 'GetInstDirError', 'PageCallbacks',
+ 'SectionIn', 'SetCompress', 'SetCompressionLevel', 'SetCompressorDictSize',
+ 'SetDatablockOptimize', 'SetDateSave', 'SetOverwrite', 'SetPluginUnload'
+ ),
+ 4 => array(
+ 'Abort', 'BringToFront', 'Call', 'CallInstDLL', 'ClearErrors', 'CopyFiles','CreateDirectory',
+ 'CreateFont', 'CreateShortCut', 'Delete', 'DeleteINISec', 'DeleteINIStr', 'DeleteRegKey',
+ 'DeleteRegValue', 'DetailPrint', 'EnableWindow', 'EnumRegKey', 'EnumRegValue', 'Exch', 'Exec',
+ 'ExecShell', 'ExecWait', 'ExpandEnvStrings', 'File', 'FileClose', 'FileOpen', 'FileRead',
+ 'FileReadByte', 'FileSeek', 'FileWrite', 'FileWriteByte', 'FindClose', 'FindFirst', 'FindNext',
+ 'FindWindow', 'FlushINI', 'GetCurInstType', 'GetCurrentAddress', 'GetDlgItem', 'GetDLLVersion',
+ 'GetDLLVersionLocal', 'GetErrorLevel', 'GetFileTime', 'GetFileTimeLocal', 'GetFullPathName',
+ 'GetFunctionAddress', 'GetLabelAddress', 'GetTempFileName', 'GetWindowText', 'Goto', 'HideWindow',
+ 'IfAbort', 'IfErrors', 'IfFileExists', 'IfRebootFlag', 'IfSilent', 'InitPluginsDir', 'InstTypeGetText',
+ 'InstTypeSetText', 'IntCmp', 'IntCmpU', 'IntFmt', 'IntOp', 'IsWindow', 'LockWindow', 'LogSet', 'LogText',
+ 'MessageBox', 'Nop', 'Pop', 'Push', 'Quit', 'ReadEnvStr', 'ReadIniStr', 'ReadRegDWORD', 'ReadRegStr',
+ 'Reboot', 'RegDLL', 'Rename', 'ReserveFile', 'Return', 'RMDir', 'SearchPath', 'SectionGetFlags',
+ 'SectionGetInstTypes', 'SectionGetSize', 'SectionGetText', 'SectionSetFlags', 'SectionSetInstTypes',
+ 'SectionSetSize', 'SectionSetText', 'SendMessage', 'SetAutoClose', 'SetBrandingImage', 'SetCtlColors',
+ 'SetCurInstType', 'SetDetailsPrint', 'SetDetailsView', 'SetErrorLevel', 'SetErrors', 'SetFileAttributes',
+ 'SetOutPath', 'SetRebootFlag', 'SetShellVarContext', 'SetSilent', 'ShowWindow', 'Sleep', 'StrCmp',
+ 'StrCpy', 'StrLen', 'UnRegDLL', 'WriteINIStr', 'WriteRegBin', 'WriteRegDWORD', 'WriteRegExpandStr',
+ 'WriteRegStr', 'WriteUninstaller'
+ ),
+ 5 => array(
+ 'all', 'alwaysoff', 'ARCHIVE', 'auto', 'both', 'bzip2', 'checkbox', 'components', 'current',
+ 'custom', 'directory', 'false', 'FILE_ATTRIBUTE_ARCHIVE', 'FILE_ATTRIBUTE_HIDDEN', 'FILE_ATTRIBUTE_NORMAL',
+ 'FILE_ATTRIBUTE_OFFLINE', 'FILE_ATTRIBUTE_READONLY', 'FILE_ATTRIBUTE_SYSTEM,TEMPORARY',
+ 'FILE_ATTRIBUTE_TEMPORARY', 'force', 'HIDDEN', 'hide', 'HKCC', 'HKCR', 'HKCU', 'HKDD', 'HKEY_CLASSES_ROOT',
+ 'HKEY_CURRENT_CONFIG', 'HKEY_CURRENT_USER', 'HKEY_DYN_DATA', 'HKEY_LOCAL_MACHINE', 'HKEY_PERFORMANCE_DATA',
+ 'HKEY_USERS', 'HKLM', 'HKPD', 'HKU', 'IDABORT', 'IDCANCEL', 'IDIGNORE', 'IDNO', 'IDOK', 'IDRETRY', 'IDYES',
+ 'ifdiff', 'ifnewer', 'instfiles', 'lastused', 'leave', 'license', 'listonly', 'lzma', 'manual',
+ 'MB_ABORTRETRYIGNORE', 'MB_DEFBUTTON1', 'MB_DEFBUTTON2', 'MB_DEFBUTTON3', 'MB_DEFBUTTON4',
+ 'MB_ICONEXCLAMATION', 'MB_ICONINFORMATION', 'MB_ICONQUESTION', 'MB_ICONSTOP', 'MB_OK', 'MB_OKCANCEL',
+ 'MB_RETRYCANCEL', 'MB_RIGHT', 'MB_SETFOREGROUND', 'MB_TOPMOST', 'MB_YESNO', 'MB_YESNOCANCEL', 'nevershow',
+ 'none', 'normal', 'off', 'OFFLINE', 'on', 'radiobuttons', 'READONLY', 'RO', 'SHCTX', 'SHELL_CONTEXT', 'show',
+ 'silent', 'silentlog', 'SW_HIDE', 'SW_SHOWMAXIMIZED', 'SW_SHOWMINIMIZED', 'SW_SHOWNORMAL', 'SYSTEM',
+ 'textonly', 'true', 'try', 'uninstConfirm', 'zlib'
+ ),
+ 6 => array(
+ '/a', '/components', '/COMPONENTSONLYONCUSTOM', '/CUSTOMSTRING', '/e', '/FILESONLY', '/FINAL', '/gray', '/GLOBAL',
+ '/ifempty', '/IMGID', '/ITALIC', '/lang', '/NOCUSTOM', '/nonfatal', '/NOUNLOAD', '/oname', '/r', '/REBOOTOK',
+ '/RESIZETOFIT', '/SOLID', '/SD', '/SHORT', '/silent', '/STRIKE', '/TIMEOUT', '/TRIMCENTER', '/TRIMLEFT',
+ '/TRIMRIGHT', '/UNDERLINE', '/windows', '/x'
+ ),
+ 7 => array(
+ '.onGUIEnd', '.onGUIInit', '.onInit', '.onInstFailed', '.onInstSuccess', '.onMouseOverSection',
+ '.onRebootFailed', '.onSelChange', '.onUserAbort', '.onVerifyInstDir', 'un.onGUIEnd', 'un.onGUIInit',
+ 'un.onInit', 'un.onRebootFailed', 'un.onUninstFailed', 'un.onUninstSuccess', 'un.onUserAbort'
+ ),
+ 8 => array(
+ 'MUI.nsh', '"${NSISDIR}\Contrib\Modern UI\System.nsh"', 'MUI_SYSVERSION', 'MUI_ICON', 'MUI_UNICON',
+ 'MUI_HEADERIMAGE', 'MUI_HEADERIMAGE_BITMAP', 'MUI_HEADERIMAGE_BITMAP_NOSTRETCH', 'MUI_HEADERIMAGE_BITMAP_RTL',
+ 'MUI_HEADERIMAGE_BITMAP_RTL_NOSTRETCH', 'MUI_HEADERIMAGE_UNBITMAP', 'MUI_HEADERIMAGE_UNBITMAP_NOSTRETCH',
+ 'MUI_HEADERIMAGE_UNBITMAP_RTL', 'MUI_HEADERIMAGE_UNBITMAP_RTL_NOSTRETCH', 'MUI_HEADERIMAGE_RIGHT', 'MUI_BGCOLOR',
+ 'MUI_UI', 'MUI_UI_HEADERIMAGE', 'MUI_UI_HEADERIMAGE_RIGHT', 'MUI_UI_COMPONENTSPAGE_SMALLDESC',
+ 'MUI_UI_COMPONENTSPAGE_NODESC', 'MUI_WELCOMEFINISHPAGE_BITMAP', 'MUI_WELCOMEFINISHPAGE_BITMAP_NOSTRETCH',
+ 'MUI_WELCOMEFINISHPAGE_INI', 'MUI_UNWELCOMEFINISHPAGE_BITMAP', 'MUI_UNWELCOMEFINISHPAGE_BITMAP_NOSTRETCH',
+ 'MUI_UNWELCOMEFINISHPAGE_INI', 'MUI_LICENSEPAGE_BGCOLOR', 'MUI_COMPONENTSPAGE_CHECKBITMAP',
+ 'MUI_COMPONENTSPAGE_SMALLDESC', 'MUI_COMPONENTSPAGE_NODESC', 'MUI_INSTFILESPAGE_COLORS',
+ 'MUI_INSTFILESPAGE_PROGRESSBAR', 'MUI_FINISHPAGE_NOAUTOCLOSE', 'MUI_UNFINISHPAGE_NOAUTOCLOSE',
+ 'MUI_ABORTWARNING', 'MUI_ABORTWARNING_TEXT', 'MUI_UNABORTWARNING', 'MUI_UNABORTWARNING_TEXT',
+ 'MUI_PAGE_WELCOME', 'MUI_PAGE_LICENSE', 'MUI_PAGE_COMPONENTS', 'MUI_PAGE_DIRECTORY',
+ 'MUI_PAGE_STARTMENU', 'MUI_PAGE_INSTFILES', 'MUI_PAGE_FINISH', 'MUI_UNPAGE_WELCOME',
+ 'MUI_UNPAGE_CONFIRM', 'MUI_UNPAGE_LICENSE', 'MUI_UNPAGE_COMPONENTS', 'MUI_UNPAGE_DIRECTORY',
+ 'MUI_UNPAGE_INSTFILES', 'MUI_UNPAGE_FINISH', 'MUI_PAGE_HEADER_TEXT', 'MUI_PAGE_HEADER_SUBTEXT',
+ 'MUI_WELCOMEPAGE_TITLE', 'MUI_WELCOMEPAGE_TITLE_3LINES', 'MUI_WELCOMEPAGE_TEXT',
+ 'MUI_LICENSEPAGE_TEXT_TOP', 'MUI_LICENSEPAGE_TEXT_BOTTOM', 'MUI_LICENSEPAGE_BUTTON',
+ 'MUI_LICENSEPAGE_CHECKBOX', 'MUI_LICENSEPAGE_CHECKBOX_TEXT', 'MUI_LICENSEPAGE_RADIOBUTTONS',
+ 'MUI_LICENSEPAGE_RADIOBUTTONS_TEXT_ACCEPT', 'MUI_LICENSEPAGE_RADIOBUTTONS_TEXT_DECLINE',
+ 'MUI_COMPONENTSPAGE_TEXT_TOP', 'MUI_COMPONENTSPAGE_TEXT_COMPLIST', 'MUI_COMPONENTSPAGE_TEXT_INSTTYPE',
+ 'MUI_COMPONENTSPAGE_TEXT_DESCRIPTION_TITLE', 'MUI_COMPONENTSPAGE_TEXT_DESCRIPTION_INFO',
+ 'MUI_DIRECTORYPAGE_TEXT_TOP', 'MUI_DIRECTORYPAGE_TEXT_DESTINATION', 'MUI_DIRECTORYPAGE_VARIABLE',
+ 'MUI_DIRECTORYPAGE_VERIFYONLEAVE', 'MUI_STARTMENU_WRITE_BEGIN', 'MUI_STARTMENU_WRITE_END',
+ 'MUI_STARTMENUPAGE_TEXT_TOP', 'MUI_STARTMENUPAGE_TEXT_CHECKBOX', 'MUI_STARTMENUPAGE_DEFAULTFOLDER',
+ 'MUI_STARTMENUPAGE_NODISABLE', 'MUI_STARTMENUPAGE_REGISTRY_ROOT', 'MUI_STARTMENUPAGE_REGISTRY_KEY',
+ 'MUI_STARTMENUPAGE_REGISTRY_VALUENAME', 'MUI_INSTFILESPAGE_FINISHHEADER_TEXT',
+ 'MUI_INSTFILESPAGE_FINISHHEADER_SUBTEXT', 'MUI_INSTFILESPAGE_ABORTHEADER_TEXT',
+ 'MUI_INSTFILESPAGE_ABORTHEADER_SUBTEXT', 'MUI_FINISHPAGE_TITLE', 'MUI_FINISHPAGE_TITLE_3LINES',
+ 'MUI_FINISHPAGE_TEXT', 'MUI_FINISHPAGE_TEXT_LARGE', 'MUI_FINISHPAGE_BUTTON',
+ 'MUI_FINISHPAGE_TEXT_REBOOT', 'MUI_FINISHPAGE_TEXT_REBOOTNOW', 'MUI_FINISHPAGE_TEXT_REBOOTLATER',
+ 'MUI_FINISHPAGE_RUN', 'MUI_FINISHPAGE_RUN_TEXT', 'MUI_FINISHPAGE_RUN_PARAMETERS',
+ 'MUI_FINISHPAGE_RUN_NOTCHECKED', 'MUI_FINISHPAGE_RUN_FUNCTION', 'MUI_FINISHPAGE_SHOWREADME',
+ 'MUI_FINISHPAGE_SHOWREADME_TEXT', 'MUI_FINISHPAGE_SHOWREADME_NOTCHECKED',
+ 'MUI_FINISHPAGE_SHOWREADME_FUNCTION', 'MUI_FINISHPAGE_LINK', 'MUI_FINISHPAGE_LINK_LOCATION',
+ 'MUI_FINISHPAGE_LINK_COLOR', 'MUI_FINISHPAGE_NOREBOOTSUPPORT', 'MUI_UNCONFIRMPAGE_TEXT_TOP',
+ 'MUI_UNCONFIRMPAGE_TEXT_LOCATION', 'MUI_LANGUAGE', 'MUI_LANGDLL_DISPLAY',
+ 'MUI_LANGDLL_REGISTRY_ROOT', 'MUI_LANGDLL_REGISTRY_KEY', 'MUI_LANGDLL_REGISTRY_VALUENAME',
+ 'MUI_LANGDLL_WINDOWTITLE', 'MUI_LANGDLL_INFO', 'MUI_LANGDLL_ALWAYSSHOW',
+ 'MUI_RESERVEFILE_INSTALLOPTIONS', 'MUI_RESERVEFILE_LANGDLL', 'MUI_FUNCTION_DESCRIPTION_BEGIN',
+ 'MUI_DESCRIPTION_TEXT', 'MUI_FUNCTION_DESCRIPTION_END', 'MUI_INSTALLOPTIONS_EXTRACT',
+ 'MUI_INSTALLOPTIONS_EXTRACT_AS', 'MUI_HEADER_TEXT', 'MUI_INSTALLOPTIONS_DISPLAY',
+ 'MUI_INSTALLOPTIONS_INITDIALOG', 'MUI_INSTALLOPTIONS_SHOW',
+ 'MUI_INSTALLOPTIONS_DISPLAY_RETURN', 'MUI_INSTALLOPTIONS_SHOW_RETURN',
+ 'MUI_INSTALLOPTIONS_READ', 'MUI_INSTALLOPTIONS_WRITE',
+ 'MUI_CUSTOMFUNCTION_GUIINIT', 'MUI_CUSTOMFUNCTION_UNGUIINIT',
+ 'MUI_CUSTOMFUNCTION_ABORT', 'MUI_CUSTOMFUNCTION_UNABORT',
+ 'MUI_PAGE_CUSTOMFUNCTION_PRE', 'MUI_PAGE_CUSTOMFUNCTION_SHOW', 'MUI_PAGE_CUSTOMFUNCTION_LEAVE',
+ 'MUI_WELCOMEFINISHPAGE_CUSTOMFUNCTION_INIT'
+ ),
+ 9 => array(
+ 'LogicLib.nsh', '${LOGICLIB}', 'LOGICLIB_STRCMP', 'LOGICLIB_INT64CMP', 'LOGICLIB_SECTIONCMP', '${If}', '${Unless}',
+ '${ElseIf}', '${ElseUnless}', '${Else}', '${EndIf}', '${EndUnless}', '${AndIf}', '${AndUnless}',
+ '${OrIf}', '${OrUnless}', '${IfThen}', '${IfCmd}', '${Select}', '${Case2}', '${Case3}',
+ '${Case4}', '${Case5}', '${CaseElse}', '${Default}', '${EndSelect}', '${Switch}',
+ '${Case}', '${EndSwitch}', '${Do}', '${DoWhile}', '${UntilWhile}', '${Continue}', '${Break}',
+ '${Loop}', '${LoopWhile}', '${LoopUntil}', '${While}', '${ExitWhile}', '${EndWhile}', '${For}',
+ '${ForEach}', '${ExitFor}', '${Next}', '${Abort}', '${Errors}', '${RebootFlag}', '${Silent}',
+ '${FileExists}', '${Cmd}', '${SectionIsSelected}', '${SectionIsSectionGroup}',
+ '${SectionIsSectionGroupEnd}', '${SectionIsBold}', '${SectionIsReadOnly}',
+ '${SectionIsExpanded}', '${SectionIsPartiallySelected}'
+ ),
+ 10 => array(
+ 'StrFunc.nsh', '${STRFUNC}', '${StrCase}', '${StrClb}', '${StrIOToNSIS}', '${StrLoc}', '${StrNSISToIO}', '${StrRep}',
+ '${StrSort}', '${StrStr}', '${StrStrAdv}', '${StrTok}', '${StrTrimNewLines}'
+ ),
+ 11 => array(
+ 'UpgradeDLL.nsh', 'UPGRADEDLL_INCLUDED', 'UpgradeDLL'
+ ),
+ 12 => array(
+ 'Sections.nsh', 'SECTIONS_INCLUDED', '${SF_SELECTED}', '${SF_SECGRP}', '${SF_SUBSEC}', '${SF_SECGRPEND}',
+ '${SF_SUBSECEND}', '${SF_BOLD}', '${SF_RO}', '${SF_EXPAND}', '${SF_PSELECTED}', '${SF_TOGGLED}',
+ '${SF_NAMECHG}', '${SECTION_OFF}', 'SelectSection', 'UnselectSection', 'ReverseSection',
+ 'StartRadioButtons', 'RadioButton', 'EndRadioButtons', '${INSTTYPE_0}', '${INSTTYPE_1}', '${INSTTYPE_2}',
+ '${INSTTYPE_3}', '${INSTTYPE_4}', '${INSTTYPE_5}', '${INSTTYPE_6}', '${INSTTYPE_7}', '${INSTTYPE_8}',
+ '${INSTTYPE_9}', '${INSTTYPE_10}', '${INSTTYPE_11}', '${INSTTYPE_12}', '${INSTTYPE_13}', '${INSTTYPE_14}',
+ '${INSTTYPE_15}', '${INSTTYPE_16}', '${INSTTYPE_17}', '${INSTTYPE_18}', '${INSTTYPE_19}', '${INSTTYPE_20}',
+ '${INSTTYPE_21}', '${INSTTYPE_22}', '${INSTTYPE_23}', '${INSTTYPE_24}', '${INSTTYPE_25}', '${INSTTYPE_26}',
+ '${INSTTYPE_27}', '${INSTTYPE_28}', '${INSTTYPE_29}', '${INSTTYPE_30}', '${INSTTYPE_31}', '${INSTTYPE_32}',
+ 'SetSectionInInstType', 'ClearSectionInInstType', 'SetSectionFlag', 'ClearSectionFlag', 'SectionFlagIsSet'
+ ),
+ 13 => array(
+ 'Colors.nsh', 'WHITE', 'BLACK', 'YELLOW', 'RED', 'GREEN', 'BLUE', 'MAGENTA', 'CYAN', 'rgb2hex'
+ ),
+ 14 => array(
+ 'FileFunc.nsh', '${Locate}', '${GetSize}', '${DriveSpace}', '${GetDrives}', '${GetTime}', '${GetFileAttributes}', '${GetFileVersion}', '${GetExeName}', '${GetExePath}', '${GetParameters}', '${GetOptions}', '${GetRoot}', '${GetParent}', '${GetFileName}', '${GetBaseName}', '${GetFileExt}', '${BannerTrimPath}', '${DirState}', '${RefreshShellIcons}'
+ ),
+ 15 => array(
+ 'TextFunc.nsh', '${LineFind}', '${LineRead}', '${FileReadFromEnd}', '${LineSum}', '${FileJoin}', '${TextCompare}', '${ConfigRead}', '${ConfigWrite}', '${FileRecode}', '${TrimNewLines}'
+ ),
+ 16 => array(
+ 'WordFunc.nsh', '${WordFind}', '${WordFind2X}', '${WordFind3X}', '${WordReplace}', '${WordAdd}', '${WordInsert}', '${StrFilter}', '${VersionCompare}', '${VersionConvert}'
+ )
+ ),
+ 'SYMBOLS' => array(
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => false,
+ 5 => false,
+ 6 => false,
+ 7 => false,
+ 8 => false,
+ 9 => false,
+ 10 => false,
+ 11 => false,
+ 12 => false,
+ 13 => false,
+ 14 => false,
+ 15 => false,
+ 16 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #000066; font-weight:bold;',
+ 2 => 'color: #000066;',
+ 3 => 'color: #003366;',
+ 4 => 'color: #000099;',
+ 5 => 'color: #ff6600;',
+ 6 => 'color: #ff6600;',
+ 7 => 'color: #006600;',
+ 8 => 'color: #006600;',
+ 9 => 'color: #006600;',
+ 10 => 'color: #006600;',
+ 11 => 'color: #006600;',
+ 12 => 'color: #006600;',
+ 13 => 'color: #006600;',
+ 14 => 'color: #006600;',
+ 15 => 'color: #006600;',
+ 16 => 'color: #006600;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #666666; font-style: italic;',
+ 2 => 'color: #666666; font-style: italic;',
+ 'MULTI' => 'color: #666666; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #660066; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => ''
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #660066;'
+ ),
+ 'NUMBERS' => array(
+ 0 => ''
+ ),
+ 'METHODS' => array(
+ 0 => ''
+ ),
+ 'SYMBOLS' => array(
+ 0 => ''
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #660000;',
+ 1 => 'color: #660000;',
+ 2 => 'color: #660000;',
+ 3 => 'color: #660000;',
+ 4 => 'color: #660000;',
+ 5 => 'color: #660000;',
+ 6 => 'color: #660000;',
+ 7 => 'color: #000099;',
+ 8 => 'color: #003399;'
+ ),
+ 'SCRIPT' => array(
+ 0 => ''
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => '',
+ 5 => '',
+ 6 => '',
+ 7 => '',
+ 8 => '',
+ 9 => '',
+ 10 => '',
+ 11 => '',
+ 12 => '',
+ 13 => '',
+ 14 => '',
+ 15 => '',
+ 16 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ 0 => '\$\$',
+ 1 => '\$\\r',
+ 2 => '\$\\n',
+ 3 => '\$\\t',
+ 4 => '\$[a-zA-Z0-9_]+',
+ 5 => '\$\{.{1,256}\}',
+ 6 => '\$\\\(.{1,256}\\\)',
+ 7 => array(
+ GESHI_SEARCH => '([^:\/\\\*\?\"\<\>(?:<PIPE>)\s]*?)(::)([^:\/\\\*\?\"\<\>(?:<PIPE>)\s]*?)',
+ GESHI_REPLACE => '\\1',
+ GESHI_MODIFIERS => '',
+ GESHI_BEFORE => '',
+ GESHI_AFTER => '\\2\\3'
+ ),
+ 8 => array(
+ GESHI_SEARCH => '([^:\/\\\*\?\"\<\>(?:<PIPE>)\s]*?)(::)([^:\/\\\*\?\"\<\>(?:<PIPE>)]*?\s)',
+ GESHI_REPLACE => '\\3',
+ GESHI_MODIFIERS => '',
+ GESHI_BEFORE => '\\1\\2',
+ GESHI_AFTER => ''
+ )
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/oberon2.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/oberon2.php
new file mode 100644
index 000000000..4e4223f4f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/oberon2.php
@@ -0,0 +1,135 @@
+<?php
+/*************************************************************************************
+ * oberon2.php
+ * ----------
+ * Author: mbishop (mbishop@esoteriq.org)
+ * Copyright: (c) 2009 mbishop (mbishop@esoteriq.org)
+ * Release Version: 1.0.8.4
+ * Date Started: 2009/02/10
+ *
+ * Oberon-2 language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ *
+ * TODO
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Oberon-2',
+ 'COMMENT_SINGLE' => array(),
+ 'COMMENT_MULTI' => array('(*' => '*)'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'HARDQUOTE' => array("'", "'"),
+ 'HARDESCAPE' => array("''"),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'ARRAY', 'BEGIN', 'BY', 'CASE',
+ 'CONST', 'DIV', 'DO', 'ELSE', 'ELSIF', 'END',
+ 'EXIT', 'FOR', 'IF', 'IMPORT', 'IN', 'IS',
+ 'LOOP', 'MOD', 'MODULE', 'OF',
+ 'OR', 'POINTER', 'PROCEDURE', 'RECORD',
+ 'REPEAT', 'RETURN', 'THEN', 'TO',
+ 'TYPE', 'UNTIL', 'VAR', 'WHILE', 'WITH'
+ ),
+ 2 => array(
+ 'NIL', 'FALSE', 'TRUE',
+ ),
+ 3 => array(
+ 'ABS', 'ASH', 'ASSERT', 'CAP', 'CHR', 'COPY', 'DEC',
+ 'ENTIER', 'EXCL', 'HALT', 'INC', 'INCL', 'LEN',
+ 'LONG', 'MAX', 'MIN', 'NEW', 'ODD', 'ORD', 'SHORT', 'SIZE'
+ ),
+ 4 => array(
+ 'BOOLEAN', 'CHAR', 'SHORTINT', 'LONGINT',
+ 'INTEGER', 'LONGREAL', 'REAL', 'SET', 'PTR'
+ ),
+ ),
+ 'SYMBOLS' => array(
+ ',', ':', '=', '+', '-', '*', '/', '#', '~'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => true,
+ 2 => true,
+ 3 => true,
+ 4 => true,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #000000; font-weight: bold;',
+ 2 => 'color: #000000; font-weight: bold;',
+ 3 => 'color: #000066;',
+ 4 => 'color: #000066; font-weight: bold;'
+ ),
+ 'COMMENTS' => array(
+ 'MULTI' => 'color: #666666; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;',
+ 'HARD' => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #009900;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;',
+ 'HARD' => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #0066ee;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #339933;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'TAB_WIDTH' => 4
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/objc.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/objc.php
new file mode 100644
index 000000000..ec8d18e72
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/objc.php
@@ -0,0 +1,358 @@
+<?php
+/*************************************************************************************
+ * objc.php
+ * --------
+ * Author: M. Uli Kusterer (witness.of.teachtext@gmx.net)
+ * Contributors: Quinn Taylor (quinntaylor@mac.com)
+ * Copyright: (c) 2008 Quinn Taylor, 2004 M. Uli Kusterer, Nigel McNie (http://qbnz.com/highlighter/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/06/04
+ *
+ * Objective-C language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/07/11 (1.0.8)
+ * - Added support for @ before strings being highlighted
+ * 2008/06/10 (1.0.7.22)
+ * - Added keywords for Objective-C 2.0 (Leopard+).
+ * - Changed colors to match Xcode 3 highlighting more closely.
+ * - Updated API for AppKit and Foundation; added CoreData classes.
+ * - Updated URLs for AppKit and Foundation; split classes and protocols.
+ * - Sorted all keyword group in reverse-alpha order for correct matching.
+ * - Changed all keyword groups to be case-sensitive.
+ * 2004/11/27 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2004/11/27)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Objective-C',
+ 'COMMENT_SINGLE' => array(
+ //Compiler directives
+ 1 => '#',
+ //Single line C-Comments
+ 2 => '//'
+ ),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'COMMENT_REGEXP' => array(
+ //Multiline Continuation for single-line comment
+ 2 => '/\/\/(?:\\\\\\\\|\\\\\\n|.)*$/m',
+ //Pseudo-Highlighting of the @-sign before strings
+ 3 => "/@(?=\")/"
+ ),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"', "'"),
+ 'ESCAPE_CHAR' => '\\',
+
+ 'KEYWORDS' => array(
+ // Objective-C keywords
+ 1 => array(
+ 'while', 'switch', 'return', 'in', 'if', 'goto', 'foreach', 'for',
+ 'else', 'do', 'default', 'continue', 'case', '@try', '@throw',
+ '@synthesize', '@synchronized', '@selector', '@public', '@protocol',
+ '@protected', '@property', '@private', '@interface',
+ '@implementation', '@finally', '@end', '@encode', '@defs', '@class',
+ '@catch'
+ ),
+ // Macros and constants
+ 2 => array(
+ 'YES', 'USHRT_MAX', 'ULONG_MAX', 'UINT_MAX', 'UCHAR_MAX', 'true',
+ 'TMP_MAX', 'stdout', 'stdin', 'stderr', 'SIGTERM', 'SIGSEGV',
+ 'SIGINT', 'SIGILL', 'SIG_IGN', 'SIGFPE', 'SIG_ERR', 'SIG_DFL',
+ 'SIGABRT', 'SHRT_MIN', 'SHRT_MAX', 'SEEK_SET', 'SEEK_END',
+ 'SEEK_CUR', 'SCHAR_MIN', 'SCHAR_MAX', 'RAND_MAX', 'NULL',
+ 'NO', 'nil', 'Nil', 'L_tmpnam', 'LONG_MIN', 'LONG_MAX',
+ 'LDBL_MIN_EXP', 'LDBL_MIN', 'LDBL_MAX_EXP', 'LDBL_MAX',
+ 'LDBL_MANT_DIG', 'LDBL_EPSILON', 'LDBL_DIG', 'INT_MIN', 'INT_MAX',
+ 'HUGE_VAL', 'FOPEN_MAX', 'FLT_ROUNDS', 'FLT_RADIX', 'FLT_MIN_EXP',
+ 'FLT_MIN', 'FLT_MAX_EXP', 'FLT_MAX', 'FLT_MANT_DIG', 'FLT_EPSILON',
+ 'FLT_DIG', 'FILENAME_MAX', 'false', 'EXIT_SUCCESS', 'EXIT_FAILURE',
+ 'errno', 'ERANGE', 'EOF', 'enum', 'EDOM', 'DBL_MIN_EXP', 'DBL_MIN',
+ 'DBL_MAX_EXP', 'DBL_MAX', 'DBL_MANT_DIG', 'DBL_EPSILON', 'DBL_DIG',
+ 'CLOCKS_PER_SEC', 'CHAR_MIN', 'CHAR_MAX', 'CHAR_BIT', 'BUFSIZ',
+ 'break'
+ ),
+ // C standard library functions
+ 3 => array(
+ 'vsprintf', 'vprintf', 'vfprintf', 'va_start', 'va_end', 'va_arg',
+ 'ungetc', 'toupper', 'tolower', 'tmpname', 'tmpfile', 'time',
+ 'tanh', 'tan', 'system', 'strxfrm', 'strtoul', 'strtol', 'strtok',
+ 'strtod', 'strstr', 'strspn', 'strrchr', 'strpbrk', 'strncpy',
+ 'strncmp', 'strncat', 'strlen', 'strftime', 'strerror', 'strcspn',
+ 'strcpy', 'strcoll', 'strcmp', 'strchr', 'strcat', 'sscanf',
+ 'srand', 'sqrt', 'sprintf', 'snprintf', 'sizeof', 'sinh', 'sin',
+ 'setvbuf', 'setjmp', 'setbuf', 'scanf', 'rewind', 'rename',
+ 'remove', 'realloc', 'rand', 'qsort', 'puts', 'putchar', 'putc',
+ 'printf', 'pow', 'perror', 'offsetof', 'modf', 'mktime', 'memset',
+ 'memmove', 'memcpy', 'memcmp', 'memchr', 'malloc', 'longjmp',
+ 'log10', 'log', 'localtime', 'ldiv', 'ldexp', 'labs', 'isxdigit',
+ 'isupper', 'isspace', 'ispunct', 'isprint', 'islower',
+ 'isgraph', 'isdigit', 'iscntrl', 'isalpha', 'isalnum', 'gmtime',
+ 'gets', 'getenv', 'getchar', 'getc', 'fwrite', 'ftell', 'fsetpos',
+ 'fseek', 'fscanf', 'frexp', 'freopen', 'free', 'fread', 'fputs',
+ 'fputc', 'fprintf', 'fopen', 'fmod', 'floor', 'fgets', 'fgetpos',
+ 'fgetc', 'fflush', 'ferror', 'feof', 'fclose', 'fabs', 'exp',
+ 'exit', 'div', 'difftime', 'ctime', 'cosh', 'cos', 'clock',
+ 'clearerr', 'ceil', 'calloc', 'bsearch', 'atol', 'atoi', 'atof',
+ 'atexit', 'atan2', 'atan', 'assert', 'asin', 'asctime', 'acos',
+ 'abs', 'abort'
+ ),
+ // Data types (C, Objective-C, Cocoa)
+ 4 => array(
+ 'volatile', 'void', 'va_list', 'unsigned', 'union', 'typedef', 'tm',
+ 'time_t', 'struct', 'string', 'static', 'size_t',
+ 'signed', 'signal', 'short', 'SEL', 'register', 'raise',
+ 'ptrdiff_t', 'NSZone', 'NSRect', 'NSRange', 'NSPoint', 'long',
+ 'ldiv_t', 'jmp_buf', 'int', 'IMP', 'id', 'fpos_t', 'float', 'FILE',
+ 'extern', 'double', 'div_t', 'const', 'clock_t', 'Class', 'char',
+ 'BOOL', 'auto'
+ ),
+ // Foundation classes
+ 5 => array(
+ 'NSXMLParser', 'NSXMLNode', 'NSXMLElement', 'NSXMLDTDNode',
+ 'NSXMLDTD', 'NSXMLDocument', 'NSWhoseSpecifier',
+ 'NSValueTransformer', 'NSValue', 'NSUserDefaults', 'NSURLResponse',
+ 'NSURLRequest', 'NSURLProtocol', 'NSURLProtectionSpace',
+ 'NSURLHandle', 'NSURLDownload', 'NSURLCredentialStorage',
+ 'NSURLCredential', 'NSURLConnection', 'NSURLCache',
+ 'NSURLAuthenticationChallenge', 'NSURL', 'NSUniqueIDSpecifier',
+ 'NSUndoManager', 'NSUnarchiver', 'NSTimeZone', 'NSTimer',
+ 'NSThread', 'NSTask', 'NSString', 'NSStream', 'NSSpellServer',
+ 'NSSpecifierTest', 'NSSortDescriptor', 'NSSocketPortNameServer',
+ 'NSSocketPort', 'NSSetCommand', 'NSSet', 'NSSerializer',
+ 'NSScriptWhoseTest', 'NSScriptSuiteRegistry',
+ 'NSScriptObjectSpecifier', 'NSScriptExecutionContext',
+ 'NSScriptCommandDescription', 'NSScriptCommand',
+ 'NSScriptCoercionHandler', 'NSScriptClassDescription', 'NSScanner',
+ 'NSRunLoop', 'NSRelativeSpecifier', 'NSRecursiveLock',
+ 'NSRangeSpecifier', 'NSRandomSpecifier', 'NSQuitCommand', 'NSProxy',
+ 'NSProtocolChecker', 'NSPropertySpecifier',
+ 'NSPropertyListSerialization', 'NSProcessInfo', 'NSPredicate',
+ 'NSPositionalSpecifier', 'NSPortNameServer', 'NSPortMessage',
+ 'NSPortCoder', 'NSPort', 'NSPointerFunctions', 'NSPointerArray',
+ 'NSPipe', 'NSOutputStream', 'NSOperationQueue', 'NSOperation',
+ 'NSObject', 'NSNumberFormatter', 'NSNumber', 'NSNull',
+ 'NSNotificationQueue', 'NSNotificationCenter', 'NSNotification',
+ 'NSNetServiceBrowser', 'NSNetService', 'NSNameSpecifier',
+ 'NSMutableURLRequest', 'NSMutableString', 'NSMutableSet',
+ 'NSMutableIndexSet', 'NSMutableDictionary', 'NSMutableData',
+ 'NSMutableCharacterSet', 'NSMutableAttributedString',
+ 'NSMutableArray', 'NSMoveCommand', 'NSMiddleSpecifier',
+ 'NSMethodSignature', 'NSMetadataQueryResultGroup',
+ 'NSMetadataQueryAttributeValueTuple', 'NSMetadataQuery',
+ 'NSMetadataItem', 'NSMessagePortNameServer', 'NSMessagePort',
+ 'NSMapTable', 'NSMachPort', 'NSMachBootstrapServer',
+ 'NSLogicalTest', 'NSLock', 'NSLocale', 'NSKeyedUnarchiver',
+ 'NSKeyedArchiver', 'NSInvocationOperation', 'NSInvocation',
+ 'NSInputStream', 'NSIndexSpecifier', 'NSIndexSet', 'NSIndexPath',
+ 'NSHTTPURLResponse', 'NSHTTPCookieStorage', 'NSHTTPCookie',
+ 'NSHost', 'NSHashTable', 'NSGetCommand', 'NSGarbageCollector',
+ 'NSFormatter', 'NSFileManager', 'NSFileHandle', 'NSExpression',
+ 'NSExistsCommand', 'NSException', 'NSError', 'NSEnumerator',
+ 'NSDistributedNotificationCenter', 'NSDistributedLock',
+ 'NSDistantObjectRequest', 'NSDistantObject',
+ 'NSDirectoryEnumerator', 'NSDictionary', 'NSDeserializer',
+ 'NSDeleteCommand', 'NSDecimalNumberHandler', 'NSDecimalNumber',
+ 'NSDateFormatter', 'NSDateComponents', 'NSDate', 'NSData',
+ 'NSCreateCommand', 'NSCountedSet', 'NSCountCommand', 'NSConnection',
+ 'NSConditionLock', 'NSCondition', 'NSCompoundPredicate',
+ 'NSComparisonPredicate', 'NSCoder', 'NSCloseCommand',
+ 'NSCloneCommand', 'NSClassDescription', 'NSCharacterSet',
+ 'NSCalendarDate', 'NSCalendar', 'NSCachedURLResponse', 'NSBundle',
+ 'NSAutoreleasePool', 'NSAttributedString', 'NSAssertionHandler',
+ 'NSArray', 'NSArchiver', 'NSAppleScript', 'NSAppleEventManager',
+ 'NSAppleEventDescriptor', 'NSAffineTransform'
+ ),
+ // Foundation protocols
+ 6 => array(
+ 'NSURLProtocolClient', 'NSURLHandleClient', 'NSURLClient',
+ 'NSURLAuthenticationChallengeSender', 'NSScriptObjectSpecifiers',
+ 'NSScriptKeyValueCoding', 'NSScriptingComparisonMethods',
+ 'NSObjCTypeSerializationCallBack', 'NSMutableCopying',
+ 'NSLocking', 'NSKeyValueObserving', 'NSKeyValueCoding',
+ 'NSFastEnumeration', 'NSErrorRecoveryAttempting',
+ 'NSDecimalNumberBehaviors', 'NSCopying', 'NSComparisonMethods',
+ 'NSCoding'
+ ),
+ // AppKit classes
+ 7 => array(
+ 'NSWorkspace', 'NSWindowController', 'NSWindow', 'NSViewController',
+ 'NSViewAnimation', 'NSView', 'NSUserDefaultsController',
+ 'NSTypesetter', 'NSTreeNode', 'NSTreeController', 'NSTrackingArea',
+ 'NSToolbarItemGroup', 'NSToolbarItem', 'NSToolbar',
+ 'NSTokenFieldCell', 'NSTokenField', 'NSTextView',
+ 'NSTextTableBlock', 'NSTextTable', 'NSTextTab', 'NSTextStorage',
+ 'NSTextList', 'NSTextFieldCell', 'NSTextField', 'NSTextContainer',
+ 'NSTextBlock', 'NSTextAttachmentCell', 'NSTextAttachment', 'NSText',
+ 'NSTabViewItem', 'NSTabView', 'NSTableView', 'NSTableHeaderView',
+ 'NSTableHeaderCell', 'NSTableColumn', 'NSStepperCell', 'NSStepper',
+ 'NSStatusItem', 'NSStatusBar', 'NSSplitView', 'NSSpellChecker',
+ 'NSSpeechSynthesizer', 'NSSpeechRecognizer', 'NSSound',
+ 'NSSliderCell', 'NSSlider', 'NSSimpleHorizontalTypesetter',
+ 'NSShadow', 'NSSegmentedControl', 'NSSegmentedCell',
+ 'NSSecureTextFieldCell', 'NSSecureTextField', 'NSSearchFieldCell',
+ 'NSSearchField', 'NSScrollView', 'NSScroller', 'NSScreen',
+ 'NSSavePanel', 'NSRulerView', 'NSRulerMarker', 'NSRuleEditor',
+ 'NSResponder', 'NSQuickDrawView', 'NSProgressIndicator',
+ 'NSPrintPanel', 'NSPrintOperation', 'NSPrintInfo', 'NSPrinter',
+ 'NSPredicateEditorRowTemplate', 'NSPredicateEditor',
+ 'NSPopUpButtonCell', 'NSPopUpButton', 'NSPICTImageRep',
+ 'NSPersistentDocument', 'NSPDFImageRep', 'NSPathControl',
+ 'NSPathComponentCell', 'NSPathCell', 'NSPasteboard',
+ 'NSParagraphStyle', 'NSPanel', 'NSPageLayout', 'NSOutlineView',
+ 'NSOpenPanel', 'NSOpenGLView', 'NSOpenGLPixelFormat',
+ 'NSOpenGLPixelBuffer', 'NSOpenGLContext', 'NSObjectController',
+ 'NSNibOutletConnector', 'NSNibControlConnector', 'NSNibConnector',
+ 'NSNib', 'NSMutableParagraphStyle', 'NSMovieView', 'NSMovie',
+ 'NSMenuView', 'NSMenuItemCell', 'NSMenuItem', 'NSMenu', 'NSMatrix',
+ 'NSLevelIndicatorCell', 'NSLevelIndicator', 'NSLayoutManager',
+ 'NSInputServer', 'NSInputManager', 'NSImageView', 'NSImageRep',
+ 'NSImageCell', 'NSImage', 'NSHelpManager', 'NSGraphicsContext',
+ 'NSGradient', 'NSGlyphInfo', 'NSGlyphGenerator', 'NSFormCell',
+ 'NSForm', 'NSFontPanel', 'NSFontManager', 'NSFontDescriptor',
+ 'NSFont', 'NSFileWrapper', 'NSEvent', 'NSEPSImageRep', 'NSDrawer',
+ 'NSDocumentController', 'NSDocument', 'NSDockTile',
+ 'NSDictionaryController', 'NSDatePickerCell', 'NSDatePicker',
+ 'NSCustomImageRep', 'NSCursor', 'NSController', 'NSControl',
+ 'NSComboBoxCell', 'NSComboBox', 'NSColorWell', 'NSColorSpace',
+ 'NSColorPicker', 'NSColorPanel', 'NSColorList', 'NSColor',
+ 'NSCollectionViewItem', 'NSCollectionView', 'NSClipView',
+ 'NSCIImageRep', 'NSCell', 'NSCachedImageRep', 'NSButtonCell',
+ 'NSButton', 'NSBrowserCell', 'NSBrowser', 'NSBox',
+ 'NSBitmapImageRep', 'NSBezierPath', 'NSATSTypesetter',
+ 'NSArrayController', 'NSApplication', 'NSAnimationContext',
+ 'NSAnimation', 'NSAlert', 'NSActionCell'
+ ),
+ // AppKit protocols
+ 8 => array(
+ 'NSWindowScripting', 'NSValidatedUserInterfaceItem',
+ 'NSUserInterfaceValidations', 'NSToolTipOwner',
+ 'NSToolbarItemValidation', 'NSTextInput',
+ 'NSTableDataSource', 'NSServicesRequests',
+ 'NSPrintPanelAccessorizing', 'NSPlaceholders',
+ 'NSPathControlDelegate', 'NSPathCellDelegate',
+ 'NSOutlineViewDataSource', 'NSNibAwaking', 'NSMenuValidation',
+ 'NSKeyValueBindingCreation', 'NSInputServiceProvider',
+ 'NSInputServerMouseTracker', 'NSIgnoreMisspelledWords',
+ 'NSGlyphStorage', 'NSFontPanelValidation', 'NSEditorRegistration',
+ 'NSEditor', 'NSDraggingSource', 'NSDraggingInfo',
+ 'NSDraggingDestination', 'NSDictionaryControllerKeyValuePair',
+ 'NSComboBoxDataSource', 'NSComboBoxCellDataSource',
+ 'NSColorPickingDefault', 'NSColorPickingCustom', 'NSChangeSpelling',
+ 'NSAnimatablePropertyContainer', 'NSAccessibility'
+ ),
+ // CoreData classes
+ 9 => array(
+ 'NSRelationshipDescription', 'NSPropertyMapping',
+ 'NSPropertyDescription', 'NSPersistentStoreCoordinator',
+ 'NSPersistentStore', 'NSMigrationManager', 'NSMappingModel',
+ 'NSManagedObjectModel', 'NSManagedObjectID',
+ 'NSManagedObjectContext', 'NSManagedObject',
+ 'NSFetchRequestExpression', 'NSFetchRequest',
+ 'NSFetchedPropertyDescription', 'NSEntityMigrationPolicy',
+ 'NSEntityMapping', 'NSEntityDescription', 'NSAttributeDescription',
+ 'NSAtomicStoreCacheNode', 'NSAtomicStore'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '{', '}', '[', ']', '=', '+', '-', '*', '/', '!', '%', '^', '&', ':'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => true,
+ 1 => true,
+ 2 => true,
+ 3 => true,
+ 4 => true,
+ 5 => true,
+ 6 => true,
+ 7 => true,
+ 8 => true,
+ 9 => true
+ ),
+ // Define the colors for the groups listed above
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #a61390;', // Objective-C keywords
+ 2 => 'color: #a61390;', // Macros and constants
+ 3 => 'color: #a61390;', // C standard library functions
+ 4 => 'color: #a61390;', // data types
+ 5 => 'color: #400080;', // Foundation classes
+ 6 => 'color: #2a6f76;', // Foundation protocols
+ 7 => 'color: #400080;', // AppKit classes
+ 8 => 'color: #2a6f76;', // AppKit protocols
+ 9 => 'color: #400080;' // CoreData classes
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #6e371a;', // Preprocessor directives
+ 2 => 'color: #11740a; font-style: italic;', // Normal C single-line comments
+ 3 => 'color: #bf1d1a;', // Q-sign in front of Strings
+ 'MULTI' => 'color: #11740a; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #2400d9;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #002200;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #bf1d1a;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #2400d9;'
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #002200;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => 'http://www.opengroup.org/onlinepubs/009695399/functions/{FNAME}.html',
+ 4 => '',
+ 5 => 'http://developer.apple.com/documentation/Cocoa/Reference/Foundation/Classes/{FNAME}_Class/',
+ 6 => 'http://developer.apple.com/documentation/Cocoa/Reference/Foundation/Protocols/{FNAME}_Protocol/',
+ 7 => 'http://developer.apple.com/documentation/Cocoa/Reference/ApplicationKit/Classes/{FNAME}_Class/',
+ 8 => 'http://developer.apple.com/documentation/Cocoa/Reference/ApplicationKit/Protocols/{FNAME}_Protocol/',
+ 9 => 'http://developer.apple.com/documentation/Cocoa/Reference/CoreDataFramework/Classes/{FNAME}_Class/'
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/ocaml-brief.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/ocaml-brief.php
new file mode 100644
index 000000000..c26a334de
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/ocaml-brief.php
@@ -0,0 +1,111 @@
+<?php
+/*************************************************************************************
+ * ocaml.php
+ * ----------
+ * Author: Flaie (fireflaie@gmail.com)
+ * Copyright: (c) 2005 Flaie, Nigel McNie (http://qbnz.com/highlighter)
+ * Release Version: 1.0.8.4
+ * Date Started: 2005/08/27
+ *
+ * OCaml (Objective Caml) language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2005/08/27 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2005/08/27)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'OCaml (brief)',
+ 'COMMENT_SINGLE' => array(),
+ 'COMMENT_MULTI' => array('(*' => '*)'),
+ 'CASE_KEYWORDS' => 0,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => "",
+ 'KEYWORDS' => array(
+ /* main OCaml keywords */
+ 1 => array(
+ 'and', 'as', 'asr', 'begin', 'class', 'closed', 'constraint', 'do', 'done', 'downto', 'else',
+ 'end', 'exception', 'external', 'failwith', 'false', 'flush', 'for', 'fun', 'function', 'functor',
+ 'if', 'in', 'include', 'inherit', 'incr', 'land', 'let', 'load', 'los', 'lsl', 'lsr', 'lxor',
+ 'match', 'method', 'mod', 'module', 'mutable', 'new', 'not', 'of', 'open', 'option', 'or', 'parser',
+ 'private', 'ref', 'rec', 'raise', 'regexp', 'sig', 'struct', 'stdout', 'stdin', 'stderr', 'then',
+ 'to', 'true', 'try', 'type', 'val', 'virtual', 'when', 'while', 'with'
+ )
+ ),
+ /* highlighting symbols is really important in OCaml */
+ 'SYMBOLS' => array(
+ ';', '!', ':', '.', '=', '%', '^', '*', '-', '/', '+',
+ '>', '<', '(', ')', '[', ']', '&', '|', '#', "'"
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #06c; font-weight: bold;' /* nice blue */
+ ),
+ 'COMMENTS' => array(
+ 'MULTI' => 'color: #5d478b; font-style: italic;' /* light purple */
+ ),
+ 'ESCAPE_CHAR' => array(
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #6c6;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #3cb371;' /* nice green */
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #c6c;' /* pink */
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #060;' /* dark green */
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #a52a2a;' /* maroon */
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/ocaml.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/ocaml.php
new file mode 100644
index 000000000..505149c31
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/ocaml.php
@@ -0,0 +1,174 @@
+<?php
+/*************************************************************************************
+ * ocaml.php
+ * ----------
+ * Author: Flaie (fireflaie@gmail.com)
+ * Copyright: (c) 2005 Flaie, Nigel McNie (http://qbnz.com/highlighter)
+ * Release Version: 1.0.8.4
+ * Date Started: 2005/08/27
+ *
+ * OCaml (Objective Caml) language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/03/29 (1.0.7.22)
+ * - Fixed warnings resulting from missing style information
+ * 2005/08/27 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2005/08/27)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'OCaml',
+ 'COMMENT_SINGLE' => array(),
+ 'COMMENT_MULTI' => array('(*' => '*)'),
+ 'CASE_KEYWORDS' => 0,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => "",
+ 'KEYWORDS' => array(
+ /* main OCaml keywords */
+ 1 => array(
+ 'and', 'as', 'asr', 'begin', 'class', 'closed', 'constraint', 'do', 'done', 'downto', 'else',
+ 'end', 'exception', 'external', 'failwith', 'false', 'for', 'fun', 'function', 'functor',
+ 'if', 'in', 'include', 'inherit', 'incr', 'land', 'let', 'load', 'los', 'lsl', 'lsr', 'lxor',
+ 'match', 'method', 'mod', 'module', 'mutable', 'new', 'not', 'of', 'open', 'option', 'or', 'parser',
+ 'private', 'ref', 'rec', 'raise', 'regexp', 'sig', 'struct', 'stdout', 'stdin', 'stderr', 'then',
+ 'to', 'true', 'try', 'type', 'val', 'virtual', 'when', 'while', 'with'
+ ),
+ /* define names of main librarys, so we can link to it */
+ 2 => array(
+ 'Arg', 'Arith_status', 'Array', 'ArrayLabels', 'Big_int', 'Bigarray', 'Buffer', 'Callback',
+ 'CamlinternalOO', 'Char', 'Complex', 'Condition', 'Dbm', 'Digest', 'Dynlink', 'Event',
+ 'Filename', 'Format', 'Gc', 'Genlex', 'Graphics', 'GraphicsX11', 'Hashtbl', 'Int32', 'Int64',
+ 'Lazy', 'Lexing', 'List', 'ListLabels', 'Map', 'Marshal', 'MoreLabels', 'Mutex', 'Nativeint',
+ 'Num', 'Obj', 'Oo', 'Parsing', 'Pervasives', 'Printexc', 'Printf', 'Queue', 'Random', 'Scanf',
+ 'Set', 'Sort', 'Stack', 'StdLabels', 'Str', 'Stream', 'String', 'StringLabels', 'Sys', 'Thread',
+ 'ThreadUnix', 'Tk'
+ ),
+ /* just link to the Pervasives functions library, cause it's the default opened library when starting OCaml */
+ 3 => array(
+ 'abs', 'abs_float', 'acos', 'asin', 'at_exit', 'atan', 'atan2',
+ 'bool_of_string', 'ceil', 'char_of_int', 'classify_float',
+ 'close_in', 'close_in_noerr', 'close_out', 'close_out_noerr',
+ 'compare', 'cos', 'cosh', 'decr', 'epsilon_float', 'exit', 'exp',
+ 'float', 'float_of_int', 'float_of_string', 'floor', 'flush',
+ 'flush_all', 'format_of_string', 'frexp', 'fst', 'ignore',
+ 'in_channel_length', 'infinity', 'input', 'input_binary_int',
+ 'input_byte', 'input_char', 'input_line', 'input_value',
+ 'int_of_char', 'int_of_float', 'int_of_string', 'invalid_arg',
+ 'ldexp', 'log', 'log10', 'max', 'max_float', 'max_int', 'min',
+ 'min_float', 'min_int', 'mod_float', 'modf', 'nan', 'open_in',
+ 'open_in_bin', 'open_in_gen', 'open_out', 'open_out_bin',
+ 'open_out_gen', 'out_channel_length', 'output', 'output_binary_int',
+ 'output_byte', 'output_char', 'output_string', 'output_value',
+ 'pos_in', 'pos_out', 'pred', 'prerr_char', 'prerr_endline',
+ 'prerr_float', 'prerr_int', 'prerr_newline', 'prerr_string',
+ 'print_char', 'print_endline', 'print_float', 'print_int',
+ 'print_newline', 'print_string', 'read_float', 'read_int',
+ 'read_line', 'really_input', 'seek_in', 'seek_out',
+ 'set_binary_mode_in', 'set_binary_mode_out', 'sin', 'sinh', 'snd',
+ 'sqrt', 'string_of_bool', 'string_of_float', 'string_of_format',
+ 'string_of_int', 'succ', 'tan', 'tanh', 'truncate'
+ ),
+ /* here Pervasives Types */
+ 4 => array (
+ 'fpclass', 'in_channel', 'out_channel', 'open_flag', 'Sys_error', 'format'
+ ),
+ /* finally Pervasives Exceptions */
+ 5 => array (
+ 'Exit', 'Invalid_Argument', 'Failure', 'Division_by_zero'
+ )
+ ),
+ /* highlighting symbols is really important in OCaml */
+ 'SYMBOLS' => array(
+ ';', '!', ':', '.', '=', '%', '^', '*', '-', '/', '+',
+ '>', '<', '(', ')', '[', ']', '&', '|', '#', "'"
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => true, /* functions name are case sensitive */
+ 3 => true, /* types name too */
+ 4 => true, /* pervasives types */
+ 5 => true /* pervasives exceptions */
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #06c; font-weight: bold;', /* nice blue */
+ 2 => 'color: #06c; font-weight: bold;', /* nice blue */
+ 3 => 'color: #06c; font-weight: bold;', /* nice blue */
+ 4 => 'color: #06c; font-weight: bold;', /* nice blue */
+ 5 => 'color: #06c; font-weight: bold;' /* nice blue */
+ ),
+ 'COMMENTS' => array(
+ 'MULTI' => 'color: #5d478b; font-style: italic;' /* light purple */
+ ),
+ 'ESCAPE_CHAR' => array(
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #6c6;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #3cb371;' /* nice green */
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #c6c;' /* pink */
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #060;' /* dark green */
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #a52a2a;' /* maroon */
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ /* some of keywords are Pervasives functions (land, lxor, asr, ...) */
+ 1 => '',
+ /* link to the wanted library */
+ 2 => 'http://caml.inria.fr/pub/docs/manual-ocaml/libref/{FNAME}.html',
+ /* link to Pervasives functions */
+ 3 => 'http://caml.inria.fr/pub/docs/manual-ocaml/libref/Pervasives.html#VAL{FNAME}',
+ /* link to Pervasives type */
+ 4 => 'http://caml.inria.fr/pub/docs/manual-ocaml/libref/Pervasives.html#TYPE{FNAME}',
+ /* link to Pervasives exceptions */
+ 5 => 'http://caml.inria.fr/pub/docs/manual-ocaml/libref/Pervasives.html#EXCEPTION{FNAME}'
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/oobas.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/oobas.php
new file mode 100644
index 000000000..b4f95512a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/oobas.php
@@ -0,0 +1,135 @@
+<?php
+/*************************************************************************************
+ * oobas.php
+ * ---------
+ * Author: Roberto Rossi (rsoftware@altervista.org)
+ * Copyright: (c) 2004 Roberto Rossi (http://rsoftware.altervista.org), Nigel McNie (http://qbnz.com/highlighter)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/08/30
+ *
+ * OpenOffice.org Basic language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/05/23 (1.0.7.22)
+ * - Added description of extra language features (SF#1970248)
+ * 2004/11/27 (1.0.1)
+ * - Added support for multiple object splitters
+ * 2004/10/27 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2004/11/27)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'OpenOffice.org Basic',
+ 'COMMENT_SINGLE' => array(1 => "'"),
+ 'COMMENT_MULTI' => array(),
+ //Single-Line comments using REM keyword
+ 'COMMENT_REGEXP' => array(2 => '/\bREM.*?$/i'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'dim','private','public','global','as','if','redim','true','set','byval',
+ 'false','bool','double','integer','long','object','single','variant',
+ 'msgbox','print','inputbox','green','blue','red','qbcolor',
+ 'rgb','open','close','reset','freefile','get','input','line',
+ 'put','write','loc','seek','eof','lof','chdir','chdrive',
+ 'curdir','dir','fileattr','filecopy','filedatetime','fileexists',
+ 'filelen','getattr','kill','mkdir','name','rmdir','setattr',
+ 'dateserial','datevalue','day','month','weekday','year','cdatetoiso',
+ 'cdatefromiso','hour','minute','second','timeserial','timevalue',
+ 'date','now','time','timer','erl','err','error','on','goto','resume',
+ 'and','eqv','imp','not','or','xor','mod','atn','cos','sin','tan','log',
+ 'exp','rnd','randomize','sqr','fix','int','abs','sgn','hex','oct',
+ 'it','then','else','select','case','iif','do','loop','for','next','to',
+ 'while','wend','gosub','return','call','choose','declare',
+ 'end','exit','freelibrary','function','rem','stop','sub','switch','with',
+ 'cbool','cdate','cdbl','cint','clng','const','csng','cstr','defbool',
+ 'defdate','defdbl','defint','deflng','asc','chr','str','val','cbyte',
+ 'space','string','format','lcase','left','lset','ltrim','mid','right',
+ 'rset','rtrim','trim','ucase','split','join','converttourl','convertfromurl',
+ 'instr','len','strcomp','beep','shell','wait','getsystemticks','environ',
+ 'getsolarversion','getguitype','twipsperpixelx','twipsperpixely',
+ 'createunostruct','createunoservice','getprocessservicemanager',
+ 'createunodialog','createunolistener','createunovalue','thiscomponent',
+ 'globalscope'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '='
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #b1b100;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #808080;',
+ 2 => 'color: #808080;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #006600;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099;'
+ ),
+ 'SCRIPT' => array(
+ ),
+ 'REGEXPS' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/oracle11.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/oracle11.php
new file mode 100644
index 000000000..e5417d7d0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/oracle11.php
@@ -0,0 +1,614 @@
+<?php
+/*************************************************************************************
+ * oracle11.php
+ * -----------
+ * Author: Guy Wicks (Guy.Wicks@rbs.co.uk)
+ * Contributions:
+ * - Updated for 11i by Simon Redhead
+ * Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/06/04
+ *
+ * Oracle 11i language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/04/08 (1.0.8)
+ * - SR changes to oracle8.php to support Oracle 11i reserved words.
+ * 2005/01/29 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2004/11/27)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Oracle 11 SQL',
+ 'COMMENT_SINGLE' => array(1 => '--'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_UPPER,
+ 'QUOTEMARKS' => array("'", '"', '`'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+//Put your package names here - e.g. select distinct ''''|| lower(name) || ''',' from user_source;
+// 6 => array(
+// ),
+
+//Put your table names here - e.g. select distinct ''''|| lower(table_name) || ''',' from user_tables;
+// 5 => array(
+// ),
+
+//Put your view names here - e.g. select distinct ''''|| lower(view_name) || ''',' from user_views;
+// 4 => array(
+// ),
+
+//Put your table field names here - e.g. select distinct ''''|| lower(column_name) || ''',' from user_tab_columns;
+// 3 => array(
+// ),
+
+ //Put ORACLE reserved keywords here (11i). I like mine uppercase.
+ 1 => array(
+ 'ABS',
+ 'ACCESS',
+ 'ACOS',
+ 'ADD',
+ 'ADD_MONTHS',
+ 'ALL',
+ 'ALTER',
+ 'ANALYZE',
+ 'AND',
+ 'ANY',
+ 'APPENDCHILDXML',
+ 'ARRAY',
+ 'AS',
+ 'ASC',
+ 'ASCII',
+ 'ASCIISTR',
+ 'ASIN',
+ 'ASSOCIATE',
+ 'AT',
+ 'ATAN',
+ 'ATAN2',
+ 'AUDIT',
+ 'AUTHID',
+ 'AVG',
+ 'BEGIN',
+ 'BETWEEN',
+ 'BFILENAME',
+ 'BIN_TO_NUM',
+ 'BINARY_INTEGER',
+ 'BITAND',
+ 'BODY',
+ 'BOOLEAN',
+ 'BULK',
+ 'BY',
+ 'CALL',
+ 'CARDINALITY',
+ 'CASCADE',
+ 'CASE',
+ 'CAST',
+ 'CEIL',
+ 'CHAR',
+ 'CHAR_BASE',
+ 'CHARTOROWID',
+ 'CHECK',
+ 'CHR',
+ 'CLOSE',
+ 'CLUSTER',
+ 'CLUSTER_ID',
+ 'CLUSTER_PROBABILITY',
+ 'CLUSTER_SET',
+ 'COALESCE',
+ 'COLLECT',
+ 'COLUMN',
+ 'COMMENT',
+ 'COMMIT',
+ 'COMPOSE',
+ 'COMPRESS',
+ 'CONCAT',
+ 'CONNECT',
+ 'CONSTANT',
+ 'CONSTRAINT',
+ 'CONSTRAINTS',
+ 'CONTEXT',
+ 'CONTROLFILE',
+ 'CONVERT',
+ 'CORR',
+ 'CORR_K',
+ 'CORR_S',
+ 'COS',
+ 'COSH',
+ 'COST',
+ 'COUNT',
+ 'COVAR_POP',
+ 'COVAR_SAMP',
+ 'CREATE',
+ 'CUBE_TABLE',
+ 'CUME_DIST',
+ 'CURRENT',
+ 'CURRENT_DATE',
+ 'CURRENT_TIMESTAMP',
+ 'CURRVAL',
+ 'CURSOR',
+ 'CV',
+ 'DATABASE',
+ 'DATAOBJ_TO_PARTITION',
+ 'DATE',
+ 'DAY',
+ 'DBTIMEZONE',
+ 'DECIMAL',
+ 'DECLARE',
+ 'DECODE',
+ 'DECOMPOSE',
+ 'DEFAULT',
+ 'DELETE',
+ 'DELETEXML',
+ 'DENSE_RANK',
+ 'DEPTH',
+ 'DEREF',
+ 'DESC',
+ 'DIMENSION',
+ 'DIRECTORY',
+ 'DISASSOCIATE',
+ 'DISTINCT',
+ 'DO',
+ 'DROP',
+ 'DUMP',
+ 'ELSE',
+ 'ELSIF',
+ 'EMPTY_BLOB',
+ 'EMPTY_CLOB',
+ 'END',
+ 'EXCEPTION',
+ 'EXCLUSIVE',
+ 'EXEC',
+ 'EXECUTE',
+ 'EXISTS',
+ 'EXISTSNODE',
+ 'EXIT',
+ 'EXP',
+ 'EXPLAIN',
+ 'EXTENDS',
+ 'EXTRACT',
+ 'EXTRACTVALUE',
+ 'FALSE',
+ 'FEATURE_ID',
+ 'FEATURE_SET',
+ 'FEATURE_VALUE',
+ 'FETCH',
+ 'FILE',
+ 'FIRST',
+ 'FIRST_VALUE',
+ 'FLOAT',
+ 'FLOOR',
+ 'FOR',
+ 'FORALL',
+ 'FROM',
+ 'FROM_TZ',
+ 'FUNCTION',
+ 'GOTO',
+ 'GRANT',
+ 'GREATEST',
+ 'GROUP',
+ 'GROUP_ID',
+ 'GROUPING',
+ 'GROUPING_ID',
+ 'HAVING',
+ 'HEAP',
+ 'HEXTORAW',
+ 'HOUR',
+ 'IDENTIFIED',
+ 'IF',
+ 'IMMEDIATE',
+ 'IN',
+ 'INCREMENT',
+ 'INDEX',
+ 'INDEXTYPE',
+ 'INDICATOR',
+ 'INITCAP',
+ 'INITIAL',
+ 'INSERT',
+ 'INSERTCHILDXML',
+ 'INSERTXMLBEFORE',
+ 'INSTR',
+ 'INSTRB',
+ 'INTEGER',
+ 'INTERFACE',
+ 'INTERSECT',
+ 'INTERVAL',
+ 'INTO',
+ 'IS',
+ 'ISOLATION',
+ 'ITERATION_NUMBER',
+ 'JAVA',
+ 'KEY',
+ 'LAG',
+ 'LAST',
+ 'LAST_DAY',
+ 'LAST_VALUE',
+ 'LEAD',
+ 'LEAST',
+ 'LENGTH',
+ 'LENGTHB',
+ 'LEVEL',
+ 'LIBRARY',
+ 'LIKE',
+ 'LIMITED',
+ 'LINK',
+ 'LN',
+ 'LNNVL',
+ 'LOCALTIMESTAMP',
+ 'LOCK',
+ 'LOG',
+ 'LONG',
+ 'LOOP',
+ 'LOWER',
+ 'LPAD',
+ 'LTRIM',
+ 'MAKE_REF',
+ 'MATERIALIZED',
+ 'MAX',
+ 'MAXEXTENTS',
+ 'MEDIAN',
+ 'MIN',
+ 'MINUS',
+ 'MINUTE',
+ 'MLSLABEL',
+ 'MOD',
+ 'MODE',
+ 'MODIFY',
+ 'MONTH',
+ 'MONTHS_BETWEEN',
+ 'NANVL',
+ 'NATURAL',
+ 'NATURALN',
+ 'NCHR',
+ 'NEW',
+ 'NEW_TIME',
+ 'NEXT_DAY',
+ 'NEXTVAL',
+ 'NLS_CHARSET_DECL_LEN',
+ 'NLS_CHARSET_ID',
+ 'NLS_CHARSET_NAME',
+ 'NLS_INITCAP',
+ 'NLS_LOWER',
+ 'NLS_UPPER',
+ 'NLSSORT',
+ 'NOAUDIT',
+ 'NOCOMPRESS',
+ 'NOCOPY',
+ 'NOT',
+ 'NOWAIT',
+ 'NTILE',
+ 'NULL',
+ 'NULLIF',
+ 'NUMBER',
+ 'NUMBER_BASE',
+ 'NUMTODSINTERVAL',
+ 'NUMTOYMINTERVAL',
+ 'NVL',
+ 'NVL2',
+ 'OCIROWID',
+ 'OF',
+ 'OFFLINE',
+ 'ON',
+ 'ONLINE',
+ 'OPAQUE',
+ 'OPEN',
+ 'OPERATOR',
+ 'OPTION',
+ 'OR',
+ 'ORA_HASH',
+ 'ORDER',
+ 'ORGANIZATION',
+ 'OTHERS',
+ 'OUT',
+ 'OUTLINE',
+ 'PACKAGE',
+ 'PARTITION',
+ 'PATH',
+ 'PCTFREE',
+ 'PERCENT_RANK',
+ 'PERCENTILE_CONT',
+ 'PERCENTILE_DISC',
+ 'PLAN',
+ 'PLS_INTEGER',
+ 'POSITIVE',
+ 'POSITIVEN',
+ 'POWER',
+ 'POWERMULTISET',
+ 'POWERMULTISET_BY_CARDINALITY',
+ 'PRAGMA',
+ 'PREDICTION',
+ 'PREDICTION_BOUNDS',
+ 'PREDICTION_COST',
+ 'PREDICTION_DETAILS',
+ 'PREDICTION_PROBABILITY',
+ 'PREDICTION_SET',
+ 'PRESENTNNV',
+ 'PRESENTV',
+ 'PREVIOUS',
+ 'PRIMARY',
+ 'PRIOR',
+ 'PRIVATE',
+ 'PRIVILEGES',
+ 'PROCEDURE',
+ 'PROFILE',
+ 'PUBLIC',
+ 'RAISE',
+ 'RANGE',
+ 'RANK',
+ 'RATIO_TO_REPORT',
+ 'RAW',
+ 'RAWTOHEX',
+ 'RAWTONHEX',
+ 'REAL',
+ 'RECORD',
+ 'REF',
+ 'REFTOHEX',
+ 'REGEXP_COUNT',
+ 'REGEXP_INSTR',
+ 'REGEXP_REPLACE',
+ 'REGEXP_SUBSTR',
+ 'REGR_AVGX',
+ 'REGR_AVGY',
+ 'REGR_COUNT',
+ 'REGR_INTERCEPT',
+ 'REGR_R2',
+ 'REGR_SLOPE',
+ 'REGR_SXX',
+ 'REGR_SXY',
+ 'REGR_SYY',
+ 'RELEASE',
+ 'REMAINDER',
+ 'RENAME',
+ 'REPLACE',
+ 'RESOURCE',
+ 'RETURN',
+ 'RETURNING',
+ 'REVERSE',
+ 'REVOKE',
+ 'ROLE',
+ 'ROLLBACK',
+ 'ROUND',
+ 'ROW',
+ 'ROW_NUMBER',
+ 'ROWID',
+ 'ROWIDTOCHAR',
+ 'ROWIDTONCHAR',
+ 'ROWNUM',
+ 'ROWS',
+ 'ROWTYPE',
+ 'RPAD',
+ 'RTRIM',
+ 'SAVEPOINT',
+ 'SCHEMA',
+ 'SCN_TO_TIMESTAMP',
+ 'SECOND',
+ 'SEGMENT',
+ 'SELECT',
+ 'SEPERATE',
+ 'SEQUENCE',
+ 'SESSION',
+ 'SESSIONTIMEZONE',
+ 'SET',
+ 'SHARE',
+ 'SIGN',
+ 'SIN',
+ 'SINH',
+ 'SIZE',
+ 'SMALLINT',
+ 'SOUNDEX',
+ 'SPACE',
+ 'SQL',
+ 'SQLCODE',
+ 'SQLERRM',
+ 'SQRT',
+ 'START',
+ 'STATISTICS',
+ 'STATS_BINOMIAL_TEST',
+ 'STATS_CROSSTAB',
+ 'STATS_F_TEST',
+ 'STATS_KS_TEST',
+ 'STATS_MODE',
+ 'STATS_MW_TEST',
+ 'STATS_ONE_WAY_ANOVA',
+ 'STATS_T_TEST_INDEP',
+ 'STATS_T_TEST_INDEPU',
+ 'STATS_T_TEST_ONE',
+ 'STATS_T_TEST_PAIRED',
+ 'STATS_WSR_TEST',
+ 'STDDEV',
+ 'STDDEV_POP',
+ 'STDDEV_SAMP',
+ 'STOP',
+ 'SUBSTR',
+ 'SUBSTRB',
+ 'SUBTYPE',
+ 'SUCCESSFUL',
+ 'SUM',
+ 'SYNONYM',
+ 'SYS_CONNECT_BY_PATH',
+ 'SYS_CONTEXT',
+ 'SYS_DBURIGEN',
+ 'SYS_EXTRACT_UTC',
+ 'SYS_GUID',
+ 'SYS_TYPEID',
+ 'SYS_XMLAGG',
+ 'SYS_XMLGEN',
+ 'SYSDATE',
+ 'SYSTEM',
+ 'SYSTIMESTAMP',
+ 'TABLE',
+ 'TABLESPACE',
+ 'TAN',
+ 'TANH',
+ 'TEMPORARY',
+ 'THEN',
+ 'TIME',
+ 'TIMESTAMP',
+ 'TIMESTAMP_TO_SCN',
+ 'TIMEZONE_ABBR',
+ 'TIMEZONE_HOUR',
+ 'TIMEZONE_MINUTE',
+ 'TIMEZONE_REGION',
+ 'TIMING',
+ 'TO',
+ 'TO_BINARY_DOUBLE',
+ 'TO_BINARY_FLOAT',
+ 'TO_CHAR',
+ 'TO_CLOB',
+ 'TO_DATE',
+ 'TO_DSINTERVAL',
+ 'TO_LOB',
+ 'TO_MULTI_BYTE',
+ 'TO_NCHAR',
+ 'TO_NCLOB',
+ 'TO_NUMBER',
+ 'TO_SINGLE_BYTE',
+ 'TO_TIMESTAMP',
+ 'TO_TIMESTAMP_TZ',
+ 'TO_YMINTERVAL',
+ 'TRANSACTION',
+ 'TRANSLATE',
+ 'TREAT',
+ 'TRIGGER',
+ 'TRIM',
+ 'TRUE',
+ 'TRUNC',
+ 'TRUNCATE',
+ 'TYPE',
+ 'TZ_OFFSET',
+ 'UI',
+ 'UID',
+ 'UNION',
+ 'UNIQUE',
+ 'UNISTR',
+ 'UPDATE',
+ 'UPDATEXML',
+ 'UPPER',
+ 'USE',
+ 'USER',
+ 'USERENV',
+ 'USING',
+ 'VALIDATE',
+ 'VALUE',
+ 'VALUES',
+ 'VAR_POP',
+ 'VAR_SAMP',
+ 'VARCHAR',
+ 'VARCHAR2',
+ 'VARIANCE',
+ 'VIEW',
+ 'VSIZE',
+ 'WHEN',
+ 'WHENEVER',
+ 'WHERE',
+ 'WHILE',
+ 'WIDTH_BUCKET',
+ 'WITH',
+ 'WORK',
+ 'WRITE',
+ 'XMLAGG',
+ 'XMLCAST',
+ 'XMLCDATA',
+ 'XMLCOLATTVAL',
+ 'XMLCOMMENT',
+ 'XMLCONCAT',
+ 'XMLDIFF',
+ 'XMLELEMENT',
+ 'XMLEXISTS',
+ 'XMLFOREST',
+ 'XMLPARSE',
+ 'XMLPATCH',
+ 'XMLPI',
+ 'XMLQUERY',
+ 'XMLROOT',
+ 'XMLSEQUENCE',
+ 'XMLSERIALIZE',
+ 'XMLTABLE',
+ 'XMLTRANSFORM',
+ 'YEAR',
+ 'ZONE'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '=', '<', '>', '|', '+', '-', '*', '/', ','
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+// 3 => false,
+// 4 => false,
+// 5 => false,
+// 6 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #993333; font-weight: bold; text-transform: uppercase;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #808080; font-style: italic;',
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #ff0000;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'SCRIPT' => array(
+ ),
+ 'REGEXPS' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+// 3 => '',
+// 4 => '',
+// 5 => '',
+// 6 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/oracle8.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/oracle8.php
new file mode 100644
index 000000000..d216db0a1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/oracle8.php
@@ -0,0 +1,496 @@
+<?php
+/*************************************************************************************
+ * oracle8.php
+ * -----------
+ * Author: Guy Wicks (Guy.Wicks@rbs.co.uk)
+ * Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/06/04
+ *
+ * Oracle 8 language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2005/01/29 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2004/11/27)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Oracle 8 SQL',
+ 'COMMENT_SINGLE' => array(1 => '--'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_UPPER,
+ 'QUOTEMARKS' => array("'", '"', '`'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+//Put your package names here - e.g. select distinct ''''|| lower(name) || ''',' from user_source;
+// 6 => array(
+// ),
+
+//Put your table names here - e.g. select distinct ''''|| lower(table_name) || ''',' from user_tables;
+// 5 => array(
+// ),
+
+//Put your view names here - e.g. select distinct ''''|| lower(view_name) || ''',' from user_views;
+// 4 => array(
+// ),
+
+//Put your table field names here - e.g. select distinct ''''|| lower(column_name) || ''',' from user_tab_columns;
+// 3 => array(
+// ),
+
+//Put ORACLE reserved keywords here (8.1.7). I like mine uppercase.
+ 1 => array(
+ 'ABS',
+ 'ACCESS',
+ 'ACOS',
+ 'ADD',
+ 'ADD_MONTHS',
+ 'ALL',
+ 'ALTER',
+ 'ANALYZE',
+ 'AND',
+ 'ANY',
+ 'ARRAY',
+ 'AS',
+ 'ASC',
+ 'ASCII',
+ 'ASIN',
+ 'ASSOCIATE',
+ 'AT',
+ 'ATAN',
+ 'ATAN2',
+ 'AUDIT',
+ 'AUTHID',
+ 'AVG',
+ 'BEGIN',
+ 'BETWEEN',
+ 'BFILENAME',
+ 'BINARY_INTEGER',
+ 'BITAND',
+ 'BODY',
+ 'BOOLEAN',
+ 'BULK',
+ 'BY',
+ 'CALL',
+ 'CASCADE',
+ 'CASE',
+ 'CEIL',
+ 'CHAR',
+ 'CHAR_BASE',
+ 'CHARTOROWID',
+ 'CHECK',
+ 'CHR',
+ 'CLOSE',
+ 'CLUSTER',
+ 'COALESCE',
+ 'COLLECT',
+ 'COLUMN',
+ 'COMMENT',
+ 'COMMIT',
+ 'COMPRESS',
+ 'CONCAT',
+ 'CONNECT',
+ 'CONSTANT',
+ 'CONSTRAINT',
+ 'CONSTRAINTS',
+ 'CONTEXT',
+ 'CONTROLFILE',
+ 'CONVERT',
+ 'CORR',
+ 'COS',
+ 'COSH',
+ 'COST',
+ 'COUNT',
+ 'COVAR_POP',
+ 'COVAR_SAMP',
+ 'CREATE',
+ 'CUME_DIST',
+ 'CURRENT',
+ 'CURRVAL',
+ 'CURSOR',
+ 'DATABASE',
+ 'DATE',
+ 'DAY',
+ 'DECIMAL',
+ 'DECLARE',
+ 'DECODE',
+ 'DEFAULT',
+ 'DELETE',
+ 'DENSE_RANK',
+ 'DEREF',
+ 'DESC',
+ 'DIMENSION',
+ 'DIRECTORY',
+ 'DISASSOCIATE',
+ 'DISTINCT',
+ 'DO',
+ 'DROP',
+ 'DUMP',
+ 'ELSE',
+ 'ELSIF',
+ 'EMPTY_BLOB',
+ 'EMPTY_CLOB',
+ 'END',
+ 'EXCEPTION',
+ 'EXCLUSIVE',
+ 'EXEC',
+ 'EXECUTE',
+ 'EXISTS',
+ 'EXIT',
+ 'EXP',
+ 'EXPLAIN',
+ 'EXTENDS',
+ 'EXTRACT',
+ 'FALSE',
+ 'FETCH',
+ 'FILE',
+ 'FIRST_VALUE',
+ 'FLOAT',
+ 'FLOOR',
+ 'FOR',
+ 'FORALL',
+ 'FROM',
+ 'FUNCTION',
+ 'GOTO',
+ 'GRANT',
+ 'GREATEST',
+ 'GROUP',
+ 'GROUPING',
+ 'HAVING',
+ 'HEAP',
+ 'HEXTORAW',
+ 'HOUR',
+ 'IDENTIFIED',
+ 'IF',
+ 'IMMEDIATE',
+ 'IN',
+ 'INCREMENT',
+ 'INDEX',
+ 'INDEXTYPE',
+ 'INDICATOR',
+ 'INITCAP',
+ 'INITIAL',
+ 'INSERT',
+ 'INSTR',
+ 'INSTRB',
+ 'INTEGER',
+ 'INTERFACE',
+ 'INTERSECT',
+ 'INTERVAL',
+ 'INTO',
+ 'IS',
+ 'ISOLATION',
+ 'JAVA',
+ 'KEY',
+ 'LAG',
+ 'LAST_DAY',
+ 'LAST_VALUE',
+ 'LEAD',
+ 'LEAST',
+ 'LENGTH',
+ 'LENGTHB',
+ 'LEVEL',
+ 'LIBRARY',
+ 'LIKE',
+ 'LIMITED',
+ 'LINK',
+ 'LN',
+ 'LOCK',
+ 'LOG',
+ 'LONG',
+ 'LOOP',
+ 'LOWER',
+ 'LPAD',
+ 'LTRIM',
+ 'MAKE_REF',
+ 'MATERIALIZED',
+ 'MAX',
+ 'MAXEXTENTS',
+ 'MIN',
+ 'MINUS',
+ 'MINUTE',
+ 'MLSLABEL',
+ 'MOD',
+ 'MODE',
+ 'MODIFY',
+ 'MONTH',
+ 'MONTHS_BETWEEN',
+ 'NATURAL',
+ 'NATURALN',
+ 'NEW',
+ 'NEW_TIME',
+ 'NEXT_DAY',
+ 'NEXTVAL',
+ 'NLS_CHARSET_DECL_LEN',
+ 'NLS_CHARSET_ID',
+ 'NLS_CHARSET_NAME',
+ 'NLS_INITCAP',
+ 'NLS_LOWER',
+ 'NLS_UPPER',
+ 'NLSSORT',
+ 'NOAUDIT',
+ 'NOCOMPRESS',
+ 'NOCOPY',
+ 'NOT',
+ 'NOWAIT',
+ 'NTILE',
+ 'NULL',
+ 'NULLIF',
+ 'NUMBER',
+ 'NUMBER_BASE',
+ 'NUMTODSINTERVAL',
+ 'NUMTOYMINTERVAL',
+ 'NVL',
+ 'NVL2',
+ 'OCIROWID',
+ 'OF',
+ 'OFFLINE',
+ 'ON',
+ 'ONLINE',
+ 'OPAQUE',
+ 'OPEN',
+ 'OPERATOR',
+ 'OPTION',
+ 'OR',
+ 'ORDER',
+ 'ORGANIZATION',
+ 'OTHERS',
+ 'OUT',
+ 'OUTLINE',
+ 'PACKAGE',
+ 'PARTITION',
+ 'PCTFREE',
+ 'PERCENT_RANK',
+ 'PLAN',
+ 'PLS_INTEGER',
+ 'POSITIVE',
+ 'POSITIVEN',
+ 'POWER',
+ 'PRAGMA',
+ 'PRIMARY',
+ 'PRIOR',
+ 'PRIVATE',
+ 'PRIVILEGES',
+ 'PROCEDURE',
+ 'PROFILE',
+ 'PUBLIC',
+ 'RAISE',
+ 'RANGE',
+ 'RANK',
+ 'RATIO_TO_REPORT',
+ 'RAW',
+ 'RAWTOHEX',
+ 'REAL',
+ 'RECORD',
+ 'REF',
+ 'REFTOHEX',
+ 'REGR_AVGX',
+ 'REGR_AVGY',
+ 'REGR_COUNT',
+ 'REGR_INTERCEPT',
+ 'REGR_R2',
+ 'REGR_SLOPE',
+ 'REGR_SXX',
+ 'REGR_SXY',
+ 'REGR_SYY',
+ 'RELEASE',
+ 'RENAME',
+ 'REPLACE',
+ 'RESOURCE',
+ 'RETURN',
+ 'RETURNING',
+ 'REVERSE',
+ 'REVOKE',
+ 'ROLE',
+ 'ROLLBACK',
+ 'ROUND',
+ 'ROW',
+ 'ROW_NUMBER',
+ 'ROWID',
+ 'ROWIDTOCHAR',
+ 'ROWNUM',
+ 'ROWS',
+ 'ROWTYPE',
+ 'RPAD',
+ 'RTRIM',
+ 'SAVEPOINT',
+ 'SCHEMA',
+ 'SECOND',
+ 'SEGMENT',
+ 'SELECT',
+ 'SEPERATE',
+ 'SEQUENCE',
+ 'SESSION',
+ 'SET',
+ 'SHARE',
+ 'SIGN',
+ 'SIN',
+ 'SINH',
+ 'SIZE',
+ 'SMALLINT',
+ 'SOUNDEX',
+ 'SPACE',
+ 'SQL',
+ 'SQLCODE',
+ 'SQLERRM',
+ 'SQRT',
+ 'START',
+ 'STATISTICS',
+ 'STDDEV',
+ 'STDDEV_POP',
+ 'STDDEV_SAMP',
+ 'STOP',
+ 'SUBSTR',
+ 'SUBSTRB',
+ 'SUBTYPE',
+ 'SUCCESSFUL',
+ 'SUM',
+ 'SYNONYM',
+ 'SYS_CONTEXT',
+ 'SYS_GUID',
+ 'SYSDATE',
+ 'SYSTEM',
+ 'TABLE',
+ 'TABLESPACE',
+ 'TAN',
+ 'TANH',
+ 'TEMPORARY',
+ 'THEN',
+ 'TIME',
+ 'TIMESTAMP',
+ 'TIMEZONE_ABBR',
+ 'TIMEZONE_HOUR',
+ 'TIMEZONE_MINUTE',
+ 'TIMEZONE_REGION',
+ 'TIMING',
+ 'TO',
+ 'TO_CHAR',
+ 'TO_DATE',
+ 'TO_LOB',
+ 'TO_MULTI_BYTE',
+ 'TO_NUMBER',
+ 'TO_SINGLE_BYTE',
+ 'TRANSACTION',
+ 'TRANSLATE',
+ 'TRIGGER',
+ 'TRIM',
+ 'TRUE',
+ 'TRUNC',
+ 'TRUNCATE',
+ 'TYPE',
+ 'UI',
+ 'UID',
+ 'UNION',
+ 'UNIQUE',
+ 'UPDATE',
+ 'UPPER',
+ 'USE',
+ 'USER',
+ 'USERENV',
+ 'USING',
+ 'VALIDATE',
+ 'VALUE',
+ 'VALUES',
+ 'VAR_POP',
+ 'VAR_SAMP',
+ 'VARCHAR',
+ 'VARCHAR2',
+ 'VARIANCE',
+ 'VIEW',
+ 'VSIZE',
+ 'WHEN',
+ 'WHENEVER',
+ 'WHERE',
+ 'WHILE',
+ 'WITH',
+ 'WORK',
+ 'WRITE',
+ 'YEAR',
+ 'ZONE'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '=', '<', '>', '|', '+', '-', '*', '/', ','
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+// 3 => false,
+// 4 => false,
+// 5 => false,
+// 6 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #993333; font-weight: bold; text-transform: uppercase;'
+//Add the styles for groups 3-6 here when used
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #808080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #ff0000;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'SCRIPT' => array(
+ ),
+ 'REGEXPS' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+// 3 => '',
+// 4 => '',
+// 5 => '',
+// 6 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/pascal.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/pascal.php
new file mode 100644
index 000000000..01a66bfa0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/pascal.php
@@ -0,0 +1,152 @@
+<?php
+/*************************************************************************************
+ * pascal.php
+ * ----------
+ * Author: Tux (tux@inamil.cz)
+ * Copyright: (c) 2004 Tux (http://tux.a4.cz/), Nigel McNie (http://qbnz.com/highlighter)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/07/26
+ *
+ * Pascal language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/05/23 (1.0.7.22)
+ * - Added description of extra language features (SF#1970248)
+ * 2004/11/27 (1.0.2)
+ * - Added support for multiple object splitters
+ * 2004/10/27 (1.0.1)
+ * - Added support for URLs
+ * 2004/08/05 (1.0.0)
+ * - Added support for symbols
+ * 2004/07/27 (0.9.1)
+ * - Pascal is OO language. Some new words.
+ * 2004/07/26 (0.9.0)
+ * - First Release
+ *
+ * TODO (updated 2004/11/27)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Pascal',
+ 'COMMENT_SINGLE' => array(1 => '//'),
+ 'COMMENT_MULTI' => array('{' => '}','(*' => '*)'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'HARDQUOTE' => array("'", "'"),
+ 'HARDESCAPE' => array("''"),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'absolute','asm','assembler','begin','break','case','catch','cdecl',
+ 'const','constructor','default','destructor','div','do','downto',
+ 'else','end','except','export','exports','external','far',
+ 'finalization','finally','for','forward','function','goto','if',
+ 'implementation','in','index','inherited','initialization','inline',
+ 'interface','interrupt','label','library','mod','name','not','of',
+ 'or','overload','override','private','procedure','program',
+ 'property','protected','public','published','raise','repeat',
+ 'resourcestring','shl','shr','stdcall','stored','switch','then',
+ 'to','try','type','unit','until','uses','var','while','xor'
+ ),
+ 2 => array(
+ 'nil', 'false', 'true',
+ ),
+ 3 => array(
+ 'abs','and','arc','arctan','blockread','blockwrite','chr','dispose',
+ 'cos','eof','eoln','exp','get','ln','new','odd','ord','ordinal',
+ 'pred','read','readln','sin','sqrt','succ','write','writeln'
+ ),
+ 4 => array(
+ 'ansistring','array','boolean','byte','bytebool','char','file',
+ 'integer','longbool','longint','object','packed','pointer','real',
+ 'record','set','shortint','smallint','string','union','word'
+ ),
+ ),
+ 'SYMBOLS' => array(
+ ',', ':', '=', '+', '-', '*', '/'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #000000; font-weight: bold;',
+ 2 => 'color: #000000; font-weight: bold;',
+ 3 => 'color: #000066;',
+ 4 => 'color: #000066; font-weight: bold;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #666666; font-style: italic;',
+ 'MULTI' => 'color: #666666; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;',
+ 'HARD' => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #009900;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;',
+ 'HARD' => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #0066ee;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #339933;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'TAB_WIDTH' => 4
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/per.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/per.php
new file mode 100644
index 000000000..ea9c75f8e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/per.php
@@ -0,0 +1,302 @@
+<?php
+/*************************************************************************************
+ * per.php
+ * --------
+ * Author: Lars Gersmann (lars.gersmann@gmail.com)
+ * Copyright: (c) 2007 Lars Gersmann
+ * Release Version: 1.0.8.4
+ * Date Started: 2007/06/03
+ *
+ * Per (forms) (FOURJ's Genero 4GL) language file for GeSHi.
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'per',
+ 'COMMENT_SINGLE' => array(1 => '--', 2 => '#'),
+ 'COMMENT_MULTI' => array('{' => '}'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ "ACCELERATOR",
+ "ACCELERATOR2",
+ "ACTION",
+ "ALT",
+ "AND",
+ "AUTO",
+ "AUTONEXT",
+ "AUTOSCALE",
+ "BETWEEN",
+ "BOTH",
+ "BUTTON",
+ "BUTTONEDIT",
+ "BUTTONTEXTHIDDEN",
+ "BY",
+ "BYTE",
+ "CANVAS",
+ "CENTER",
+ "CHECKBOX",
+ "CLASS",
+ "COLOR",
+ "COLUMNS",
+ "COMBOBOX",
+ "COMMAND",
+ "COMMENT",
+ "COMMENTS",
+ "COMPACT",
+ "COMPRESS",
+ "CONFIG",
+ "CONTROL",
+ "CURRENT",
+ "DATABASE",
+ "DATEEDIT",
+ "DEC",
+ "DEFAULT",
+ "DEFAULTS",
+ "DELIMITERS",
+ "DISPLAY",
+ "DISPLAYONLY",
+ "DOWNSHIFT",
+ "DYNAMIC",
+ "EDIT",
+ "FIXED",
+ "FOLDER",
+ "FONTPITCH",
+ "FORMAT",
+ "FORMONLY",
+ "GRID",
+ "GRIDCHILDRENINPARENT",
+ "GROUP",
+ "HBOX",
+ "HEIGHT",
+ "HIDDEN",
+ "HORIZONTAL",
+ "INCLUDE",
+ "INITIAL",
+ "INITIALIZER",
+ "INPUT",
+ "INSTRUCTIONS",
+ "INTERVAL",
+ "INVISIBLE",
+ "IS",
+ "ITEM",
+ "ITEMS",
+ "JUSTIFY",
+ "KEY",
+ "KEYS",
+ "LABEL",
+ "LEFT",
+ "LIKE",
+ "LINES",
+ "MATCHES",
+ "NAME",
+ "NOENTRY",
+ "NONCOMPRESS",
+ "NORMAL",
+ "NOT",
+ "NOUPDATE",
+ "OPTIONS",
+ "OR",
+ "ORIENTATION",
+ "PACKED",
+ "PAGE",
+ "PICTURE",
+ "PIXELHEIGHT",
+ "PIXELS",
+ "PIXELWIDTH",
+ "POINTS",
+ "PROGRAM",
+ "PROGRESSBAR",
+ "QUERYCLEAR",
+ "QUERYEDITABLE",
+ "RADIOGROUP",
+ "RECORD",
+ "REQUIRED",
+ "REVERSE",
+ "RIGHT",
+ "SAMPLE",
+ "SCREEN",
+ "SCROLL",
+ "SCROLLBARS",
+ "SCROLLGRID",
+ "SECOND",
+ "SEPARATOR",
+ "SHIFT",
+ "SIZE",
+ "SIZEPOLICY",
+ "SMALLFLOAT",
+ "SMALLINT",
+ "SPACING",
+ "STRETCH",
+ "STYLE",
+ "TABINDEX",
+ "TABLE",
+ "TAG",
+ "TEXT",
+ "TEXTEDIT",
+ "THROUGH",
+ "THRU",
+ "TITLE",
+ "TO",
+ "TOOLBAR",
+ "TOPMENU",
+ "TYPE",
+ "UNHIDABLE",
+ "UNHIDABLECOLUMNS",
+ "UNMOVABLE",
+ "UNMOVABLECOLUMNS",
+ "UNSIZABLE",
+ "UNSIZABLECOLUMNS",
+ "UNSORTABLE",
+ "UNSORTABLECOLUMNS",
+ "UPSHIFT",
+ "USER",
+ "VALIDATE",
+ "VALUECHECKED",
+ "VALUEMAX",
+ "VALUEMIN",
+ "VALUEUNCHECKED",
+ "VARCHAR",
+ "VARIABLE",
+ "VBOX",
+ "VERIFY",
+ "VERSION",
+ "VERTICAL",
+ "TIMESTAMP",
+ "WANTCOLUMNSANCHORED", /* to be removed! */
+ "WANTFIXEDPAGESIZE",
+ "WANTNORETURNS",
+ "WANTTABS",
+ "WHERE",
+ "WIDGET",
+ "WIDTH",
+ "WINDOWSTYLE",
+ "WITHOUT",
+ "WORDWRAP",
+ "X",
+ "Y",
+ "ZEROFILL",
+ "SCHEMA",
+ "ATTRIBUTES",
+ "TABLES",
+ "LAYOUT",
+ "END"
+ ),
+ 2 => array(
+ "YEAR",
+ "BLACK",
+ "BLINK",
+ "BLUE",
+ "YELLOW",
+ "WHITE",
+ "UNDERLINE",
+ "CENTURY",
+ "FRACTION",
+ "CHAR",
+ "CHARACTER",
+ "CHARACTERS",
+ "CYAN",
+ "DATE",
+ "DATETIME",
+ "DAY",
+ "DECIMAL",
+ "FALSE",
+ "FLOAT",
+ "GREEN",
+ "HOUR",
+ "INT",
+ "INTEGER",
+ "MAGENTA",
+ "MINUTE",
+ "MONEY",
+ "NONE",
+ "NULL",
+ "REAL",
+ "RED",
+ "TRUE",
+ "TODAY",
+ "MONTH",
+ "IMAGE"
+ ),
+ ),
+ 'SYMBOLS' => array(
+ '+', '-', '*', '?', '=', '/', '%', '>', '<', '^', '!', '|', ':',
+ '(', ')', '[', ']'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #0600FF;',
+ 2 => 'color: #0000FF; font-weight: bold;',
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #008080; font-style: italic;',
+ 2 => 'color: #008080;',
+ 'MULTI' => 'color: green'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #008080; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #000000;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #808080;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #FF0000;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #0000FF;',
+ 2 => 'color: #0000FF;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #008000;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/perl.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/perl.php
new file mode 100644
index 000000000..7c212515e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/perl.php
@@ -0,0 +1,213 @@
+<?php
+/*************************************************************************************
+ * perl.php
+ * --------
+ * Author: Andreas Gohr (andi@splitbrain.org), Ben Keen (ben.keen@gmail.com)
+ * Copyright: (c) 2004 Andreas Gohr, Ben Keen (http://www.benjaminkeen.org/), Nigel McNie (http://qbnz.com/highlighter/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/08/20
+ *
+ * Perl language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/06/22 (1.0.8)
+ * - Added support for system calls in backticks (Corley Kinnane)
+ * 2008/05/23 (1.0.7.22)
+ * - Added description of extra language features (SF#1970248)
+ * - Added comment_regexp for predefined variables
+ * 2008/02/15 (1.003)
+ * - Fixed SF#1891630 with placebo patch
+ * 2006/01/05 (1.0.2)
+ * - Used hardescape feature for ' strings (Cliff Stanford)
+ * 2004/11/27 (1.0.1)
+ * - Added support for multiple object splitters
+ * 2004/08/20 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2004/11/27)
+ * -------------------------
+ * * LABEL:
+ * * string comparison operators
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Perl',
+ 'COMMENT_SINGLE' => array(1 => '#'),
+ 'COMMENT_MULTI' => array(
+ '=back' => '=cut',
+ '=head' => '=cut',
+ '=item' => '=cut',
+ '=over' => '=cut',
+ '=begin' => '=cut',
+ '=end' => '=cut',
+ '=for' => '=cut',
+ '=encoding' => '=cut',
+ '=pod' => '=cut'
+ ),
+ 'COMMENT_REGEXP' => array(
+ //Regular expressions
+ 2 => "/(?<=[\\s^])(s|tr|y)\\/(?:\\\\.|(?!\n)[^\\/\\\\])+\\/(?:\\\\.|(?!\n)[^\\/\\\\])*\\/[msixpogcde]*(?=[\\s$\\.\\;])|(?<=[\\s^(=])(m|q[qrwx]?)?\\/(?:\\\\.|(?!\n)[^\\/\\\\])+\\/[msixpogc]*(?=[\\s$\\.\\,\\;\\)])/iU",
+ //Regular expression match variables
+ 3 => '/\$\d+/',
+ //Heredoc
+ 4 => '/<<\s*?([\'"]?)([a-zA-Z0-9]+)\1;[^\n]*?\\n.*\\n\\2(?![a-zA-Z0-9])/siU',
+ //Predefined variables
+ 5 => '/\$(\^[a-zA-Z]?|[\*\$`\'&_\.,+\-~:;\\\\\/"\|%=\?!@#<>\(\)\[\]])(?!\w)|@[_+\-]|%[!]|\$(?=\{)/',
+ ),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"','`'),
+ 'HARDQUOTE' => array("'", "'"), // An optional 2-element array defining the beginning and end of a hard-quoted string
+ 'HARDESCAPE' => array('\\\'',),
+ // Things that must still be escaped inside a hard-quoted string
+ // If HARDQUOTE is defined, HARDESCAPE must be defined
+ // This will not work unless the first character of each element is either in the
+ // QUOTEMARKS array or is the ESCAPE_CHAR
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'case', 'do', 'else', 'elsif', 'for', 'if', 'then', 'until', 'while', 'foreach', 'my',
+ 'xor', 'or', 'and', 'unless', 'next', 'last', 'redo', 'not', 'our',
+ 'reset', 'continue', 'cmp', 'ne', 'eq', 'lt', 'gt', 'le', 'ge',
+ ),
+ 2 => array(
+ 'use', 'sub', 'new', '__END__', '__DATA__', '__DIE__', '__WARN__', 'BEGIN',
+ 'STDIN', 'STDOUT', 'STDERR', 'ARGV', 'ARGVOUT'
+ ),
+ 3 => array(
+ 'abs', 'accept', 'alarm', 'atan2', 'bind', 'binmode', 'bless',
+ 'caller', 'chdir', 'chmod', 'chomp', 'chop', 'chown', 'chr',
+ 'chroot', 'close', 'closedir', 'connect', 'cos',
+ 'crypt', 'dbmclose', 'dbmopen', 'defined', 'delete', 'die',
+ 'dump', 'each', 'endgrent', 'endhostent', 'endnetent', 'endprotoent',
+ 'endpwent', 'endservent', 'eof', 'eval', 'exec', 'exists', 'exit',
+ 'exp', 'fcntl', 'fileno', 'flock', 'fork', 'format', 'formline',
+ 'getc', 'getgrent', 'getgrgid', 'getgrnam', 'gethostbyaddr',
+ 'gethostbyname', 'gethostent', 'getlogin', 'getnetbyaddr', 'getnetbyname',
+ 'getnetent', 'getpeername', 'getpgrp', 'getppid', 'getpriority',
+ 'getprotobyname', 'getprotobynumber', 'getprotoent', 'getpwent',
+ 'getpwnam', 'getpwuid', 'getservbyname', 'getservbyport', 'getservent',
+ 'getsockname', 'getsockopt', 'glob', 'gmtime', 'goto', 'grep',
+ 'hex', 'import', 'index', 'int', 'ioctl', 'join', 'keys', 'kill',
+ 'lc', 'lcfirst', 'length', 'link', 'listen', 'local',
+ 'localtime', 'log', 'lstat', 'm', 'map', 'mkdir', 'msgctl', 'msgget',
+ 'msgrcv', 'msgsnd', 'no', 'oct', 'open', 'opendir',
+ 'ord', 'pack', 'package', 'pipe', 'pop', 'pos', 'print',
+ 'printf', 'prototype', 'push', 'qq', 'qr', 'quotemeta', 'qw',
+ 'qx', 'q', 'rand', 'read', 'readdir', 'readline', 'readlink', 'readpipe',
+ 'recv', 'ref', 'rename', 'require', 'return',
+ 'reverse', 'rewinddir', 'rindex', 'rmdir', 's', 'scalar', 'seek',
+ 'seekdir', 'select', 'semctl', 'semget', 'semop', 'send', 'setgrent',
+ 'sethostent', 'setnetent', 'setpgrp', 'setpriority', 'setprotoent',
+ 'setpwent', 'setservent', 'setsockopt', 'shift', 'shmctl', 'shmget',
+ 'shmread', 'shmwrite', 'shutdown', 'sin', 'sleep', 'socket', 'socketpair',
+ 'sort', 'splice', 'split', 'sprintf', 'sqrt', 'srand', 'stat',
+ 'study', 'substr', 'symlink', 'syscall', 'sysopen', 'sysread',
+ 'sysseek', 'system', 'syswrite', 'tell', 'telldir', 'tie', 'tied',
+ 'time', 'times', 'tr', 'truncate', 'uc', 'ucfirst', 'umask', 'undef',
+ 'unlink', 'unpack', 'unshift', 'untie', 'utime', 'values',
+ 'vec', 'wait', 'waitpid', 'wantarray', 'warn', 'write', 'y'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '<', '>', '=',
+ '!', '@', '~', '&', '|', '^',
+ '+','-', '*', '/', '%',
+ ',', ';', '?', '.', ':'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => true,
+ 2 => true,
+ 3 => true,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #b1b100;',
+ 2 => 'color: #000000; font-weight: bold;',
+ 3 => 'color: #000066;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #666666; font-style: italic;',
+ 2 => 'color: #009966; font-style: italic;',
+ 3 => 'color: #0000ff;',
+ 4 => 'color: #cc0000; font-style: italic;',
+ 5 => 'color: #0000ff;',
+ 'MULTI' => 'color: #666666; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;',
+ 'HARD' => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #009900;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;',
+ 'HARD' => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #006600;',
+ 2 => 'color: #006600;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #339933;'
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #0000ff;',
+ 4 => 'color: #009999;',
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => 'http://perldoc.perl.org/functions/{FNAMEL}.html'
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '-&gt;',
+ 2 => '::'
+ ),
+ 'REGEXPS' => array(
+ //Variable
+ 0 => '(?:\$[\$#]?|\\\\(?:[@%*]?|\\\\*\$|&amp;)|%[$]?|@[$]?|\*[$]?|&amp;[$]?)[a-zA-Z_][a-zA-Z0-9_]*',
+ //File Descriptor
+ 4 => '&lt;[a-zA-Z_][a-zA-Z0-9_]*&gt;',
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'PARSER_CONTROL' => array(
+ 'COMMENTS' => array(
+ 'DISALLOWED_BEFORE' => '$'
+ )
+ )
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/php-brief.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/php-brief.php
new file mode 100644
index 000000000..2a5d78611
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/php-brief.php
@@ -0,0 +1,222 @@
+<?php
+/*************************************************************************************
+ * php-brief.php
+ * -------------
+ * Author: Nigel McNie (nigel@geshi.org)
+ * Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/06/02
+ *
+ * PHP (brief version) language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/05/23 (1.0.7.22)
+ * - Added description of extra language features (SF#1970248)
+ * 2004/11/27 (1.0.3)
+ * - Added support for multiple object splitters
+ * - Fixed &new problem
+ * 2004/10/27 (1.0.2)
+ * - Added support for URLs
+ * 2004/08/05 (1.0.1)
+ * - Added support for symbols
+ * 2004/07/14 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2004/07/14)
+ * -------------------------
+ * * Remove more functions that are hardly used
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'PHP (brief)',
+ 'COMMENT_SINGLE' => array(1 => '//', 2 => '#'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ //Heredoc and Nowdoc syntax
+ 'COMMENT_REGEXP' => array(3 => '/<<<\s*?(\'?)([a-zA-Z0-9]+)\1[^\n]*?\\n.*\\n\\2(?![a-zA-Z0-9])/siU'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'HARDQUOTE' => array("'", "'"),
+ 'HARDESCAPE' => array("\'"),
+ 'NUMBERS' =>
+ GESHI_NUMBER_INT_BASIC | GESHI_NUMBER_OCT_PREFIX | GESHI_NUMBER_HEX_PREFIX |
+ GESHI_NUMBER_FLT_SCI_ZERO,
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'include', 'require', 'include_once', 'require_once',
+ 'for', 'as', 'foreach', 'if', 'elseif', 'else', 'while', 'do', 'endwhile', 'endif', 'switch', 'case', 'endswitch',
+ 'return', 'break'
+ ),
+ 2 => array(
+ 'null', '__LINE__', '__FILE__',
+ 'false', '&lt;?php',
+ 'true', 'var', 'default',
+ 'function', 'class', 'new', '&amp;new', 'public', 'private', 'interface', 'extends',
+ 'const', 'self'
+ ),
+ 3 => array(
+ 'func_num_args', 'func_get_arg', 'func_get_args', 'strlen', 'strcmp', 'strncmp', 'strcasecmp', 'strncasecmp', 'each', 'error_reporting', 'define', 'defined',
+ 'trigger_error', 'user_error', 'set_error_handler', 'restore_error_handler', 'get_declared_classes', 'get_loaded_extensions',
+ 'extension_loaded', 'get_extension_funcs', 'debug_backtrace',
+ 'constant', 'bin2hex', 'sleep', 'usleep', 'time', 'mktime', 'gmmktime', 'strftime', 'gmstrftime', 'strtotime', 'date', 'gmdate', 'getdate', 'localtime', 'checkdate', 'flush', 'wordwrap', 'htmlspecialchars', 'htmlentities', 'html_entity_decode', 'md5', 'md5_file', 'crc32', 'getimagesize', 'image_type_to_mime_type', 'phpinfo', 'phpversion', 'phpcredits', 'strnatcmp', 'strnatcasecmp', 'substr_count', 'strspn', 'strcspn', 'strtok', 'strtoupper', 'strtolower', 'strpos', 'strrpos', 'strrev', 'hebrev', 'hebrevc', 'nl2br', 'basename', 'dirname', 'pathinfo', 'stripslashes', 'stripcslashes', 'strstr', 'stristr', 'strrchr', 'str_shuffle', 'str_word_count', 'strcoll', 'substr', 'substr_replace', 'quotemeta', 'ucfirst', 'ucwords', 'strtr', 'addslashes', 'addcslashes', 'rtrim', 'str_replace', 'str_repeat', 'count_chars', 'chunk_split', 'trim', 'ltrim', 'strip_tags', 'similar_text', 'explode', 'implode', 'setlocale', 'localeconv',
+ 'parse_str', 'str_pad', 'chop', 'strchr', 'sprintf', 'printf', 'vprintf', 'vsprintf', 'sscanf', 'fscanf', 'parse_url', 'urlencode', 'urldecode', 'rawurlencode', 'rawurldecode', 'readlink', 'linkinfo', 'link', 'unlink', 'exec', 'system', 'escapeshellcmd', 'escapeshellarg', 'passthru', 'shell_exec', 'proc_open', 'proc_close', 'rand', 'srand', 'getrandmax', 'mt_rand', 'mt_srand', 'mt_getrandmax', 'base64_decode', 'base64_encode', 'abs', 'ceil', 'floor', 'round', 'is_finite', 'is_nan', 'is_infinite', 'bindec', 'hexdec', 'octdec', 'decbin', 'decoct', 'dechex', 'base_convert', 'number_format', 'fmod', 'ip2long', 'long2ip', 'getenv', 'putenv', 'getopt', 'microtime', 'gettimeofday', 'getrusage', 'uniqid', 'quoted_printable_decode', 'set_time_limit', 'get_cfg_var', 'magic_quotes_runtime', 'set_magic_quotes_runtime', 'get_magic_quotes_gpc', 'get_magic_quotes_runtime',
+ 'import_request_variables', 'error_log', 'serialize', 'unserialize', 'memory_get_usage', 'var_dump', 'var_export', 'debug_zval_dump', 'print_r','highlight_file', 'show_source', 'highlight_string', 'ini_get', 'ini_get_all', 'ini_set', 'ini_alter', 'ini_restore', 'get_include_path', 'set_include_path', 'restore_include_path', 'setcookie', 'header', 'headers_sent', 'connection_aborted', 'connection_status', 'ignore_user_abort', 'parse_ini_file', 'is_uploaded_file', 'move_uploaded_file', 'intval', 'floatval', 'doubleval', 'strval', 'gettype', 'settype', 'is_null', 'is_resource', 'is_bool', 'is_long', 'is_float', 'is_int', 'is_integer', 'is_double', 'is_real', 'is_numeric', 'is_string', 'is_array', 'is_object', 'is_scalar',
+ 'ereg', 'ereg_replace', 'eregi', 'eregi_replace', 'split', 'spliti', 'join', 'sql_regcase', 'dl', 'pclose', 'popen', 'readfile', 'rewind', 'rmdir', 'umask', 'fclose', 'feof', 'fgetc', 'fgets', 'fgetss', 'fread', 'fopen', 'fpassthru', 'ftruncate', 'fstat', 'fseek', 'ftell', 'fflush', 'fwrite', 'fputs', 'mkdir', 'rename', 'copy', 'tempnam', 'tmpfile', 'file', 'file_get_contents', 'stream_select', 'stream_context_create', 'stream_context_set_params', 'stream_context_set_option', 'stream_context_get_options', 'stream_filter_prepend', 'stream_filter_append', 'fgetcsv', 'flock', 'get_meta_tags', 'stream_set_write_buffer', 'set_file_buffer', 'set_socket_blocking', 'stream_set_blocking', 'socket_set_blocking', 'stream_get_meta_data', 'stream_register_wrapper', 'stream_wrapper_register', 'stream_set_timeout', 'socket_set_timeout', 'socket_get_status', 'realpath', 'fnmatch', 'fsockopen', 'pfsockopen', 'pack', 'unpack', 'get_browser', 'crypt', 'opendir', 'closedir', 'chdir', 'getcwd', 'rewinddir', 'readdir', 'dir', 'glob', 'fileatime', 'filectime', 'filegroup', 'fileinode', 'filemtime', 'fileowner', 'fileperms', 'filesize', 'filetype', 'file_exists', 'is_writable', 'is_writeable', 'is_readable', 'is_executable', 'is_file', 'is_dir', 'is_link', 'stat', 'lstat', 'chown',
+ 'touch', 'clearstatcache', 'mail', 'ob_start', 'ob_flush', 'ob_clean', 'ob_end_flush', 'ob_end_clean', 'ob_get_flush', 'ob_get_clean', 'ob_get_length', 'ob_get_level', 'ob_get_status', 'ob_get_contents', 'ob_implicit_flush', 'ob_list_handlers', 'ksort', 'krsort', 'natsort', 'natcasesort', 'asort', 'arsort', 'sort', 'rsort', 'usort', 'uasort', 'uksort', 'shuffle', 'array_walk', 'count', 'end', 'prev', 'next', 'reset', 'current', 'key', 'min', 'max', 'in_array', 'array_search', 'extract', 'compact', 'array_fill', 'range', 'array_multisort', 'array_push', 'array_pop', 'array_shift', 'array_unshift', 'array_splice', 'array_slice', 'array_merge', 'array_merge_recursive', 'array_keys', 'array_values', 'array_count_values', 'array_reverse', 'array_reduce', 'array_pad', 'array_flip', 'array_change_key_case', 'array_rand', 'array_unique', 'array_intersect', 'array_intersect_assoc', 'array_diff', 'array_diff_assoc', 'array_sum', 'array_filter', 'array_map', 'array_chunk', 'array_key_exists', 'pos', 'sizeof', 'key_exists', 'assert', 'assert_options', 'version_compare', 'ftok', 'str_rot13', 'aggregate',
+ 'session_name', 'session_module_name', 'session_save_path', 'session_id', 'session_regenerate_id', 'session_decode', 'session_register', 'session_unregister', 'session_is_registered', 'session_encode',
+ 'session_start', 'session_destroy', 'session_unset', 'session_set_save_handler', 'session_cache_limiter', 'session_cache_expire', 'session_set_cookie_params', 'session_get_cookie_params', 'session_write_close', 'preg_match', 'preg_match_all', 'preg_replace', 'preg_replace_callback', 'preg_split', 'preg_quote', 'preg_grep', 'overload', 'ctype_alnum', 'ctype_alpha', 'ctype_cntrl', 'ctype_digit', 'ctype_lower', 'ctype_graph', 'ctype_print', 'ctype_punct', 'ctype_space', 'ctype_upper', 'ctype_xdigit', 'virtual', 'apache_request_headers', 'apache_note', 'apache_lookup_uri', 'apache_child_terminate', 'apache_setenv', 'apache_response_headers', 'apache_get_version', 'getallheaders', 'mysql_connect', 'mysql_pconnect', 'mysql_close', 'mysql_select_db', 'mysql_create_db', 'mysql_drop_db', 'mysql_query', 'mysql_unbuffered_query', 'mysql_db_query', 'mysql_list_dbs', 'mysql_list_tables', 'mysql_list_fields', 'mysql_list_processes', 'mysql_error', 'mysql_errno', 'mysql_affected_rows', 'mysql_insert_id', 'mysql_result', 'mysql_num_rows', 'mysql_num_fields', 'mysql_fetch_row', 'mysql_fetch_array', 'mysql_fetch_assoc', 'mysql_fetch_object', 'mysql_data_seek', 'mysql_fetch_lengths', 'mysql_fetch_field', 'mysql_field_seek', 'mysql_free_result', 'mysql_field_name', 'mysql_field_table', 'mysql_field_len', 'mysql_field_type', 'mysql_field_flags', 'mysql_escape_string', 'mysql_real_escape_string', 'mysql_stat',
+ 'mysql_thread_id', 'mysql_client_encoding', 'mysql_get_client_info', 'mysql_get_host_info', 'mysql_get_proto_info', 'mysql_get_server_info', 'mysql_info', 'mysql', 'mysql_fieldname', 'mysql_fieldtable', 'mysql_fieldlen', 'mysql_fieldtype', 'mysql_fieldflags', 'mysql_selectdb', 'mysql_createdb', 'mysql_dropdb', 'mysql_freeresult', 'mysql_numfields', 'mysql_numrows', 'mysql_listdbs', 'mysql_listtables', 'mysql_listfields', 'mysql_db_name', 'mysql_dbname', 'mysql_tablename', 'mysql_table_name', 'pg_connect', 'pg_pconnect', 'pg_close', 'pg_connection_status', 'pg_connection_busy', 'pg_connection_reset', 'pg_host', 'pg_dbname', 'pg_port', 'pg_tty', 'pg_options', 'pg_ping', 'pg_query', 'pg_send_query', 'pg_cancel_query', 'pg_fetch_result', 'pg_fetch_row', 'pg_fetch_assoc', 'pg_fetch_array', 'pg_fetch_object', 'pg_fetch_all', 'pg_affected_rows', 'pg_get_result', 'pg_result_seek', 'pg_result_status', 'pg_free_result', 'pg_last_oid', 'pg_num_rows', 'pg_num_fields', 'pg_field_name', 'pg_field_num', 'pg_field_size', 'pg_field_type', 'pg_field_prtlen', 'pg_field_is_null', 'pg_get_notify', 'pg_get_pid', 'pg_result_error', 'pg_last_error', 'pg_last_notice', 'pg_put_line', 'pg_end_copy', 'pg_copy_to', 'pg_copy_from',
+ 'pg_trace', 'pg_untrace', 'pg_lo_create', 'pg_lo_unlink', 'pg_lo_open', 'pg_lo_close', 'pg_lo_read', 'pg_lo_write', 'pg_lo_read_all', 'pg_lo_import', 'pg_lo_export', 'pg_lo_seek', 'pg_lo_tell', 'pg_escape_string', 'pg_escape_bytea', 'pg_unescape_bytea', 'pg_client_encoding', 'pg_set_client_encoding', 'pg_meta_data', 'pg_convert', 'pg_insert', 'pg_update', 'pg_delete', 'pg_select', 'pg_exec', 'pg_getlastoid', 'pg_cmdtuples', 'pg_errormessage', 'pg_numrows', 'pg_numfields', 'pg_fieldname', 'pg_fieldsize', 'pg_fieldtype', 'pg_fieldnum', 'pg_fieldprtlen', 'pg_fieldisnull', 'pg_freeresult', 'pg_result', 'pg_loreadall', 'pg_locreate', 'pg_lounlink', 'pg_loopen', 'pg_loclose', 'pg_loread', 'pg_lowrite', 'pg_loimport', 'pg_loexport',
+ 'echo', 'print', 'global', 'static', 'exit', 'array', 'empty', 'eval', 'isset', 'unset', 'die'
+ )
+ ),
+ 'SYMBOLS' => array(
+ 1 => array(
+ '<%', '<%=', '%>', '<?', '<?=', '?>'
+ ),
+ 0 => array(
+ '(', ')', '[', ']', '{', '}',
+ '!', '@', '%', '&', '|', '/',
+ '<', '>',
+ '=', '-', '+', '*',
+ '.', ':', ',', ';'
+ )
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #b1b100;',
+ 2 => 'color: #000000; font-weight: bold;',
+ 3 => 'color: #990000;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #666666; font-style: italic;',
+ 2 => 'color: #666666; font-style: italic;',
+ 3 => 'color: #0000cc; font-style: italic;',
+ 'MULTI' => 'color: #666666; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;',
+ 'HARD' => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #009900;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #0000ff;',
+ 'HARD' => 'color: #0000ff;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;',
+ GESHI_NUMBER_OCT_PREFIX => 'color: #208080;',
+ GESHI_NUMBER_HEX_PREFIX => 'color: #208080;',
+ GESHI_NUMBER_FLT_SCI_ZERO => 'color:#800080;',
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #004000;',
+ 2 => 'color: #004000;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #339933;',
+ 1 => 'color: #000000; font-weight: bold;'
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #0000ff;'
+ ),
+ 'SCRIPT' => array(
+ 0 => '',
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => '',
+ 5 => ''
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => 'http://www.php.net/{FNAMEL}'
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '-&gt;',
+ 2 => '::'
+ ),
+ 'REGEXPS' => array(
+ //Variables
+ 0 => "[\\$]{1,2}[a-zA-Z_][a-zA-Z0-9_]*"
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_MAYBE,
+ 'SCRIPT_DELIMITERS' => array(
+ 0 => array(
+ '<?php' => '?>'
+ ),
+ 1 => array(
+ '<?' => '?>'
+ ),
+ 2 => array(
+ '<%' => '%>'
+ ),
+ 3 => array(
+ '<script language="php">' => '</script>'
+ ),
+ 4 => "/(?<start><\\?(?>php\b)?)(?:".
+ "(?>[^\"'?\\/<]+)|".
+ "\\?(?!>)|".
+ "(?>'(?>[^'\\\\]|\\\\'|\\\\\\\|\\\\)*')|".
+ "(?>\"(?>[^\"\\\\]|\\\\\"|\\\\\\\\|\\\\)*\")|".
+ "(?>\\/\\*(?>[^\\*]|(?!\\*\\/)\\*)*\\*\\/)|".
+ "\\/\\/(?>.*?$)|".
+ "\\/(?=[^*\\/])|".
+ "<(?!<<)|".
+ "<<<(?<phpdoc>\w+)\s.*?\s\k<phpdoc>".
+ ")*(?<end>\\?>|\Z)/sm",
+ 5 => "/(?<start><%)(?:".
+ "(?>[^\"'%\\/<]+)|".
+ "%(?!>)|".
+ "(?>'(?>[^'\\\\]|\\\\'|\\\\\\\|\\\\)*')|".
+ "(?>\"(?>[^\\\"\\\\]|\\\\\"|\\\\\\\\|\\\\)*\")|".
+ "(?>\\/\\*(?>[^\\*]|(?!\\*\\/)\\*)*\\*\\/)|".
+ "\\/\\/(?>.*?$)|".
+ "\\/(?=[^*\\/])|".
+ "<(?!<<)|".
+ "<<<(?<phpdoc>\w+)\s.*?\s\k<phpdoc>".
+ ")*(?<end>%>)/sm"
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ 0 => true,
+ 1 => true,
+ 2 => true,
+ 3 => true,
+ 4 => true,
+ 5 => true
+ ),
+ 'TAB_WIDTH' => 4
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/php.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/php.php
new file mode 100644
index 000000000..b96c947ed
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/php.php
@@ -0,0 +1,1114 @@
+<?php
+/*************************************************************************************
+ * php.php
+ * --------
+ * Author: Nigel McNie (nigel@geshi.org)
+ * Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/06/20
+ *
+ * PHP language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/05/23 (1.0.7.22)
+ * - Added description of extra language features (SF#1970248)
+ * 2004/11/25 (1.0.3)
+ * - Added support for multiple object splitters
+ * - Fixed &new problem
+ * 2004/10/27 (1.0.2)
+ * - Added URL support
+ * - Added extra constants
+ * 2004/08/05 (1.0.1)
+ * - Added support for symbols
+ * 2004/07/14 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2004/07/14)
+ * -------------------------
+ * * Make sure the last few function I may have missed
+ * (like eval()) are included for highlighting
+ * * Split to several files - php4, php5 etc
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array(
+ 'LANG_NAME' => 'PHP',
+ 'COMMENT_SINGLE' => array(1 => '//', 2 => '#'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'COMMENT_REGEXP' => array(
+ //Heredoc and Nowdoc syntax
+ 3 => '/<<<\s*?(\'?)([a-zA-Z0-9]+?)\1[^\n]*?\\n.*\\n\\2(?![a-zA-Z0-9])/siU',
+ // phpdoc comments
+ 4 => '#/\*\*(?![\*\/]).*\*/#sU',
+ // Advanced # handling
+ 2 => "/#.*?(?:(?=\?\>)|^)/smi"
+ ),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '',
+ 'ESCAPE_REGEXP' => array(
+ //Simple Single Char Escapes
+ 1 => "#\\\\[nfrtv\$\"\n\\\\]#i",
+ //Hexadecimal Char Specs
+ 2 => "#\\\\x[\da-fA-F]{1,2}#i",
+ //Octal Char Specs
+ 3 => "#\\\\[0-7]{1,3}#",
+ //String Parsing of Variable Names
+ 4 => "#\\$[a-z0-9_]+(?:\\[[a-z0-9_]+\\]|->[a-z0-9_]+)?|(?:\\{\\$|\\$\\{)[a-z0-9_]+(?:\\[('?)[a-z0-9_]*\\1\\]|->[a-z0-9_]+)*\\}#i",
+ //Experimental extension supporting cascaded {${$var}} syntax
+ 5 => "#\$[a-z0-9_]+(?:\[[a-z0-9_]+\]|->[a-z0-9_]+)?|(?:\{\$|\$\{)[a-z0-9_]+(?:\[('?)[a-z0-9_]*\\1\]|->[a-z0-9_]+)*\}|\{\$(?R)\}#i",
+ //Format String support in ""-Strings
+ 6 => "#%(?:%|(?:\d+\\\\\\\$)?\\+?(?:\x20|0|'.)?-?(?:\d+|\\*)?(?:\.\d+)?[bcdefFosuxX])#"
+ ),
+ 'HARDQUOTE' => array("'", "'"),
+ 'HARDESCAPE' => array("'", "\\"),
+ 'HARDCHAR' => "\\",
+ 'NUMBERS' =>
+ GESHI_NUMBER_INT_BASIC | GESHI_NUMBER_OCT_PREFIX | GESHI_NUMBER_HEX_PREFIX |
+ GESHI_NUMBER_FLT_SCI_ZERO,
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'as','break','case','continue','default','do','else','elseif',
+ 'endfor','endforeach','endif','endswitch','endwhile','for',
+ 'foreach','if','include','include_once','require','require_once',
+ 'return','switch','while',
+
+ 'echo','print'
+ ),
+ 2 => array(
+ '&amp;new','&lt;/script&gt;','&lt;?php','&lt;script language',
+ 'class','const','declare','extends','function','global','interface',
+ 'namespace','new','private','public','self','var'
+ ),
+ 3 => array(
+ 'abs','acos','acosh','addcslashes','addslashes','aggregate',
+ 'aggregate_methods','aggregate_methods_by_list',
+ 'aggregate_methods_by_regexp','aggregate_properties',
+ 'aggregate_properties_by_list','aggregate_properties_by_regexp',
+ 'aggregation_info','apache_child_terminate','apache_get_modules',
+ 'apache_get_version','apache_getenv','apache_lookup_uri',
+ 'apache_note','apache_request_headers','apache_response_headers',
+ 'apache_setenv','array','array_change_key_case','array_chunk',
+ 'array_combine','array_count_values','array_diff',
+ 'array_diff_assoc','array_diff_key','array_diff_uassoc',
+ 'array_diff_ukey','array_fill','array_fill_keys','array_filter',
+ 'array_flip','array_intersect','array_intersect_assoc',
+ 'array_intersect_key','array_intersect_uassoc',
+ 'array_intersect_ukey','array_key_exists','array_keys','array_map',
+ 'array_merge','array_merge_recursive','array_multisort','array_pad',
+ 'array_pop','array_product','array_push','array_rand',
+ 'array_reduce','array_reverse','array_search','array_shift',
+ 'array_slice','array_splice','array_sum','array_udiff',
+ 'array_udiff_assoc','array_udiff_uassoc','array_uintersect',
+ 'array_uintersect_assoc','array_uintersect_uassoc','array_unique',
+ 'array_unshift','array_values','array_walk','array_walk_recursive',
+ 'arsort','asin','asinh','asort','assert','assert_options','atan',
+ 'atan2','atanh','base_convert','base64_decode','base64_encode',
+ 'basename','bcadd','bccomp','bcdiv','bcmod','bcmul',
+ 'bcompiler_load','bcompiler_load_exe','bcompiler_parse_class',
+ 'bcompiler_read','bcompiler_write_class','bcompiler_write_constant',
+ 'bcompiler_write_exe_footer','bcompiler_write_file',
+ 'bcompiler_write_footer','bcompiler_write_function',
+ 'bcompiler_write_functions_from_file','bcompiler_write_header',
+ 'bcompiler_write_included_filename','bcpow','bcpowmod','bcscale',
+ 'bcsqrt','bcsub','bin2hex','bindec','bindtextdomain',
+ 'bind_textdomain_codeset','bitset_empty','bitset_equal',
+ 'bitset_excl','bitset_fill','bitset_from_array','bitset_from_hash',
+ 'bitset_from_string','bitset_in','bitset_incl',
+ 'bitset_intersection','bitset_invert','bitset_is_empty',
+ 'bitset_subset','bitset_to_array','bitset_to_hash',
+ 'bitset_to_string','bitset_union','blenc_encrypt','bzclose',
+ 'bzcompress','bzdecompress','bzerrno','bzerror','bzerrstr',
+ 'bzflush','bzopen','bzread','bzwrite','cal_days_in_month',
+ 'cal_from_jd','cal_info','cal_to_jd','call_user_func',
+ 'call_user_func_array','call_user_method','call_user_method_array',
+ 'ceil','chdir','checkdate','checkdnsrr','chgrp','chmod','chop',
+ 'chown','chr','chunk_split','class_exists','class_implements',
+ 'class_parents','classkit_aggregate_methods',
+ 'classkit_doc_comments','classkit_import','classkit_method_add',
+ 'classkit_method_copy','classkit_method_redefine',
+ 'classkit_method_remove','classkit_method_rename','clearstatcache',
+ 'closedir','closelog','com_create_guid','com_event_sink',
+ 'com_get_active_object','com_load_typelib','com_message_pump',
+ 'com_print_typeinfo','compact','confirm_phpdoc_compiled',
+ 'connection_aborted','connection_status','constant',
+ 'convert_cyr_string','convert_uudecode','convert_uuencode','copy',
+ 'cos','cosh','count','count_chars','cpdf_add_annotation',
+ 'cpdf_add_outline','cpdf_arc','cpdf_begin_text','cpdf_circle',
+ 'cpdf_clip','cpdf_close','cpdf_closepath',
+ 'cpdf_closepath_fill_stroke','cpdf_closepath_stroke',
+ 'cpdf_continue_text','cpdf_curveto','cpdf_end_text','cpdf_fill',
+ 'cpdf_fill_stroke','cpdf_finalize','cpdf_finalize_page',
+ 'cpdf_global_set_document_limits','cpdf_import_jpeg','cpdf_lineto',
+ 'cpdf_moveto','cpdf_newpath','cpdf_open','cpdf_output_buffer',
+ 'cpdf_page_init','cpdf_rect','cpdf_restore','cpdf_rlineto',
+ 'cpdf_rmoveto','cpdf_rotate','cpdf_rotate_text','cpdf_save',
+ 'cpdf_save_to_file','cpdf_scale','cpdf_set_action_url',
+ 'cpdf_set_char_spacing','cpdf_set_creator','cpdf_set_current_page',
+ 'cpdf_set_font','cpdf_set_font_directories',
+ 'cpdf_set_font_map_file','cpdf_set_horiz_scaling',
+ 'cpdf_set_keywords','cpdf_set_leading','cpdf_set_page_animation',
+ 'cpdf_set_subject','cpdf_set_text_matrix','cpdf_set_text_pos',
+ 'cpdf_set_text_rendering','cpdf_set_text_rise','cpdf_set_title',
+ 'cpdf_set_viewer_preferences','cpdf_set_word_spacing',
+ 'cpdf_setdash','cpdf_setflat','cpdf_setgray','cpdf_setgray_fill',
+ 'cpdf_setgray_stroke','cpdf_setlinecap','cpdf_setlinejoin',
+ 'cpdf_setlinewidth','cpdf_setmiterlimit','cpdf_setrgbcolor',
+ 'cpdf_setrgbcolor_fill','cpdf_setrgbcolor_stroke','cpdf_show',
+ 'cpdf_show_xy','cpdf_stringwidth','cpdf_stroke','cpdf_text',
+ 'cpdf_translate','crack_check','crack_closedict',
+ 'crack_getlastmessage','crack_opendict','crc32','create_function',
+ 'crypt','ctype_alnum','ctype_alpha','ctype_cntrl','ctype_digit',
+ 'ctype_graph','ctype_lower','ctype_print','ctype_punct',
+ 'ctype_space','ctype_upper','ctype_xdigit','curl_close',
+ 'curl_copy_handle','curl_errno','curl_error','curl_exec',
+ 'curl_getinfo','curl_init','curl_multi_add_handle',
+ 'curl_multi_close','curl_multi_exec','curl_multi_getcontent',
+ 'curl_multi_info_read','curl_multi_init','curl_multi_remove_handle',
+ 'curl_multi_select','curl_setopt','curl_setopt_array',
+ 'curl_version','current','cvsclient_connect','cvsclient_log',
+ 'cvsclient_login','cvsclient_retrieve','date','date_create',
+ 'date_date_set','date_default_timezone_get',
+ 'date_default_timezone_set','date_format','date_isodate_set',
+ 'date_modify','date_offset_get','date_parse','date_sun_info',
+ 'date_sunrise','date_sunset','date_time_set','date_timezone_get',
+ 'date_timezone_set','db_id_list','dba_close','dba_delete',
+ 'dba_exists','dba_fetch','dba_firstkey','dba_handlers','dba_insert',
+ 'dba_key_split','dba_list','dba_nextkey','dba_open','dba_optimize',
+ 'dba_popen','dba_replace','dba_sync','dbase_add_record',
+ 'dbase_close','dbase_create','dbase_delete_record',
+ 'dbase_get_header_info','dbase_get_record',
+ 'dbase_get_record_with_names','dbase_numfields','dbase_numrecords',
+ 'dbase_open','dbase_pack','dbase_replace_record',
+ 'dbg_get_all_contexts','dbg_get_all_module_names',
+ 'dbg_get_all_source_lines','dbg_get_context_name',
+ 'dbg_get_module_name','dbg_get_profiler_results',
+ 'dbg_get_source_context','dblist','dbmclose','dbmdelete',
+ 'dbmexists','dbmfetch','dbmfirstkey','dbminsert','dbmnextkey',
+ 'dbmopen','dbmreplace','dbx_close','dbx_compare','dbx_connect',
+ 'dbx_error','dbx_escape_string','dbx_fetch_row','dbx_query',
+ 'dbx_sort','dcgettext','dcngettext','deaggregate','debug_backtrace',
+ 'debug_zval_dump','debugbreak','decbin','dechex','decoct','define',
+ 'defined','define_syslog_variables','deg2rad','dgettext','die',
+ 'dio_close','dio_open','dio_read','dio_seek','dio_stat','dio_write',
+ 'dir','dirname','disk_free_space','disk_total_space',
+ 'diskfreespace','dl','dngettext','docblock_token_name',
+ 'docblock_tokenize','dom_import_simplexml','domxml_add_root',
+ 'domxml_attributes','domxml_children','domxml_doc_add_root',
+ 'domxml_doc_document_element','domxml_doc_get_element_by_id',
+ 'domxml_doc_get_elements_by_tagname','domxml_doc_get_root',
+ 'domxml_doc_set_root','domxml_doc_validate','domxml_doc_xinclude',
+ 'domxml_dump_mem','domxml_dump_mem_file','domxml_dump_node',
+ 'domxml_dumpmem','domxml_elem_get_attribute',
+ 'domxml_elem_set_attribute','domxml_get_attribute','domxml_getattr',
+ 'domxml_html_dump_mem','domxml_new_child','domxml_new_doc',
+ 'domxml_new_xmldoc','domxml_node','domxml_node_add_namespace',
+ 'domxml_node_attributes','domxml_node_children',
+ 'domxml_node_get_content','domxml_node_has_attributes',
+ 'domxml_node_new_child','domxml_node_set_content',
+ 'domxml_node_set_namespace','domxml_node_unlink_node',
+ 'domxml_open_file','domxml_open_mem','domxml_parser',
+ 'domxml_parser_add_chunk','domxml_parser_cdata_section',
+ 'domxml_parser_characters','domxml_parser_comment',
+ 'domxml_parser_end','domxml_parser_end_document',
+ 'domxml_parser_end_element','domxml_parser_entity_reference',
+ 'domxml_parser_get_document','domxml_parser_namespace_decl',
+ 'domxml_parser_processing_instruction',
+ 'domxml_parser_start_document','domxml_parser_start_element',
+ 'domxml_root','domxml_set_attribute','domxml_setattr',
+ 'domxml_substitute_entities_default','domxml_unlink_node',
+ 'domxml_version','domxml_xmltree','doubleval','each','easter_date',
+ 'easter_days','empty','end','ereg','ereg_replace','eregi',
+ 'eregi_replace','error_get_last','error_log','error_reporting',
+ 'escapeshellarg','escapeshellcmd','eval','event_deschedule',
+ 'event_dispatch','event_free','event_handle_signal',
+ 'event_have_events','event_init','event_new','event_pending',
+ 'event_priority_set','event_schedule','event_set','event_timeout',
+ 'exec','exif_imagetype','exif_read_data','exif_tagname',
+ 'exif_thumbnail','exit','exp','explode','expm1','extension_loaded',
+ 'extract','ezmlm_hash','fbird_add_user','fbird_affected_rows',
+ 'fbird_backup','fbird_blob_add','fbird_blob_cancel',
+ 'fbird_blob_close','fbird_blob_create','fbird_blob_echo',
+ 'fbird_blob_get','fbird_blob_import','fbird_blob_info',
+ 'fbird_blob_open','fbird_close','fbird_commit','fbird_commit_ret',
+ 'fbird_connect','fbird_db_info','fbird_delete_user','fbird_drop_db',
+ 'fbird_errcode','fbird_errmsg','fbird_execute','fbird_fetch_assoc',
+ 'fbird_fetch_object','fbird_fetch_row','fbird_field_info',
+ 'fbird_free_event_handler','fbird_free_query','fbird_free_result',
+ 'fbird_gen_id','fbird_maintain_db','fbird_modify_user',
+ 'fbird_name_result','fbird_num_fields','fbird_num_params',
+ 'fbird_param_info','fbird_pconnect','fbird_prepare','fbird_query',
+ 'fbird_restore','fbird_rollback','fbird_rollback_ret',
+ 'fbird_server_info','fbird_service_attach','fbird_service_detach',
+ 'fbird_set_event_handler','fbird_trans','fbird_wait_event','fclose',
+ 'fdf_add_doc_javascript','fdf_add_template','fdf_close',
+ 'fdf_create','fdf_enum_values','fdf_errno','fdf_error','fdf_get_ap',
+ 'fdf_get_attachment','fdf_get_encoding','fdf_get_file',
+ 'fdf_get_flags','fdf_get_opt','fdf_get_status','fdf_get_value',
+ 'fdf_get_version','fdf_header','fdf_next_field_name','fdf_open',
+ 'fdf_open_string','fdf_remove_item','fdf_save','fdf_save_string',
+ 'fdf_set_ap','fdf_set_encoding','fdf_set_file','fdf_set_flags',
+ 'fdf_set_javascript_action','fdf_set_on_import_javascript',
+ 'fdf_set_opt','fdf_set_status','fdf_set_submit_form_action',
+ 'fdf_set_target_frame','fdf_set_value','fdf_set_version','feof',
+ 'fflush','fgetc','fgetcsv','fgets','fgetss','file','file_exists',
+ 'file_get_contents','file_put_contents','fileatime','filectime',
+ 'filegroup','fileinode','filemtime','fileowner','fileperms',
+ 'filepro','filepro_fieldcount','filepro_fieldname',
+ 'filepro_fieldtype','filepro_fieldwidth','filepro_retrieve',
+ 'filepro_rowcount','filesize','filetype','filter_has_var',
+ 'filter_id','filter_input','filter_input_array','filter_list',
+ 'filter_var','filter_var_array','finfo_buffer','finfo_close',
+ 'finfo_file','finfo_open','finfo_set_flags','floatval','flock',
+ 'floor','flush','fmod','fnmatch','fopen','fpassthru','fprintf',
+ 'fputcsv','fputs','fread','frenchtojd','fribidi_charset_info',
+ 'fribidi_get_charsets','fribidi_log2vis','fscanf','fseek',
+ 'fsockopen','fstat','ftell','ftok','ftp_alloc','ftp_cdup',
+ 'ftp_chdir','ftp_chmod','ftp_close','ftp_connect','ftp_delete',
+ 'ftp_exec','ftp_fget','ftp_fput','ftp_get','ftp_get_option',
+ 'ftp_login','ftp_mdtm','ftp_mkdir','ftp_nb_continue','ftp_nb_fget',
+ 'ftp_nb_fput','ftp_nb_get','ftp_nb_put','ftp_nlist','ftp_pasv',
+ 'ftp_put','ftp_pwd','ftp_quit','ftp_raw','ftp_rawlist','ftp_rename',
+ 'ftp_rmdir','ftp_set_option','ftp_site','ftp_size',
+ 'ftp_ssl_connect','ftp_systype','ftruncate','function_exists',
+ 'func_get_arg','func_get_args','func_num_args','fwrite','gd_info',
+ 'getallheaders','getcwd','getdate','getenv','gethostbyaddr',
+ 'gethostbyname','gethostbynamel','getimagesize','getlastmod',
+ 'getmxrr','getmygid','getmyinode','getmypid','getmyuid','getopt',
+ 'getprotobyname','getprotobynumber','getrandmax','getrusage',
+ 'getservbyname','getservbyport','gettext','gettimeofday','gettype',
+ 'get_browser','get_cfg_var','get_class','get_class_methods',
+ 'get_class_vars','get_current_user','get_declared_classes',
+ 'get_defined_constants','get_defined_functions','get_defined_vars',
+ 'get_extension_funcs','get_headers','get_html_translation_table',
+ 'get_included_files','get_include_path','get_loaded_extensions',
+ 'get_magic_quotes_gpc','get_magic_quotes_runtime','get_meta_tags',
+ 'get_object_vars','get_parent_class','get_required_files',
+ 'get_resource_type','glob','gmdate','gmmktime','gmp_abs','gmp_add',
+ 'gmp_and','gmp_clrbit','gmp_cmp','gmp_com','gmp_div','gmp_div_q',
+ 'gmp_div_qr','gmp_div_r','gmp_divexact','gmp_fact','gmp_gcd',
+ 'gmp_gcdext','gmp_hamdist','gmp_init','gmp_intval','gmp_invert',
+ 'gmp_jacobi','gmp_legendre','gmp_mod','gmp_mul','gmp_neg',
+ 'gmp_nextprime','gmp_or','gmp_perfect_square','gmp_popcount',
+ 'gmp_pow','gmp_powm','gmp_prob_prime','gmp_random','gmp_scan0',
+ 'gmp_scan1','gmp_setbit','gmp_sign','gmp_sqrt','gmp_sqrtrem',
+ 'gmp_strval','gmp_sub','gmp_xor','gmstrftime','gopher_parsedir',
+ 'gregoriantojd','gzclose','gzcompress','gzdeflate','gzencode',
+ 'gzeof','gzfile','gzgetc','gzgets','gzgetss','gzinflate','gzopen',
+ 'gzpassthru','gzputs','gzread','gzrewind','gzseek','gztell',
+ 'gzuncompress','gzwrite','hash','hash_algos','hash_file',
+ 'hash_final','hash_hmac','hash_hmac_file','hash_init','hash_update',
+ 'hash_update_file','hash_update_stream','header','headers_list',
+ 'headers_sent','hebrev','hebrevc','hexdec','highlight_file',
+ 'highlight_string','html_doc','html_doc_file','html_entity_decode',
+ 'htmlentities','htmlspecialchars','htmlspecialchars_decode',
+ 'http_build_cookie','http_build_query','http_build_str',
+ 'http_build_url','http_cache_etag','http_cache_last_modified',
+ 'http_chunked_decode','http_date','http_deflate','http_get',
+ 'http_get_request_body','http_get_request_body_stream',
+ 'http_get_request_headers','http_head','http_inflate',
+ 'http_match_etag','http_match_modified','http_match_request_header',
+ 'http_negotiate_charset','http_negotiate_content_type',
+ 'http_negotiate_language','http_parse_cookie','http_parse_headers',
+ 'http_parse_message','http_parse_params',
+ 'http_persistent_handles_clean','http_persistent_handles_count',
+ 'http_persistent_handles_ident','http_post_data','http_post_fields',
+ 'http_put_data','http_put_file','http_put_stream','http_redirect',
+ 'http_request','http_request_body_encode',
+ 'http_request_method_exists','http_request_method_name',
+ 'http_request_method_register','http_request_method_unregister',
+ 'http_send_content_disposition','http_send_content_type',
+ 'http_send_data','http_send_file','http_send_last_modified',
+ 'http_send_status','http_send_stream','http_support',
+ 'http_throttle','hypot','i18n_convert','i18n_discover_encoding',
+ 'i18n_http_input','i18n_http_output','i18n_internal_encoding',
+ 'i18n_ja_jp_hantozen','i18n_mime_header_decode',
+ 'i18n_mime_header_encode','ibase_add_user','ibase_affected_rows',
+ 'ibase_backup','ibase_blob_add','ibase_blob_cancel',
+ 'ibase_blob_close','ibase_blob_create','ibase_blob_echo',
+ 'ibase_blob_get','ibase_blob_import','ibase_blob_info',
+ 'ibase_blob_open','ibase_close','ibase_commit','ibase_commit_ret',
+ 'ibase_connect','ibase_db_info','ibase_delete_user','ibase_drop_db',
+ 'ibase_errcode','ibase_errmsg','ibase_execute','ibase_fetch_assoc',
+ 'ibase_fetch_object','ibase_fetch_row','ibase_field_info',
+ 'ibase_free_event_handler','ibase_free_query','ibase_free_result',
+ 'ibase_gen_id','ibase_maintain_db','ibase_modify_user',
+ 'ibase_name_result','ibase_num_fields','ibase_num_params',
+ 'ibase_param_info','ibase_pconnect','ibase_prepare','ibase_query',
+ 'ibase_restore','ibase_rollback','ibase_rollback_ret',
+ 'ibase_server_info','ibase_service_attach','ibase_service_detach',
+ 'ibase_set_event_handler','ibase_trans','ibase_wait_event','iconv',
+ 'iconv_get_encoding','iconv_mime_decode',
+ 'iconv_mime_decode_headers','iconv_mime_encode',
+ 'iconv_set_encoding','iconv_strlen','iconv_strpos','iconv_strrpos',
+ 'iconv_substr','id3_get_frame_long_name','id3_get_frame_short_name',
+ 'id3_get_genre_id','id3_get_genre_list','id3_get_genre_name',
+ 'id3_get_tag','id3_get_version','id3_remove_tag','id3_set_tag',
+ 'idate','ignore_user_abort','image_type_to_extension',
+ 'image_type_to_mime_type','image2wbmp','imagealphablending',
+ 'imageantialias','imagearc','imagechar','imagecharup',
+ 'imagecolorallocate','imagecolorallocatealpha','imagecolorat',
+ 'imagecolorclosest','imagecolorclosestalpha','imagecolordeallocate',
+ 'imagecolorexact','imagecolorexactalpha','imagecolormatch',
+ 'imagecolorresolve','imagecolorresolvealpha','imagecolorset',
+ 'imagecolorsforindex','imagecolorstotal','imagecolortransparent',
+ 'imageconvolution','imagecopy','imagecopymerge',
+ 'imagecopymergegray','imagecopyresampled','imagecopyresized',
+ 'imagecreate','imagecreatefromgd','imagecreatefromgd2',
+ 'imagecreatefromgd2part','imagecreatefromgif','imagecreatefromjpeg',
+ 'imagecreatefrompng','imagecreatefromstring','imagecreatefromwbmp',
+ 'imagecreatefromxbm','imagecreatetruecolor','imagedashedline',
+ 'imagedestroy','imageellipse','imagefill','imagefilledarc',
+ 'imagefilledellipse','imagefilledpolygon','imagefilledrectangle',
+ 'imagefilltoborder','imagefilter','imagefontheight',
+ 'imagefontwidth','imageftbbox','imagefttext','imagegammacorrect',
+ 'imagegd','imagegd2','imagegif','imagegrabscreen','imagegrabwindow',
+ 'imageinterlace','imageistruecolor','imagejpeg','imagelayereffect',
+ 'imageline','imageloadfont','imagepalettecopy','imagepng',
+ 'imagepolygon','imagepsbbox','imagepsencodefont',
+ 'imagepsextendfont','imagepsfreefont','imagepsloadfont',
+ 'imagepsslantfont','imagepstext','imagerectangle','imagerotate',
+ 'imagesavealpha','imagesetbrush','imagesetpixel','imagesetstyle',
+ 'imagesetthickness','imagesettile','imagestring','imagestringup',
+ 'imagesx','imagesy','imagetruecolortopalette','imagettfbbox',
+ 'imagettftext','imagetypes','imagewbmp','imagexbm','imap_8bit',
+ 'imap_alerts','imap_append','imap_base64','imap_binary','imap_body',
+ 'imap_bodystruct','imap_check','imap_clearflag_full','imap_close',
+ 'imap_create','imap_createmailbox','imap_delete',
+ 'imap_deletemailbox','imap_errors','imap_expunge',
+ 'imap_fetch_overview','imap_fetchbody','imap_fetchheader',
+ 'imap_fetchstructure','imap_fetchtext','imap_get_quota',
+ 'imap_get_quotaroot','imap_getacl','imap_getmailboxes',
+ 'imap_getsubscribed','imap_header','imap_headerinfo','imap_headers',
+ 'imap_last_error','imap_list','imap_listmailbox',
+ 'imap_listsubscribed','imap_lsub','imap_mail','imap_mail_compose',
+ 'imap_mail_copy','imap_mail_move','imap_mailboxmsginfo',
+ 'imap_mime_header_decode','imap_msgno','imap_num_msg',
+ 'imap_num_recent','imap_open','imap_ping','imap_qprint',
+ 'imap_rename','imap_renamemailbox','imap_reopen',
+ 'imap_rfc822_parse_adrlist','imap_rfc822_parse_headers',
+ 'imap_rfc822_write_address','imap_savebody','imap_scan',
+ 'imap_scanmailbox','imap_search','imap_set_quota','imap_setacl',
+ 'imap_setflag_full','imap_sort','imap_status','imap_subscribe',
+ 'imap_thread','imap_timeout','imap_uid','imap_undelete',
+ 'imap_unsubscribe','imap_utf7_decode','imap_utf7_encode',
+ 'imap_utf8','implode','import_request_variables','in_array',
+ 'ini_alter','ini_get','ini_get_all','ini_restore','ini_set',
+ 'intval','ip2long','iptcembed','iptcparse','isset','is_a',
+ 'is_array','is_bool','is_callable','is_dir','is_double',
+ 'is_executable','is_file','is_finite','is_float','is_infinite',
+ 'is_int','is_integer','is_link','is_long','is_nan','is_null',
+ 'is_numeric','is_object','is_readable','is_real','is_resource',
+ 'is_scalar','is_soap_fault','is_string','is_subclass_of',
+ 'is_uploaded_file','is_writable','is_writeable','iterator_apply',
+ 'iterator_count','iterator_to_array','java_last_exception_clear',
+ 'java_last_exception_get','jddayofweek','jdmonthname','jdtofrench',
+ 'jdtogregorian','jdtojewish','jdtojulian','jdtounix','jewishtojd',
+ 'join','jpeg2wbmp','json_decode','json_encode','juliantojd','key',
+ 'key_exists','krsort','ksort','lcg_value','ldap_add','ldap_bind',
+ 'ldap_close','ldap_compare','ldap_connect','ldap_count_entries',
+ 'ldap_delete','ldap_dn2ufn','ldap_err2str','ldap_errno',
+ 'ldap_error','ldap_explode_dn','ldap_first_attribute',
+ 'ldap_first_entry','ldap_first_reference','ldap_free_result',
+ 'ldap_get_attributes','ldap_get_dn','ldap_get_entries',
+ 'ldap_get_option','ldap_get_values','ldap_get_values_len',
+ 'ldap_list','ldap_mod_add','ldap_mod_del','ldap_mod_replace',
+ 'ldap_modify','ldap_next_attribute','ldap_next_entry',
+ 'ldap_next_reference','ldap_parse_reference','ldap_parse_result',
+ 'ldap_read','ldap_rename','ldap_search','ldap_set_option',
+ 'ldap_sort','ldap_start_tls','ldap_unbind','levenshtein',
+ 'libxml_clear_errors','libxml_get_errors','libxml_get_last_error',
+ 'libxml_set_streams_context','libxml_use_internal_errors','link',
+ 'linkinfo','list','localeconv','localtime','log','log1p','log10',
+ 'long2ip','lstat','ltrim','lzf_compress','lzf_decompress',
+ 'lzf_optimized_for','magic_quotes_runtime','mail','max','mbereg',
+ 'mberegi','mberegi_replace','mbereg_match','mbereg_replace',
+ 'mbereg_search','mbereg_search_getpos','mbereg_search_getregs',
+ 'mbereg_search_init','mbereg_search_pos','mbereg_search_regs',
+ 'mbereg_search_setpos','mbregex_encoding','mbsplit','mbstrcut',
+ 'mbstrlen','mbstrpos','mbstrrpos','mbsubstr','mb_check_encoding',
+ 'mb_convert_case','mb_convert_encoding','mb_convert_kana',
+ 'mb_convert_variables','mb_decode_mimeheader',
+ 'mb_decode_numericentity','mb_detect_encoding','mb_detect_order',
+ 'mb_encode_mimeheader','mb_encode_numericentity','mb_ereg',
+ 'mb_eregi','mb_eregi_replace','mb_ereg_match','mb_ereg_replace',
+ 'mb_ereg_search','mb_ereg_search_getpos','mb_ereg_search_getregs',
+ 'mb_ereg_search_init','mb_ereg_search_pos','mb_ereg_search_regs',
+ 'mb_ereg_search_setpos','mb_get_info','mb_http_input',
+ 'mb_http_output','mb_internal_encoding','mb_language',
+ 'mb_list_encodings','mb_output_handler','mb_parse_str',
+ 'mb_preferred_mime_name','mb_regex_encoding','mb_regex_set_options',
+ 'mb_send_mail','mb_split','mb_strcut','mb_strimwidth','mb_stripos',
+ 'mb_stristr','mb_strlen','mb_strpos','mb_strrchr','mb_strrichr',
+ 'mb_strripos','mb_strrpos','mb_strstr','mb_strtolower',
+ 'mb_strtoupper','mb_strwidth','mb_substitute_character','mb_substr',
+ 'mb_substr_count','mcrypt_cbc','mcrypt_cfb','mcrypt_create_iv',
+ 'mcrypt_decrypt','mcrypt_ecb','mcrypt_enc_get_algorithms_name',
+ 'mcrypt_enc_get_block_size','mcrypt_enc_get_iv_size',
+ 'mcrypt_enc_get_key_size','mcrypt_enc_get_modes_name',
+ 'mcrypt_enc_get_supported_key_sizes',
+ 'mcrypt_enc_is_block_algorithm',
+ 'mcrypt_enc_is_block_algorithm_mode','mcrypt_enc_is_block_mode',
+ 'mcrypt_enc_self_test','mcrypt_encrypt','mcrypt_generic',
+ 'mcrypt_generic_deinit','mcrypt_generic_end','mcrypt_generic_init',
+ 'mcrypt_get_block_size','mcrypt_get_cipher_name',
+ 'mcrypt_get_iv_size','mcrypt_get_key_size','mcrypt_list_algorithms',
+ 'mcrypt_list_modes','mcrypt_module_close',
+ 'mcrypt_module_get_algo_block_size',
+ 'mcrypt_module_get_algo_key_size',
+ 'mcrypt_module_get_supported_key_sizes',
+ 'mcrypt_module_is_block_algorithm',
+ 'mcrypt_module_is_block_algorithm_mode',
+ 'mcrypt_module_is_block_mode','mcrypt_module_open',
+ 'mcrypt_module_self_test','mcrypt_ofb','md5','md5_file',
+ 'mdecrypt_generic','memcache_add','memcache_add_server',
+ 'memcache_close','memcache_connect','memcache_debug',
+ 'memcache_decrement','memcache_delete','memcache_flush',
+ 'memcache_get','memcache_get_extended_stats',
+ 'memcache_get_server_status','memcache_get_stats',
+ 'memcache_get_version','memcache_increment','memcache_pconnect',
+ 'memcache_replace','memcache_set','memcache_set_compress_threshold',
+ 'memcache_set_server_params','memory_get_peak_usage',
+ 'memory_get_usage','metaphone','mhash','mhash_count',
+ 'mhash_get_block_size','mhash_get_hash_name','mhash_keygen_s2k',
+ 'method_exists','microtime','mime_content_type','min',
+ 'ming_keypress','ming_setcubicthreshold','ming_setscale',
+ 'ming_useconstants','ming_useswfversion','mkdir','mktime',
+ 'money_format','move_uploaded_file','msql','msql_affected_rows',
+ 'msql_close','msql_connect','msql_create_db','msql_createdb',
+ 'msql_data_seek','msql_db_query','msql_dbname','msql_drop_db',
+ 'msql_dropdb','msql_error','msql_fetch_array','msql_fetch_field',
+ 'msql_fetch_object','msql_fetch_row','msql_field_flags',
+ 'msql_field_len','msql_field_name','msql_field_seek',
+ 'msql_field_table','msql_field_type','msql_fieldflags',
+ 'msql_fieldlen','msql_fieldname','msql_fieldtable','msql_fieldtype',
+ 'msql_free_result','msql_freeresult','msql_list_dbs',
+ 'msql_list_fields','msql_list_tables','msql_listdbs',
+ 'msql_listfields','msql_listtables','msql_num_fields',
+ 'msql_num_rows','msql_numfields','msql_numrows','msql_pconnect',
+ 'msql_query','msql_regcase','msql_result','msql_select_db',
+ 'msql_selectdb','msql_tablename','mssql_bind','mssql_close',
+ 'mssql_connect','mssql_data_seek','mssql_execute',
+ 'mssql_fetch_array','mssql_fetch_assoc','mssql_fetch_batch',
+ 'mssql_fetch_field','mssql_fetch_object','mssql_fetch_row',
+ 'mssql_field_length','mssql_field_name','mssql_field_seek',
+ 'mssql_field_type','mssql_free_result','mssql_free_statement',
+ 'mssql_get_last_message','mssql_guid_string','mssql_init',
+ 'mssql_min_error_severity','mssql_min_message_severity',
+ 'mssql_next_result','mssql_num_fields','mssql_num_rows',
+ 'mssql_pconnect','mssql_query','mssql_result','mssql_rows_affected',
+ 'mssql_select_db','mt_getrandmax','mt_rand','mt_srand','mysql',
+ 'mysql_affected_rows','mysql_client_encoding','mysql_close',
+ 'mysql_connect','mysql_createdb','mysql_create_db',
+ 'mysql_data_seek','mysql_dbname','mysql_db_name','mysql_db_query',
+ 'mysql_dropdb','mysql_drop_db','mysql_errno','mysql_error',
+ 'mysql_escape_string','mysql_fetch_array','mysql_fetch_assoc',
+ 'mysql_fetch_field','mysql_fetch_lengths','mysql_fetch_object',
+ 'mysql_fetch_row','mysql_fieldflags','mysql_fieldlen',
+ 'mysql_fieldname','mysql_fieldtable','mysql_fieldtype',
+ 'mysql_field_flags','mysql_field_len','mysql_field_name',
+ 'mysql_field_seek','mysql_field_table','mysql_field_type',
+ 'mysql_freeresult','mysql_free_result','mysql_get_client_info',
+ 'mysql_get_host_info','mysql_get_proto_info',
+ 'mysql_get_server_info','mysql_info','mysql_insert_id',
+ 'mysql_listdbs','mysql_listfields','mysql_listtables',
+ 'mysql_list_dbs','mysql_list_fields','mysql_list_processes',
+ 'mysql_list_tables','mysql_numfields','mysql_numrows',
+ 'mysql_num_fields','mysql_num_rows','mysql_pconnect','mysql_ping',
+ 'mysql_query','mysql_real_escape_string','mysql_result',
+ 'mysql_selectdb','mysql_select_db','mysql_set_charset','mysql_stat',
+ 'mysql_tablename','mysql_table_name','mysql_thread_id',
+ 'mysql_unbuffered_query','mysqli_affected_rows','mysqli_autocommit',
+ 'mysqli_bind_param','mysqli_bind_result','mysqli_change_user',
+ 'mysqli_character_set_name','mysqli_client_encoding','mysqli_close',
+ 'mysqli_commit','mysqli_connect','mysqli_connect_errno',
+ 'mysqli_connect_error','mysqli_data_seek','mysqli_debug',
+ 'mysqli_disable_reads_from_master','mysqli_disable_rpl_parse',
+ 'mysqli_dump_debug_info','mysqli_embedded_server_end',
+ 'mysqli_embedded_server_start','mysqli_enable_reads_from_master',
+ 'mysqli_enable_rpl_parse','mysqli_errno','mysqli_error',
+ 'mysqli_escape_string','mysqli_execute','mysqli_fetch',
+ 'mysqli_fetch_array','mysqli_fetch_assoc','mysqli_fetch_field',
+ 'mysqli_fetch_field_direct','mysqli_fetch_fields',
+ 'mysqli_fetch_lengths','mysqli_fetch_object','mysqli_fetch_row',
+ 'mysqli_field_count','mysqli_field_seek','mysqli_field_tell',
+ 'mysqli_free_result','mysqli_get_charset','mysqli_get_client_info',
+ 'mysqli_get_client_version','mysqli_get_host_info',
+ 'mysqli_get_metadata','mysqli_get_proto_info',
+ 'mysqli_get_server_info','mysqli_get_server_version',
+ 'mysqli_get_warnings','mysqli_info','mysqli_init',
+ 'mysqli_insert_id','mysqli_kill','mysqli_master_query',
+ 'mysqli_more_results','mysqli_multi_query','mysqli_next_result',
+ 'mysqli_num_fields','mysqli_num_rows','mysqli_options',
+ 'mysqli_param_count','mysqli_ping','mysqli_prepare','mysqli_query',
+ 'mysqli_real_connect','mysqli_real_escape_string',
+ 'mysqli_real_query','mysqli_report','mysqli_rollback',
+ 'mysqli_rpl_parse_enabled','mysqli_rpl_probe',
+ 'mysqli_rpl_query_type','mysqli_select_db','mysqli_send_long_data',
+ 'mysqli_send_query','mysqli_set_charset',
+ 'mysqli_set_local_infile_default','mysqli_set_local_infile_handler',
+ 'mysqli_set_opt','mysqli_slave_query','mysqli_sqlstate',
+ 'mysqli_ssl_set','mysqli_stat','mysqli_stmt_affected_rows',
+ 'mysqli_stmt_attr_get','mysqli_stmt_attr_set',
+ 'mysqli_stmt_bind_param','mysqli_stmt_bind_result',
+ 'mysqli_stmt_close','mysqli_stmt_data_seek','mysqli_stmt_errno',
+ 'mysqli_stmt_error','mysqli_stmt_execute','mysqli_stmt_fetch',
+ 'mysqli_stmt_field_count','mysqli_stmt_free_result',
+ 'mysqli_stmt_get_warnings','mysqli_stmt_init',
+ 'mysqli_stmt_insert_id','mysqli_stmt_num_rows',
+ 'mysqli_stmt_param_count','mysqli_stmt_prepare','mysqli_stmt_reset',
+ 'mysqli_stmt_result_metadata','mysqli_stmt_send_long_data',
+ 'mysqli_stmt_sqlstate','mysqli_stmt_store_result',
+ 'mysqli_store_result','mysqli_thread_id','mysqli_thread_safe',
+ 'mysqli_use_result','mysqli_warning_count','natcasesort','natsort',
+ 'new_xmldoc','next','ngettext','nl2br','nl_langinfo',
+ 'ntuser_getdomaincontroller','ntuser_getusergroups',
+ 'ntuser_getuserinfo','ntuser_getuserlist','number_format',
+ 'ob_clean','ob_deflatehandler','ob_end_clean','ob_end_flush',
+ 'ob_etaghandler','ob_flush','ob_get_clean','ob_get_contents',
+ 'ob_get_flush','ob_get_length','ob_get_level','ob_get_status',
+ 'ob_gzhandler','ob_iconv_handler','ob_implicit_flush',
+ 'ob_inflatehandler','ob_list_handlers','ob_start','ob_tidyhandler',
+ 'octdec','odbc_autocommit','odbc_binmode','odbc_close',
+ 'odbc_close_all','odbc_columnprivileges','odbc_columns',
+ 'odbc_commit','odbc_connect','odbc_cursor','odbc_data_source',
+ 'odbc_do','odbc_error','odbc_errormsg','odbc_exec','odbc_execute',
+ 'odbc_fetch_array','odbc_fetch_into','odbc_fetch_object',
+ 'odbc_fetch_row','odbc_field_len','odbc_field_name',
+ 'odbc_field_num','odbc_field_precision','odbc_field_scale',
+ 'odbc_field_type','odbc_foreignkeys','odbc_free_result',
+ 'odbc_gettypeinfo','odbc_longreadlen','odbc_next_result',
+ 'odbc_num_fields','odbc_num_rows','odbc_pconnect','odbc_prepare',
+ 'odbc_primarykeys','odbc_procedurecolumns','odbc_procedures',
+ 'odbc_result','odbc_result_all','odbc_rollback','odbc_setoption',
+ 'odbc_specialcolumns','odbc_statistics','odbc_tableprivileges',
+ 'odbc_tables','opendir','openlog','openssl_csr_export',
+ 'openssl_csr_export_to_file','openssl_csr_get_public_key',
+ 'openssl_csr_get_subject','openssl_csr_new','openssl_csr_sign',
+ 'openssl_error_string','openssl_free_key','openssl_get_privatekey',
+ 'openssl_get_publickey','openssl_open','openssl_pkcs12_export',
+ 'openssl_pkcs12_export_to_file','openssl_pkcs12_read',
+ 'openssl_pkcs7_decrypt','openssl_pkcs7_encrypt',
+ 'openssl_pkcs7_sign','openssl_pkcs7_verify','openssl_pkey_export',
+ 'openssl_pkey_export_to_file','openssl_pkey_free',
+ 'openssl_pkey_get_details','openssl_pkey_get_private',
+ 'openssl_pkey_get_public','openssl_pkey_new',
+ 'openssl_private_decrypt','openssl_private_encrypt',
+ 'openssl_public_decrypt','openssl_public_encrypt','openssl_seal',
+ 'openssl_sign','openssl_verify','openssl_x509_checkpurpose',
+ 'openssl_x509_check_private_key','openssl_x509_export',
+ 'openssl_x509_export_to_file','openssl_x509_free',
+ 'openssl_x509_parse','openssl_x509_read','ord',
+ 'output_add_rewrite_var','output_reset_rewrite_vars','overload',
+ 'outputdebugstring','pack','parse_ini_file','parse_str','parse_url',
+ 'parsekit_compile_file','parsekit_compile_string',
+ 'parsekit_func_arginfo','parsekit_opcode_flags',
+ 'parsekit_opcode_name','passthru','pathinfo','pclose',
+ 'pdf_add_bookmark','pdf_add_launchlink','pdf_add_locallink',
+ 'pdf_add_nameddest','pdf_add_note','pdf_add_pdflink',
+ 'pdf_add_thumbnail','pdf_add_weblink','pdf_arc','pdf_arcn',
+ 'pdf_attach_file','pdf_begin_font','pdf_begin_glyph',
+ 'pdf_begin_page','pdf_begin_pattern','pdf_begin_template',
+ 'pdf_circle','pdf_clip','pdf_close','pdf_close_image',
+ 'pdf_close_pdi','pdf_close_pdi_page','pdf_closepath',
+ 'pdf_closepath_fill_stroke','pdf_closepath_stroke','pdf_concat',
+ 'pdf_continue_text','pdf_create_gstate','pdf_create_pvf',
+ 'pdf_curveto','pdf_delete','pdf_delete_pvf','pdf_encoding_set_char',
+ 'pdf_end_font','pdf_end_glyph','pdf_end_page','pdf_end_pattern',
+ 'pdf_end_template','pdf_endpath','pdf_fill','pdf_fill_imageblock',
+ 'pdf_fill_pdfblock','pdf_fill_stroke','pdf_fill_textblock',
+ 'pdf_findfont','pdf_fit_image','pdf_fit_pdi_page',
+ 'pdf_fit_textline','pdf_get_apiname','pdf_get_buffer',
+ 'pdf_get_errmsg','pdf_get_errnum','pdf_get_parameter',
+ 'pdf_get_pdi_parameter','pdf_get_pdi_value','pdf_get_value',
+ 'pdf_initgraphics','pdf_lineto','pdf_load_font',
+ 'pdf_load_iccprofile','pdf_load_image','pdf_makespotcolor',
+ 'pdf_moveto','pdf_new','pdf_open_ccitt','pdf_open_file',
+ 'pdf_open_image','pdf_open_image_file','pdf_open_pdi',
+ 'pdf_open_pdi_page','pdf_place_image','pdf_place_pdi_page',
+ 'pdf_process_pdi','pdf_rect','pdf_restore','pdf_rotate','pdf_save',
+ 'pdf_scale','pdf_set_border_color','pdf_set_border_dash',
+ 'pdf_set_border_style','pdf_set_gstate','pdf_set_info',
+ 'pdf_set_parameter','pdf_set_text_pos','pdf_set_value',
+ 'pdf_setcolor','pdf_setdash','pdf_setdashpattern','pdf_setflat',
+ 'pdf_setfont','pdf_setlinecap','pdf_setlinejoin','pdf_setlinewidth',
+ 'pdf_setmatrix','pdf_setmiterlimit','pdf_setpolydash','pdf_shading',
+ 'pdf_shading_pattern','pdf_shfill','pdf_show','pdf_show_boxed',
+ 'pdf_show_xy','pdf_skew','pdf_stringwidth','pdf_stroke',
+ 'pdf_translate','pdo_drivers','pfsockopen','pg_affected_rows',
+ 'pg_cancel_query','pg_clientencoding','pg_client_encoding',
+ 'pg_close','pg_cmdtuples','pg_connect','pg_connection_busy',
+ 'pg_connection_reset','pg_connection_status','pg_convert',
+ 'pg_copy_from','pg_copy_to','pg_dbname','pg_delete','pg_end_copy',
+ 'pg_errormessage','pg_escape_bytea','pg_escape_string','pg_exec',
+ 'pg_execute','pg_fetch_all','pg_fetch_all_columns','pg_fetch_array',
+ 'pg_fetch_assoc','pg_fetch_object','pg_fetch_result','pg_fetch_row',
+ 'pg_fieldisnull','pg_fieldname','pg_fieldnum','pg_fieldprtlen',
+ 'pg_fieldsize','pg_fieldtype','pg_field_is_null','pg_field_name',
+ 'pg_field_num','pg_field_prtlen','pg_field_size','pg_field_table',
+ 'pg_field_type','pg_field_type_oid','pg_free_result',
+ 'pg_freeresult','pg_get_notify','pg_get_pid','pg_get_result',
+ 'pg_getlastoid','pg_host','pg_insert','pg_last_error',
+ 'pg_last_notice','pg_last_oid','pg_loclose','pg_locreate',
+ 'pg_loexport','pg_loimport','pg_loopen','pg_loread','pg_loreadall',
+ 'pg_lounlink','pg_lowrite','pg_lo_close','pg_lo_create',
+ 'pg_lo_export','pg_lo_import','pg_lo_open','pg_lo_read',
+ 'pg_lo_read_all','pg_lo_seek','pg_lo_tell','pg_lo_unlink',
+ 'pg_lo_write','pg_meta_data','pg_numfields','pg_numrows',
+ 'pg_num_fields','pg_num_rows','pg_options','pg_parameter_status',
+ 'pg_pconnect','pg_ping','pg_port','pg_prepare','pg_put_line',
+ 'pg_query','pg_query_params','pg_result','pg_result_error',
+ 'pg_result_error_field','pg_result_seek','pg_result_status',
+ 'pg_select','pg_send_execute','pg_send_prepare','pg_send_query',
+ 'pg_send_query_params','pg_set_client_encoding',
+ 'pg_set_error_verbosity','pg_setclientencoding','pg_trace',
+ 'pg_transaction_status','pg_tty','pg_unescape_bytea','pg_untrace',
+ 'pg_update','pg_version','php_egg_logo_guid','php_ini_loaded_file',
+ 'php_ini_scanned_files','php_logo_guid','php_real_logo_guid',
+ 'php_sapi_name','php_strip_whitespace','php_uname','phpcredits',
+ 'phpdoc_xml_from_string','phpinfo','phpversion','pi','png2wbmp',
+ 'pop3_close','pop3_delete_message','pop3_get_account_size',
+ 'pop3_get_message','pop3_get_message_count',
+ 'pop3_get_message_header','pop3_get_message_ids',
+ 'pop3_get_message_size','pop3_get_message_sizes','pop3_open',
+ 'pop3_undelete','popen','pos','posix_ctermid','posix_errno',
+ 'posix_getcwd','posix_getegid','posix_geteuid','posix_getgid',
+ 'posix_getgrgid','posix_getgrnam','posix_getgroups',
+ 'posix_getlogin','posix_getpgid','posix_getpgrp','posix_getpid',
+ 'posix_getppid','posix_getpwnam','posix_getpwuid','posix_getrlimit',
+ 'posix_getsid','posix_getuid','posix_get_last_error','posix_isatty',
+ 'posix_kill','posix_mkfifo','posix_setegid','posix_seteuid',
+ 'posix_setgid','posix_setpgid','posix_setsid','posix_setuid',
+ 'posix_strerror','posix_times','posix_ttyname','posix_uname','pow',
+ 'preg_grep','preg_last_error','preg_match','preg_match_all',
+ 'preg_quote','preg_replace','preg_replace_callback','preg_split',
+ 'prev','print_r','printf','proc_close','proc_get_status',
+ 'proc_open','proc_terminate','putenv','quoted_printable_decode',
+ 'quotemeta','rad2deg','radius_acct_open','radius_add_server',
+ 'radius_auth_open','radius_close','radius_config',
+ 'radius_create_request','radius_cvt_addr','radius_cvt_int',
+ 'radius_cvt_string','radius_demangle','radius_demangle_mppe_key',
+ 'radius_get_attr','radius_get_vendor_attr','radius_put_addr',
+ 'radius_put_attr','radius_put_int','radius_put_string',
+ 'radius_put_vendor_addr','radius_put_vendor_attr',
+ 'radius_put_vendor_int','radius_put_vendor_string',
+ 'radius_request_authenticator','radius_send_request',
+ 'radius_server_secret','radius_strerror','rand','range',
+ 'rawurldecode','rawurlencode','read_exif_data','readdir','readfile',
+ 'readgzfile','readlink','realpath','reg_close_key','reg_create_key',
+ 'reg_enum_key','reg_enum_value','reg_get_value','reg_open_key',
+ 'reg_set_value','register_shutdown_function',
+ 'register_tick_function','rename','res_close','res_get','res_list',
+ 'res_list_type','res_open','res_set','reset',
+ 'restore_error_handler','restore_include_path','rewind','rewinddir',
+ 'rmdir','round','rsort','rtrim','runkit_class_adopt',
+ 'runkit_class_emancipate','runkit_constant_add',
+ 'runkit_constant_redefine','runkit_constant_remove',
+ 'runkit_default_property_add','runkit_function_add',
+ 'runkit_function_copy','runkit_function_redefine',
+ 'runkit_function_remove','runkit_function_rename','runkit_import',
+ 'runkit_lint','runkit_lint_file','runkit_method_add',
+ 'runkit_method_copy','runkit_method_redefine',
+ 'runkit_method_remove','runkit_method_rename','runkit_object_id',
+ 'runkit_return_value_used','runkit_sandbox_output_handler',
+ 'runkit_superglobals','runkit_zval_inspect','scandir','sem_acquire',
+ 'sem_get','sem_release','sem_remove','serialize',
+ 'session_cache_expire','session_cache_limiter','session_commit',
+ 'session_decode','session_destroy','session_encode',
+ 'session_get_cookie_params','session_id','session_is_registered',
+ 'session_module_name','session_name','session_regenerate_id',
+ 'session_register','session_save_path','session_set_cookie_params',
+ 'session_set_save_handler','session_start','session_unregister',
+ 'session_unset','session_write_close','set_content',
+ 'set_error_handler','set_file_buffer','set_include_path',
+ 'set_magic_quotes_runtime','set_socket_blocking','set_time_limit',
+ 'setcookie','setlocale','setrawcookie','settype','sha1','sha1_file',
+ 'shell_exec','shmop_close','shmop_delete','shmop_open','shmop_read',
+ 'shmop_size','shmop_write','shm_attach','shm_detach','shm_get_var',
+ 'shm_put_var','shm_remove','shm_remove_var','show_source','shuffle',
+ 'similar_text','simplexml_import_dom','simplexml_load_file',
+ 'simplexml_load_string','sin','sinh','sizeof','sleep','smtp_close',
+ 'smtp_cmd_data','smtp_cmd_mail','smtp_cmd_rcpt','smtp_connect',
+ 'snmp_get_quick_print','snmp_get_valueretrieval','snmp_read_mib',
+ 'snmp_set_quick_print','snmp_set_valueretrieval','snmp2_get',
+ 'snmp2_getnext','snmp2_real_walk','snmp2_set','snmp2_walk',
+ 'snmp3_get','snmp3_getnext','snmp3_real_walk','snmp3_set',
+ 'snmp3_walk','snmpget','snmpgetnext','snmprealwalk','snmpset',
+ 'snmpwalk','snmpwalkoid','socket_accept','socket_bind',
+ 'socket_clear_error','socket_close','socket_connect',
+ 'socket_create','socket_create_listen','socket_create_pair',
+ 'socket_getopt','socket_getpeername','socket_getsockname',
+ 'socket_get_option','socket_get_status','socket_iovec_add',
+ 'socket_iovec_alloc','socket_iovec_delete','socket_iovec_fetch',
+ 'socket_iovec_free','socket_iovec_set','socket_last_error',
+ 'socket_listen','socket_read','socket_readv','socket_recv',
+ 'socket_recvfrom','socket_recvmsg','socket_select','socket_send',
+ 'socket_sendmsg','socket_sendto','socket_setopt','socket_set_block',
+ 'socket_set_blocking','socket_set_nonblock','socket_set_option',
+ 'socket_set_timeout','socket_shutdown','socket_strerror',
+ 'socket_write','socket_writev','sort','soundex','spl_autoload',
+ 'spl_autoload_call','spl_autoload_extensions',
+ 'spl_autoload_functions','spl_autoload_register',
+ 'spl_autoload_unregister','spl_classes','spl_object_hash','split',
+ 'spliti','sprintf','sql_regcase','sqlite_array_query',
+ 'sqlite_busy_timeout','sqlite_changes','sqlite_close',
+ 'sqlite_column','sqlite_create_aggregate','sqlite_create_function',
+ 'sqlite_current','sqlite_error_string','sqlite_escape_string',
+ 'sqlite_exec','sqlite_factory','sqlite_fetch_all',
+ 'sqlite_fetch_array','sqlite_fetch_column_types',
+ 'sqlite_fetch_object','sqlite_fetch_single','sqlite_fetch_string',
+ 'sqlite_field_name','sqlite_has_more','sqlite_has_prev',
+ 'sqlite_last_error','sqlite_last_insert_rowid','sqlite_libencoding',
+ 'sqlite_libversion','sqlite_next','sqlite_num_fields',
+ 'sqlite_num_rows','sqlite_open','sqlite_popen','sqlite_prev',
+ 'sqlite_query','sqlite_rewind','sqlite_seek','sqlite_single_query',
+ 'sqlite_udf_decode_binary','sqlite_udf_encode_binary',
+ 'sqlite_unbuffered_query','sqlite_valid','sqrt','srand','sscanf',
+ 'ssh2_auth_hostbased_file','ssh2_auth_none','ssh2_auth_password',
+ 'ssh2_auth_pubkey_file','ssh2_connect','ssh2_exec',
+ 'ssh2_fetch_stream','ssh2_fingerprint','ssh2_forward_accept',
+ 'ssh2_forward_listen','ssh2_methods_negotiated','ssh2_poll',
+ 'ssh2_publickey_add','ssh2_publickey_init','ssh2_publickey_list',
+ 'ssh2_publickey_remove','ssh2_scp_recv','ssh2_scp_send','ssh2_sftp',
+ 'ssh2_sftp_lstat','ssh2_sftp_mkdir','ssh2_sftp_readlink',
+ 'ssh2_sftp_realpath','ssh2_sftp_rename','ssh2_sftp_rmdir',
+ 'ssh2_sftp_stat','ssh2_sftp_symlink','ssh2_sftp_unlink',
+ 'ssh2_shell','ssh2_tunnel','stat','stats_absolute_deviation',
+ 'stats_cdf_beta','stats_cdf_binomial','stats_cdf_cauchy',
+ 'stats_cdf_chisquare','stats_cdf_exponential','stats_cdf_f',
+ 'stats_cdf_gamma','stats_cdf_laplace','stats_cdf_logistic',
+ 'stats_cdf_negative_binomial','stats_cdf_noncentral_chisquare',
+ 'stats_cdf_noncentral_f','stats_cdf_noncentral_t',
+ 'stats_cdf_normal','stats_cdf_poisson','stats_cdf_t',
+ 'stats_cdf_uniform','stats_cdf_weibull','stats_covariance',
+ 'stats_dens_beta','stats_dens_cauchy','stats_dens_chisquare',
+ 'stats_dens_exponential','stats_dens_f','stats_dens_gamma',
+ 'stats_dens_laplace','stats_dens_logistic','stats_dens_normal',
+ 'stats_dens_pmf_binomial','stats_dens_pmf_hypergeometric',
+ 'stats_dens_pmf_negative_binomial','stats_dens_pmf_poisson',
+ 'stats_dens_t','stats_dens_uniform','stats_dens_weibull',
+ 'stats_harmonic_mean','stats_kurtosis','stats_rand_gen_beta',
+ 'stats_rand_gen_chisquare','stats_rand_gen_exponential',
+ 'stats_rand_gen_f','stats_rand_gen_funiform','stats_rand_gen_gamma',
+ 'stats_rand_gen_ipoisson','stats_rand_gen_iuniform',
+ 'stats_rand_gen_noncenral_f','stats_rand_gen_noncentral_chisquare',
+ 'stats_rand_gen_noncentral_t','stats_rand_gen_normal',
+ 'stats_rand_gen_t','stats_rand_getsd','stats_rand_ibinomial',
+ 'stats_rand_ibinomial_negative','stats_rand_ignlgi',
+ 'stats_rand_phrase_to_seeds','stats_rand_ranf','stats_rand_setall',
+ 'stats_skew','stats_standard_deviation','stats_stat_binomial_coef',
+ 'stats_stat_correlation','stats_stat_factorial',
+ 'stats_stat_independent_t','stats_stat_innerproduct',
+ 'stats_stat_paired_t','stats_stat_percentile','stats_stat_powersum',
+ 'stats_variance','strcasecmp','strchr','strcmp','strcoll','strcspn',
+ 'stream_bucket_append','stream_bucket_make_writeable',
+ 'stream_bucket_new','stream_bucket_prepend','stream_context_create',
+ 'stream_context_get_default','stream_context_get_options',
+ 'stream_context_set_default','stream_context_set_option',
+ 'stream_context_set_params','stream_copy_to_stream',
+ 'stream_encoding','stream_filter_append','stream_filter_prepend',
+ 'stream_filter_register','stream_filter_remove',
+ 'stream_get_contents','stream_get_filters','stream_get_line',
+ 'stream_get_meta_data','stream_get_transports',
+ 'stream_get_wrappers','stream_is_local',
+ 'stream_notification_callback','stream_register_wrapper',
+ 'stream_resolve_include_path','stream_select','stream_set_blocking',
+ 'stream_set_timeout','stream_set_write_buffer',
+ 'stream_socket_accept','stream_socket_client',
+ 'stream_socket_enable_crypto','stream_socket_get_name',
+ 'stream_socket_pair','stream_socket_recvfrom',
+ 'stream_socket_sendto','stream_socket_server',
+ 'stream_socket_shutdown','stream_supports_lock',
+ 'stream_wrapper_register','stream_wrapper_restore',
+ 'stream_wrapper_unregister','strftime','stripcslashes','stripos',
+ 'stripslashes','strip_tags','stristr','strlen','strnatcasecmp',
+ 'strnatcmp','strpbrk','strncasecmp','strncmp','strpos','strrchr',
+ 'strrev','strripos','strrpos','strspn','strstr','strtok',
+ 'strtolower','strtotime','strtoupper','strtr','strval',
+ 'str_ireplace','str_pad','str_repeat','str_replace','str_rot13',
+ 'str_split','str_shuffle','str_word_count','substr',
+ 'substr_compare','substr_count','substr_replace','svn_add',
+ 'svn_auth_get_parameter','svn_auth_set_parameter','svn_cat',
+ 'svn_checkout','svn_cleanup','svn_client_version','svn_commit',
+ 'svn_diff','svn_export','svn_fs_abort_txn','svn_fs_apply_text',
+ 'svn_fs_begin_txn2','svn_fs_change_node_prop','svn_fs_check_path',
+ 'svn_fs_contents_changed','svn_fs_copy','svn_fs_delete',
+ 'svn_fs_dir_entries','svn_fs_file_contents','svn_fs_file_length',
+ 'svn_fs_is_dir','svn_fs_is_file','svn_fs_make_dir',
+ 'svn_fs_make_file','svn_fs_node_created_rev','svn_fs_node_prop',
+ 'svn_fs_props_changed','svn_fs_revision_prop',
+ 'svn_fs_revision_root','svn_fs_txn_root','svn_fs_youngest_rev',
+ 'svn_import','svn_info','svn_log','svn_ls','svn_repos_create',
+ 'svn_repos_fs','svn_repos_fs_begin_txn_for_commit',
+ 'svn_repos_fs_commit_txn','svn_repos_hotcopy','svn_repos_open',
+ 'svn_repos_recover','svn_status','svn_update','symlink',
+ 'sys_get_temp_dir','syslog','system','tan','tanh','tempnam',
+ 'textdomain','thread_get','thread_include','thread_lock',
+ 'thread_lock_try','thread_mutex_destroy','thread_mutex_init',
+ 'thread_set','thread_start','thread_unlock','tidy_access_count',
+ 'tidy_clean_repair','tidy_config_count','tidy_diagnose',
+ 'tidy_error_count','tidy_get_body','tidy_get_config',
+ 'tidy_get_error_buffer','tidy_get_head','tidy_get_html',
+ 'tidy_get_html_ver','tidy_get_output','tidy_get_release',
+ 'tidy_get_root','tidy_get_status','tidy_getopt','tidy_is_xhtml',
+ 'tidy_is_xml','tidy_parse_file','tidy_parse_string',
+ 'tidy_repair_file','tidy_repair_string','tidy_warning_count','time',
+ 'timezone_abbreviations_list','timezone_identifiers_list',
+ 'timezone_name_from_abbr','timezone_name_get','timezone_offset_get',
+ 'timezone_open','timezone_transitions_get','tmpfile',
+ 'token_get_all','token_name','touch','trigger_error',
+ 'transliterate','transliterate_filters_get','trim','uasort',
+ 'ucfirst','ucwords','uksort','umask','uniqid','unixtojd','unlink',
+ 'unpack','unregister_tick_function','unserialize','unset',
+ 'urldecode','urlencode','user_error','use_soap_error_handler',
+ 'usleep','usort','utf8_decode','utf8_encode','var_dump',
+ 'var_export','variant_abs','variant_add','variant_and',
+ 'variant_cast','variant_cat','variant_cmp',
+ 'variant_date_from_timestamp','variant_date_to_timestamp',
+ 'variant_div','variant_eqv','variant_fix','variant_get_type',
+ 'variant_idiv','variant_imp','variant_int','variant_mod',
+ 'variant_mul','variant_neg','variant_not','variant_or',
+ 'variant_pow','variant_round','variant_set','variant_set_type',
+ 'variant_sub','variant_xor','version_compare','virtual','vfprintf',
+ 'vprintf','vsprintf','wddx_add_vars','wddx_deserialize',
+ 'wddx_packet_end','wddx_packet_start','wddx_serialize_value',
+ 'wddx_serialize_vars','win_beep','win_browse_file',
+ 'win_browse_folder','win_create_link','win_message_box',
+ 'win_play_wav','win_shell_execute','win32_create_service',
+ 'win32_delete_service','win32_get_last_control_message',
+ 'win32_ps_list_procs','win32_ps_stat_mem','win32_ps_stat_proc',
+ 'win32_query_service_status','win32_scheduler_delete_task',
+ 'win32_scheduler_enum_tasks','win32_scheduler_get_task_info',
+ 'win32_scheduler_run','win32_scheduler_set_task_info',
+ 'win32_set_service_status','win32_start_service',
+ 'win32_start_service_ctrl_dispatcher','win32_stop_service',
+ 'wordwrap','xml_error_string','xml_get_current_byte_index',
+ 'xml_get_current_column_number','xml_get_current_line_number',
+ 'xml_get_error_code','xml_parse','xml_parser_create',
+ 'xml_parser_create_ns','xml_parser_free','xml_parser_get_option',
+ 'xml_parser_set_option','xml_parse_into_struct',
+ 'xml_set_character_data_handler','xml_set_default_handler',
+ 'xml_set_element_handler','xml_set_end_namespace_decl_handler',
+ 'xml_set_external_entity_ref_handler',
+ 'xml_set_notation_decl_handler','xml_set_object',
+ 'xml_set_processing_instruction_handler',
+ 'xml_set_start_namespace_decl_handler',
+ 'xml_set_unparsed_entity_decl_handler','xmldoc','xmldocfile',
+ 'xmlrpc_decode','xmlrpc_decode_request','xmlrpc_encode',
+ 'xmlrpc_encode_request','xmlrpc_get_type','xmlrpc_is_fault',
+ 'xmlrpc_parse_method_descriptions',
+ 'xmlrpc_server_add_introspection_data','xmlrpc_server_call_method',
+ 'xmlrpc_server_create','xmlrpc_server_destroy',
+ 'xmlrpc_server_register_introspection_callback',
+ 'xmlrpc_server_register_method','xmlrpc_set_type','xmltree',
+ 'xmlwriter_end_attribute','xmlwriter_end_cdata',
+ 'xmlwriter_end_comment','xmlwriter_end_document',
+ 'xmlwriter_end_dtd','xmlwriter_end_dtd_attlist',
+ 'xmlwriter_end_dtd_element','xmlwriter_end_dtd_entity',
+ 'xmlwriter_end_element','xmlwriter_end_pi','xmlwriter_flush',
+ 'xmlwriter_full_end_element','xmlwriter_open_memory',
+ 'xmlwriter_open_uri','xmlwriter_output_memory',
+ 'xmlwriter_set_indent','xmlwriter_set_indent_string',
+ 'xmlwriter_start_attribute','xmlwriter_start_attribute_ns',
+ 'xmlwriter_start_cdata','xmlwriter_start_comment',
+ 'xmlwriter_start_document','xmlwriter_start_dtd',
+ 'xmlwriter_start_dtd_attlist','xmlwriter_start_dtd_element',
+ 'xmlwriter_start_dtd_entity','xmlwriter_start_element',
+ 'xmlwriter_start_element_ns','xmlwriter_start_pi','xmlwriter_text',
+ 'xmlwriter_write_attribute','xmlwriter_write_attribute_ns',
+ 'xmlwriter_write_cdata','xmlwriter_write_comment',
+ 'xmlwriter_write_dtd','xmlwriter_write_dtd_attlist',
+ 'xmlwriter_write_dtd_element','xmlwriter_write_dtd_entity',
+ 'xmlwriter_write_element','xmlwriter_write_element_ns',
+ 'xmlwriter_write_pi','xmlwriter_write_raw','xpath_eval',
+ 'xpath_eval_expression','xpath_new_context','xpath_register_ns',
+ 'xpath_register_ns_auto','xptr_eval','xptr_new_context','yp_all',
+ 'yp_cat','yp_errno','yp_err_string','yp_first',
+ 'yp_get_default_domain','yp_master','yp_match','yp_next','yp_order',
+ 'zend_current_obfuscation_level','zend_get_cfg_var','zend_get_id',
+ 'zend_loader_current_file','zend_loader_enabled',
+ 'zend_loader_file_encoded','zend_loader_file_licensed',
+ 'zend_loader_install_license','zend_loader_version',
+ 'zend_logo_guid','zend_match_hostmasks','zend_obfuscate_class_name',
+ 'zend_obfuscate_function_name','zend_optimizer_version',
+ 'zend_runtime_obfuscate','zend_version','zip_close',
+ 'zip_entry_close','zip_entry_compressedsize',
+ 'zip_entry_compressionmethod','zip_entry_filesize','zip_entry_name',
+ 'zip_entry_open','zip_entry_read','zip_open','zip_read',
+ 'zlib_get_coding_type'
+ ),
+ 4 => array(
+ 'DEFAULT_INCLUDE_PATH', 'DIRECTORY_SEPARATOR', 'E_ALL',
+ 'E_COMPILE_ERROR', 'E_COMPILE_WARNING', 'E_CORE_ERROR',
+ 'E_CORE_WARNING', 'E_ERROR', 'E_NOTICE', 'E_PARSE', 'E_STRICT',
+ 'E_USER_ERROR', 'E_USER_NOTICE', 'E_USER_WARNING', 'E_WARNING',
+ 'ENT_COMPAT','ENT_QUOTES','ENT_NOQUOTES',
+ 'false', 'null', 'PEAR_EXTENSION_DIR', 'PEAR_INSTALL_DIR',
+ 'PHP_BINDIR', 'PHP_CONFIG_FILE_PATH', 'PHP_DATADIR',
+ 'PHP_EXTENSION_DIR', 'PHP_LIBDIR',
+ 'PHP_LOCALSTATEDIR', 'PHP_OS',
+ 'PHP_OUTPUT_HANDLER_CONT', 'PHP_OUTPUT_HANDLER_END',
+ 'PHP_OUTPUT_HANDLER_START', 'PHP_SYSCONFDIR',
+ 'PHP_VERSION', 'true', '__CLASS__', '__FILE__', '__FUNCTION__',
+ '__LINE__', '__METHOD__'
+ )
+ ),
+ 'SYMBOLS' => array(
+ 1 => array(
+ '<'.'%', '<'.'%=', '%'.'>', '<'.'?', '<'.'?=', '?'.'>'
+ ),
+ 0 => array(
+ '(', ')', '[', ']', '{', '}',
+ '!', '@', '%', '&', '|', '/',
+ '<', '>',
+ '=', '-', '+', '*',
+ '.', ':', ',', ';'
+ )
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #b1b100;',
+ 2 => 'color: #000000; font-weight: bold;',
+ 3 => 'color: #990000;',
+ 4 => 'color: #009900; font-weight: bold;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #666666; font-style: italic;',
+ 2 => 'color: #666666; font-style: italic;',
+ 3 => 'color: #0000cc; font-style: italic;',
+ 4 => 'color: #009933; font-style: italic;',
+ 'MULTI' => 'color: #666666; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;',
+ 1 => 'color: #000099; font-weight: bold;',
+ 2 => 'color: #660099; font-weight: bold;',
+ 3 => 'color: #660099; font-weight: bold;',
+ 4 => 'color: #006699; font-weight: bold;',
+ 5 => 'color: #006699; font-weight: bold; font-style: italic;',
+ 6 => 'color: #009933; font-weight: bold;',
+ 'HARD' => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #009900;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #0000ff;',
+ 'HARD' => 'color: #0000ff;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;',
+ GESHI_NUMBER_OCT_PREFIX => 'color: #208080;',
+ GESHI_NUMBER_HEX_PREFIX => 'color: #208080;',
+ GESHI_NUMBER_FLT_SCI_ZERO => 'color:#800080;',
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #004000;',
+ 2 => 'color: #004000;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #339933;',
+ 1 => 'color: #000000; font-weight: bold;'
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #000088;'
+ ),
+ 'SCRIPT' => array(
+ 0 => '',
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => '',
+ 5 => ''
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => 'http://www.php.net/{FNAMEL}',
+ 4 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '-&gt;',
+ 2 => '::'
+ ),
+ 'REGEXPS' => array(
+ //Variables
+ 0 => "[\\$]{1,2}[a-zA-Z_][a-zA-Z0-9_]*"
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_MAYBE,
+ 'SCRIPT_DELIMITERS' => array(
+ 0 => array(
+ '<'.'?php' => '?'.'>'
+ ),
+ 1 => array(
+ '<'.'?' => '?'.'>'
+ ),
+ 2 => array(
+ '<'.'%' => '%'.'>'
+ ),
+ 3 => array(
+ '<script language="php">' => '</script>'
+ ),
+ 4 => "/(?<start><\\?(?>php\b)?)(?:".
+ "(?>[^\"'?\\/<]+)|".
+ "\\?(?!>)|".
+ "(?>'(?>[^'\\\\]|\\\\'|\\\\\\\|\\\\)*')|".
+ "(?>\"(?>[^\"\\\\]|\\\\\"|\\\\\\\\|\\\\)*\")|".
+ "(?>\\/\\*(?>[^\\*]|(?!\\*\\/)\\*)*\\*\\/)|".
+ "\\/\\/(?>.*?$)|".
+ "\\/(?=[^*\\/])|".
+ "<(?!<<)|".
+ "<<<(?<phpdoc>\w+)\s.*?\s\k<phpdoc>".
+ ")*(?<end>\\?>|\Z)/sm",
+ 5 => "/(?<start><%)(?:".
+ "(?>[^\"'%\\/<]+)|".
+ "%(?!>)|".
+ "(?>'(?>[^'\\\\]|\\\\'|\\\\\\\|\\\\)*')|".
+ "(?>\"(?>[^\\\"\\\\]|\\\\\"|\\\\\\\\|\\\\)*\")|".
+ "(?>\\/\\*(?>[^\\*]|(?!\\*\\/)\\*)*\\*\\/)|".
+ "\\/\\/(?>.*?$)|".
+ "\\/(?=[^*\\/])|".
+ "<(?!<<)|".
+ "<<<(?<phpdoc>\w+)\s.*?\s\k<phpdoc>".
+ ")*(?<end>%>)/sm",
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ 0 => true,
+ 1 => true,
+ 2 => true,
+ 3 => true,
+ 4 => true,
+ 5 => true
+ ),
+ 'TAB_WIDTH' => 4
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/pic16.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/pic16.php
new file mode 100644
index 000000000..f25183ffb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/pic16.php
@@ -0,0 +1,141 @@
+<?php
+/*************************************************************************************
+ * pic16.php
+ * -------
+ * Author: Phil Mattison (mattison@ohmikron.com)
+ * Copyright: (c) 2008 Ohmikron Corp. (http://www.ohmikron.com/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2008/07/30
+ *
+ * PIC16 Assembler language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/07/30 (1.0.8)
+ * - First Release
+ *
+ * TODO (updated 2008/07/30)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'PIC16',
+ 'COMMENT_SINGLE' => array(1 => ';'),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ /*Instructions*/
+ 1 => array(
+ 'addcf','adddcf','addlw','addwf','andlw','andwf','bc','bcf','bdc',
+ 'bnc','bndc','bnz','bsf','btfsc','btfss','bz','call','clrc','clrdc',
+ 'clrf','clrw','clrwdt','clrz','comf','decf','goto','incf','incfsz',
+ 'iorlw','iorwf','lcall','lgoto','movf','movfw','movlw','movwf',
+ 'option','negf','nop','retfie','retlw','return','rlf','rrf','setc',
+ 'setdc','setz','skpc','skpdc','skpnc','skpndc','skpnz','skpz',
+ 'sleep','subcf','subdcf','sublw','subwf','swapf','tris','tstf',
+ 'xorlw','xorwf'
+ ),
+ /*Registers*/
+ 2 => array(
+ 'INDF','TMR0','OPTION','PCL','STATUS','FSR','PORTA','PORTB','PORTC',
+ 'PORTD','PORTE','PORTF','TRISA','TRISB','TRISC','TRISD','TRISE',
+ 'TRISF','PCLATH','INTCON','PIR1','PIE1','PCON','CMCON','VRCON',
+ 'F','W'
+ ),
+ /*Directives*/
+ 3 => array(
+ '_BADRAM','BANKISEL','BANKSEL','CBLOCK','CODE','_CONFIG','CONSTANT',
+ 'DA','DATA','DB','DE','#DEFINE','DT','DW','ELSE','END','ENDC',
+ 'ENDIF','ENDM','ENDW','EQU','ERROR','ERRORLEVEL','EXITM','EXPAND',
+ 'EXTERN','FILL','GLOBAL','IDATA','_IDLOCS','IF','IFDEF','IFNDEF',
+ 'INCLUDE','#INCLUDE','LIST','LOCAL','MACRO','_MAXRAM','MESSG',
+ 'NOEXPAND','NOLIST','ORG','PAGE','PAGESEL','PROCESSOR','RADIX',
+ 'RES','SET','SPACE','SUBTITLE','TITLE','UDATA','UDATA_ACS',
+ 'UDATA_OVR','UDATA_SHR','#UNDEFINE','VARIABLE','WHILE',
+ 'D','H','O','B','A'
+ ),
+ ),
+ 'SYMBOLS' => array('=','.',',',':'),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #0000a0; font-weight: bold;',
+ 2 => 'color: #aa3300; font-weight: bold;',
+ 3 => 'color: #0000ff;',
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #00a000;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #0000ff;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff7700;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #ff7700;'
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #7777ff;'
+ ),
+ 'REGEXPS' => array(),
+ 'SCRIPT' => array()
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(),
+ 'NUMBERS' =>
+ GESHI_NUMBER_INT_BASIC |
+ GESHI_NUMBER_BIN_SUFFIX |
+ GESHI_NUMBER_HEX_PREFIX |
+ GESHI_NUMBER_HEX_SUFFIX,
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(),
+ 'TAB_WIDTH' => 4,
+ 'PARSER_CONTROL' => array(
+ 'KEYWORDS' => array(
+ 'DISALLOWED_BEFORE' => "a-zA-Z0-9\$_\|\#>|^",
+ 'DISALLOWED_AFTER' => "a-zA-Z0-9_<\|%"
+ )
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/pixelbender.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/pixelbender.php
new file mode 100644
index 000000000..b65e228a1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/pixelbender.php
@@ -0,0 +1,176 @@
+<?php
+/*************************************************************************************
+ * pixelbender.php
+ * ----------------
+ * Author: Richard Olsson (r@richardolsson.se)
+ * Copyright: (c) 2008 Richard Olsson (richardolsson.se)
+ * Release Version: 1.0.8.4
+ * Date Started: 2008/11/16
+ *
+ * Pixel Bender 1.0 language file for GeSHi.
+ *
+ *
+ * Please feel free to modify this file, although I would greatly appreciate
+ * it if you would then send some feedback on why the file needed to be
+ * changed, using the e-mail address above.
+ *
+ *
+ * The colors are inspired by those used in the Pixel Bender Toolkit, with
+ * some slight modifications.
+ *
+ * For more info on Pixel Bender, see the Adobe Labs Wiki article at
+ * http://labs.adobe.com/wiki/index.php/Pixel_Bender_Toolkit.
+ *
+ * Keyword groups are defined as follows (groups marked with an asterisk
+ * inherit their names from terminology used in the language specification
+ * included with the Pixel Bender Toolkit, see URL above.)
+ *
+ * 1. languageVersion & kernel keywords
+ * 2. Kernel Members *
+ * 3. Types *
+ * 4. Statements * & qualifiers (in, out, inout)
+ * 5. Built-in functions *
+ * 6. Meta-data names
+ * 7. Preprocessor & Pre-defined symbols *
+ *
+ *
+ * CHANGES
+ * -------
+ * 2008/11/16 (1.0.8.2)
+ * - Initial release
+ *
+ * TODO (updated 2008/11/16)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array(
+ 'LANG_NAME' => 'Pixel Bender 1.0',
+ 'COMMENT_SINGLE' => array(1 => '//'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'languageVersion', 'kernel'
+ ),
+ 2 => array(
+ 'import', 'parameter', 'dependent', 'const', 'input', 'output',
+ 'evaluatePixel', 'evaluateDependents', 'needed', 'changed', 'generated'
+ ),
+ 3 => array(
+ 'bool', 'bool2', 'bool3', 'bool4', 'int', 'int2', 'int3', 'int4',
+ 'float', 'float2', 'float3', 'float4', 'float2x2', 'float3x3', 'float4x4',
+ 'pixel2', 'pixel3', 'pixel4', 'region', 'image1', 'image2', 'image3', 'image4',
+ 'imageRef', 'void'
+ ),
+ 4 => array(
+ 'in', 'out', 'inout', 'if', 'else', 'for', 'while', 'do', 'break',
+ 'continue', 'return'
+ ),
+ 5 => array(
+ 'radians', 'degrees', 'sin', 'cos', 'tan', 'asin', 'acos', 'atan', 'pow',
+ 'exp', 'exp2', 'log', 'log2', 'sqrt', 'inverseSqrt', 'abs', 'sign', 'floor',
+ 'ceil', 'fract', 'mod', 'min', 'max', 'step', 'clamp', 'mix', 'smoothStep',
+ 'length', 'distance', 'dot', 'cross', 'normalize', 'matrixCompMult', 'lessThan',
+ 'lessThanEqual', 'greaterThan', 'greaterThanEqual', 'equal', 'notEqual', 'any',
+ 'all', 'not', 'nowhere', 'everywhere', 'transform', 'union', 'intersect',
+ 'outset', 'inset', 'bounds', 'isEmpty', 'sample', 'sampleLinear', 'sampleNearest',
+ 'outCoord', 'dod', 'pixelSize', 'pixelAspectRatio'
+ ),
+ 6 => array(
+ 'namespace', 'vendor', 'version', 'minValue', 'maxValue', 'defaultValue', 'description'
+ ),
+ 7 => array(
+ '#if', '#endif', '#ifdef', '#elif', 'defined', '#define',
+ 'AIF_ATI', 'AIF_NVIDIA', 'AIF_FLASH_TARGET'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '[', ']', '{', '}', '!', '%', '&', '|', '+', '-', '*', '/', '=', '<', '>', '?', ':'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => true,
+ 2 => true,
+ 3 => true,
+ 4 => true,
+ 5 => true,
+ 6 => true,
+ 7 => true
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #0033ff;',
+ 2 => 'color: #0033ff; font-weight: bold;',
+ 3 => 'color: #0033ff;',
+ 4 => 'color: #9900cc; font-weight: bold;',
+ 5 => 'color: #333333;',
+ 6 => 'color: #666666;',
+ 7 => 'color: #990000;',
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #009900;',
+ 'MULTI' => 'color: #3f5fbf;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => ''
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #000000;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #990000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #000000; font-weight:bold;'
+ ),
+ 'METHODS' => array(
+ 0 => 'color: #000000;',
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #000000; font-weight: bold;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => '',
+ 5 => '',
+ 6 => '',
+ 7 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array('.'),
+ 'REGEXPS' => array(),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(),
+ 'HIGHLIGHT_STRICT_BLOCK' => array()
+);
+
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/plsql.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/plsql.php
new file mode 100644
index 000000000..6534a1922
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/plsql.php
@@ -0,0 +1,256 @@
+<?php
+/*************************************************************************************
+ * plsql.php
+ * -------
+ * Author: Victor Engmark <victor.engmark@gmail.com>
+ * Copyright: (c) 2006 Victor Engmark (http://l0b0.net/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2006/10/26
+ *
+ * Oracle 9.2 PL/SQL language file for GeSHi.
+ * Formatting is based on the default setup of TOAD 8.6.
+ *
+ * CHANGES
+ * -------
+ * 2006/10/27 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2006/10/27)
+ * -------------------------
+ * * Add < and > to brackets
+ * * Remove symbols which are also comment delimiters / quote marks?
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'PL/SQL',
+ 'COMMENT_SINGLE' => array(1 =>'--'), //http://download-uk.oracle.com/docs/cd/B10501_01/appdev.920/a96624/02_funds.htm#2930
+ 'COMMENT_MULTI' => array('/*' => '*/'), //http://download-uk.oracle.com/docs/cd/B10501_01/appdev.920/a96624/02_funds.htm#2950
+ 'CASE_KEYWORDS' => GESHI_CAPS_UPPER,
+ 'QUOTEMARKS' => array("'", '"'), //http://download-uk.oracle.com/docs/cd/B10501_01/appdev.920/a96624/02_funds.htm
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ //PL/SQL reserved keywords (http://download-uk.oracle.com/docs/cd/B10501_01/appdev.920/a96624/f_words.htm#LNPLS019)
+ 1 => array('ZONE', 'YEAR', 'WRITE', 'WORK', 'WITH', 'WHILE', 'WHERE',
+ 'WHENEVER', 'WHEN', 'VIEW', 'VARCHAR2', 'VARCHAR', 'VALUES',
+ 'VALIDATE', 'USE', 'UPDATE', 'UNIQUE', 'UNION', 'TYPE', 'TRUE',
+ 'TRIGGER', 'TO', 'TIMEZONE_REGION', 'TIMEZONE_MINUTE', 'TIMEZONE_HOUR',
+ 'TIMEZONE_ABBR', 'TIMESTAMP', 'TIME', 'THEN', 'TABLE', 'SYNONYM',
+ 'SUCCESSFUL', 'SUBTYPE', 'START', 'SQLERRM', 'SQLCODE', 'SQL', 'SPACE',
+ 'SMALLINT', 'SHARE', 'SET', 'SEPARATE', 'SELECT', 'SECOND',
+ 'SAVEPOINT', 'ROWTYPE', 'ROWNUM', 'ROWID', 'ROW', 'ROLLBACK',
+ 'REVERSE', 'RETURN', 'RELEASE', 'RECORD', 'REAL', 'RAW', 'RANGE',
+ 'RAISE', 'PUBLIC', 'PROCEDURE', 'PRIVATE', 'PRIOR', 'PRAGMA',
+ 'POSITIVEN', 'POSITIVE', 'PLS_INTEGER', 'PCTFREE', 'PARTITION',
+ 'PACKAGE', 'OUT', 'OTHERS', 'ORGANIZATION', 'ORDER', 'OR', 'OPTION',
+ 'OPERATOR', 'OPEN', 'OPAQUE', 'ON', 'OF', 'OCIROWID', 'NUMBER_BASE',
+ 'NUMBER', 'NULL', 'NOWAIT', 'NOT', 'NOCOPY', 'NEXTVAL', 'NEW',
+ 'NATURALN', 'NATURAL', 'MONTH', 'MODE', 'MLSLABEL', 'MINUTE', 'MINUS',
+ 'LOOP', 'LONG', 'LOCK', 'LIMITED', 'LIKE', 'LEVEL', 'JAVA',
+ 'ISOLATION', 'IS', 'INTO', 'INTERVAL', 'INTERSECT', 'INTERFACE',
+ 'INTEGER', 'INSERT', 'INDICATOR', 'INDEX', 'IN', 'IMMEDIATE', 'IF',
+ 'HOUR', 'HEAP', 'HAVING', 'GROUP', 'GOTO', 'FUNCTION', 'FROM',
+ 'FORALL', 'FOR', 'FLOAT', 'FETCH', 'FALSE', 'EXTENDS', 'EXIT',
+ 'EXISTS', 'EXECUTE', 'EXCLUSIVE', 'EXCEPTION', 'END', 'ELSIF', 'ELSE',
+ 'DROP', 'DO', 'DISTINCT', 'DESC', 'DELETE', 'DEFAULT', 'DECLARE',
+ 'DECIMAL', 'DAY', 'DATE', 'CURSOR', 'CURRVAL', 'CURRENT', 'CREATE',
+ 'CONSTANT', 'CONNECT', 'COMPRESS', 'COMMIT', 'COMMENT', 'COLLECT',
+ 'CLUSTER', 'CLOSE', 'CHECK', 'CHAR_BASE', 'CHAR', 'CASE', 'BY', 'BULK',
+ 'BOOLEAN', 'BODY', 'BINARY_INTEGER', 'BETWEEN', 'BEGIN', 'AUTHID',
+ 'AT', 'ASC', 'AS', 'ARRAY', 'ANY', 'AND', 'ALTER', 'ALL'),
+ //SQL functions (http://download-uk.oracle.com/docs/cd/B10501_01/server.920/a96540/toc.htm & http://download-uk.oracle.com/docs/cd/B10501_01/server.920/a96540/functions101a.htm#85925)
+ 2 => array('XMLTRANSFORM', 'XMLSEQUENCE', 'XMLFOREST', 'XMLELEMENT',
+ 'XMLCONCAT', 'XMLCOLATTVAL', 'XMLAGG', 'WIDTH_BUCKET', 'VSIZE',
+ 'VARIANCE', 'VAR_SAMP', 'VAR_POP', 'VALUE', 'USERENV', 'USER', 'UPPER',
+ 'UPDATEXML', 'UNISTR', 'UID', 'TZ_OFFSET', 'TRUNC', 'TRIM', 'TREAT',
+ 'TRANSLATE', 'TO_YMINTERVAL', 'TO_TIMESTAMP_TZ', 'TO_TIMESTAMP',
+ 'TO_SINGLE_BYTE', 'TO_NUMBER', 'TO_NCLOB', 'TO_NCHAR', 'TO_MULTI_BYTE',
+ 'TO_LOB', 'TO_DSINTERVAL', 'TO_DATE', 'TO_CLOB', 'TO_CHAR', 'TANH',
+ 'TAN', 'SYSTIMESTAMP', 'SYSDATE', 'SYS_XMLGEN', 'SYS_XMLAGG',
+ 'SYS_TYPEID', 'SYS_GUID', 'SYS_EXTRACT_UTC', 'SYS_DBURIGEN',
+ 'SYS_CONTEXT', 'SYS_CONNECT_BY_PATH', 'SUM', 'SUBSTR', 'STDDEV_SAMP',
+ 'STDDEV_POP', 'STDDEV', 'SQRT', 'SOUNDEX', 'SINH', 'SIN', 'SIGN',
+ 'SESSIONTIMEZONE', 'RTRIM', 'RPAD', 'ROWIDTONCHAR', 'ROWIDTOCHAR',
+ 'ROW_NUMBER', 'ROUND', 'REPLACE', 'REGR_SYY', 'REGR_SXY', 'REGR_SXX',
+ 'REGR_SLOPE', 'REGR_R2', 'REGR_INTERCEPT', 'REGR_COUNT', 'REGR_AVGY',
+ 'REGR_AVGX', 'REFTOHEX', 'REF', 'RAWTONHEX', 'RAWTOHEX',
+ 'RATIO_TO_REPORT', 'RANK', 'POWER', 'PERCENTILE_DISC',
+ 'PERCENTILE_CONT', 'PERCENT_RANK', 'PATH', 'NVL2', 'NVL',
+ 'NUMTOYMINTERVAL', 'NUMTODSINTERVAL', 'NULLIF', 'NTILE', 'NLSSORT',
+ 'NLS_UPPER', 'NLS_LOWER', 'NLS_INITCAP', 'NLS_CHARSET_NAME',
+ 'NLS_CHARSET_ID', 'NLS_CHARSET_DECL_LEN', 'NEXT_DAY', 'NEW_TIME',
+ 'NCHR', 'MONTHS_BETWEEN', 'MOD', 'MIN', 'MAX', 'MAKE_REF', 'LTRIM',
+ 'LPAD', 'LOWER', 'LOG', 'LOCALTIMESTAMP', 'LN', 'LENGTH', 'LEAST',
+ 'LEAD', 'LAST_VALUE', 'LAST_DAY', 'LAST', 'LAG', 'INSTR', 'INITCAP',
+ 'HEXTORAW', 'GROUPING_ID', 'GROUPING', 'GROUP_ID', 'GREATEST',
+ 'FROM_TZ', 'FLOOR', 'FIRST_VALUE', 'FIRST', 'EXTRACTVALUE', 'EXTRACT',
+ 'EXP', 'EXISTSNODE', 'EMPTY_CLOB', 'EMPTY_BLOB', 'DUMP', 'DEREF',
+ 'DEPTH', 'DENSE_RANK', 'DECOMPOSE', 'DECODE', 'DBTIMEZONE',
+ 'CURRENT_TIMESTAMP', 'CURRENT_DATE', 'CUME_DIST', 'COVAR_SAMP',
+ 'COVAR_POP', 'COUNT', 'COSH', 'COS', 'CORR', 'CONVERT', 'CONCAT',
+ 'COMPOSE', 'COALESCE', 'CHR', 'CHARTOROWID', 'CEIL', 'CAST', 'BITAND',
+ 'BIN_TO_NUM', 'BFILENAME', 'AVG', 'ATAN2', 'ATAN', 'ASIN', 'ASCIISTR',
+ 'ASCII', 'ADD_MONTHS', 'ACOS', 'ABS'),
+ //PL/SQL packages (http://download-uk.oracle.com/docs/cd/B10501_01/appdev.920/a96612/intro2.htm#1025672)
+ 3 => array('UTL_URL', 'UTL_TCP', 'UTL_SMTP', 'UTL_REF', 'UTL_RAW',
+ 'UTL_PG', 'UTL_INADDR', 'UTL_HTTP', 'UTL_FILE', 'UTL_ENCODE',
+ 'UTL_COLL', 'SDO_UTIL', 'SDO_TUNE', 'SDO_MIGRATE', 'SDO_LRS',
+ 'SDO_GEOM', 'SDO_CS', 'DMBS_XMLQUERY', 'DMBS_FLASHBACK',
+ 'DMBS_DEFER_SYS', 'DEBUG_EXTPROC', 'DBMS_XSLPROCESSOR', 'DBMS_XPLAN',
+ 'DBMS_XMLSCHEMA', 'DBMS_XMLSAVE', 'DBMS_XMLPARSER', 'DBMS_XMLGEN',
+ 'DBMS_XMLDOM', 'DBMS_XDBT', 'DBMS_XDB_VERSION', 'DBMS_XDB', 'DBMS_WM',
+ 'DBMS_UTILITY', 'DBMS_TYPES', 'DBMS_TTS', 'DBMS_TRANSFORM',
+ 'DBMS_TRANSACTION', 'DBMS_TRACE', 'DBMS_STRM_A', 'DBMS_STRM',
+ 'DBMS_STORAGE_MAP', 'DBMS_STATS', 'DBMS_SQL', 'DBMS_SPACE_ADMIN',
+ 'DBMS_SPACE', 'DBMS_SHARED_POOL', 'DBMS_SESSION', 'DBMS_RULE_ADM',
+ 'DBMS_RULE', 'DBMS_ROWID', 'DBMS_RLS', 'DBMS_RESUMABLE',
+ 'DBMS_RESOURCE_MANAGER_PRIVS', 'DBMS_RESOURCE_MANAGER', 'DBMS_REPUTIL',
+ 'DBMS_REPCAT_RGT', 'DBMS_REPCAT_INSTATIATE', 'DBMS_REPCAT_ADMIN',
+ 'DBMS_REPCAT', 'DBMS_REPAIR', 'DBMS_REFRESH', 'DBMS_REDEFINITION',
+ 'DBMS_RECTIFIER_DIFF', 'DBMS_RANDOM', 'DBMS_PROPAGATION_ADM',
+ 'DBMS_PROFILER', 'DBMS_PIPE', 'DBMS_PCLXUTIL', 'DBMS_OUTPUT',
+ 'DBMS_OUTLN_EDIT', 'DBMS_OUTLN', 'DBMS_ORACLE_TRACE_USER',
+ 'DBMS_ORACLE_TRACE_AGENT', 'DBMS_OLAP', 'DBMS_OFFLINE_SNAPSHOT',
+ 'DBMS_OFFLINE_OG', 'DBMS_ODCI', 'DBMS_OBFUSCATION_TOOLKIT',
+ 'DBMS_MVIEW', 'DBMS_MGWMSG', 'DBMS_MGWADM', 'DBMS_METADATA',
+ 'DBMS_LOGSTDBY', 'DBMS_LOGMNR_D', 'DBMS_LOGMNR_CDC_SUBSCRIBE',
+ 'DBMS_LOGMNR_CDC_PUBLISH', 'DBMS_LOGMNR', 'DBMS_LOCK', 'DBMS_LOB',
+ 'DBMS_LIBCACHE', 'DBMS_LDAP', 'DBMS_JOB', 'DBMS_IOT',
+ 'DBMS_HS_PASSTHROUGH', 'DBMS_FGA', 'DBMS_DISTRIBUTED_TRUST_ADMIN',
+ 'DBMS_DESCRIBE', 'DBMS_DEFER_QUERY', 'DBMS_DEFER', 'DBMS_DEBUG',
+ 'DBMS_DDL', 'DBMS_CAPTURE_ADM', 'DBMS_AW', 'DBMS_AQELM', 'DBMS_AQADM',
+ 'DBMS_AQ', 'DBMS_APPLY_ADM', 'DBMS_APPLICATION_INFO', 'DBMS_ALERT',
+ 'CWM2_OLAP_AW_ACCESS'),
+ //PL/SQL predefined exceptions (http://download-uk.oracle.com/docs/cd/B10501_01/appdev.920/a96624/07_errs.htm#784)
+ 4 => array('ZERO_DIVIDE', 'VALUE_ERROR', 'TOO_MANY_ROWS',
+ 'TIMEOUT_ON_RESOURCE', 'SYS_INVALID_ROWID', 'SUBSCRIPT_OUTSIDE_LIMIT',
+ 'SUBSCRIPT_BEYOND_COUNT', 'STORAGE_ERROR', 'SELF_IS_NULL',
+ 'ROWTYPE_MISMATCH', 'PROGRAM_ERROR', 'NOT_LOGGED_ON', 'NO_DATA_FOUND',
+ 'LOGIN_DENIED', 'INVALID_NUMBER', 'INVALID_CURSOR', 'DUP_VAL_ON_INDEX',
+ 'CURSOR_ALREADY_OPEN', 'COLLECTION_IS_NULL', 'CASE_NOT_FOUND',
+ 'ACCESS_INTO_NULL'),
+ //Static data dictionary views (http://download-uk.oracle.com/docs/cd/B10501_01/server.920/a96536/ch2.htm)
+ 5 => array('USER_REPSITES', 'USER_REPSCHEMA',
+ 'USER_REPRESOLUTION_STATISTICS', 'USER_REPRESOLUTION_METHOD',
+ 'USER_REPRESOLUTION', 'USER_REPRESOL_STATS_CONTROL', 'USER_REPPROP',
+ 'USER_REPPRIORITY_GROUP', 'USER_REPPRIORITY',
+ 'USER_REPPARAMETER_COLUMN', 'USER_REPOBJECT', 'USER_REPKEY_COLUMNS',
+ 'USER_REPGROUPED_COLUMN', 'USER_REPGROUP_PRIVILEGES', 'USER_REPGROUP',
+ 'USER_REPGENOBJECTS', 'USER_REPGENERATED', 'USER_REPFLAVORS',
+ 'USER_REPFLAVOR_OBJECTS', 'USER_REPFLAVOR_COLUMNS', 'USER_REPDDL',
+ 'USER_REPCONFLICT', 'USER_REPCOLUMN_GROUP', 'USER_REPCOLUMN',
+ 'USER_REPCATLOG', 'USER_REPCAT_USER_PARM_VALUES',
+ 'USER_REPCAT_USER_AUTHORIZATIONS', 'USER_REPCAT_TEMPLATE_SITES',
+ 'USER_REPCAT_TEMPLATE_PARMS', 'USER_REPCAT_TEMPLATE_OBJECTS',
+ 'USER_REPCAT_REFRESH_TEMPLATES', 'USER_REPCAT', 'USER_REPAUDIT_COLUMN',
+ 'USER_REPAUDIT_ATTRIBUTE', 'DBA_REPSITES_NEW', 'DBA_REPSITES',
+ 'DBA_REPSCHEMA', 'DBA_REPRESOLUTION_STATISTICS',
+ 'DBA_REPRESOLUTION_METHOD', 'DBA_REPRESOLUTION',
+ 'DBA_REPRESOL_STATS_CONTROL', 'DBA_REPPROP', 'DBA_REPPRIORITY_GROUP',
+ 'DBA_REPPRIORITY', 'DBA_REPPARAMETER_COLUMN', 'DBA_REPOBJECT',
+ 'DBA_REPKEY_COLUMNS', 'DBA_REPGROUPED_COLUMN',
+ 'DBA_REPGROUP_PRIVILEGES', 'DBA_REPGROUP', 'DBA_REPGENOBJECTS',
+ 'DBA_REPGENERATED', 'DBA_REPFLAVORS', 'DBA_REPFLAVOR_OBJECTS',
+ 'DBA_REPFLAVOR_COLUMNS', 'DBA_REPEXTENSIONS', 'DBA_REPDDL',
+ 'DBA_REPCONFLICT', 'DBA_REPCOLUMN_GROUP', 'DBA_REPCOLUMN',
+ 'DBA_REPCATLOG', 'DBA_REPCAT_USER_PARM_VALUES',
+ 'DBA_REPCAT_USER_AUTHORIZATIONS', 'DBA_REPCAT_TEMPLATE_SITES',
+ 'DBA_REPCAT_TEMPLATE_PARMS', 'DBA_REPCAT_TEMPLATE_OBJECTS',
+ 'DBA_REPCAT_REFRESH_TEMPLATES', 'DBA_REPCAT_EXCEPTIONS', 'DBA_REPCAT',
+ 'DBA_REPAUDIT_COLUMN', 'DBA_REPAUDIT_ATTRIBUTE', 'ALL_REPSITES',
+ 'ALL_REPSCHEMA', 'ALL_REPRESOLUTION_STATISTICS',
+ 'ALL_REPRESOLUTION_METHOD', 'ALL_REPRESOLUTION',
+ 'ALL_REPRESOL_STATS_CONTROL', 'ALL_REPPROP', 'ALL_REPPRIORITY_GROUP',
+ 'ALL_REPPRIORITY', 'ALL_REPPARAMETER_COLUMN', 'ALL_REPOBJECT',
+ 'ALL_REPKEY_COLUMNS', 'ALL_REPGROUPED_COLUMN',
+ 'ALL_REPGROUP_PRIVILEGES', 'ALL_REPGROUP', 'ALL_REPGENOBJECTS',
+ 'ALL_REPGENERATED', 'ALL_REPFLAVORS', 'ALL_REPFLAVOR_OBJECTS',
+ 'ALL_REPFLAVOR_COLUMNS', 'ALL_REPDDL', 'ALL_REPCONFLICT',
+ 'ALL_REPCOLUMN_GROUP', 'ALL_REPCOLUMN', 'ALL_REPCATLOG',
+ 'ALL_REPCAT_USER_PARM_VALUES', 'ALL_REPCAT_USER_AUTHORIZATIONS',
+ 'ALL_REPCAT_TEMPLATE_SITES', 'ALL_REPCAT_TEMPLATE_PARMS',
+ 'ALL_REPCAT_TEMPLATE_OBJECTS', 'ALL_REPCAT_REFRESH_TEMPLATES',
+ 'ALL_REPCAT', 'ALL_REPAUDIT_COLUMN', 'ALL_REPAUDIT_ATTRIBUTE')
+ ),
+ 'SYMBOLS' => array(
+ //PL/SQL delimiters (http://download-uk.oracle.com/docs/cd/B10501_01/appdev.920/a96624/02_funds.htm#2732)
+ '+', '%', "'", '.', '/', '(', ')', ':', ',', '*', '"', '=', '<', '>', '@', ';', '-', ':=', '=>', '||', '**', '<<', '>>', '/*', '*/', '..', '<>', '!=', '~=', '^=', '<=', '>='
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => false,
+ 5 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #00F;',
+ 2 => 'color: #000;',
+ 3 => 'color: #00F;',
+ 4 => 'color: #F00;',
+ 5 => 'color: #800;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #080; font-style: italic;',
+ 'MULTI' => 'color: #080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #00F;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #F00;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #800;'
+ ),
+ 'METHODS' => array(
+ 0 => 'color: #0F0;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #00F;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ 0 => 'color: #0F0;'
+ )
+ ),
+ 'URLS' => array(
+ 1 => 'http://www.oracle.com/pls/db92/db92.drilldown?word={FNAMEU}',
+ 2 => 'http://www.oracle.com/pls/db92/db92.drilldown?word={FNAMEU}',
+ 3 => 'http://www.oracle.com/pls/db92/db92.drilldown?word={FNAMEU}',
+ 4 => 'http://www.oracle.com/pls/db92/db92.drilldown?word={FNAMEU}',
+ 5 => 'http://www.oracle.com/pls/db92/db92.drilldown?word={FNAMEU}'
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(),
+ 'REGEXPS' => array(),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(),
+ 'HIGHLIGHT_STRICT_BLOCK' => array()
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/povray.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/povray.php
new file mode 100644
index 000000000..af6c443da
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/povray.php
@@ -0,0 +1,199 @@
+<?php
+/*************************************************************************************
+ * povray.php
+ * --------
+ * Author: Carl F眉rstenberg (azatoth@gmail.com)
+ * Copyright: 漏 2007 Carl F眉rstenberg
+ * Release Version: 1.0.8.4
+ * Date Started: 2008/07/11
+ *
+ * Povray language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/07/11 (1.0.8)
+ * - initial import to GeSHi SVN
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'POVRAY',
+ 'COMMENT_SINGLE' => array(1 => '//'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'yes', 'wrinkles', 'wood', 'width', 'waves', 'water_level', 'warp', 'vturbulence',
+ 'vstr', 'vrotate', 'vnormalize', 'vlength', 'vcross', 'vaxis_rotate', 'variance', 'v_steps',
+ 'uv_mapping', 'utf8', 'use_index', 'use_colour', 'use_color', 'use_alpha', 'up', 'undef',
+ 'ultra_wide_angle', 'u_steps', 'type', 'turbulence', 'turb_depth', 'ttf', 'true', 'triangle_wave',
+ 'translate', 'transform', 'trace', 'toroidal', 'tolerance', 'tiles', 'tile2', 'tightness',
+ 'tiff', 'threshold', 'thickness', 'tga', 'texture_map', 'target', 'sys', 'sum',
+ 'substr', 'sturm', 'strupr', 'strlwr', 'strength', 'str', 'statistics', 'sqr',
+ 'spotted', 'spotlight', 'split_union', 'spline', 'spiral2', 'spiral1', 'spherical', 'specular',
+ 'spacing', 'solid', 'smooth', 'slope', 'slice', 'sky', 'size', 'sine_wave',
+ 'shadowless', 'scattering', 'scallop_wave', 'scale', 'save_file', 'samples', 'roughness', 'rotate',
+ 'ripples', 'right', 'rgbt', 'rgbft', 'rgbf', 'rgb', 'repeat', 'render',
+ 'refraction', 'reflection_exponent', 'recursion_limit', 'reciprocal', 'ratio', 'ramp_wave', 'radius', 'radial',
+ 'quilted', 'quick_colour', 'quick_color', 'quaternion', 'quadratic_spline', 'pwr', 'projected_through', 'prod',
+ 'pretrace_start', 'pretrace_end', 'precompute', 'precision', 'ppm', 'pow', 'pot', 'poly_wave',
+ 'point_at', 'png', 'planar', 'pigment_pattern', 'pi', 'phong_size', 'phong', 'phase',
+ 'pgm', 'perspective', 'pattern', 'pass_through', 'parallel', 'panoramic', 'orthographic', 'orientation',
+ 'orient', 'open', 'onion', 'once', 'on', 'omnimax', 'omega', 'offset',
+ 'off', 'octaves', 'number_of_waves', 'noise_generator', 'no_shadow', 'no_reflection', 'no_image', 'no_bump_scale',
+ 'no', 'nearest_count', 'natural_spline', 'mortar', 'minimum_reuse', 'min_extent', 'metric', 'method',
+ 'metallic', 'media_interaction', 'media_attenuation', 'media', 'max_trace_level', 'max_trace', 'max_sample', 'max_iteration',
+ 'max_intersections', 'max_gradient', 'max_extent', 'matrix', 'material_map', 'marble', 'map_type', 'mandel',
+ 'major_radius', 'magnet', 'low_error_factor', 'look_at', 'location', 'load_file', 'linear_sweep', 'linear_spline',
+ 'leopard', 'lambda', 'julia', 'jpeg', 'jitter', 'irid_wavelength', 'ior', 'inverse',
+ 'intervals', 'interpolate', 'internal', 'inside_vector', 'inside', 'initial_frame', 'initial_clock', 'image_width',
+ 'image_pattern', 'image_height', 'iff', 'hypercomplex', 'hollow', 'hierarchy', 'hf_gray_16', 'hexagon',
+ 'gray_threshold', 'granite', 'gradient', 'global_lights', 'gif', 'gather', 'fresnel', 'frequency',
+ 'frame_number', 'form', 'fog_type', 'fog_offset', 'fog_alt', 'focal_point', 'flip', 'flatness',
+ 'fisheye', 'final_frame', 'final_clock', 'false', 'falloff_angle', 'falloff', 'fade_power', 'fade_distance',
+ 'fade_colour', 'fade_color', 'facets', 'extinction', 'exterior', 'exponent', 'expand_thresholds', 'evaluate',
+ 'error_bound', 'emission', 'eccentricity', 'double_illuminate', 'distance', 'dist_exp', 'dispersion_samples', 'dispersion',
+ 'direction', 'diffuse', 'df3', 'dents', 'density_map', 'density_file', 'density', 'cylindrical',
+ 'cutaway_textures', 'cubic_wave', 'cubic_spline', 'cube', 'crand', 'crackle', 'count', 'coords',
+ 'control1', 'control0', 'conserve_energy', 'conic_sweep', 'confidence', 'concat', 'composite', 'component',
+ 'colour_map', 'colour', 'color', 'collect', 'clock_on', 'clock_delta', 'clock', 'circular',
+ 'chr', 'checker', 'charset', 'cells', 'caustics', 'bumps', 'bump_size', 'brilliance',
+ 'brightness', 'brick_size', 'brick', 'bozo', 'boxed', 'blur_samples', 'black_hole', 'bezier_spline',
+ 'b_spline', 'average', 'autostop', 'assumed_gamma', 'ascii', 'array', 'area_light', 'arc_angle',
+ 'append', 'aperture', 'angle', 'ambient_light', 'ambient', 'always_sample', 'altitude', 'alpha',
+ 'all_intersections', 'all', 'agate_turb', 'agate', 'adc_bailout', 'adaptive', 'accuracy', 'absorption',
+ 'aa_threshold', 'aa_level', 'reflection'
+ ),
+ 2 => array(
+ 'abs', 'acos', 'acosh', 'asc', 'asin', 'asinh', 'atan', 'atanh',
+ 'atan2', 'ceil', 'cos', 'cosh', 'defined', 'degrees', 'dimensions', 'dimension_size',
+ 'div', 'exp', 'file_exists', 'floor', 'int', 'ln', 'log', 'max',
+ 'min', 'mod', 'pov', 'radians', 'rand', 'seed', 'select', 'sin',
+ 'sinh', 'sqrt', 'strcmp', 'strlen', 'tan', 'tanh', 'val', 'vdot',
+ 'vlenght',
+ ),
+ 3 => array (
+ 'x', 'y', 'z', 't', 'u', 'v', 'red', 'blue',
+ 'green', 'filter', 'transmit', 'gray', 'e',
+ ),
+ 4 => array (
+ 'camera', 'background', 'fog', 'sky_sphere', 'rainbow', 'global_settings', 'radiosity', 'photon',
+ 'object', 'blob', 'sphere', 'cylinder', 'box', 'cone', 'height_field', 'julia_fractal',
+ 'lathe', 'prism', 'sphere_sweep', 'superellipsoid', 'sor', 'text', 'torus', 'bicubic_patch',
+ 'disc', 'mesh', 'triangle', 'smooth_triangle', 'mesh2', 'vertex_vectors', 'normal_vectors', 'uv_vectors',
+ 'texture_list', 'face_indices', 'normal_indices', 'uv_indices', 'texture', 'polygon', 'plane', 'poly',
+ 'cubic', 'quartic', 'quadric', 'isosurface', 'function', 'contained_by', 'parametric', 'pigment',
+ 'union', 'intersection', 'difference', 'merge', 'light_source', 'looks_like', 'light_group', 'clipped_by',
+ 'bounded_by', 'interior', 'material', 'interior_texture', 'normal', 'finish', 'color_map', 'pigment_map',
+ 'image_map', 'bump_map', 'slope_map', 'normal_map', 'irid', 'photons',
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '[', ']', '{', '}', '!',
+ '@', '%', '&', '*', '|', '/', '<',
+ '>', '+', '-', '.', '=', '<=', '>=',
+ '!=',
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #a63123;',
+ 2 => 'color: #2312bc;',
+ 3 => 'color: #cc1122; font-weight: bold;',
+ 4 => 'color: #116688; font-weight: bold;',
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #808080; font-style: italic;',
+// 2 => 'color: #808080; font-style: italic;',
+ 'MULTI' => 'color: #808080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66aa;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #006600;',
+ 2 => 'color: #006600;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #6666cc; font-weight: bold;',
+ 1 => 'color: #66cc66; font-weight: bold;',
+ 2 => 'color: #66cc66; font-weight: bold;'
+ ),
+ 'SCRIPT' => array(
+ 0 => '',
+ 1 => '',
+ 2 => '',
+ 3 => ''
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ # normal hash lines
+ 0 => '\#(?!(include|declare|local|fopen|fclose|read|write|default|version|if|else|end|ifdef|ifndef|switch|case|range|break|while|debug|error|warning|macro) )[[:word:]]*',
+ # syntax functions hash thingis
+ 1 => "\#(include|declare|local|fopen|fclose|read|write|default|version|if|else|end|ifdef|ifndef|switch|case|range|break|while|debug|error|warning|macro)",
+ 2 => array(
+ GESHI_SEARCH => "([a-zA-Z]+)(\n)(.*)(\n)(\\1;?)",
+ GESHI_REPLACE => '\3',
+ GESHI_BEFORE => '\1\2',
+ GESHI_AFTER => '\4\5',
+ GESHI_MODIFIERS => 'siU'
+ )
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_MAYBE,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ 0 => true,
+ 1 => true,
+ 2 => true,
+ 3 => true
+ ),
+ 'TAB_WIDTH' => 4
+);
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/powershell.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/powershell.php
new file mode 100644
index 000000000..e427059d3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/powershell.php
@@ -0,0 +1,277 @@
+<?php
+/*************************************************************************************
+ * powershell.php
+ * ---------------------------------
+ * Author: Frode Aarebrot (frode@aarebrot.net)
+ * Copyright: (c) 2008 Frode Aarebrot (http://www.aarebrot.net)
+ * Release Version: 1.0.8.4
+ * Date Started: 2008/06/20
+ *
+ * PowerShell language file for GeSHi.
+ *
+ * I've tried to make this language file as true to the highlighting in PowerGUI as
+ * possible. Unfortunately it's not 100% complete, although it is pretty close.
+ *
+ * I've included some classes and their members, but there's tons and tons of these.
+ * I suggest you add the ones you need yourself. I've included a few Sharepoint ones
+ * in this language file.
+ *
+ * CHANGES
+ * -------
+ * 2008/06/20 (1.0.8)
+ * - First Release
+ *
+ * TODO (updated 2008/06/20)
+ * -------------------------
+ * - Color text between Cmdlets/Aliases and pipe/end-of-line
+ * - Try and get -- and ++ to work in the KEYWORDS array with the other operators
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'PowerShell',
+ 'COMMENT_SINGLE' => array(1 => '#'),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '`',
+ 'KEYWORDS' => array(
+ 1 => array(
+ // Cmdlets
+ 'Add-Content', 'Add-History', 'Add-Member', 'Add-PSSnapin', 'Clear-Content', 'Clear-Item',
+ 'Clear-ItemProperty', 'Clear-Variable', 'Compare-Object', 'ConvertFrom-SecureString',
+ 'Convert-Path', 'ConvertTo-Html', 'ConvertTo-SecureString', 'Copy-Item', 'Copy-ItemProperty',
+ 'Export-Alias', 'Export-Clixml', 'Export-Console', 'Export-Csv', 'ForEach-Object',
+ 'Format-Custom', 'Format-List', 'Format-Table', 'Format-Wide', 'Get-Acl', 'Get-Alias',
+ 'Get-AuthenticodeSignature', 'Get-ChildItem', 'Get-Command', 'Get-Content', 'Get-Credential',
+ 'Get-Culture', 'Get-Date', 'Get-EventLog', 'Get-ExecutionPolicy', 'Get-Help', 'Get-History',
+ 'Get-Host', 'Get-Item', 'Get-ItemProperty', 'Get-Location', 'Get-Member',
+ 'Get-PfxCertificate', 'Get-Process', 'Get-PSDrive', 'Get-PSProvider', 'Get-PSSnapin',
+ 'Get-Service', 'Get-TraceSource', 'Get-UICulture', 'Get-Unique', 'Get-Variable',
+ 'Get-WmiObject', 'Group-Object', 'Import-Alias', 'Import-Clixml', 'Import-Csv',
+ 'Invoke-Expression', 'Invoke-History', 'Invoke-Item', 'Join-Path', 'Measure-Command',
+ 'Measure-Object', 'Move-Item', 'Move-ItemProperty', 'New-Alias', 'New-Item',
+ 'New-ItemProperty', 'New-Object', 'New-PSDrive', 'New-Service', 'New-TimeSpan',
+ 'New-Variable', 'Out-Default', 'Out-File', 'Out-Host', 'Out-Null', 'Out-Printer',
+ 'Out-String', 'Pop-Location', 'Push-Location', 'Read-Host', 'Remove-Item',
+ 'Remove-ItemProperty', 'Remove-PSDrive', 'Remove-PSSnapin', 'Remove-Variable', 'Rename-Item',
+ 'Rename-ItemProperty', 'Resolve-Path', 'Restart-Service', 'Resume-Service', 'Select-Object',
+ 'Select-String', 'Set-Acl', 'Set-Alias', 'Set-AuthenticodeSignature', 'Set-Content',
+ 'Set-Date', 'Set-ExecutionPolicy', 'Set-Item', 'Set-ItemProperty', 'Set-Location',
+ 'Set-PSDebug', 'Set-Service', 'Set-TraceSource', 'Set-Variable', 'Sort-Object', 'Split-Path',
+ 'Start-Service', 'Start-Sleep', 'Start-Transcript', 'Stop-Process', 'Stop-Service',
+ 'Stop-Transcript', 'Suspend-Service', 'Tee-Object', 'Test-Path', 'Trace-Command',
+ 'Update-FormatData', 'Update-TypeData', 'Where-Object', 'Write-Debug', 'Write-Error',
+ 'Write-Host', 'Write-Output', 'Write-Progress', 'Write-Verbose', 'Write-Warning'
+ ),
+ 2 => array(
+ // Aliases
+ 'ac', 'asnp', 'clc', 'cli', 'clp', 'clv', 'cpi', 'cpp', 'cvpa', 'diff', 'epal', 'epcsv', 'fc',
+ 'fl', 'ft', 'fw', 'gal', 'gc', 'gci', 'gcm', 'gdr', 'ghy', 'gi', 'gl', 'gm',
+ 'gp', 'gps', 'group', 'gsv', 'gsnp', 'gu', 'gv', 'gwmi', 'iex', 'ihy', 'ii', 'ipal', 'ipcsv',
+ 'mi', 'mp', 'nal', 'ndr', 'ni', 'nv', 'oh', 'rdr', 'ri', 'rni', 'rnp', 'rp', 'rsnp', 'rv',
+ 'rvpa', 'sal', 'sasv', 'sc', 'select', 'si', 'sl', 'sleep', 'sort', 'sp', 'spps', 'spsv', 'sv',
+ 'tee', 'write', 'cat', 'cd', 'clear', 'cp', 'h', 'history', 'kill', 'lp', 'ls',
+ 'mount', 'mv', 'popd', 'ps', 'pushd', 'pwd', 'r', 'rm', 'rmdir', 'echo', 'cls', 'chdir',
+ 'copy', 'del', 'dir', 'erase', 'move', 'rd', 'ren', 'set', 'type'
+ ),
+ 3 => array(
+ // Reserved words
+ 'break', 'continue', 'do', 'for', 'foreach', 'while', 'if', 'switch', 'until', 'where',
+ 'function', 'filter', 'else', 'elseif', 'in', 'return', 'param', 'throw', 'trap'
+ ),
+ 4 => array(
+ // Operators
+ '-eq', '-ne', '-gt', '-ge', '-lt', '-le', '-ieq', '-ine', '-igt', '-ige', '-ilt', '-ile',
+ '-ceq', '-cne', '-cgt', '-cge', '-clt', '-cle', '-like', '-notlike', '-match', '-notmatch',
+ '-ilike', '-inotlike', '-imatch', '-inotmatch', '-clike', '-cnotlike', '-cmatch', '-cnotmatch',
+ '-contains', '-notcontains', '-icontains', '-inotcontains', '-ccontains', '-cnotcontains',
+ '-isnot', '-is', '-as', '-replace', '-ireplace', '-creplace', '-and', '-or', '-band', '-bor',
+ '-not', '-bnot', '-f', '-casesensitive', '-exact', '-file', '-regex', '-wildcard'
+ ),
+ 5 => array(
+ // Options
+ '-Year', '-Wrap', '-Word', '-Width', '-WhatIf', '-Wait', '-View', '-Verbose', '-Verb',
+ '-Variable', '-ValueOnly', '-Value', '-Unique', '-UFormat', '-TypeName', '-Trace', '-TotalCount',
+ '-Title', '-TimestampServer', '-TargetObject', '-Syntax', '-SyncWindow', '-Sum', '-String',
+ '-Strict', '-Stream', '-Step', '-Status', '-Static', '-StartupType', '-Start', '-StackName',
+ '-Stack', '-SourceId', '-SimpleMatch', '-ShowError', '-Separator', '-SecureString', '-SecureKey',
+ '-SecondValue', '-SecondsRemaining', '-Seconds', '-Second', '-Scope', '-Root', '-Role',
+ '-Resolve', '-RemoveListener', '-RemoveFileListener', '-Registered', '-ReferenceObject',
+ '-Recurse', '-RecommendedAction', '-ReadCount', '-Quiet', '-Query', '-Qualifier', '-PSSnapin',
+ '-PSProvider', '-PSHost', '-PSDrive', '-PropertyType', '-Property', '-Prompt', '-Process',
+ '-PrependPath', '-PercentComplete', '-Pattern', '-PathType', '-Path', '-PassThru', '-ParentId',
+ '-Parent', '-Parameter', '-Paging', '-OutVariable', '-OutBuffer', '-Option', '-OnType', '-Off',
+ '-Object', '-Noun', '-NoTypeInformation', '-NoQualifier', '-NoNewline', '-NoElement',
+ '-NoClobber', '-NewName', '-Newest', '-Namespace', '-Name', '-Month', '-Minutes', '-Minute',
+ '-Minimum', '-Milliseconds', '-Message', '-MemberType', '-Maximum', '-LogName', '-LiteralPath',
+ '-LiteralName', '-ListenerOption', '-List', '-Line', '-Leaf', '-Last', '-Key', '-ItemType',
+ '-IsValid', '-IsAbsolute', '-InputObject', '-IncludeEqual', '-IncludeChain', '-Include',
+ '-IgnoreWhiteSpace', '-Id', '-Hours', '-Hour', '-HideTableHeaders', '-Head', '-GroupBy',
+ '-Functionality', '-Full', '-Format', '-ForegroundColor', '-Force', '-First', '-FilterScript',
+ '-Filter', '-FilePath', '-Expression', '-ExpandProperty', '-Expand', '-ExecutionPolicy',
+ '-ExcludeProperty', '-ExcludeDifferent', '-Exclude', '-Exception', '-Examples', '-ErrorVariable',
+ '-ErrorRecord', '-ErrorId', '-ErrorAction', '-End', '-Encoding', '-DisplayName', '-DisplayHint',
+ '-DisplayError', '-DifferenceObject', '-Detailed', '-Destination', '-Description', '-Descending',
+ '-Depth', '-DependsOn', '-Delimiter', '-Debugger', '-Debug', '-Days', '-Day', '-Date',
+ '-CurrentOperation', '-Culture', '-Credential', '-Count', '-Container', '-Confirm',
+ '-ComputerName', '-Component', '-Completed', '-ComObject', '-CommandType', '-Command',
+ '-Column', '-Class', '-ChildPath', '-Character', '-Certificate', '-CategoryTargetType',
+ '-CategoryTargetName', '-CategoryReason', '-CategoryActivity', '-Category', '-CaseSensitive',
+ '-Body', '-BinaryPathName', '-Begin', '-BackgroundColor', '-Average', '-AutoSize', '-Audit',
+ '-AsString', '-AsSecureString', '-AsPlainText', '-As', '-ArgumentList', '-AppendPath', '-Append',
+ '-Adjust', '-Activity', '-AclObject'
+ ),
+ 6 => array(
+ '_','args','DebugPreference','Error','ErrorActionPreference',
+ 'foreach','Home','Host','Input','LASTEXITCODE','MaximumAliasCount',
+ 'MaximumDriveCount','MaximumFunctionCount','MaximumHistoryCount',
+ 'MaximumVariableCount','OFS','PsHome',
+ 'ReportErrorShowExceptionClass','ReportErrorShowInnerException',
+ 'ReportErrorShowSource','ReportErrorShowStackTrace',
+ 'ShouldProcessPreference','ShouldProcessReturnPreference',
+ 'StackTrace','VerbosePreference','WarningPreference','PWD'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '[', ']', '{', '}', '=', '<', '>', '@', '|', '&', ',', '?',
+ '+=', '-=', '*=', '/=', '%=', '*', '/', '%', '!', '+', '-', '++', '--'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => false,
+ 5 => false,
+ 6 => true
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #008080; font-weight: bold;',
+ 2 => 'color: #008080; font-weight: bold;',
+ 3 => 'color: #0000FF;',
+ 4 => 'color: #FF0000;',
+ 5 => 'color: #008080; font-style: italic;',
+ 6 => 'color: #000080;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #008000;',
+ 'MULTI' => 'color: #008000;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #008080; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #000000;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #800000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #804000;'
+ ),
+ 'METHODS' => array(
+ 0 => 'color: pink;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: pink;'
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #800080;',
+ 3 => 'color: #008080;',
+ 4 => 'color: #008080;',
+ 5 => 'color: #800000;',
+ 6 => 'color: #000080;'
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => '',
+ 5 => '',
+ 6 => 'about:blank',
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ // special after pipe
+ 3 => array(
+ GESHI_SEARCH => '(\[)(int|long|string|char|bool|byte|double|decimal|float|single|regex|array|xml|scriptblock|switch|hashtable|type|ref|psobject|wmi|wmisearcher|wmiclass|object)((\[.*\])?\])',
+ GESHI_REPLACE => '\2',
+ GESHI_MODIFIERS => 'si',
+ GESHI_BEFORE => '\1',
+ GESHI_AFTER => '\3'
+ ),
+ // Classes
+ 4 => array(
+ GESHI_SEARCH => '(\[)(System\.Reflection\.Assembly|System\.Net\.CredentialCache|Microsoft\.SharePoint\.SPFileLevel|Microsoft\.SharePoint\.Publishing\.PublishingWeb|Microsoft\.SharePoint\.Publishing|Microsoft\.SharePoint\.SPWeb)(\])',
+ GESHI_REPLACE => '\2',
+ GESHI_MODIFIERS => 'i',
+ GESHI_BEFORE => '\1',
+ GESHI_AFTER => '\3'
+ ),
+ // Members
+ // There's about a hundred million of these, add the ones you need as you need them
+ 5 => array (
+ GESHI_SEARCH => '(::)(ReflectionOnlyLoadFrom|ReflectionOnlyLoad|ReferenceEquals|LoadWithPartialName|LoadFrom|LoadFile|Load|GetExecutingAssembly|GetEntryAssembly|GetCallingAssembly|GetAssembly|Equals|DefaultNetworkCredentials|DefaultCredentials|CreateQualifiedName|Checkout|Draft|Published|IsPublishingWeb)',
+ GESHI_REPLACE => '\2',
+ GESHI_MODIFIERS => '',
+ GESHI_BEFORE => '\1',
+ GESHI_AFTER => ''
+ ),
+ // Special variables
+ 6 => array(
+ GESHI_SEARCH => '(\$)(\$[_\^]?|\?)(?!\w)',
+ GESHI_REPLACE => '\1\2',
+ GESHI_MODIFIERS => '',
+ GESHI_BEFORE => '',
+ GESHI_AFTER => ''
+ ),
+ // variables
+ //BenBE: Please note that changes here and in Keyword group 6 have to be synchronized in order to work properly.
+ //This Regexp must only match, if keyword group 6 doesn't. If this assumption fails
+ //Highlighting of the keywords will be incomplete or incorrect!
+ 0 => "(?<!\\\$|>)[\\\$](\w+)(?=[^|\w])",
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'PARSER_CONTROL' => array(
+ 'KEYWORDS' => array(
+ 4 => array(
+ 'DISALLOWED_AFTER' => '(?![a-zA-Z])',
+ 'DISALLOWED_BEFORE' => ''
+ ),
+ 6 => array(
+ 'DISALLOWED_BEFORE' => '(?<!\$>)\$'
+ )
+ )
+ )
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/progress.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/progress.php
new file mode 100644
index 000000000..2d6024e74
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/progress.php
@@ -0,0 +1,485 @@
+<?php
+/*************************************************************************************
+ * progress.php
+ * --------
+ * Author: Marco Aurelio de Pasqual (marcop@hdi.com.br)
+ * Copyright: (c) 2008 Marco Aurelio de Pasqual, Benny Baumann (http://qbnz.com/highlighter)
+ * Release Version: 1.0.8.4
+ * Date Started: 2008/07/11
+ *
+ * Progress language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/07/11 (1.0.8)
+ * - First Release
+ *
+ * TODO (updated 2008/07/11)
+ * -------------------------
+ * * Clean up the keyword list
+ * * Sort Keyword lists by Control Structures, Predefined functions and other important keywords
+ * * Complete language support
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array(
+ 'LANG_NAME' => 'Progress',
+ 'COMMENT_SINGLE' => array(),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_UPPER,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array (
+ 1 => array(
+ 'ACCUMULATE','APPLY','ASSIGN','BELL','QUERY',
+ 'BUFFER-COMPARE','BUFFER-COPY','CALL','CASE',
+ 'CHOOSE','CLASS','CLOSE QUERY','each','WHERE',
+ 'CLOSE STORED-PROCEDURE','COLOR','COMPILE','CONNECT',
+ 'CONSTRUCTOR','COPY-LOB','CREATE','CREATE ALIAS',
+ 'CREATE BROWSE','CREATE BUFFER','CREATE CALL','CREATE CLIENT-PRINCIPAL',
+ 'CREATE DATABASE','CREATE DATASET','CREATE DATA-SOURCE','CREATE QUERY',
+ 'CREATE SAX-attributeS','CREATE SAX-READER','CREATE SAX-WRITER','CREATE SERVER',
+ 'CREATE SERVER-SOCKET','CREATE SOAP-HEADER','CREATE SOAP-HEADER-ENTRYREF','CREATE SOCKET',
+ 'CREATE TEMP-TABLE','CREATE WIDGET','CREATE widget-POOL','CREATE X-DOCUMENT',
+ 'CREATE X-NODEREF','CURRENT-LANGUAGE','CURRENT-VALUE','DDE ADVISE',
+ 'DDE EXECUTE','DDE GET','DDE INITIATE','DDE REQUEST',
+ 'DDE SEND','DDE TERMINATE','DEFINE BROWSE','DEFINE BUFFER','DEFINE',
+ 'DEFINE BUTTON','DEFINE DATASET','DEFINE DATA-SOURCE','DEFINE FRAME','DEF','VAR',
+ 'DEFINE IMAGE','DEFINE MENU','DEFINE PARAMETER','DEFINE property','PARAM',
+ 'DEFINE QUERY','DEFINE RECTANGLE','DEFINE STREAM','DEFINE SUB-MENU',
+ 'DEFINE TEMP-TABLE','DEFINE WORKFILE','DEFINE WORK-TABLE',
+ 'DELETE','DELETE ALIAS','DELETE object','DELETE PROCEDURE',
+ 'DELETE widget','DELETE widget-POOL','DESTRUCTOR','DICTIONARY',
+ 'DISABLE','DISABLE TRIGGERS','DISCONNECT','DISPLAY',
+ 'DO','DOS','DOWN','DYNAMIC-CURRENT-VALUE',
+ 'ELSE','EMPTY TEMP-TABLE','ENABLE','END',
+ 'ENTRY','FIND','AND',
+ 'FIX-CODEPAGE','FOR','FORM','FRAME-VALUE',
+ 'GET','GET-KEY-VALUE','HIDE','IF',
+ 'IMPORT','INPUT CLEAR','INPUT CLOSE','INPUT FROM','input',
+ 'INPUT THROUGH','INPUT-OUTPUT CLOSE','INPUT-OUTPUT THROUGH',
+ 'INTERFACE','LEAVE','BREAK',
+ 'LOAD-PICTURE','MESSAGE','method','NEXT','prev',
+ 'NEXT-PROMPT','ON','OPEN QUERY','OS-APPEND',
+ 'OS-COMMAND','OS-COPY','OS-CREATE-DIR','OS-DELETE',
+ 'OS-RENAME','OUTPUT CLOSE','OUTPUT THROUGH','OUTPUT TO',
+ 'OVERLAY','PAGE','PAUSE','PROCEDURE',
+ 'PROCESS EVENTS','PROMPT-FOR','PROMSGS','PROPATH',
+ 'PUBLISH','PUT','PUT CURSOR','PUT SCREEN',
+ 'PUT-BITS','PUT-BYTE','PUT-BYTES','PUT-DOUBLE',
+ 'PUT-FLOAT','PUT-INT64','PUT-KEY-VALUE','PUT-LONG',
+ 'PUT-SHORT','PUT-STRING','PUT-UNSIGNED-LONG','PUT-UNSIGNED-SHORT',
+ 'QUIT','RAW-TRANSFER','READKEY','RELEASE',
+ 'RELEASE EXTERNAL','RELEASE object','REPEAT','REPOSITION',
+ 'RUN','RUN STORED-PROCEDURE','RUN SUPER',
+ 'SAVE CACHE','SCROLL','SEEK','SET',
+ 'SET-BYTE-ORDER','SET-POINTER-VALUE','SET-SIZE','SHOW-STATS',
+ 'STATUS','STOP','SUBSCRIBE','SUBSTRING',
+ 'system-DIALOG COLOR','system-DIALOG FONT','system-DIALOG GET-DIR','system-DIALOG GET-FILE',
+ 'system-DIALOG PRINTER-SETUP','system-HELP','THEN','THIS-object',
+ 'TRANSACTION-MODE AUTOMATIC','TRIGGER PROCEDURE','UNDERLINE','UNDO',
+ 'UNIX','UNLOAD','UNSUBSCRIBE','UP','STRING',
+ 'UPDATE','USE','USING','substr','SKIP','CLOSE',
+ 'VIEW','WAIT-FOR','MODULO','NE','AVAIL',
+ 'NOT','OR','&GLOBAL-DEFINE','&IF','UNFORMATTED','NO-PAUSE',
+ '&THEN','&ELSEIF','&ELSE','&ENDIF','OPEN','NO-WAIT',
+ '&MESSAGE','&SCOPED-DEFINE','&UNDEFINE','DEFINED',
+ 'BROWSE','BUTTON','COMBO-BOX','CONTROL-FRAME',
+ 'DIALOG-BOX','EDITOR','FIELD-GROUP','FILL-IN',
+ 'FRAME','IMAGE','LITERAL','MENU',
+ 'MENU-ITEM','RADIO-SET','RECTANGLE','SELECTION-LIST',
+ 'SLIDER','SUB-MENU','TEXT','TOGGLE-BOX',
+ 'WINDOW','WITH','AT','OF','EDITING','ON ENDKEY','output',
+ 'ON ERROR','ON QUIT','ON STOP','PRESELECT',
+ 'QUERY-TUNING','SIZE','Trigger','VIEW-AS','ALERT-BOX',
+ 'Buffer','Data-relation','ProDataSet','SAX-attributes',
+ 'SAX-reader','SAX-writer','Server socket','SOAP-fault',
+ 'SOAP-header','SOAP-header-entryref','Socket','Temp-table',
+ 'X-noderef','Height','Left','Top','TO',
+ 'Width','ACTIVE-WINDOW','AUDIT-CONTROL','FIRST','LAST',
+ 'AUDIT-POLICY','CLIPBOARD','CODEBASE-LOCATOR','COLOR-TABLE',
+ 'COMPILER','COM-SELF','DEBUGGER','DEFAULT-WINDOW',
+ 'ERROR-STATUS','FILE-INFO','FOCUS','FONT-TABLE',
+ 'LAST-EVENT','LOG-MANAGER','RCODE-INFO','SECURITY-POLICY',
+ 'SELF','SESSION','SOURCE-PROCEDURE','TARGET-PROCEDURE','NO-LOCK','NO-error',
+ 'THIS-PROCEDURE','WEB-CONTEXT','FUNCTION','RETURNS','NO-UNDO'
+ ),
+ 2 => array(
+ 'ACCEPT-CHANGES','ACCEPT-ROW-CHANGES','ADD-BUFFER','ADD-CALC-COLUMN',
+ 'ADD-COLUMNS-FROM','ADD-EVENTS-PROCEDURE','ADD-FIELDS-FROM','ADD-FIRST',
+ 'ADD-HEADER-ENTRY','ADD-INDEX-FIELD','ADD-LAST','ADD-LIKE-COLUMN',
+ 'ADD-LIKE-FIELD','ADD-LIKE-INDEX','ADD-NEW-FIELD','ADD-NEW-INDEX',
+ 'ADD-RELATION','ADD-SCHEMA-LOCATION','ADD-SOURCE-BUFFER','ADD-SUPER-PROCEDURE',
+ 'APPEND-CHILD','APPLY-CALLBACK','ATTACH-DATA-SOURCE','AUTHENTICATION-FAILED',
+ 'BEGIN-EVENT-GROUP','BUFFER-CREATE',
+ 'BUFFER-DELETE','BUFFER-RELEASE','BUFFER-VALIDATE',
+ 'CANCEL-BREAK','CANCEL-REQUESTS','CLEAR','CLEAR-APPL-CONTEXT',
+ 'CLEAR-LOG','CLEAR-SELECTION','CLEAR-SORT-ARROWS','CLONE-NODE',
+ 'CLOSE-LOG','CONNECTED','CONVERT-TO-OFFSET',
+ 'COPY-DATASET','COPY-SAX-attributeS','COPY-TEMP-TABLE','CREATE-LIKE',
+ 'CREATE-NODE','CREATE-NODE-NAMESPACE','CREATE-RESULT-LIST-ENTRY','DEBUG',
+ 'DECLARE-NAMESPACE','DELETE-CHAR','DELETE-CURRENT-ROW',
+ 'DELETE-HEADER-ENTRY','DELETE-LINE','DELETE-NODE','DELETE-RESULT-LIST-ENTRY',
+ 'DELETE-SELECTED-ROW','DELETE-SELECTED-ROWS','DESELECT-FOCUSED-ROW','DESELECT-ROWS',
+ 'DESELECT-SELECTED-ROW','DETACH-DATA-SOURCE','DISABLE-CONNECTIONS',
+ 'DISABLE-DUMP-TRIGGERS','DISABLE-LOAD-TRIGGERS','DISPLAY-MESSAGE',
+ 'DUMP-LOGGING-NOW','EDIT-CLEAR','EDIT-COPY','EDIT-CUT',
+ 'EDIT-PASTE','EDIT-UNDO','EMPTY-DATASET','EMPTY-TEMP-TABLE',
+ 'ENABLE-CONNECTIONS','ENABLE-EVENTS','ENCRYPT-AUDIT-MAC-KEY',
+ 'END-DOCUMENT','END-ELEMENT','END-EVENT-GROUP','END-FILE-DROP',
+ 'EXPORT','EXPORT-PRINCIPAL','FETCH-SELECTED-ROW',
+ 'FILL','FIND-BY-ROWID','FIND-CURRENT','FIND-FIRST',
+ 'FIND-LAST','FIND-UNIQUE','GET-attribute','GET-attribute-NODE',
+ 'GET-BINARY-DATA','GET-BLUE-VALUE','GET-BROWSE-COLUMN','GET-BUFFER-HANDLE',
+ 'GET-BYTES-AVAILABLE','GET-CALLBACK-PROC-CONTEXT','GET-CALLBACK-PROC-NAME','GET-CGI-LIST',
+ 'GET-CGI-LONG-VALUE','GET-CGI-VALUE','GET-CHANGES','GET-CHILD',
+ 'GET-CHILD-RELATION','GET-CONFIG-VALUE','GET-CURRENT','GET-DATASET-BUFFER',
+ 'GET-DOCUMENT-ELEMENT','GET-DROPPED-FILE','GET-DYNAMIC','GET-ERROR-COLUMN ',
+ 'GET-ERROR-ROW ','GET-FILE-NAME ','GET-FILE-OFFSET ','GET-FIRST',
+ 'GET-GREEN-VALUE','GET-HEADER-ENTRY','GET-INDEX-BY-NAMESPACE-NAME','GET-INDEX-BY-QNAME',
+ 'GET-ITERATION','GET-LAST','GET-LOCALNAME-BY-INDEX','GET-MESSAGE',
+ 'GET-NEXT','GET-NODE','GET-NUMBER','GET-PARENT',
+ 'GET-PREV','GET-PRINTERS','GET-property','GET-QNAME-BY-INDEX',
+ 'GET-RED-VALUE','GET-RELATION','GET-REPOSITIONED-ROW','GET-RGB-VALUE',
+ 'GET-SELECTED-widget','GET-SERIALIZED','GET-SIGNATURE','GET-SOCKET-OPTION',
+ 'GET-SOURCE-BUFFER','GET-TAB-ITEM','GET-TEXT-HEIGHT-CHARS','GET-TEXT-HEIGHT-PIXELS',
+ 'GET-TEXT-WIDTH-CHARS','GET-TEXT-WIDTH-PIXELS','GET-TOP-BUFFER','GET-TYPE-BY-INDEX',
+ 'GET-TYPE-BY-NAMESPACE-NAME','GET-TYPE-BY-QNAME','GET-URI-BY-INDEX','GET-VALUE-BY-INDEX',
+ 'GET-VALUE-BY-NAMESPACE-NAME','GET-VALUE-BY-QNAME','GET-WAIT-STATE','IMPORT-NODE',
+ 'IMPORT-PRINCIPAL','INCREMENT-EXCLUSIVE-ID','INITIALIZE-DOCUMENT-TYPE',
+ 'INITIATE','INSERT','INSERT-attribute','INSERT-BACKTAB',
+ 'INSERT-BEFORE','INSERT-FILE','INSERT-ROW','INSERT-STRING',
+ 'INSERT-TAB','INVOKE','IS-ROW-SELECTED','IS-SELECTED',
+ 'LIST-property-NAMES','LOAD','LoadControls','LOAD-DOMAINS',
+ 'LOAD-ICON','LOAD-IMAGE','LOAD-IMAGE-DOWN','LOAD-IMAGE-INSENSITIVE',
+ 'LOAD-IMAGE-UP','LOAD-MOUSE-POINTER','LOAD-SMALL-ICON','LOCK-REGISTRATION',
+ 'LOG-AUDIT-EVENT','LOGOUT','LONGCHAR-TO-NODE-VALUE','LOOKUP',
+ 'MEMPTR-TO-NODE-VALUE','MERGE-CHANGES','MERGE-ROW-CHANGES','MOVE-AFTER-TAB-ITEM',
+ 'MOVE-BEFORE-TAB-ITEM','MOVE-COLUMN','MOVE-TO-BOTTOM','MOVE-TO-EOF',
+ 'MOVE-TO-TOP','NODE-VALUE-TO-LONGCHAR','NODE-VALUE-TO-MEMPTR','NORMALIZE',
+ 'QUERY-CLOSE','QUERY-OPEN','QUERY-PREPARE',
+ 'READ','READ-FILE','READ-XML','READ-XMLSCHEMA',
+ 'REFRESH','REFRESH-AUDIT-POLICY','REGISTER-DOMAIN','REJECT-CHANGES',
+ 'REJECT-ROW-CHANGES','REMOVE-attribute','REMOVE-CHILD','REMOVE-EVENTS-PROCEDURE',
+ 'REMOVE-SUPER-PROCEDURE','REPLACE','REPLACE-CHILD','REPLACE-SELECTION-TEXT',
+ 'REPOSITION-BACKWARD','REPOSITION-FORWARD','REPOSITION-TO-ROW','REPOSITION-TO-ROWID',
+ 'RESET','SAVE','SAVE-FILE','SAVE-ROW-CHANGES',
+ 'SAX-PARSE','SAX-PARSE-FIRST','SAX-PARSE-NEXT','SCROLL-TO-CURRENT-ROW',
+ 'SCROLL-TO-ITEM','SCROLL-TO-SELECTED-ROW','SEAL','SEARCH',
+ 'SELECT-ALL','SELECT-FOCUSED-ROW','SELECT-NEXT-ROW','SELECT-PREV-ROW',
+ 'SELECT-ROW','SET-ACTOR','SET-APPL-CONTEXT','SET-attribute',
+ 'SET-attribute-NODE','SET-BLUE-VALUE','SET-BREAK','SET-BUFFERS',
+ 'SET-CALLBACK','SET-CALLBACK-PROCEDURE','SET-CLIENT','SET-COMMIT',
+ 'SET-CONNECT-PROCEDURE','SET-DYNAMIC','SET-GREEN-VALUE','SET-INPUT-SOURCE',
+ 'SET-MUST-UNDERSTAND','SET-NODE','SET-NUMERIC-FORMAT','SET-OUTPUT-DESTINATION',
+ 'SET-PARAMETER','SET-property','SET-READ-RESPONSE-PROCEDURE','SET-RED-VALUE',
+ 'SET-REPOSITIONED-ROW','SET-RGB-VALUE','SET-ROLLBACK','SET-SELECTION',
+ 'SET-SERIALIZED','SET-SOCKET-OPTION','SET-SORT-ARROW','SET-WAIT-STATE',
+ 'START-DOCUMENT','START-ELEMENT','STOP-PARSING','SYNCHRONIZE',
+ 'TEMP-TABLE-PREPARE','UPDATE-attribute','URL-DECODE','URL-ENCODE',
+ 'VALIDATE','VALIDATE-SEAL','WRITE','WRITE-CDATA','USE-INDEX',
+ 'WRITE-CHARACTERS','WRITE-COMMENT','WRITE-DATA-ELEMENT','WRITE-EMPTY-ELEMENT',
+ 'WRITE-ENTITY-REF','WRITE-EXTERNAL-DTD','WRITE-FRAGMENT','WRITE-MESSAGE',
+ 'WRITE-PROCESSING-INSTRUCTION','WRITE-XML','WRITE-XMLSCHEMA','FALSE','true'
+ ),
+ 3 => array(
+ 'ABSOLUTE','ACCUM','ADD-INTERVAL','ALIAS','mod',
+ 'AMBIGUOUS','ASC','AUDIT-ENABLED','AVAILABLE',
+ 'BASE64-DECODE','BASE64-ENCODE','CAN-DO','CAN-FIND',
+ 'CAN-QUERY','CAN-SET','CAPS','CAST','OS-DIR',
+ 'CHR','CODEPAGE-CONVERT','COMPARE',
+ 'COUNT-OF','CURRENT-CHANGED','CURRENT-RESULT-ROW','DATASERVERS',
+ 'DATA-SOURCE-MODIFIED','DATETIME','DATETIME-TZ',
+ 'DAY','DBCODEPAGE','DBCOLLATION','DBNAME',
+ 'DBPARAM','DBRESTRICTIONS','DBTASKID','DBTYPE',
+ 'DBVERSION','DECIMAL','DECRYPT','DYNAMIC-function',
+ 'DYNAMIC-NEXT-VALUE','ENCODE','ENCRYPT','ENTERED',
+ 'ERROR','ETIME','EXP','ENDKEY','END-error',
+ 'FIRST-OF','FRAME-DB','FRAME-DOWN',
+ 'FRAME-FIELD','FRAME-FILE','FRAME-INDEX','FRAME-LINE',
+ 'GATEWAYS','GENERATE-PBE-KEY','GENERATE-PBE-SALT','GENERATE-RANDOM-KEY',
+ 'GENERATE-UUID','GET-BITS','GET-BYTE','GET-BYTE-ORDER',
+ 'GET-BYTES','GET-CODEPAGE','GET-CODEPAGES','GET-COLLATION',
+ 'GET-COLLATIONS','GET-DOUBLE','GET-FLOAT','GET-INT64',
+ 'GET-LONG','GET-POINTER-VALUE','GET-SHORT','GET-SIZE',
+ 'GET-STRING','GET-UNSIGNED-LONG','GET-UNSIGNED-SHORT','GO-PENDING',
+ 'GUID','HEX-DECODE','INDEX',
+ 'INT64','INTEGER','INTERVAL','IS-ATTR-SPACE',
+ 'IS-CODEPAGE-FIXED','IS-COLUMN-CODEPAGE','IS-LEAD-BYTE','ISO-DATE',
+ 'KBLABEL','KEYCODE','KEYFUNCTION','KEYLABEL',
+ 'KEYWORD','KEYWORD-ALL','LASTKEY',
+ 'LAST-OF','LC','LDBNAME','LEFT-TRIM',
+ 'LIBRARY','LINE-COUNTER','LIST-EVENTS','LIST-QUERY-ATTRS',
+ 'LIST-SET-ATTRS','LIST-widgetS','LOCKED',
+ 'LOGICAL','MAXIMUM','MD5-DIGEST',
+ 'MEMBER','MESSAGE-LINES','MINIMUM','MONTH',
+ 'MTIME','NEW','NEXT-VALUE','SHARED',
+ 'NOT ENTERED','NOW','NUM-ALIASES','NUM-DBS',
+ 'NUM-ENTRIES','NUM-RESULTS','OPSYS','OS-DRIVES',
+ 'OS-ERROR','OS-GETENV','PAGE-NUMBER','PAGE-SIZE',
+ 'PDBNAME','PROC-HANDLE','PROC-STATUS','PROGRAM-NAME',
+ 'PROGRESS','PROVERSION','QUERY-OFF-END','QUOTER',
+ 'RANDOM','RAW','RECID','REJECTED',
+ 'RETRY','RETURN-VALUE','RGB-VALUE',
+ 'RIGHT-TRIM','R-INDEX','ROUND','ROWID','LENGTH',
+ 'SDBNAME','SET-DB-CLIENT','SETUSERID',
+ 'SHA1-DIGEST','SQRT','SUBSTITUTE','VARIABLE',
+ 'SUPER','TERMINAL','TIME','TIMEZONE','external',
+ 'TODAY','TO-ROWID','TRIM','TRUNCATE','return',
+ 'TYPE-OF','USERID','VALID-EVENT','VALID-HANDLE',
+ 'VALID-object','WEEKDAY','YEAR','BEGINS','VALUE',
+ 'EQ','GE','GT','LE','LT','MATCHES','AS','BY','LIKE'
+ ),
+ 4 => array(
+ 'ACCELERATOR','ACTIVE','ACTOR','ADM-DATA',
+ 'AFTER-BUFFER','AFTER-ROWID','AFTER-TABLE','ALLOW-COLUMN-SEARCHING',
+ 'ALWAYS-ON-TOP','APPL-ALERT-BOXES','APPL-CONTEXT-ID','APPSERVER-INFO',
+ 'APPSERVER-PASSWORD','APPSERVER-USERID','ASYNCHRONOUS','ASYNC-REQUEST-COUNT',
+ 'ASYNC-REQUEST-HANDLE','ATTACHED-PAIRLIST','attribute-NAMES','ATTR-SPACE',
+ 'AUDIT-EVENT-CONTEXT','AUTO-COMPLETION','AUTO-DELETE','AUTO-DELETE-XML',
+ 'AUTO-END-KEY','AUTO-GO','AUTO-INDENT','AUTO-RESIZE',
+ 'AUTO-RETURN','AUTO-SYNCHRONIZE','AUTO-VALIDATE','AUTO-ZAP',
+ 'AVAILABLE-FORMATS','BACKGROUND','BASE-ADE','BASIC-LOGGING',
+ 'BATCH-MODE','BATCH-SIZE','BEFORE-BUFFER','BEFORE-ROWID',
+ 'BEFORE-TABLE','BGCOLOR','BLANK','BLOCK-ITERATION-DISPLAY',
+ 'BORDER-BOTTOM-CHARS','BORDER-BOTTOM-PIXELS','BORDER-LEFT-CHARS','BORDER-LEFT-PIXELS',
+ 'BORDER-RIGHT-CHARS','BORDER-RIGHT-PIXELS','BORDER-TOP-CHARS','BORDER-TOP-PIXELS',
+ 'BOX','BOX-SELECTABLE','BUFFER-CHARS','BUFFER-FIELD',
+ 'BUFFER-HANDLE','BUFFER-LINES','BUFFER-NAME','BUFFER-VALUE',
+ 'BYTES-READ','BYTES-WRITTEN','CACHE','CALL-NAME',
+ 'CALL-TYPE','CANCEL-BUTTON','CANCELLED','CAN-CREATE',
+ 'CAN-DELETE','CAN-READ','CAN-WRITE','CAREFUL-PAINT',
+ 'CASE-SENSITIVE','CENTERED','CHARSET','CHECKED',
+ 'CHILD-BUFFER','CHILD-NUM','CLASS-TYPE','CLIENT-CONNECTION-ID',
+ 'CLIENT-TTY','CLIENT-TYPE','CLIENT-WORKSTATION','CODE',
+ 'CODEPAGE','COLUMN','COLUMN-BGCOLOR','COLUMN-DCOLOR',
+ 'COLUMN-FGCOLOR','COLUMN-FONT','COLUMN-LABEL','COLUMN-MOVABLE',
+ 'COLUMN-PFCOLOR','COLUMN-READ-ONLY','COLUMN-RESIZABLE','COLUMN-SCROLLING',
+ 'COM-HANDLE','COMPLETE','CONFIG-NAME','CONTEXT-HELP',
+ 'CONTEXT-HELP-FILE','CONTEXT-HELP-ID','CONTROL-BOX','CONVERT-3D-COLORS',
+ 'CPCASE','CPCOLL','CPINTERNAL','CPLOG',
+ 'CPPRINT','CPRCODEIN','CPRCODEOUT','CPSTREAM',
+ 'CPTERM','CRC-VALUE','CURRENT-COLUMN','CURRENT-ENVIRONMENT',
+ 'CURRENT-ITERATION','CURRENT-ROW-MODIFIED','CURRENT-WINDOW','CURSOR-CHAR',
+ 'CURSOR-LINE','CURSOR-OFFSET','DATA-ENTRY-RETURN','DATASET',
+ 'DATA-SOURCE','DATA-SOURCE-COMPLETE-MAP','DATA-TYPE','DATE-FORMAT',
+ 'DB-REFERENCES','DCOLOR','DDE-ERROR','DDE-ID',
+ 'DDE-ITEM','DDE-NAME','DDE-TOPIC','DEBLANK',
+ 'DEBUG-ALERT','DECIMALS','DEFAULT','DEFAULT-BUFFER-HANDLE',
+ 'DEFAULT-BUTTON','DEFAULT-COMMIT','DELIMITER','DISABLE-AUTO-ZAP',
+ 'DISPLAY-TIMEZONE','DISPLAY-TYPE','DOMAIN-DESCRIPTION','DOMAIN-NAME',
+ 'DOMAIN-TYPE','DRAG-ENABLED','DROP-TARGET','DYNAMIC',
+ 'EDGE-CHARS','EDGE-PIXELS','EDIT-CAN-PASTE','EDIT-CAN-UNDO',
+ 'EMPTY','ENCODING','ENCRYPTION-SALT','END-USER-PROMPT',
+ 'ENTRY-TYPES-LIST','ERROR-COLUMN','ERROR-object-DETAIL','ERROR-ROW',
+ 'ERROR-STRING','EVENT-GROUP-ID','EVENT-PROCEDURE','EVENT-PROCEDURE-CONTEXT',
+ 'EVENT-TYPE','EXCLUSIVE-ID','EXECUTION-LOG','EXPAND',
+ 'EXPANDABLE','FGCOLOR','FILE-CREATE-DATE','FILE-CREATE-TIME',
+ 'FILE-MOD-DATE','FILE-MOD-TIME','FILE-NAME','FILE-OFFSET',
+ 'FILE-SIZE','FILE-TYPE','FILLED','FILL-MODE',
+ 'FILL-WHERE-STRING','FIRST-ASYNC-REQUEST','FIRST-BUFFER','FIRST-CHILD',
+ 'FIRST-COLUMN','FIRST-DATASET','FIRST-DATA-SOURCE','FIRST-object',
+ 'FIRST-PROCEDURE','FIRST-QUERY','FIRST-SERVER','FIRST-SERVER-SOCKET',
+ 'FIRST-SOCKET','FIRST-TAB-ITEM','FIT-LAST-COLUMN','FLAT-BUTTON',
+ 'FOCUSED-ROW','FOCUSED-ROW-SELECTED','FONT','FOREGROUND',
+ 'FORMAT','FORMATTED','FORM-INPUT','FORM-LONG-INPUT',
+ 'FORWARD-ONLY','FRAGMENT','FRAME-COL','FRAME-NAME',
+ 'FRAME-ROW','FRAME-SPACING','FRAME-X','FRAME-Y',
+ 'FREQUENCY','FULL-HEIGHT-CHARS','FULL-HEIGHT-PIXELS','FULL-PATHNAME',
+ 'FULL-WIDTH-CHARS','FULL-WIDTH-PIXELS','GRAPHIC-EDGE',
+ 'GRID-FACTOR-HORIZONTAL','GRID-FACTOR-VERTICAL','GRID-SNAP','GRID-UNIT-HEIGHT-CHARS',
+ 'GRID-UNIT-HEIGHT-PIXELS','GRID-UNIT-WIDTH-CHARS','GRID-UNIT-WIDTH-PIXELS','GRID-VISIBLE',
+ 'GROUP-BOX','HANDLE','HANDLER','HAS-LOBS',
+ 'HAS-RECORDS','HEIGHT-CHARS','HEIGHT-PIXELS','HELP',
+ 'HIDDEN','HORIZONTAL','HTML-CHARSET','HTML-END-OF-LINE',
+ 'HTML-END-OF-PAGE','HTML-FRAME-BEGIN','HTML-FRAME-END','HTML-HEADER-BEGIN',
+ 'HTML-HEADER-END','HTML-TITLE-BEGIN','HTML-TITLE-END','HWND',
+ 'ICFPARAMETER','ICON','IGNORE-CURRENT-MODIFIED','IMAGE-DOWN',
+ 'IMAGE-INSENSITIVE','IMAGE-UP','IMMEDIATE-DISPLAY','INDEX-INFORMATION',
+ 'IN-HANDLE','INHERIT-BGCOLOR','INHERIT-FGCOLOR','INITIAL','INIT',
+ 'INNER-CHARS','INNER-LINES','INPUT-VALUE','INSTANTIATING-PROCEDURE',
+ 'INTERNAL-ENTRIES','IS-CLASS','IS-OPEN','IS-PARAMETER-SET',
+ 'IS-XML','ITEMS-PER-ROW','KEEP-CONNECTION-OPEN','KEEP-FRAME-Z-ORDER',
+ 'KEEP-SECURITY-CACHE','KEY','KEYS','LABEL',
+ 'LABEL-BGCOLOR','LABEL-DCOLOR','LABEL-FGCOLOR','LABEL-FONT',
+ 'LABELS','LANGUAGES','LARGE','LARGE-TO-SMALL',
+ 'LAST-ASYNC-REQUEST','LAST-BATCH','LAST-CHILD','LAST-object',
+ 'LAST-PROCEDURE','LAST-SERVER','LAST-SERVER-SOCKET','LAST-SOCKET',
+ 'LAST-TAB-ITEM','LINE','LIST-ITEM-PAIRS','LIST-ITEMS',
+ 'LITERAL-QUESTION','LOCAL-HOST','LOCAL-NAME','LOCAL-PORT',
+ 'LOCATOR-COLUMN-NUMBER','LOCATOR-LINE-NUMBER','LOCATOR-PUBLIC-ID','LOCATOR-system-ID',
+ 'LOCATOR-TYPE','LOG-ENTRY-TYPES','LOGFILE-NAME','LOGGING-LEVEL',
+ 'LOGIN-EXPIRATION-TIMESTAMP','LOGIN-HOST','LOGIN-STATE','LOG-THRESHOLD',
+ 'MANDATORY','MANUAL-HIGHLIGHT','MAX-BUTTON','MAX-CHARS',
+ 'MAX-DATA-GUESS','MAX-HEIGHT-CHARS','MAX-HEIGHT-PIXELS','MAX-VALUE',
+ 'MAX-WIDTH-CHARS','MAX-WIDTH-PIXELS','MD5-VALUE','MENU-BAR',
+ 'MENU-KEY','MENU-MOUSE','MERGE-BY-FIELD','MESSAGE-AREA',
+ 'MESSAGE-AREA-FONT','MIN-BUTTON','MIN-COLUMN-WIDTH-CHARS','MIN-COLUMN-WIDTH-PIXELS',
+ 'MIN-HEIGHT-CHARS','MIN-HEIGHT-PIXELS','MIN-SCHEMA-MARSHAL','MIN-VALUE',
+ 'MIN-WIDTH-CHARS','MIN-WIDTH-PIXELS','MODIFIED','MOUSE-POINTER',
+ 'MOVABLE','MULTI-COMPILE','MULTIPLE','MULTITASKING-INTERVAL',
+ 'MUST-UNDERSTAND','NAME','NAMESPACE-PREFIX','NAMESPACE-URI',
+ 'NEEDS-APPSERVER-PROMPT','NEEDS-PROMPT','NESTED','NEW-ROW',
+ 'NEXT-COLUMN','NEXT-ROWID','NEXT-SIBLING','NEXT-TAB-ITEM', 'NO-BOX',
+ 'NO-CURRENT-VALUE','NODE-VALUE','NO-EMPTY-SPACE','NO-FOCUS',
+ 'NONAMESPACE-SCHEMA-LOCATION','NO-SCHEMA-MARSHAL','NO-VALIDATE','NUM-BUFFERS',
+ 'NUM-BUTTONS','NUM-CHILD-RELATIONS','NUM-CHILDREN','NUM-COLUMNS',
+ 'NUM-DROPPED-FILES','NUMERIC-DECIMAL-POINT','NUMERIC-FORMAT','NUMERIC-SEPARATOR',
+ 'NUM-FIELDS','NUM-FORMATS','NUM-HEADER-ENTRIES','NUM-ITEMS',
+ 'NUM-ITERATIONS','NUM-LINES','NUM-LOCKED-COLUMNS','NUM-LOG-FILES',
+ 'NUM-MESSAGES','NUM-PARAMETERS','NUM-REFERENCES','NUM-RELATIONS',
+ 'NUM-REPLACED','NUM-SELECTED-ROWS','NUM-SELECTED-WIDGETS','NUM-SOURCE-BUFFERS',
+ 'NUM-TABS','NUM-TOP-BUFFERS','NUM-TO-RETAIN','NUM-VISIBLE-COLUMNS',
+ 'ON-FRAME-BORDER','ORIGIN-HANDLE','ORIGIN-ROWID','OWNER',
+ 'OWNER-DOCUMENT','PAGE-BOTTOM','PAGE-TOP','PARAMETER',
+ 'PARENT','PARENT-BUFFER','PARENT-RELATION','PARSE-STATUS',
+ 'PASSWORD-FIELD','PATHNAME','PBE-HASH-ALGORITHM','PBE-KEY-ROUNDS',
+ 'PERSISTENT','PERSISTENT-CACHE-DISABLED','PERSISTENT-PROCEDURE','PFCOLOR',
+ 'PIXELS-PER-COLUMN','PIXELS-PER-ROW','POPUP-MENU','POPUP-ONLY',
+ 'POSITION','PREFER-DATASET','PREPARED','PREPARE-STRING',
+ 'PREV-COLUMN','PREV-SIBLING','PREV-TAB-ITEM','PRIMARY',
+ 'PRINTER-CONTROL-HANDLE','PRINTER-HDC','PRINTER-NAME','PRINTER-PORT',
+ 'PRIVATE-DATA','PROCEDURE-NAME','PROGRESS-SOURCE','PROXY',
+ 'PROXY-PASSWORD','PROXY-USERID','PUBLIC-ID','PUBLISHED-EVENTS',
+ 'RADIO-BUTTONS','READ-ONLY','RECORD-LENGTH',
+ 'REFRESHABLE','RELATION-FIELDS','RELATIONS-ACTIVE','REMOTE',
+ 'REMOTE-HOST','REMOTE-PORT','RESIZABLE','RESIZE',
+ 'RESTART-ROWID','RETAIN-SHAPE','RETURN-INSERTED','RETURN-VALUE-DATA-TYPE',
+ 'ROLES','ROUNDED','COL','ROW','ROW-HEIGHT-CHARS',
+ 'ROW-HEIGHT-PIXELS','ROW-MARKERS','ROW-RESIZABLE','ROW-STATE',
+ 'SAVE-WHERE-STRING','SCHEMA-CHANGE','SCHEMA-LOCATION','SCHEMA-MARSHAL',
+ 'SCHEMA-PATH','SCREEN-LINES','SCREEN-VALUE','SCROLLABLE',
+ 'SCROLLBAR-HORIZONTAL','SCROLL-BARS','SCROLLBAR-VERTICAL','SEAL-TIMESTAMP',
+ 'SELECTABLE','SELECTED','SELECTION-END','SELECTION-START',
+ 'SELECTION-TEXT','SENSITIVE','SEPARATOR-FGCOLOR','SEPARATORS',
+ 'SERVER','SERVER-CONNECTION-BOUND','SERVER-CONNECTION-BOUND-REQUEST','SERVER-CONNECTION-CONTEXT',
+ 'SERVER-CONNECTION-ID','SERVER-OPERATING-MODE','SESSION-END','SESSION-ID',
+ 'SHOW-IN-TASKBAR','SIDE-LABEL-HANDLE','SIDE-LABELS','SKIP-DELETED-RECORD',
+ 'SMALL-ICON','SMALL-TITLE','SOAP-FAULT-ACTOR','SOAP-FAULT-CODE',
+ 'SOAP-FAULT-DETAIL','SOAP-FAULT-STRING','SORT','SORT-ASCENDING',
+ 'SORT-NUMBER','SSL-SERVER-NAME','STANDALONE','STARTUP-PARAMETERS',
+ 'STATE-DETAIL','STATUS-AREA','STATUS-AREA-FONT','STOPPED',
+ 'STREAM','STRETCH-TO-FIT','STRICT','STRING-VALUE',
+ 'SUBTYPE','SUPER-PROCEDURES','SUPPRESS-NAMESPACE-PROCESSING','SUPPRESS-WARNINGS',
+ 'SYMMETRIC-ENCRYPTION-ALGORITHM','SYMMETRIC-ENCRYPTION-IV','SYMMETRIC-ENCRYPTION-KEY','SYMMETRIC-SUPPORT',
+ 'system-ALERT-BOXES','system-ID','TABLE','TABLE-CRC-LIST',
+ 'TABLE-HANDLE','TABLE-LIST','TABLE-NUMBER','TAB-POSITION',
+ 'TAB-STOP','TEMP-DIRECTORY','TEXT-SELECTED','THREE-D',
+ 'TIC-MARKS','TIME-SOURCE','TITLE','TITLE-BGCOLOR','FIELD',
+ 'TITLE-DCOLOR','TITLE-FGCOLOR','TITLE-FONT','TOOLTIP',
+ 'TOOLTIPS','TOP-ONLY','TRACKING-CHANGES','TRANSACTION',
+ 'TRANS-INIT-PROCEDURE','TRANSPARENT','TYPE','UNIQUE-ID',
+ 'UNIQUE-MATCH','URL','URL-PASSWORD','URL-USERID','EXTENT',
+ 'USER-ID','V6DISPLAY','VALIDATE-EXPRESSION','VALIDATE-MESSAGE',
+ 'VALIDATE-XML','VALIDATION-ENABLED','VIEW-FIRST-COLUMN-ON-REOPEN',
+ 'VIRTUAL-HEIGHT-CHARS','VIRTUAL-HEIGHT-PIXELS','VIRTUAL-WIDTH-CHARS','VIRTUAL-WIDTH-PIXELS',
+ 'VISIBLE','WARNING','WHERE-STRING','widget-ENTER','DATE',
+ 'widget-LEAVE','WIDTH-CHARS','WIDTH-PIXELS','WINDOW-STATE',
+ 'WINDOW-system','WORD-WRAP','WORK-AREA-HEIGHT-PIXELS','WORK-AREA-WIDTH-PIXELS',
+ 'WORK-AREA-X','WORK-AREA-Y','WRITE-STATUS','X','widget-Handle',
+ 'X-DOCUMENT','XML-DATA-TYPE','XML-NODE-TYPE','XML-SCHEMA-PATH',
+ 'XML-SUPPRESS-NAMESPACE-PROCESSING','Y','YEAR-OFFSET','CHARACTER',
+ 'LONGCHAR','MEMPTR','CHAR','DEC','INT','LOG','DECI','INTE','LOGI','long'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '[', ']', '{', '}',
+ '<', '>', '=',
+ '+', '-', '*', '/',
+ '!', '@', '%', '|', '$',
+ ':', '.', ';', ',',
+ '?', '<=','<>','>=', '\\'
+ ),
+ 'CASE_SENSITIVE' => array (
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => false
+ ),
+ 'STYLES' => array (
+ 'KEYWORDS' => array (
+ 1 => 'color: #0000ff; font-weight: bold;',
+ 2 => 'color: #1D16B2;',
+ 3 => 'color: #993333;',
+ 4 => 'color: #0000ff;'
+ ),
+ 'COMMENTS' => array (
+// 1 => 'color: #808080; font-style: italic;',
+// 2 => 'color: #808080; font-style: italic;',
+ 'MULTI' => 'color: #808080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array (
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array (
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array (
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array (
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array (
+ 0 => 'color: #006600;'
+ ),
+ 'SYMBOLS' => array (
+ 0 => 'color: #66cc66;'
+ ),
+ 'REGEXPS' => array (
+ ),
+ 'SCRIPT' => array (
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 0 => ':'
+ ),
+ 'REGEXPS' => array (
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array (
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array (
+ ),
+ 'TAB_WIDTH' => 4,
+ 'PARSER_CONTROL' => array(
+ 'KEYWORDS' => array(
+ 'DISALLOWED_BEFORE' => "(?<![\.\-a-zA-Z0-9_\$\#&])",
+ 'DISALLOWED_AFTER' => "(?![\-a-zA-Z0-9_%])",
+ 1 => array(
+ 'SPACE_AS_WHITESPACE' => true
+ ),
+ 2 => array(
+ 'SPACE_AS_WHITESPACE' => true
+ )
+ )
+ )
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/prolog.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/prolog.php
new file mode 100644
index 000000000..fa9e03a63
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/prolog.php
@@ -0,0 +1,143 @@
+<?php
+/*************************************************************************************
+ * prolog.php
+ * --------
+ * Author: Benny Baumann (BenBE@geshi.org)
+ * Copyright: (c) 2008 Benny Baumann (http://qbnz.com/highlighter/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2008/10/02
+ *
+ * Prolog language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/10/02 (1.0.8.1)
+ * - First Release
+ *
+ * TODO (updated 2008/10/02)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Prolog',
+ 'COMMENT_SINGLE' => array(1 => '%'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'HARDQUOTE' => array("'", "'"),
+ 'HARDESCAPE' => array("\'"),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array(),
+ 'ESCAPE_CHAR' => '',
+ 'NUMBERS' =>
+ GESHI_NUMBER_INT_BASIC | GESHI_NUMBER_FLT_SCI_ZERO,
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'abolish','abs','arg','asserta','assertz','at_end_of_stream','atan',
+ 'atom','atom_chars','atom_codes','atom_concat','atom_length',
+ 'atomic','bagof','call','catch','ceiling','char_code',
+ 'char_conversion','clause','close','compound','consult','copy_term',
+ 'cos','current_char_conversion','current_input','current_op',
+ 'current_output','current_predicate','current_prolog_flag',
+ 'discontiguous','dynamic','ensure_loaded','exp','fail','findall',
+ 'float','float_fractional_part','float_integer_part','floor',
+ 'flush_output','functor','get_byte','get_char','get_code','halt',
+ 'include','initialization','integer','is','listing','log','mod',
+ 'multifile','nl','nonvar','notrace','number','number_chars',
+ 'number_codes','once','op','open','peek_byte','peek_char',
+ 'peek_code','put_byte','put_char','put_code','read','read_term',
+ 'rem','repeat','retract','round','set_input','set_output',
+ 'set_prolog_flag','set_stream_position','setof','sign','sin','sqrt',
+ 'stream_property','sub_atom','throw','trace','true','truncate',
+ 'unify_with_occurs_check','univ','var','write','write_canonical',
+ 'write_term','writeq'
+ )
+ ),
+ 'SYMBOLS' => array(
+ 0 => array('(', ')', '[', ']', '{', '}',),
+ 1 => array('?-', ':-', '=:='),
+ 2 => array('\-', '\+', '\*', '\/'),
+ 3 => array('-', '+', '*', '/'),
+ 4 => array('.', ':', ',', ';'),
+ 5 => array('!', '@', '&', '|'),
+ 6 => array('<', '>', '=')
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #990000;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #666666; font-style: italic;',
+ 'MULTI' => 'color: #666666; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;',
+ 'HARD' => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #009900;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #0000ff;',
+ 'HARD' => 'color: #0000ff;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #800080;'
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #339933;',
+ 1 => 'color: #339933;',
+ 2 => 'color: #339933;',
+ 3 => 'color: #339933;',
+ 4 => 'color: #339933;',
+ 5 => 'color: #339933;',
+ 6 => 'color: #339933;'
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #008080;'
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => 'http://pauillac.inria.fr/~deransar/prolog/bips.html'
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ //Variables
+ 0 => "(?<![A-Z_])(?!(?:PIPE|SEMI)[^a-zA-Z0-9_])[A-Z_][a-zA-Z0-9_]*(?![a-zA-Z0-9_])"
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'TAB_WIDTH' => 4
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/providex.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/providex.php
new file mode 100644
index 000000000..f24a57d18
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/providex.php
@@ -0,0 +1,299 @@
+<?php
+/******************************************************************************
+ * providex.php
+ * ----------
+ * Author: Jeff Wilder (jeff@coastallogix.com)
+ * Copyright: (c) 2008 Coastal Logix (http://www.coastallogix.com)
+ * Release Version: 1.0.8.4
+ * Date Started: 2008/10/18
+ *
+ * ProvideX language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/10/21 (1.0.0)
+ * - First Release
+ *
+ * TODO
+ * -------------------------
+ * 1. Create a regexp for numeric global variables (ex: %VarName = 3)
+ * 2. Add standard object control properties
+ *
+ ******************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ *****************************************************************************/
+$language_data = array (
+ 'LANG_NAME' => 'ProvideX',
+ 'COMMENT_SINGLE' => array(1 => '!'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'COMMENT_REGEXP' => array(
+ // Single-Line Comments using REM command
+ 2 => "/\bREM\b.*?$/i"
+ ),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ 1 => array(
+ // Directives
+ '*break', '*continue', '*end', '*escape', '*next', '*proceed',
+ '*retry', '*return', '*same', 'accept', 'add index', 'addr',
+ 'auto', 'begin', 'break', 'button', 'bye', 'call', 'case',
+ 'chart', 'check_box', 'class', 'clear', 'clip_board', 'close',
+ 'continue', 'control', 'create required', 'create table',
+ 'cwdir', 'data', 'day_format', 'def', 'default', 'defctl',
+ 'defprt', 'deftty', 'delete required', 'dictionary', 'dim', 'direct',
+ 'directory', 'disable', 'drop', 'drop_box', 'dump', 'edit',
+ 'else', 'enable', 'end switch', 'end', 'end_if', 'endtrace',
+ 'enter', 'erase', 'error_handler', 'escape', 'event', 'execute',
+ 'exit', 'exitto', 'extract', 'file', 'find', 'floating point',
+ 'for', 'function', 'get_file_box', 'gosub', 'goto', 'grid',
+ 'h_scrollbar', 'hide', 'if', 'index', 'indexed', 'input',
+ 'insert', 'invoke', 'iolist', 'keyed', 'let', 'like',
+ 'line_switch', 'list', 'list_box', 'load', 'local', 'lock',
+ 'long_form', 'menu_bar', 'merge', 'message_lib', 'mnemonic',
+ 'msgbox', 'multi_line', 'multi_media', 'next', 'object', 'obtain',
+ 'on', 'open', 'password', 'perform', 'pop', 'popup_menu',
+ 'precision', 'prefix', 'preinput', 'print', 'process', 'program',
+ 'property', 'purge', 'quit', 'radio_button', 'randomize',
+ 'read', 'record', 'redim', 'refile', 'release', 'rem', 'remove',
+ 'rename', 'renumber', 'repeat', 'reset', 'restore', 'retry',
+ 'return', 'round', 'run', 'save', 'select', 'serial', 'server',
+ 'set_focus', 'set_nbf', 'set_param', 'setctl', 'setday', 'setdev',
+ 'setdrive', 'seterr', 'setesc', 'setfid', 'setmouse', 'settime',
+ 'settrace', 'short_form', 'show', 'sort', 'start', 'static',
+ 'step', 'stop', 'switch', 'system_help', 'system_jrnl', 'table',
+ 'then', 'to', 'translate', 'tristate_box', 'unlock', 'until',
+ 'update', 'user_lex', 'v_scrollbar', 'vardrop_box', 'varlist_box',
+ 'via', 'video_palette', 'wait', 'wend', 'while', 'winprt_setup',
+ 'with', 'write'
+ ),
+ 2 => array(
+ // System Functions
+ '@x', '@y', 'abs', 'acs', 'and', 'arg', 'asc', 'asn', 'ath',
+ 'atn', 'bin', 'bsz', 'chg', 'chr', 'cmp', 'cos', 'cpl',
+ 'crc', 'cse', 'ctl', 'cvs', 'dec', 'dir', 'dll', 'dsk',
+ 'dte', 'env', 'ept', 'err', 'evn', 'evs', 'exp', 'ffn',
+ 'fib', 'fid', 'fin', 'fpt', 'gap', 'gbl', 'gep', 'hsa',
+ 'hsh', 'hta', 'hwn', 'i3e', 'ind', 'int', 'iol', 'ior',
+ 'jul', 'jst', 'kec', 'kef', 'kel', 'ken', 'kep', 'key',
+ 'kgn', 'lcs', 'len', 'lno', 'log', 'lrc', 'lst', 'max',
+ 'mem', 'mid', 'min', 'mnm', 'mod', 'msg', 'msk', 'mxc',
+ 'mxl', 'new', 'not', 'nul', 'num', 'obj', 'opt', 'pad',
+ 'pck', 'pfx', 'pgm', 'pos', 'prc', 'prm', 'pth', 'pub',
+ 'rcd', 'rdx', 'rec', 'ref', 'rnd', 'rno', 'sep', 'sgn',
+ 'sin', 'sqr', 'srt', 'ssz', 'stk', 'stp', 'str', 'sub',
+ 'swp', 'sys', 'tan', 'tbl', 'tcb', 'tmr', 'trx', 'tsk',
+ 'txh', 'txw', 'ucp', 'ucs', 'upk', 'vin', 'vis', 'xeq',
+ 'xfa', 'xor', '_obj'
+ ),
+ 3 => array(
+ // System Variables
+ // Vars that are duplicates of functions
+ // 'ctl', 'err', 'pfx', 'prm', 'rnd', 'sep', 'sys',
+ 'bkg', 'chn', 'day', 'dlm', 'dsz', 'eom', 'ers', 'esc',
+ 'gfn', 'gid', 'hfn', 'hlp', 'hwd', 'lfa', 'lfo', 'lip',
+ 'lpg', 'lwd', 'mse', 'msl', 'nar', 'nid', 'pgn', 'psz',
+ 'quo', 'ret', 'sid', 'ssn', 'tim', 'tme', 'tms', 'tsm',
+ 'uid', 'unt', 'who'
+
+ ),
+ 4 => array(
+ // Nomads Variables
+ '%Flmaint_Lib$', '%Flmaint_Msg$', '%Nomads_Activation_Ok',
+ '%Nomads_Auto_Qry', '%Nomads_Disable_Debug',
+ '%Nomads_Disable_Trace', '%Nomads_Fkey_Handler$',
+ '%Nomads_Fkey_Tbl$', '%Nomads_Notest', '%Nomads_Onexit$',
+ '%Nomads_Post_Display', '%Nomads_Pre_Display$',
+ '%Nomads_Process$', '%Nomads_Trace_File$',
+ '%Nomad_Actv_Folder_Colors$', '%Nomad_Automation_Enabled',
+ '%Nomad_Auto_Close', '%Nomad_Center_Wdw', '%Nomad_Concurrent_Wdw',
+ '%Nomad_Custom_Define', '%Nomad_Custom_Dir$',
+ '%Nomad_Custom_Genmtc', '%Nomad_Custom_Skip_Definition',
+ '%Nomad_Def_Sfx$', '%Nomad_Enter_Tab', '%Nomad_Esc_Sel',
+ '%Nomad_Isjavx', '%Nomad_Iswindx', '%Nomad_Iswindx$',
+ '%Nomad_Menu$', '%Nomad_Menu_Leftedge_Clr$',
+ '%Nomad_Menu_Textbackground_Clr$', '%Nomad_Mln_Sep$',
+ '%Nomad_Msgmnt$', '%Nomad_Noplusw', '%Nomad_No_Customize',
+ '%Nomad_Object_Persistence', '%Nomad_Object_Resize',
+ '%Nomad_Open_Load', '%Nomad_Override_Font$',
+ '%Nomad_Palette_Loaded', '%Nomad_Panel_Info_Force',
+ '%Nomad_Panel_Info_Prog$', '%Nomad_Pnl_Def_Colour$',
+ '%Nomad_Pnl_Def_Font$', '%Nomad_Prg_Cache', '%Nomad_Qry_Attr$',
+ '%Nomad_Qry_Btn$', '%Nomad_Qry_Clear_Start', '%Nomad_Qry_Tip$',
+ '%Nomad_Qry_Wide', '%Nomad_Query_Clear_Status', '%Nomad_Query_Kno',
+ '%Nomad_Query_No_Gray', '%Nomad_Query_Odb_Ignore',
+ '%Nomad_Query_Retkno', '%Nomad_Query_Sbar_Max',
+ '%Nomad_Relative_Wdw', '%Nomad_Save_Qry_Path', '%Nomad_Script_Fn',
+ '%Nomad_Script_Log', '%Nomad_Script_Wdw',
+ '%Nomad_Skip_Change_Logic', '%Nomad_Skip_Onselect_Logic',
+ '%Nomad_Stk$', '%Nomad_Tab_Dir', '%Nomad_Timeout',
+ '%Nomad_Turbo_Off', '%Nomad_Visual_Effect',
+ '%Nomad_Visual_Override', '%Nomad_Win_Ver', '%Nomad_Xchar',
+ '%Nomad_Xmax', '%Nomad_Ychar', '%Nomad_Ymax', '%Scr_Def_Attr$',
+ '%Scr_Def_H_Fl$', '%Scr_Def_H_Id$', '%Scr_Lib', '%Scr_Lib$',
+ '%Z__Usr_Sec$', 'Alternate_Panel$', 'Alternate_Panel_Type$',
+ 'Arg_1$', 'Arg_10$', 'Arg_11$', 'Arg_12$', 'Arg_13$', 'Arg_14$',
+ 'Arg_15$', 'Arg_16$', 'Arg_17$', 'Arg_18$', 'Arg_19$', 'Arg_2$',
+ 'Arg_20$', 'Arg_3$', 'Arg_4$', 'Arg_5$', 'Arg_6$', 'Arg_7$',
+ 'Arg_8$', 'Arg_9$', 'Change_Flg', 'Cmd_Str$', 'Default_Prog$',
+ 'Disp_Cmd$', 'Entire_Record$', 'Exit_Cmd$', 'Fldr_Default_Prog$',
+ 'Folder_Id$', 'Id', 'Id$', 'Ignore_Exit', 'Initialize_Flg',
+ 'Init_Text$', 'Init_Val$', 'Main_Scrn_K$', 'Mnu_Ln$',
+ 'Next_Folder', 'Next_Id', 'Next_Id$', 'No_Flush', 'Prime_Key$',
+ 'Prior_Val', 'Prior_Val$', 'Qry_Val$', 'Refresh_Flg',
+ 'Replacement_Folder$', 'Replacement_Lib$', 'Replacement_Scrn$',
+ 'Scrn_Id$', 'Scrn_K$', 'Scrn_Lib$', 'Tab_Table$', '_Eom$'
+ ),
+ 5 => array(
+ // Mnemonics
+ "'!w'", "'*c'", "'*h'", "'*i'", "'*o'", "'*r'", "'*x'",
+ "'+b'", "'+d'", "'+e'", "'+f'", "'+i'", "'+n'",
+ "'+p'", "'+s'", "'+t'", "'+u'", "'+v'", "'+w'", "'+x'",
+ "'+z'", "'-b'", "'-d'", "'-e'", "'-f'", "'-i'",
+ "'-n'", "'-p'", "'-s'", "'-t'", "'-u'", "'-v'", "'-w'",
+ "'-x'", "'-z'", "'2d'", "'3d'", "'4d'", "'@@'", "'ab'",
+ "'arc'", "'at'", "'backgr'", "'bb'", "'be'", "'beep'",
+ "'bg'", "'bi'", "'bj'", "'bk'", "'black'", "'blue'",
+ "'bm'", "'bo'", "'box'", "'br'", "'bs'", "'bt'", "'bu'",
+ "'bw'", "'bx'", "'caption'", "'ce'", "'cf'", "'ch'",
+ "'ci'", "'circle'", "'cl'", "'colour'", "'cp'", "'cpi'",
+ "'cr'", "'cs'", "'cursor'", "'cyan''_cyan'", "'dc'",
+ "'default'", "'df'", "'dialogue'", "'dn'", "'do'",
+ "'drop'", "'eb'", "'ee'", "'ef'", "'eg'", "'ei'", "'ej'",
+ "'el'", "'em'", "'eo'", "'ep'", "'er'", "'es'", "'et'",
+ "'eu'", "'ew'", "'ff'", "'fill'", "'fl'", "'font'",
+ "'frame'", "'gd'", "'ge'", "'gf'", "'goto'", "'green'",
+ "'gs'", "'hide'", "'ic'", "'image'", "'jc'",
+ "'jd'", "'jl'", "'jn'", "'jr'", "'js'", "'l6'", "'l8'",
+ "'lc'", "'ld'", "'lf'", "'li'", "'line'", "'lm'",
+ "'lpi'", "'lt'", "'magenta'", "'maxsize'", "'me'",
+ "'message'", "'minsize'", "'mn'", "'mode'",
+ "'move'", "'mp'", "'ms'", "'ni'", "'offset'", "'option'",
+ "'pe'", "'pen'", "'picture'", "'pie'", "'pm'", "'polygon'",
+ "'pop'", "'ps'", "'push'", "'rb'", "'rc'", "'rectangle'",
+ "'red'", "'rl'", "'rm'", "'rp'", "'rs'", "'rt'", "'sb'",
+ "'scroll'", "'sd'", "'se'", "'sf'", "'show'", "'size'",
+ "'sl'", "'sn'", "'sp'", "'sr'", "'swap'", "'sx'", "'text'",
+ "'textwdw'", "'tr'", "'tw'", "'uc'", "'up'", "'vt'", "'wa'",
+ "'wc'", "'wd'", "'wg'", "'white'", "'window'", "'wm'",
+ "'wp'", "'wr'", "'wrap'", "'ws'", "'wx'", "'xp'", "'yellow'",
+ "'zx'", "'_black'", "'_blue'", "'_colour'", "'_green'",
+ "'_magenta'", "'_red'", "'_white'", "'_yellow'"
+ ),
+ ),
+ 'SYMBOLS' => array(
+ 0 => array('+', '-', '*', '/', '^', '|'),
+ 1 => array('++', '--', '+=', '-=', '*=', '/=', '^=', '|='),
+ 2 => array('&lt;', '&gt;', '='),
+ 3 => array('(', ')', '[', ']', '{', '}'),
+ 4 => array(',', '@', ';', '\\')
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => false,
+ 5 => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: navy;', // Directives
+ 2 => 'color: blue;', // System Functions
+ 3 => 'color: blue;', // System Variables
+ 4 => 'color: #6A5ACD; font-style: italic;', // Nomads Global Variables
+ 5 => 'color: #BDB76B;', // Mnemonics
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #008080; font-style: italic;',
+ 2 => 'color: #008080;',
+ 'MULTI' => 'color: #008080; font-style: italic;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #000066;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: green;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #00008B;'
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #008000;',
+ 1 => 'color: #000099;',
+ 2 => 'color: #000099;',
+ 3 => 'color: #0000C9;',
+ 4 => 'color: #000099;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099;'
+ ),
+ 'SCRIPT' => array(
+ ),
+ 'REGEXPS' => array(
+ 1 => 'color: #006400; font-weight: bold',
+ 2 => 'color: #6A5ACD;'
+ )
+ ),
+ 'URLS' => array(
+ 1 => 'http://www.allbasic.info./wiki/index.php/PX:Directive_{FNAME}',
+ 2 => 'http://www.allbasic.info./wiki/index.php/PX:System_function_{FNAME}',
+ 3 => 'http://www.allbasic.info./wiki/index.php/PX:System_variable_{FNAME}',
+ 4 => 'http://www.allbasic.info./wiki/index.php/PX:Nomads_{FNAME}',
+ 5 => 'http://www.allbasic.info./wiki/index.php/PX:Mnemonic_{FNAMEU}'
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => "'"
+ ),
+ 'REGEXPS' => array(
+ 1 => array(
+ // Line Labels
+ GESHI_SEARCH => '([[:space:]])([a-zA-Z_][a-zA-Z0-9_]+)(:)',
+ GESHI_REPLACE => '\\2',
+ GESHI_MODIFIERS => '',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => '\\3'
+ ),
+ 2 => array(
+ // Global String Variables
+ GESHI_SEARCH => '(\%)([a-zA-Z_][a-zA-Z0-9_]+)(\$)',
+ GESHI_REPLACE => '\\1\\2\\3',
+ GESHI_MODIFIERS => '',
+ GESHI_BEFORE => '',
+ GESHI_AFTER => ''
+ )
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'PARSER_CONTROL' => array(
+ 'ENABLE_FLAGS' => array(
+ 'NUMBERS' => GESHI_NEVER
+ )
+ ),
+ 'TAB_WIDTH' => 4
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/python.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/python.php
new file mode 100644
index 000000000..6f378f434
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/python.php
@@ -0,0 +1,237 @@
+<?php
+/*************************************************************************************
+ * python.php
+ * ----------
+ * Author: Roberto Rossi (rsoftware@altervista.org)
+ * Copyright: (c) 2004 Roberto Rossi (http://rsoftware.altervista.org), Nigel McNie (http://qbnz.com/highlighter)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/08/30
+ *
+ * Python language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/12/18
+ * - Added missing functions and keywords. Also added two new Python 3.0 types. SF#2441839
+ * 2005/05/26
+ * - Modifications by Tim (tim@skreak.com): added more keyword categories, tweaked colors
+ * 2004/11/27 (1.0.1)
+ * - Added support for multiple object splitters
+ * 2004/08/30 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2004/11/27)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Python',
+ 'COMMENT_SINGLE' => array(1 => '#'),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ //Longest quotemarks ALWAYS first
+ 'QUOTEMARKS' => array('"""', '"', "'"),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+
+ /*
+ ** Set 1: reserved words
+ ** http://python.org/doc/current/ref/keywords.html
+ */
+ 1 => array(
+ 'and', 'del', 'for', 'is', 'raise', 'assert', 'elif', 'from', 'lambda', 'return', 'break',
+ 'else', 'global', 'not', 'try', 'class', 'except', 'if', 'or', 'while', 'continue', 'exec',
+ 'import', 'pass', 'yield', 'def', 'finally', 'in', 'print', 'with', 'as'
+ ),
+
+ /*
+ ** Set 2: builtins
+ ** http://python.org/doc/current/lib/built-in-funcs.html
+ */
+ 2 => array(
+ '__import__', 'abs', 'basestring', 'bool', 'callable', 'chr', 'classmethod', 'cmp',
+ 'compile', 'complex', 'delattr', 'dict', 'dir', 'divmod', 'enumerate', 'eval', 'execfile',
+ 'file', 'filter', 'float', 'frozenset', 'getattr', 'globals', 'hasattr', 'hash', 'help',
+ 'hex', 'id', 'input', 'int', 'isinstance', 'issubclass', 'iter', 'len', 'list', 'locals',
+ 'long', 'map', 'max', 'min', 'object', 'oct', 'open', 'ord', 'pow', 'property', 'range',
+ 'raw_input', 'reduce', 'reload', 'reversed', 'round', 'set', 'setattr', 'slice',
+ 'sorted', 'staticmethod', 'str', 'sum', 'super', 'tuple', 'type', 'unichr', 'unicode',
+ 'vars', 'xrange', 'zip',
+ // Built-in constants: http://python.org/doc/current/lib/node35.html
+ 'False', 'True', 'None', 'NotImplemented', 'Ellipsis',
+ // Built-in Exceptions: http://python.org/doc/current/lib/module-exceptions.html
+ 'Exception', 'StandardError', 'ArithmeticError', 'LookupError', 'EnvironmentError',
+ 'AssertionError', 'AttributeError', 'EOFError', 'FloatingPointError', 'IOError',
+ 'ImportError', 'IndexError', 'KeyError', 'KeyboardInterrupt', 'MemoryError', 'NameError',
+ 'NotImplementedError', 'OSError', 'OverflowError', 'ReferenceError', 'RuntimeError',
+ 'StopIteration', 'SyntaxError', 'SystemError', 'SystemExit', 'TypeError',
+ 'UnboundlocalError', 'UnicodeError', 'UnicodeEncodeError', 'UnicodeDecodeError',
+ 'UnicodeTranslateError', 'ValueError', 'WindowsError', 'ZeroDivisionError', 'Warning',
+ 'UserWarning', 'DeprecationWarning', 'PendingDeprecationWarning', 'SyntaxWarning',
+ 'RuntimeWarning', 'FutureWarning',
+ // self: this is a common python convention (but not a reserved word)
+ 'self',
+ // other
+ 'any', 'all'
+ ),
+
+ /*
+ ** Set 3: standard library
+ ** http://python.org/doc/current/lib/modindex.html
+ */
+ 3 => array(
+ '__builtin__', '__future__', '__main__', '_winreg', 'aifc', 'AL', 'al', 'anydbm',
+ 'array', 'asynchat', 'asyncore', 'atexit', 'audioop', 'base64', 'BaseHTTPServer',
+ 'Bastion', 'binascii', 'binhex', 'bisect', 'bsddb', 'bz2', 'calendar', 'cd', 'cgi',
+ 'CGIHTTPServer', 'cgitb', 'chunk', 'cmath', 'cmd', 'code', 'codecs', 'codeop',
+ 'collections', 'colorsys', 'commands', 'compileall', 'compiler',
+ 'ConfigParser', 'Cookie', 'cookielib', 'copy', 'copy_reg', 'cPickle', 'crypt',
+ 'cStringIO', 'csv', 'curses', 'datetime', 'dbhash', 'dbm', 'decimal', 'DEVICE',
+ 'difflib', 'dircache', 'dis', 'distutils', 'dl', 'doctest', 'DocXMLRPCServer', 'dumbdbm',
+ 'dummy_thread', 'dummy_threading', 'email', 'encodings', 'errno', 'exceptions', 'fcntl',
+ 'filecmp', 'fileinput', 'FL', 'fl', 'flp', 'fm', 'fnmatch', 'formatter', 'fpectl',
+ 'fpformat', 'ftplib', 'gc', 'gdbm', 'getopt', 'getpass', 'gettext', 'GL', 'gl', 'glob',
+ 'gopherlib', 'grp', 'gzip', 'heapq', 'hmac', 'hotshot', 'htmlentitydefs', 'htmllib',
+ 'HTMLParser', 'httplib', 'imageop', 'imaplib', 'imgfile', 'imghdr', 'imp', 'inspect',
+ 'itertools', 'jpeg', 'keyword', 'linecache', 'locale', 'logging', 'mailbox', 'mailcap',
+ 'marshal', 'math', 'md5', 'mhlib', 'mimetools', 'mimetypes', 'MimeWriter', 'mimify',
+ 'mmap', 'msvcrt', 'multifile', 'mutex', 'netrc', 'new', 'nis', 'nntplib', 'operator',
+ 'optparse', 'os', 'ossaudiodev', 'parser', 'pdb', 'pickle', 'pickletools', 'pipes',
+ 'pkgutil', 'platform', 'popen2', 'poplib', 'posix', 'posixfile', 'pprint', 'profile',
+ 'pstats', 'pty', 'pwd', 'py_compile', 'pyclbr', 'pydoc', 'Queue', 'quopri', 'random',
+ 're', 'readline', 'repr', 'resource', 'rexec', 'rfc822', 'rgbimg', 'rlcompleter',
+ 'robotparser', 'sched', 'ScrolledText', 'select', 'sets', 'sgmllib', 'sha', 'shelve',
+ 'shlex', 'shutil', 'signal', 'SimpleHTTPServer', 'SimpleXMLRPCServer', 'site', 'smtpd',
+ 'smtplib', 'sndhdr', 'socket', 'SocketServer', 'stat', 'statcache', 'statvfs', 'string',
+ 'StringIO', 'stringprep', 'struct', 'subprocess', 'sunau', 'SUNAUDIODEV', 'sunaudiodev',
+ 'symbol', 'sys', 'syslog', 'tabnanny', 'tarfile', 'telnetlib', 'tempfile', 'termios',
+ 'test', 'textwrap', 'thread', 'threading', 'time', 'timeit', 'Tix', 'Tkinter', 'token',
+ 'tokenize', 'traceback', 'tty', 'turtle', 'types', 'unicodedata', 'unittest', 'urllib2',
+ 'urllib', 'urlparse', 'user', 'UserDict', 'UserList', 'UserString', 'uu', 'warnings',
+ 'wave', 'weakref', 'webbrowser', 'whichdb', 'whrandom', 'winsound', 'xdrlib', 'xml',
+ 'xmllib', 'xmlrpclib', 'zipfile', 'zipimport', 'zlib',
+ // Python 3.0
+ 'bytes', 'bytearray'
+ ),
+
+ /*
+ ** Set 4: special methods
+ ** http://python.org/doc/current/ref/specialnames.html
+ */
+ 4 => array(
+ /*
+ // Iterator types: http://python.org/doc/current/lib/typeiter.html
+ '__iter__', 'next',
+ // String types: http://python.org/doc/current/lib/string-methods.html
+ 'capitalize', 'center', 'count', 'decode', 'encode', 'endswith', 'expandtabs',
+ 'find', 'index', 'isalnum', 'isalpha', 'isdigit', 'islower', 'isspace', 'istitle',
+ 'isupper', 'join', 'ljust', 'lower', 'lstrip', 'replace', 'rfind', 'rindex', 'rjust',
+ 'rsplit', 'rstrip', 'split', 'splitlines', 'startswith', 'strip', 'swapcase', 'title',
+ 'translate', 'upper', 'zfill',
+ */
+ // Basic customization: http://python.org/doc/current/ref/customization.html
+ '__new__', '__init__', '__del__', '__repr__', '__str__',
+ '__lt__', '__le__', '__eq__', '__ne__', '__gt__', '__ge__', '__cmp__', '__rcmp__',
+ '__hash__', '__nonzero__', '__unicode__', '__dict__',
+ // Attribute access: http://python.org/doc/current/ref/attribute-access.html
+ '__setattr__', '__delattr__', '__getattr__', '__getattribute__', '__get__', '__set__',
+ '__delete__', '__slots__',
+ // Class creation, callable objects
+ '__metaclass__', '__call__',
+ // Container types: http://python.org/doc/current/ref/sequence-types.html
+ '__len__', '__getitem__', '__setitem__', '__delitem__', '__iter__', '__contains__',
+ '__getslice__', '__setslice__', '__delslice__',
+ // Numeric types: http://python.org/doc/current/ref/numeric-types.html
+ '__abs__','__add__','__and__','__coerce__','__div__','__divmod__','__float__',
+ '__hex__','__iadd__','__isub__','__imod__','__idiv__','__ipow__','__iand__',
+ '__ior__','__ixor__', '__ilshift__','__irshift__','__invert__','__int__',
+ '__long__','__lshift__',
+ '__mod__','__mul__','__neg__','__oct__','__or__','__pos__','__pow__',
+ '__radd__','__rdiv__','__rdivmod__','__rmod__','__rpow__','__rlshift__','__rrshift__',
+ '__rshift__','__rsub__','__rmul__','__rand__','__rxor__','__ror__',
+ '__sub__','__xor__'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '[', ']', '{', '}', '*', '&', '%', '!', ';', '<', '>', '?', '`'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => true,
+ 2 => true,
+ 3 => true,
+ 4 => true
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #ff7700;font-weight:bold;', // Reserved
+ 2 => 'color: #008000;', // Built-ins + self
+ 3 => 'color: #dc143c;', // Standard lib
+ 4 => 'color: #0000cd;' // Special methods
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #808080; font-style: italic;',
+ 'MULTI' => 'color: #808080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: black;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #483d8b;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #ff4500;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: black;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/qbasic.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/qbasic.php
new file mode 100644
index 000000000..e3b5df8a1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/qbasic.php
@@ -0,0 +1,151 @@
+<?php
+/*************************************************************************************
+ * qbasic.php
+ * ----------
+ * Author: Nigel McNie (nigel@geshi.org)
+ * Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/06/20
+ *
+ * QBasic/QuickBASIC language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/05/23 (1.0.7.22)
+ * - Added description of extra language features (SF#1970248)
+ * 2004/11/27 (1.0.3)
+ * - Added support for multiple object splitters
+ * 2004/10/27 (1.0.2)
+ * - Added support for URLs
+ * 2004/08/05 (1.0.1)
+ * - Added support for symbols
+ * - Removed unnessecary slashes from some keywords
+ * 2004/07/14 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2004/11/27)
+ * -------------------------
+ * * Make sure all possible combinations of keywords with
+ * a space in them (EXIT FOR, END SELECT) are added
+ * to the first keyword group
+ * * Update colours, especially for the first keyword group
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+$language_data = array (
+ 'LANG_NAME' => 'QBasic/QuickBASIC',
+ 'COMMENT_SINGLE' => array(1 => "'"),
+ 'COMMENT_MULTI' => array(),
+ 'COMMENT_REGEXP' => array(
+ //Single-Line Comments using REM command
+ 2 => "/\bREM.*?$/i"
+ ),
+ 'CASE_KEYWORDS' => GESHI_CAPS_UPPER,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'DO', 'LOOP', 'WHILE', 'WEND', 'THEN', 'ELSE', 'ELSEIF', 'IF',
+ 'FOR', 'TO', 'NEXT', 'STEP', 'GOTO', 'GOSUB', 'CALL', 'CALLS',
+ 'SUB', 'FUNCTION', 'RETURN', 'RESUME', 'SELECT', 'CASE', 'UNTIL'
+ ),
+ 3 => array(
+ 'ABS', 'ABSOLUTE', 'ACCESS', 'ALIAS', 'AND', 'ANY', 'APPEND', 'AS', 'ASC', 'ATN',
+ 'BASE', 'BEEP', 'BINARY', 'BLOAD', 'BSAVE', 'BYVAL',
+ 'CDBL', 'CDECL', 'CHAIN', 'CHDIR', 'CHR$', 'CINT', 'CIRCLE', 'CLEAR',
+ 'CLNG', 'CLOSE', 'CLS', 'COM', 'COMMAND$', 'COMMON', 'CONST', 'COS', 'CSNG',
+ 'CSRLIN', 'CVD', 'CVDMBF', 'CVI', 'CVL', 'CVS', 'CVSMDF', 'DATA', 'DATE$',
+ 'DECLARE', 'DEF', 'FN', 'SEG', 'DEFDBL', 'DEFINT', 'DEFLNG', 'DEFSNG', 'DEFSTR',
+ 'DIM', 'DOUBLE', 'DRAW', 'END', 'ENVIRON', 'ENVIRON$', 'EOF', 'EQV', 'ERASE',
+ 'ERDEV', 'ERDEV$', 'ERL', 'ERR', 'ERROR', 'EXIT', 'EXP', 'FIELD', 'FILEATTR',
+ 'FILES', 'FIX', 'FRE', 'FREEFILE', 'GET', 'HEX$', 'IMP', 'INKEY$',
+ 'INP', 'INPUT', 'INPUT$', 'INSTR', 'INT', 'INTEGER', 'IOCTL', 'IOCTL$', 'IS',
+ 'KEY', 'KILL', 'LBOUND', 'LCASE$', 'LEFT$', 'LEN', 'LET', 'LINE', 'LIST', 'LOC',
+ 'LOCAL', 'LOCATE', 'LOCK', 'LOF', 'LOG', 'LONG', 'LPOS', 'LPRINT',
+ 'LSET', 'LTRIM$', 'MID$', 'MKD$', 'MKDIR', 'MKDMBF$', 'MKI$', 'MKL$',
+ 'MKS$', 'MKSMBF$', 'MOD', 'NAME', 'NOT', 'OCT$', 'OFF', 'ON', 'PEN', 'PLAY',
+ 'OPEN', 'OPTION', 'OR', 'OUT', 'OUTPUT',
+ 'PAINT', 'PALETTE', 'PCOPY', 'PEEK', 'PMAP', 'POINT', 'POKE', 'POS', 'PRESET',
+ 'PRINT', 'PSET', 'PUT', 'RANDOM', 'RANDOMIZE', 'READ', 'REDIM', 'RESET',
+ 'RESTORE', 'RIGHT$', 'RMDIR', 'RND', 'RSET', 'RTRIM$', 'RUN', 'SADD', 'SCREEN',
+ 'SEEK', 'SETMEM', 'SGN', 'SHARED', 'SHELL', 'SIGNAL', 'SIN', 'SINGLE', 'SLEEP',
+ 'SOUND', 'SPACE$', 'SPC', 'SQR', 'STATIC', 'STICK', 'STOP', 'STR$', 'STRIG',
+ 'STRING', 'STRING$', 'SWAP', 'SYSTEM', 'TAB', 'TAN', 'TIME$', 'TIMER',
+ 'TROFF', 'TRON', 'TYPE', 'UBOUND', 'UCASE$', 'UEVENT', 'UNLOCK', 'USING', 'VAL',
+ 'VARPTR', 'VARPTR$', 'VARSEG', 'VIEW', 'WAIT', 'WIDTH', 'WINDOW', 'WRITE', 'XOR'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', ',', '+', '-', '*', '/', '=', '<', '>'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 3 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #a1a100;',
+ 3 => 'color: #000066;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #808080;',
+ 2 => 'color: #808080;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099;'
+ ),
+ 'SCRIPT' => array(
+ ),
+ 'REGEXPS' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 3 => 'http://www.qbasicnews.com/qboho/qck{FNAMEL}.shtml'
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'TAB_WIDTH' => 8
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/rails.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/rails.php
new file mode 100644
index 000000000..cc6e079b0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/rails.php
@@ -0,0 +1,406 @@
+<?php
+/*************************************************************************************
+ * rails.php
+ * ---------
+ * Author: Moises Deniz
+ * Copyright: (c) 2005 Moises Deniz
+ * Release Version: 1.0.8.4
+ * Date Started: 2007/03/21
+ *
+ * Ruby (with Ruby on Rails Framework) language file for GeSHi.
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Rails',
+ 'COMMENT_SINGLE' => array(1 => "#"),
+ 'COMMENT_MULTI' => array("=begin" => "=end"),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"', '`','\''),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'alias', 'and', 'begin', 'break', 'case', 'class',
+ 'def', 'defined', 'do', 'else', 'elsif', 'end',
+ 'ensure', 'for', 'if', 'in', 'module', 'while',
+ 'next', 'not', 'or', 'redo', 'rescue', 'yield',
+ 'retry', 'super', 'then', 'undef', 'unless',
+ 'until', 'when', 'BEGIN', 'END', 'include'
+ ),
+ 2 => array(
+ '__FILE__', '__LINE__', 'false', 'nil', 'self', 'true',
+ 'return'
+ ),
+ 3 => array(
+ 'Array', 'Float', 'Integer', 'String', 'at_exit',
+ 'autoload', 'binding', 'caller', 'catch', 'chop', 'chop!',
+ 'chomp', 'chomp!', 'eval', 'exec', 'exit', 'exit!', 'fail',
+ 'fork', 'format', 'gets', 'global_variables', 'gsub', 'gsub!',
+ 'iterator?', 'lambda', 'load', 'local_variables', 'loop',
+ 'open', 'p', 'print', 'printf', 'proc', 'putc', 'puts',
+ 'raise', 'rand', 'readline', 'readlines', 'require', 'select',
+ 'sleep', 'split', 'sprintf', 'srand', 'sub', 'sub!', 'syscall',
+ 'system', 'trace_var', 'trap', 'untrace_var'
+ ),
+ 4 => array(
+ 'Abbrev', 'ArgumentError', 'Base64', 'Benchmark',
+ 'Benchmark::Tms', 'Bignum', 'Binding', 'CGI', 'CGI::Cookie',
+ 'CGI::HtmlExtension', 'CGI::QueryExtension',
+ 'CGI::Session', 'CGI::Session::FileStore',
+ 'CGI::Session::MemoryStore', 'Class', 'Comparable', 'Complex',
+ 'ConditionVariable', 'Continuation', 'Data',
+ 'Date', 'DateTime', 'Delegator', 'Dir', 'EOFError', 'ERB',
+ 'ERB::Util', 'Enumerable', 'Enumerable::Enumerator', 'Errno',
+ 'Exception', 'FalseClass', 'File',
+ 'File::Constants', 'File::Stat', 'FileTest', 'FileUtils',
+ 'FileUtils::DryRun', 'FileUtils::NoWrite',
+ 'FileUtils::StreamUtils_', 'FileUtils::Verbose', 'Find',
+ 'Fixnum', 'FloatDomainError', 'Forwardable', 'GC', 'Generator',
+ 'Hash', 'IO', 'IOError', 'Iconv', 'Iconv::BrokenLibrary',
+ 'Iconv::Failure', 'Iconv::IllegalSequence',
+ 'Iconv::InvalidCharacter', 'Iconv::InvalidEncoding',
+ 'Iconv::OutOfRange', 'IndexError', 'Interrupt', 'Kernel',
+ 'LoadError', 'LocalJumpError', 'Logger', 'Logger::Application',
+ 'Logger::Error', 'Logger::Formatter', 'Logger::LogDevice',
+ 'Logger::LogDevice::LogDeviceMutex', 'Logger::Severity',
+ 'Logger::ShiftingError', 'Marshal', 'MatchData',
+ 'Math', 'Matrix', 'Method', 'Module', 'Mutex', 'NameError',
+ 'NameError::message', 'NilClass', 'NoMemoryError',
+ 'NoMethodError', 'NotImplementedError', 'Numeric', 'Object',
+ 'ObjectSpace', 'Observable', 'PStore', 'PStore::Error',
+ 'Pathname', 'Precision', 'Proc', 'Process', 'Process::GID',
+ 'Process::Status', 'Process::Sys', 'Process::UID', 'Queue',
+ 'Range', 'RangeError', 'Rational', 'Regexp', 'RegexpError',
+ 'RuntimeError', 'ScriptError', 'SecurityError', 'Set',
+ 'Shellwords', 'Signal', 'SignalException', 'SimpleDelegator',
+ 'SingleForwardable', 'Singleton', 'SingletonClassMethods',
+ 'SizedQueue', 'SortedSet', 'StandardError', 'StringIO',
+ 'StringScanner', 'StringScanner::Error', 'Struct', 'Symbol',
+ 'SyncEnumerator', 'SyntaxError', 'SystemCallError',
+ 'SystemExit', 'SystemStackError', 'Tempfile',
+ 'Test::Unit::TestCase', 'Test::Unit', 'Test', 'Thread',
+ 'ThreadError', 'ThreadGroup',
+ 'ThreadsWait', 'Time', 'TrueClass', 'TypeError', 'URI',
+ 'URI::BadURIError', 'URI::Error', 'URI::Escape', 'URI::FTP',
+ 'URI::Generic', 'URI::HTTP', 'URI::HTTPS',
+ 'URI::InvalidComponentError', 'URI::InvalidURIError',
+ 'URI::LDAP', 'URI::MailTo', 'URI::REGEXP',
+ 'URI::REGEXP::PATTERN', 'UnboundMethod', 'Vector', 'YAML',
+ 'ZeroDivisionError', 'Zlib',
+ 'Zlib::BufError', 'Zlib::DataError', 'Zlib::Deflate',
+ 'Zlib::Error', 'Zlib::GzipFile', 'Zlib::GzipFile::CRCError',
+ 'Zlib::GzipFile::Error', 'Zlib::GzipFile::LengthError',
+ 'Zlib::GzipFile::NoFooter', 'Zlib::GzipReader',
+ 'Zlib::GzipWriter', 'Zlib::Inflate', 'Zlib::MemError',
+ 'Zlib::NeedDict', 'Zlib::StreamEnd', 'Zlib::StreamError',
+ 'Zlib::VersionError',
+ 'Zlib::ZStream',
+ 'ActionController::AbstractRequest',
+ 'ActionController::Assertions::DomAssertions',
+ 'ActionController::Assertions::ModelAssertions',
+ 'ActionController::Assertions::ResponseAssertions',
+ 'ActionController::Assertions::RoutingAssertions',
+ 'ActionController::Assertions::SelectorAssertions',
+ 'ActionController::Assertions::TagAssertions',
+ 'ActionController::Base',
+ 'ActionController::Benchmarking::ClassMethods',
+ 'ActionController::Caching',
+ 'ActionController::Caching::Actions',
+ 'ActionController::Caching::Actions::ActionCachePath',
+ 'ActionController::Caching::Fragments',
+ 'ActionController::Caching::Pages',
+ 'ActionController::Caching::Pages::ClassMethods',
+ 'ActionController::Caching::Sweeping',
+ 'ActionController::Components',
+ 'ActionController::Components::ClassMethods',
+ 'ActionController::Components::InstanceMethods',
+ 'ActionController::Cookies',
+ 'ActionController::Filters::ClassMethods',
+ 'ActionController::Flash',
+ 'ActionController::Flash::FlashHash',
+ 'ActionController::Helpers::ClassMethods',
+ 'ActionController::Integration::Session',
+ 'ActionController::IntegrationTest',
+ 'ActionController::Layout::ClassMethods',
+ 'ActionController::Macros',
+ 'ActionController::Macros::AutoComplete::ClassMethods',
+ 'ActionController::Macros::InPlaceEditing::ClassMethods',
+ 'ActionController::MimeResponds::InstanceMethods',
+ 'ActionController::Pagination',
+ 'ActionController::Pagination::ClassMethods',
+ 'ActionController::Pagination::Paginator',
+ 'ActionController::Pagination::Paginator::Page',
+ 'ActionController::Pagination::Paginator::Window',
+ 'ActionController::Rescue', 'ActionController::Resources',
+ 'ActionController::Routing',
+ 'ActionController::Scaffolding::ClassMethods',
+ 'ActionController::SessionManagement::ClassMethods',
+ 'ActionController::Streaming', 'ActionController::TestProcess',
+ 'ActionController::TestUploadedFile',
+ 'ActionController::UrlWriter',
+ 'ActionController::Verification::ClassMethods',
+ 'ActionMailer::Base', 'ActionView::Base',
+ 'ActionView::Helpers::ActiveRecordHelper',
+ 'ActionView::Helpers::AssetTagHelper',
+ 'ActionView::Helpers::BenchmarkHelper',
+ 'ActionView::Helpers::CacheHelper',
+ 'ActionView::Helpers::CaptureHelper',
+ 'ActionView::Helpers::DateHelper',
+ 'ActionView::Helpers::DebugHelper',
+ 'ActionView::Helpers::FormHelper',
+ 'ActionView::Helpers::FormOptionsHelper',
+ 'ActionView::Helpers::FormTagHelper',
+ 'ActionView::Helpers::JavaScriptHelper',
+ 'ActionView::Helpers::JavaScriptMacrosHelper',
+ 'ActionView::Helpers::NumberHelper',
+ 'ActionView::Helpers::PaginationHelper',
+ 'ActionView::Helpers::PrototypeHelper',
+ 'ActionView::Helpers::PrototypeHelper::JavaScriptGenerator::GeneratorMethods',
+ 'ActionView::Helpers::ScriptaculousHelper',
+ 'ActionView::Helpers::TagHelper',
+ 'ActionView::Helpers::TextHelper',
+ 'ActionView::Helpers::UrlHelper', 'ActionView::Partials',
+ 'ActionWebService::API::Method', 'ActionWebService::Base',
+ 'ActionWebService::Client::Soap',
+ 'ActionWebService::Client::XmlRpc',
+ 'ActionWebService::Container::ActionController::ClassMethods',
+ 'ActionWebService::Container::Delegated::ClassMethods',
+ 'ActionWebService::Container::Direct::ClassMethods',
+ 'ActionWebService::Invocation::ClassMethods',
+ 'ActionWebService::Scaffolding::ClassMethods',
+ 'ActionWebService::SignatureTypes', 'ActionWebService::Struct',
+ 'ActiveRecord::Acts::List::ClassMethods',
+ 'ActiveRecord::Acts::List::InstanceMethods',
+ 'ActiveRecord::Acts::NestedSet::ClassMethods',
+ 'ActiveRecord::Acts::NestedSet::InstanceMethods',
+ 'ActiveRecord::Acts::Tree::ClassMethods',
+ 'ActiveRecord::Acts::Tree::InstanceMethods',
+ 'ActiveRecord::Aggregations::ClassMethods',
+ 'ActiveRecord::Associations::ClassMethods',
+ 'ActiveRecord::AttributeMethods::ClassMethods',
+ 'ActiveRecord::Base',
+ 'ActiveRecord::Calculations::ClassMethods',
+ 'ActiveRecord::Callbacks',
+ 'ActiveRecord::ConnectionAdapters::AbstractAdapter',
+ 'ActiveRecord::ConnectionAdapters::Column',
+ 'ActiveRecord::ConnectionAdapters::DB2Adapter',
+ 'ActiveRecord::ConnectionAdapters::DatabaseStatements',
+ 'ActiveRecord::ConnectionAdapters::FirebirdAdapter',
+ 'ActiveRecord::ConnectionAdapters::FrontBaseAdapter',
+ 'ActiveRecord::ConnectionAdapters::MysqlAdapter',
+ 'ActiveRecord::ConnectionAdapters::OpenBaseAdapter',
+ 'ActiveRecord::ConnectionAdapters::OracleAdapter',
+ 'ActiveRecord::ConnectionAdapters::PostgreSQLAdapter',
+ 'ActiveRecord::ConnectionAdapters::Quoting',
+ 'ActiveRecord::ConnectionAdapters::SQLServerAdapter',
+ 'ActiveRecord::ConnectionAdapters::SQLiteAdapter',
+ 'ActiveRecord::ConnectionAdapters::SchemaStatements',
+ 'ActiveRecord::ConnectionAdapters::SybaseAdapter::ColumnWithIdentity',
+ 'ActiveRecord::ConnectionAdapters::SybaseAdapterContext',
+ 'ActiveRecord::ConnectionAdapters::TableDefinition',
+ 'ActiveRecord::Errors', 'ActiveRecord::Locking',
+ 'ActiveRecord::Locking::Optimistic',
+ 'ActiveRecord::Locking::Optimistic::ClassMethods',
+ 'ActiveRecord::Locking::Pessimistic',
+ 'ActiveRecord::Migration', 'ActiveRecord::Observer',
+ 'ActiveRecord::Observing::ClassMethods',
+ 'ActiveRecord::Reflection::ClassMethods',
+ 'ActiveRecord::Reflection::MacroReflection',
+ 'ActiveRecord::Schema', 'ActiveRecord::Timestamp',
+ 'ActiveRecord::Transactions::ClassMethods',
+ 'ActiveRecord::Validations',
+ 'ActiveRecord::Validations::ClassMethods',
+ 'ActiveRecord::XmlSerialization',
+ 'ActiveSupport::CachingTools::HashCaching',
+ 'ActiveSupport::CoreExtensions::Array::Conversions',
+ 'ActiveSupport::CoreExtensions::Array::Grouping',
+ 'ActiveSupport::CoreExtensions::Date::Conversions',
+ 'ActiveSupport::CoreExtensions::Hash::Conversions',
+ 'ActiveSupport::CoreExtensions::Hash::Conversions::ClassMethods',
+ 'ActiveSupport::CoreExtensions::Hash::Diff',
+ 'ActiveSupport::CoreExtensions::Hash::Keys',
+ 'ActiveSupport::CoreExtensions::Hash::ReverseMerge',
+ 'ActiveSupport::CoreExtensions::Integer::EvenOdd',
+ 'ActiveSupport::CoreExtensions::Integer::Inflections',
+ 'ActiveSupport::CoreExtensions::Numeric::Bytes',
+ 'ActiveSupport::CoreExtensions::Numeric::Time',
+ 'ActiveSupport::CoreExtensions::Pathname::CleanWithin',
+ 'ActiveSupport::CoreExtensions::Range::Conversions',
+ 'ActiveSupport::CoreExtensions::String::Access',
+ 'ActiveSupport::CoreExtensions::String::Conversions',
+ 'ActiveSupport::CoreExtensions::String::Inflections',
+ 'ActiveSupport::CoreExtensions::String::Iterators',
+ 'ActiveSupport::CoreExtensions::String::StartsEndsWith',
+ 'ActiveSupport::CoreExtensions::String::Unicode',
+ 'ActiveSupport::CoreExtensions::Time::Calculations',
+ 'ActiveSupport::CoreExtensions::Time::Calculations::ClassMethods',
+ 'ActiveSupport::CoreExtensions::Time::Conversions',
+ 'ActiveSupport::Multibyte::Chars',
+ 'ActiveSupport::Multibyte::Handlers::UTF8Handler',
+ 'Breakpoint', 'Builder::BlankSlate', 'Builder::XmlMarkup',
+ 'Fixtures',
+ 'HTML::Selector', 'HashWithIndifferentAccess', 'Inflector',
+ 'Inflector::Inflections', 'Mime', 'Mime::Type',
+ 'OCI8AutoRecover', 'TimeZone', 'XmlSimple'
+ ),
+ 5 => array(
+ 'image_tag', 'link_to', 'link_to_remote', 'javascript_include_tag',
+ 'assert_equal', 'assert_not_equal', 'before_filter',
+ 'after_filter', 'render', 'redirect_to', 'hide_action',
+ 'render_to_string', 'url_for', 'controller_name',
+ 'controller_class_name', 'controller_path', 'session',
+ 'render_component', 'render_component_as_string', 'cookie',
+ 'layout', 'flash', 'auto_complete_for', 'in_place_editor_for',
+ 'respond_to', 'paginate', 'current_page', 'each', 'first',
+ 'first_page', 'last_page', 'last', 'length', 'new', 'page_count',
+ 'previous', 'scaffold', 'send_data',
+ 'send_file', 'deliver', 'receive', 'error_messages_for',
+ 'error_message_on', 'form', 'input', 'stylesheet_link_tag',
+ 'stylesheet_path', 'content_for', 'select_date', 'ago',
+ 'month', 'day', 'check_box', 'fields_for', 'file_field',
+ 'form_for', 'hidden_field', 'text_area', 'password_field',
+ 'collection_select', 'options_for_select',
+ 'options_from_collection_for_select', 'file_field_tag',
+ 'form_for_tag', 'hidden_field_tag', 'text_area_tag',
+ 'password_field_tag', 'link_to_function', 'javascript_tag',
+ 'human_size', 'number_to_currency', 'pagination_links',
+ 'form_remote_tag', 'form_remote_for',
+ 'submit_to_remote', 'remote_function', 'observe_form',
+ 'observe_field', 'remote_form_for', 'options_for_ajax', 'alert',
+ 'call', 'assign', 'show', 'hide', 'insert_html', 'sortable',
+ 'toggle', 'visual_effect', 'replace', 'replace_html', 'remove',
+ 'save', 'save!', 'draggable', 'drop_receiving', 'literal',
+ 'draggable_element', 'drop_receiving_element', 'sortable_element',
+ 'content_tag', 'tag', 'link_to_image', 'link_to_if',
+ 'link_to_unless', 'mail_to', 'link_image_to', 'button_to',
+ 'current_page?', 'act_as_list', 'act_as_nested', 'act_as_tree',
+ 'has_many', 'has_one', 'belongs_to', 'has_many_and_belogns_to',
+ 'delete', 'destroy', 'destroy_all', 'clone', 'deep_clone', 'copy',
+ 'update', 'table_name', 'primary_key', 'sum', 'maximun', 'minimum',
+ 'count', 'size', 'after_save', 'after_create', 'before_save',
+ 'before_create', 'add_to_base', 'errors', 'add', 'validate',
+ 'validates_presence_of', 'validates_numericality_of',
+ 'validates_uniqueness_of', 'validates_length_of',
+ 'validates_format_of', 'validates_size_of', 'to_a', 'to_s',
+ 'to_xml', 'to_i'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '[', ']', '{', '}', '%', '&', '*', '|', '/', '<', '>',
+ '+', '-', '=>', '<<'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => true,
+ 2 => true,
+ 3 => true,
+ 4 => true,
+ 5 => true
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color:#9966CC; font-weight:bold;',
+ 2 => 'color:#0000FF; font-weight:bold;',
+ 3 => 'color:#CC0066; font-weight:bold;',
+ 4 => 'color:#CC00FF; font-weight:bold;',
+ 5 => 'color:#5A0A0A; font-weight:bold;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color:#008000; font-style:italic;',
+ 'MULTI' => 'color:#000080; font-style:italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color:#000099;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color:#006600; font-weight:bold;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color:#996600;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color:#006666;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color:#9900CC;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color:#006600; font-weight:bold;'
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color:#ff6633; font-weight:bold;',
+ 1 => 'color:#0066ff; font-weight:bold;',
+ 2 => 'color:#6666ff; font-weight:bold;',
+ 3 => 'color:#ff3333; font-weight:bold;'
+ ),
+ 'SCRIPT' => array(
+ 0 => '',
+ 1 => '',
+ 2 => '',
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => '',
+ 5 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.'
+ ),
+ 'REGEXPS' => array(
+ 0 => array(
+ GESHI_SEARCH => "([[:space:]])(\\$[a-zA-Z_][a-zA-Z0-9_]*)",
+ GESHI_REPLACE => '\\2',
+ GESHI_MODIFIERS => '',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => ''
+ ),
+ 1 => array(
+ GESHI_SEARCH => "([[:space:]])(@[a-zA-Z_][a-zA-Z0-9_]*)",
+ GESHI_REPLACE => '\\2',
+ GESHI_MODIFIERS => '',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => ''
+ ),
+ 2 => "([A-Z][a-zA-Z0-9_]*::)+[A-Z][a-zA-Z0-9_]*", //Static OOP References
+ 3 => array(
+ GESHI_SEARCH => "([[:space:]]|\[|\()(:[a-zA-Z_][a-zA-Z0-9_]*)",
+ GESHI_REPLACE => '\\2',
+ GESHI_MODIFIERS => '',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => ''
+ )
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_MAYBE,
+ 'SCRIPT_DELIMITERS' => array(
+ 0 => array(
+ '<%' => '%>'
+ )
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ 0 => true,
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/rebol.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/rebol.php
new file mode 100644
index 000000000..97eff1f32
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/rebol.php
@@ -0,0 +1,196 @@
+<?php
+/*************************************************************************************
+ * rebol.php
+ * --------
+ * Author: Lecanu Guillaume (Guillaume@LyA.fr)
+ * Copyright: (c) 2004-2005 Lecanu Guillaume (Guillaume@LyA.fr)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/12/22
+ *
+ * Rebol language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2009/01/26 (1.0.8.3)
+ * - Adapted language file to comply to GeSHi language file guidelines
+ * 2004/11/25 (1.0.3)
+ * - Added support for multiple object splitters
+ * - Fixed &new problem
+ * 2004/10/27 (1.0.2)
+ * - Added URL support
+ * - Added extra constants
+ * 2004/08/05 (1.0.1)
+ * - Added support for symbols
+ * 2004/07/14 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2004/07/14)
+ * -------------------------
+ * * Make sure the last few function I may have missed
+ * (like eval()) are included for highlighting
+ * * Split to several files - php4, php5 etc
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'REBOL',
+ 'COMMENT_SINGLE' => array(1 => ';'),
+ 'COMMENT_MULTI' => array('rebol [' => ']', 'comment [' => ']'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'binary!','block!','char!','date!','decimal!','email!','file!',
+ 'hash!','integer!','issue!','list!','logic!','money!','none!',
+ 'object!','paren!','pair!','path!','string!','tag!','time!',
+ 'tuple!','url!',
+ ),
+ 2 => array(
+ 'all','any','attempt','break','catch','compose','disarm','dispatch',
+ 'do','do-events','does','either','else','exit','for','forall',
+ 'foreach','forever','forskip','func','function','halt','has','if',
+ 'launch','loop','next','quit','reduce','remove-each','repeat',
+ 'return','secure','switch','throw','try','until','wait','while',
+ ),
+ 3 => array(
+ 'about','abs','absolute','add','alert','alias','alter','and',
+ 'any-block?','any-function?','any-string?','any-type?','any-word?',
+ 'append','arccosine','arcsine','arctangent','array','as-pair',
+ 'ask','at','back','binary?','bind','bitset?','block?','brightness?',
+ 'browse','build-tag','caret-to-offset','center-face','change',
+ 'change-dir','char?','charset','checksum','choose','clean-path',
+ 'clear','clear-fields','close','comment','complement','component?',
+ 'compress','confirm','connected?','construct','context','copy',
+ 'cosine','datatype?','date?','debase','decimal?','decode-cgi',
+ 'decompress','dehex','delete','detab','difference','dir?','dirize',
+ 'divide','dump-face','dump-obj','echo','email?','empty?','enbase',
+ 'entab','equal?','error?','even?','event?','exclude','exists?',
+ 'exp','extract','fifth','file?','find','first','flash','focus',
+ 'form','found?','fourth','free','function?','get','get-modes',
+ 'get-word?','greater-or-equal?','greater?','hash?','head','head?',
+ 'help','hide','hide-popup','image?','import-email','in',
+ 'in-window?','index?','info?','inform','input','input?','insert',
+ 'integer?','intersect','issue?','join','last','layout','length?',
+ 'lesser-or-equal?','lesser?','library?','license','link?',
+ 'list-dir','list?','lit-path?','lit-word?','load','load-image',
+ 'log-10','log-2','log-e','logic?','lowercase','make','make-dir',
+ 'make-face','max','maximum','maximum-of','min','minimum',
+ 'minimum-of','modified?','mold','money?','multiply','native?',
+ 'negate','negative?','none?','not','not-equal?','now','number?',
+ 'object?','odd?','offset-to-caret','offset?','op?','open','or',
+ 'pair?','paren?','parse','parse-xml','path?','pick','poke','port?',
+ 'positive?','power','prin','print','probe','protect',
+ 'protect-system','query','random','read','read-io','recycle',
+ 'refinement?','reform','rejoin','remainder','remold','remove',
+ 'rename',
+ //'repeat',
+ 'repend','replace','request','request-color','request-date',
+ 'request-download','request-file','request-list','request-pass',
+ 'request-text','resend','reverse','routine?','same?','save',
+ 'script?','second','select','send','series?','set','set-modes',
+ 'set-net','set-path?','set-word?','show','show-popup','sign?',
+ 'sine','size-text','size?','skip','sort','source','span?',
+ 'split-path','square-root','strict-equal?','strict-not-equal?',
+ 'string?','struct?','stylize','subtract','suffix?','tag?','tail',
+ 'tail?','tangent','third','time?','to','to-binary','to-bitset',
+ 'to-block','to-char','to-date','to-decimal','to-email','to-file',
+ 'to-get-word','to-hash','to-hex','to-idate','to-image','to-integer',
+ 'to-issue','to-list','to-lit-path','to-lit-word','to-local-file',
+ 'to-logic','to-money','to-pair','to-paren','to-path',
+ 'to-rebol-file','to-refinement','to-set-path','to-set-word',
+ 'to-string','to-tag','to-time','to-tuple','to-url','to-word',
+ 'trace','trim','tuple?','type?','unfocus','union','unique',
+ 'unprotect','unset','unset?','unview','update','upgrade',
+ 'uppercase','url?','usage','use','value?','view','viewed?','what',
+ 'what-dir','within?','word?','write','write-io','xor','zero?',
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '[', ']', '{', '}', '!', '@', '%', '&', '*', '|', '/', '<', '>'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #b1b100;',
+ 2 => 'color: #000000; font-weight: bold;',
+ 3 => 'color: #000066;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #808080; font-style: italic;',
+// 2 => 'color: #808080; font-style: italic;',
+ 'MULTI' => 'color: #808080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #006600;',
+ 2 => 'color: #006600;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #0000ff;'
+ ),
+ 'SCRIPT' => array(
+ 0 => '',
+ 1 => '',
+ 2 => '',
+ 3 => ''
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => ''
+// 2 => 'includes/dico_rebol.php?word={FNAME}',
+// 3 => 'includes/dico_rebol.php?word={FNAME}'
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ 0 => "[\\$]{1,2}[a-zA-Z_][a-zA-Z0-9_]*",
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/reg.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/reg.php
new file mode 100644
index 000000000..59199f743
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/reg.php
@@ -0,0 +1,233 @@
+<?php
+/*************************************************************************************
+ * reg.php
+ * -------
+ * Author: Sean Hanna (smokingrope@gmail.com)
+ * Copyright: (c) 2006 Sean Hanna
+ * Release Version: 1.0.8.4
+ * Date Started: 03/15/2006
+ *
+ * Microsoft Registry Editor language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/05/23 (1.0.7.22)
+ * - Added description of extra language features (SF#1970248)
+ * - Updated and optimized most regular expressions
+ * 03/15/2006 (0.5.0)
+ * - Syntax File Created
+ * 04/27/2006 (0.9.5)
+ * - Syntax Coloring Cleaned Up
+ * - First Release
+ * 04/29/2006 (1.0.0)
+ * - Updated a few coloring settings
+ *
+ * TODO (updated 4/27/2006)
+ * -------------------------
+ * - Add a verification to the multi-line portion of the hex field regex
+ * for a '\' character on the line preceding the line of the multi-line
+ * hex field.
+ *
+ * KNOWN ISSUES (updated 4/27/2006)
+ * ---------------------------------
+ *
+ * - There are two regexes for the multiline hex value regex. The regex for
+ * all lines after the first does not verify that the previous line contains
+ * a line continuation character '\'. This regex also does not check for
+ * end of line as it should.
+ *
+ * - If number_highlighting is enabled during processing of this syntax file
+ * many of the regexps used will appear slightly incorrect.
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+
+ ************************************************************************************/
+$language_data = array (
+ 'LANG_NAME' => 'Microsoft Registry',
+ 'COMMENT_SINGLE' => array(1 =>';'),
+ 'COMMENT_MULTI' => array( ),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array(),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+// 1 => array(),
+// 2 => array(),
+ /* Registry Key Constants Not Used */
+ 3 => array(
+ 'HKEY_LOCAL_MACHINE',
+ 'HKEY_CLASSES_ROOT',
+ 'HKEY_CURRENT_USER',
+ 'HKEY_USERS',
+ 'HKEY_CURRENT_CONFIG',
+ 'HKEY_DYN_DATA',
+ 'HKLM', 'HKCR', 'HKCU', 'HKU', 'HKCC', 'HKDD'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '='
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+// 1 => false,
+// 2 => false,
+ 3 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+// 1 => 'color: #00CCFF;',
+// 2 => 'color: #0000FF;',
+ 3 => 'color: #800000;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #009900;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #000000;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #009900;'
+ ),
+ 'NUMBERS' => array(
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #000000;'
+ ),
+ 'SCRIPT' => array(
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #00CCFF;',
+ 1 => 'color: #0000FF;',
+ 2 => '',
+ 3 => 'color: #0000FF;',
+ 4 => 'color: #0000FF;',
+ 5 => '',
+ 6 => '',
+ 7 => '',
+ 8 => 'color: #FF6600;',
+ )
+ ),
+ 'URLS' => array(
+// 1 => '',
+// 2 => '',
+ 3 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ // Highlight Key Delimiters
+ 0 => array(
+ GESHI_SEARCH => '((^|\\n)\\s*)(\\\\\\[(.*)\\\\\\])(\\s*(\\n|$))',
+ GESHI_REPLACE => '\\3',
+ GESHI_MODIFIERS => '',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => '\\5'
+// GESHI_CLASS => 'kw1'
+ ),
+ // Highlight File Format Header Version 5
+ 1 => array(
+ GESHI_SEARCH => '(^\s*)(Windows Registry Editor Version \d+\.\d+)(\s*$)',
+ GESHI_REPLACE => '\\2',
+ GESHI_MODIFIERS => 'm',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => '\\3',
+ GESHI_CLASS => 'geshi_registry_header'
+ ),
+ // Highlight File Format Header Version 4
+ 2 => array(
+ GESHI_SEARCH => '(^\\s*)(REGEDIT\s?\d+)(\s*$)',
+ GESHI_REPLACE => '\\2',
+ GESHI_MODIFIERS => 'm',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => '\\3',
+ GESHI_CLASS => 'geshi_registry_header'
+ ),
+ // Highlight dword: 32 bit integer values
+ 3 => array(
+ GESHI_SEARCH => '(=\s*)(dword:[0-9a-fA-F]{8})(\s*$)',
+ GESHI_REPLACE => '\\2',
+ GESHI_MODIFIERS => 'm',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => '\\3'
+// GESHI_CLASS => 'kw2'
+ ),
+ // Highlight variable names
+ 4 => array(
+ GESHI_SEARCH => '(^\s*)(\&quot;.*?\&quot;)(\s*=)',
+ GESHI_REPLACE => '\\2',
+ GESHI_MODIFIERS => 'm',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => '\\3',
+ GESHI_CLASS => 'geshi_variable'
+ ),
+ // Highlight String Values
+ 5 => array(
+ GESHI_SEARCH => '(=\s*)(\&quot;.*?\&quot;)(\s*$)',
+ GESHI_REPLACE => '\\2',
+ GESHI_MODIFIERS => 'm',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => '\\3',
+ GESHI_CLASS => 'st0'
+ ),
+ // Highlight Hexadecimal Values (Single-Line and Multi-Line)
+ 6 => array(
+ GESHI_SEARCH => '(=\s*\n?\s*)(hex:[0-9a-fA-F]{2}(,(\\\s*\n\s*)?[0-9a-fA-F]{2})*)',
+ GESHI_REPLACE => '\\2',
+ GESHI_MODIFIERS => 'm',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => '',
+ GESHI_CLASS => 'kw2'
+ ),
+ // Highlight Default Variable
+ 7 => array(
+ GESHI_SEARCH => '(^\s*)(@)(\s*=)',
+ GESHI_REPLACE => '\\2',
+ GESHI_MODIFIERS => 'm',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => '\\3',
+ GESHI_CLASS => 'geshi_variable'
+ ),
+ // Highlight GUID's found anywhere.
+ 8 => array(
+ GESHI_SEARCH => '(\{[0-9a-f]{8}-[0-9a-f]{4}-[0-9a-f]{4}-[0-9a-f]{4}-[0-9a-f]{12}\})',
+ GESHI_REPLACE => '\\1',
+ GESHI_MODIFIERS => 'i',
+ GESHI_BEFORE => '',
+ GESHI_AFTER => '',
+ GESHI_CLASS => 'geshi_guid'
+ )
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'PARSER_CONTROL' => array(
+ 'ENABLE_FLAGS' => array(
+ 'NUMBERS' => GESHI_NEVER,
+ )
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/robots.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/robots.php
new file mode 100644
index 000000000..af5fe1426
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/robots.php
@@ -0,0 +1,98 @@
+<?php
+/*************************************************************************************
+ * robots.php
+ * --------
+ * Author: Christian Lescuyer (cl@goelette.net)
+ * Copyright: (c) 2006 Christian Lescuyer http://xtian.goelette.info
+ * Release Version: 1.0.8.4
+ * Date Started: 2006/02/17
+ *
+ * robots.txt language file for GeSHi.
+ *
+ * 2006/02/17 (1.0.0)
+ * - First Release
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'robots.txt',
+ 'COMMENT_SINGLE' => array(1 => '#'),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array(),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'User-agent', 'Disallow'
+ )
+ ),
+ 'SYMBOLS' => array(
+ ':'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => true
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #b1b100;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #808080; font-style: italic;',
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => 'http://www.robotstxt.org/wc/norobots.html'
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/ruby.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/ruby.php
new file mode 100644
index 000000000..8c2b7d829
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/ruby.php
@@ -0,0 +1,226 @@
+<?php
+/*************************************************************************************
+ * ruby.php
+ * --------
+ * Author: Moises Deniz
+ * Copyright: (c) 2007 Moises Deniz
+ * Release Version: 1.0.8.4
+ * Date Started: 2007/03/21
+ *
+ * Ruby language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/05/23 (1.0.7.22)
+ * - Added description of extra language features (SF#1970248)
+ * 2007/03/21 (1.0.7.19)
+ * - Initial release
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Ruby',
+ 'COMMENT_SINGLE' => array(1 => "#"),
+ 'COMMENT_MULTI' => array("=begin" => "=end"),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"', '`','\''),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'alias', 'and', 'begin', 'break', 'case', 'class',
+ 'def', 'defined', 'do', 'else', 'elsif', 'end',
+ 'ensure', 'for', 'if', 'in', 'module', 'while',
+ 'next', 'not', 'or', 'redo', 'rescue', 'yield',
+ 'retry', 'super', 'then', 'undef', 'unless',
+ 'until', 'when', 'BEGIN', 'END', 'include'
+ ),
+ 2 => array(
+ '__FILE__', '__LINE__', 'false', 'nil', 'self', 'true',
+ 'return'
+ ),
+ 3 => array(
+ 'Array', 'Float', 'Integer', 'String', 'at_exit',
+ 'autoload', 'binding', 'caller', 'catch', 'chop', 'chop!',
+ 'chomp', 'chomp!', 'eval', 'exec', 'exit', 'exit!', 'fail',
+ 'fork', 'format', 'gets', 'global_variables', 'gsub', 'gsub!',
+ 'iterator?', 'lambda', 'load', 'local_variables', 'loop',
+ 'open', 'p', 'print', 'printf', 'proc', 'putc', 'puts',
+ 'raise', 'rand', 'readline', 'readlines', 'require', 'select',
+ 'sleep', 'split', 'sprintf', 'srand', 'sub', 'sub!', 'syscall',
+ 'system', 'trace_var', 'trap', 'untrace_var'
+ ),
+ 4 => array(
+ 'Abbrev', 'ArgumentError', 'Base64', 'Benchmark',
+ 'Benchmark::Tms', 'Bignum', 'Binding', 'CGI', 'CGI::Cookie',
+ 'CGI::HtmlExtension', 'CGI::QueryExtension',
+ 'CGI::Session', 'CGI::Session::FileStore',
+ 'CGI::Session::MemoryStore', 'Class', 'Comparable', 'Complex',
+ 'ConditionVariable', 'Continuation', 'Data',
+ 'Date', 'DateTime', 'Delegator', 'Dir', 'EOFError', 'ERB',
+ 'ERB::Util', 'Enumerable', 'Enumerable::Enumerator', 'Errno',
+ 'Exception', 'FalseClass', 'File',
+ 'File::Constants', 'File::Stat', 'FileTest', 'FileUtils',
+ 'FileUtils::DryRun', 'FileUtils::NoWrite',
+ 'FileUtils::StreamUtils_', 'FileUtils::Verbose', 'Find',
+ 'Fixnum', 'FloatDomainError', 'Forwardable', 'GC', 'Generator',
+ 'Hash', 'IO', 'IOError', 'Iconv', 'Iconv::BrokenLibrary',
+ 'Iconv::Failure', 'Iconv::IllegalSequence',
+ 'Iconv::InvalidCharacter', 'Iconv::InvalidEncoding',
+ 'Iconv::OutOfRange', 'IndexError', 'Interrupt', 'Kernel',
+ 'LoadError', 'LocalJumpError', 'Logger', 'Logger::Application',
+ 'Logger::Error', 'Logger::Formatter', 'Logger::LogDevice',
+ 'Logger::LogDevice::LogDeviceMutex', 'Logger::Severity',
+ 'Logger::ShiftingError', 'Marshal', 'MatchData',
+ 'Math', 'Matrix', 'Method', 'Module', 'Mutex', 'NameError',
+ 'NameError::message', 'NilClass', 'NoMemoryError',
+ 'NoMethodError', 'NotImplementedError', 'Numeric', 'Object',
+ 'ObjectSpace', 'Observable', 'PStore', 'PStore::Error',
+ 'Pathname', 'Precision', 'Proc', 'Process', 'Process::GID',
+ 'Process::Status', 'Process::Sys', 'Process::UID', 'Queue',
+ 'Range', 'RangeError', 'Rational', 'Regexp', 'RegexpError',
+ 'RuntimeError', 'ScriptError', 'SecurityError', 'Set',
+ 'Shellwords', 'Signal', 'SignalException', 'SimpleDelegator',
+ 'SingleForwardable', 'Singleton', 'SingletonClassMethods',
+ 'SizedQueue', 'SortedSet', 'StandardError', 'StringIO',
+ 'StringScanner', 'StringScanner::Error', 'Struct', 'Symbol',
+ 'SyncEnumerator', 'SyntaxError', 'SystemCallError',
+ 'SystemExit', 'SystemStackError', 'Tempfile',
+ 'Test::Unit::TestCase', 'Test::Unit', 'Test', 'Thread',
+ 'ThreadError', 'ThreadGroup',
+ 'ThreadsWait', 'Time', 'TrueClass', 'TypeError', 'URI',
+ 'URI::BadURIError', 'URI::Error', 'URI::Escape', 'URI::FTP',
+ 'URI::Generic', 'URI::HTTP', 'URI::HTTPS',
+ 'URI::InvalidComponentError', 'URI::InvalidURIError',
+ 'URI::LDAP', 'URI::MailTo', 'URI::REGEXP',
+ 'URI::REGEXP::PATTERN', 'UnboundMethod', 'Vector', 'YAML',
+ 'ZeroDivisionError', 'Zlib',
+ 'Zlib::BufError', 'Zlib::DataError', 'Zlib::Deflate',
+ 'Zlib::Error', 'Zlib::GzipFile', 'Zlib::GzipFile::CRCError',
+ 'Zlib::GzipFile::Error', 'Zlib::GzipFile::LengthError',
+ 'Zlib::GzipFile::NoFooter', 'Zlib::GzipReader',
+ 'Zlib::GzipWriter', 'Zlib::Inflate', 'Zlib::MemError',
+ 'Zlib::NeedDict', 'Zlib::StreamEnd', 'Zlib::StreamError',
+ 'Zlib::VersionError',
+ 'Zlib::ZStream',
+ 'HTML::Selector', 'HashWithIndifferentAccess', 'Inflector',
+ 'Inflector::Inflections', 'Mime', 'Mime::Type',
+ 'OCI8AutoRecover', 'TimeZone', 'XmlSimple'
+ ),
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '[', ']', '{', '}', '%', '&', '*', '|', '/', '<', '>',
+ '+', '-', '=>', '<<'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => true,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color:#9966CC; font-weight:bold;',
+ 2 => 'color:#0000FF; font-weight:bold;',
+ 3 => 'color:#CC0066; font-weight:bold;',
+ 4 => 'color:#CC00FF; font-weight:bold;',
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color:#008000; font-style:italic;',
+ 'MULTI' => 'color:#000080; font-style:italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color:#000099;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color:#006600; font-weight:bold;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color:#996600;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color:#006666;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color:#9900CC;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color:#006600; font-weight:bold;'
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color:#ff6633; font-weight:bold;',
+ 1 => 'color:#0066ff; font-weight:bold;',
+ 2 => 'color:#6666ff; font-weight:bold;',
+ 3 => 'color:#ff3333; font-weight:bold;'
+ ),
+ 'SCRIPT' => array(
+ 0 => '',
+ 1 => '',
+ 2 => '',
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.'
+ ),
+ 'REGEXPS' => array(
+ 0 => array(//Variables
+ GESHI_SEARCH => "([[:space:]])(\\$[a-zA-Z_][a-zA-Z0-9_]*)",
+ GESHI_REPLACE => '\\2',
+ GESHI_MODIFIERS => '',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => ''
+ ),
+ 1 => array(//Arrays
+ GESHI_SEARCH => "([[:space:]])(@[a-zA-Z_][a-zA-Z0-9_]*)",
+ GESHI_REPLACE => '\\2',
+ GESHI_MODIFIERS => '',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => ''
+ ),
+ 2 => "([A-Z][a-zA-Z0-9_]*::)+[A-Z][a-zA-Z0-9_]*",//Static OOP symbols
+ 3 => array(
+ GESHI_SEARCH => "([[:space:]]|\[|\()(:[a-zA-Z_][a-zA-Z0-9_]*)",
+ GESHI_REPLACE => '\\2',
+ GESHI_MODIFIERS => '',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => ''
+ )
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_MAYBE,
+ 'SCRIPT_DELIMITERS' => array(
+ 0 => array(
+ '<%' => '%>'
+ )
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ 0 => true,
+ ),
+ 'TAB_WIDTH' => 2
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/sas.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/sas.php
new file mode 100644
index 000000000..bc6899716
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/sas.php
@@ -0,0 +1,290 @@
+<?php
+/*************************************************************************************
+ * sas.php
+ * -------
+ * Author: Galen Johnson (solitaryr@gmail.com)
+ * Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2005/12/27
+ *
+ * SAS language file for GeSHi. Based on the sas vim file.
+ *
+ * CHANGES
+ * -------
+ * 2008/05/23 (1.0.7.22)
+ * - Added description of extra language features (SF#1970248)
+ * - Cleaned up code style
+ * 2005/12/27 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2005/12/27)
+ * -------------------------
+ * * Check highlighting stuff works
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'SAS',
+ 'COMMENT_SINGLE' => array(),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ '_ALL_','_CHARACTER_','_INFILE_','_N_','_NULL_','_NUMERIC_',
+ '_WEBOUT_'
+ ),
+ 2 => array(
+ '%BQUOTE','%CMPRES','%COMPSTOR','%DATATYP','%DISPLAY','%DO','%ELSE',
+ '%END','%EVAL','%GLOBAL','%GOTO','%IF','%INDEX','%INPUT','%KEYDEF',
+ '%LABEL','%LEFT','%LENGTH','%LET','%LOCAL','%LOWCASE','%MACRO',
+ '%MEND','%NRBQUOTE','%NRQUOTE','%NRSTR','%PUT','%QCMPRES','%QLEFT',
+ '%QLOWCASE','%QSCAN','%QSUBSTR','%QSYSFUNC','%QTRIM','%QUOTE',
+ '%QUPCASE','%SCAN','%STR','%SUBSTR','%SUPERQ','%SYSCALL',
+ '%SYSEVALF','%SYSEXEC','%SYSFUNC','%SYSGET','%SYSLPUT','%SYSPROD',
+ '%SYSRC','%SYSRPUT','%THEN','%TO','%TRIM','%UNQUOTE','%UNTIL',
+ '%UPCASE','%VERIFY','%WHILE','%WINDOW'
+ ),
+ 3 => array(
+ 'ABS','ADDR','AIRY','ARCOS','ARSIN','ATAN','ATTRC','ATTRN','BAND',
+ 'BETAINV','BLSHIFT','BNOT','BOR','BRSHIFT','BXOR','BYTE','CDF',
+ 'CEIL','CEXIST','CINV','CLOSE','CNONCT','COLLATE','COMPBL',
+ 'COMPOUND','COMPRESS','COSH','COS','CSS','CUROBS','CV','DACCDBSL',
+ 'DACCDB','DACCSL','DACCSYD','DACCTAB','DAIRY','DATETIME','DATEJUL',
+ 'DATEPART','DATE','DAY','DCLOSE','DEPDBSL','DEPDB','DEPSL','DEPSYD',
+ 'DEPTAB','DEQUOTE','DHMS','DIF','DIGAMMA','DIM','DINFO','DNUM',
+ 'DOPEN','DOPTNAME','DOPTNUM','DREAD','DROPNOTE','DSNAME','ERFC',
+ 'ERF','EXIST','EXP','FAPPEND','FCLOSE','FCOL','FDELETE','FETCHOBS',
+ 'FETCH','FEXIST','FGET','FILEEXIST','FILENAME','FILEREF','FINFO',
+ 'FINV','FIPNAMEL','FIPNAME','FIPSTATE','FLOOR','FNONCT','FNOTE',
+ 'FOPEN','FOPTNAME','FOPTNUM','FPOINT','FPOS','FPUT','FREAD',
+ 'FREWIND','FRLEN','FSEP','FUZZ','FWRITE','GAMINV','GAMMA',
+ 'GETOPTION','GETVARC','GETVARN','HBOUND','HMS','HOSTHELP','HOUR',
+ 'IBESSEL','INDEXW','INDEXC','INDEX','INPUTN','INPUTC','INPUT',
+ 'INTRR','INTCK','INTNX','INT','IRR','JBESSEL','JULDATE','KURTOSIS',
+ 'LAG','LBOUND','LEFT','LENGTH','LGAMMA','LIBNAME','LIBREF','LOG10',
+ 'LOG2','LOGPDF','LOGPMF','LOGSDF','LOG','LOWCASE','MAX','MDY',
+ 'MEAN','MINUTE','MIN','MOD','MONTH','MOPEN','MORT','NETPV','NMISS',
+ 'NORMAL','NPV','N','OPEN','ORDINAL','PATHNAME','PDF','PEEKC','PEEK',
+ 'PMF','POINT','POISSON','POKE','PROBBETA','PROBBNML','PROBCHI',
+ 'PROBF','PROBGAM','PROBHYPR','PROBIT','PROBNEGB','PROBNORM','PROBT',
+ 'PUTN','PUTC','PUT','QTR','QUOTE','RANBIN','RANCAU','RANEXP',
+ 'RANGAM','RANGE','RANK','RANNOR','RANPOI','RANTBL','RANTRI',
+ 'RANUNI','REPEAT','RESOLVE','REVERSE','REWIND','RIGHT','ROUND',
+ 'SAVING','SCAN','SDF','SECOND','SIGN','SINH','SIN','SKEWNESS',
+ 'SOUNDEX','SPEDIS','SQRT','STDERR','STD','STFIPS','STNAME',
+ 'STNAMEL','SUBSTR','SUM','SYMGET','SYSGET','SYSMSG','SYSPROD',
+ 'SYSRC','SYSTEM','TANH','TAN','TIMEPART','TIME','TINV','TNONCT',
+ 'TODAY','TRANSLATE','TRANWRD','TRIGAMMA','TRIMN','TRIM','TRUNC',
+ 'UNIFORM','UPCASE','USS','VARFMT','VARINFMT','VARLABEL','VARLEN',
+ 'VARNAME','VARNUM','VARRAYX','VARRAY','VARTYPE','VAR','VERIFY',
+ 'VFORMATX','VFORMATDX','VFORMATD','VFORMATNX','VFORMATN',
+ 'VFORMATWX','VFORMATW','VFORMAT','VINARRAYX','VINARRAY',
+ 'VINFORMATX','VINFORMATDX','VINFORMATD','VINFORMATNX','VINFORMATN',
+ 'VINFORMATWX','VINFORMATW','VINFORMAT','VLABELX','VLABEL',
+ 'VLENGTHX','VLENGTH','VNAMEX','VNAME','VTYPEX','VTYPE','WEEKDAY',
+ 'YEAR','YYQ','ZIPFIPS','ZIPNAME','ZIPNAMEL','ZIPSTATE'
+ ),
+ 4 => array(
+ 'ABORT','ADD','ALTER','AND','ARRAY','AS','ATTRIB','BY','CALL',
+ 'CARDS4','CASCADE','CATNAME','CHECK','CONTINUE','CREATE',
+ 'DATALINES4','DELETE','DESCRIBE','DISPLAY','DISTINCT','DM','DROP',
+ 'ENDSAS','FILE','FOOTNOTE','FOREIGN','FORMAT','FROM',
+ 'GOTO','GROUP','HAVING','IN','INFILE','INFORMAT',
+ 'INSERT','INTO','KEEP','KEY','LABEL','LEAVE',
+ 'LIKE','LINK','LIST','LOSTCARD','MERGE','MESSAGE','MISSING',
+ 'MODIFY','MSGTYPE','NOT','NULL','ON','OPTIONS','OR','ORDER',
+ 'OUTPUT','PAGE','PRIMARY','REDIRECT','REFERENCES','REMOVE',
+ 'RENAME','REPLACE','RESET','RESTRICT','RETAIN','RETURN','SELECT',
+ 'SET','SKIP','STARTSAS','STOP','SYSTASK','TABLE','TITLE','UNIQUE',
+ 'UPDATE','VALIDATE','VIEW','WAITSAS','WHERE','WINDOW','X'
+ ),
+ 5 => array(
+ 'DO','ELSE','END','IF','THEN','UNTIL','WHILE'
+ ),
+ 6 => array(
+ 'RUN','QUIT','DATA'
+ ),
+ 7 => array(
+ 'ERROR'
+ ),
+ 8 => array(
+ 'WARNING'
+ ),
+ 9 => array(
+ 'NOTE'
+ )
+ ),
+ 'SYMBOLS' => array(
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => false,
+ 5 => false,
+ 6 => false,
+ 7 => false,
+ 8 => false,
+ 9 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #0000ff;',
+ 2 => 'color: #0000ff;',
+ 3 => 'color: #0000ff;',
+ 4 => 'color: #0000ff;',
+ 5 => 'color: #0000ff;',
+ 6 => 'color: #000080; font-weight: bold;',
+ 7 => 'color: #ff0000;',
+ 8 => 'color: #00ff00;',
+ 9 => 'color: #0000ff;'
+ ),
+ 'COMMENTS' => array(
+// 1 => 'color: #006400; font-style: italic;',
+ 'MULTI' => 'color: #006400; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #a020f0;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #2e8b57; font-weight: bold;'
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'SCRIPT' => array(
+ 0 => '',
+ 1 => '',
+ 2 => '',
+ 3 => ''
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #0000ff; font-weight: bold;',
+ 1 => 'color: #000080; font-weight: bold;',
+ 2 => 'color: #006400; font-style: italic;',
+ 3 => 'color: #006400; font-style: italic;',
+ 4 => 'color: #006400; font-style: italic;',
+ 5 => 'color: #ff0000; font-weight: bold;',
+ 6 => 'color: #00ff00; font-style: italic;',
+ 7 => 'color: #0000ff; font-style: normal;',
+ 8 => 'color: #b218b2; font-weight: bold;',
+ 9 => 'color: #b218b2; font-weight: bold;'
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => '',
+ 5 => '',
+ 6 => '',
+ 7 => '',
+ 8 => '',
+ 9 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ 0 => "&amp;[a-zA-Z_][a-zA-Z0-9_]*",
+ 1 => array(//Procedures
+ GESHI_SEARCH => '(^\\s*)(PROC \\w+)',
+ GESHI_REPLACE => '\\2',
+ GESHI_MODIFIERS => 'im',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => ''
+ ),
+ 2 => array(
+ GESHI_SEARCH => '(^\\s*)(\\*.*;)',
+ GESHI_REPLACE => '\\2',
+ GESHI_MODIFIERS => 'im',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => ''
+ ),
+ 3 => array(
+ GESHI_SEARCH => '(.*;\\s*)(\\*.*;)',
+ GESHI_REPLACE => '\\2',
+ GESHI_MODIFIERS => 'im',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => ''
+ ),
+ 4 => array(
+ GESHI_SEARCH => '(^\\s*)(%\\*.*;)',
+ GESHI_REPLACE => '\\2',
+ GESHI_MODIFIERS => 'im',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => ''
+ ),
+ 5 => array(//Error messages
+ GESHI_SEARCH => '(^ERROR.*)',
+ GESHI_REPLACE => '\\1',
+ GESHI_MODIFIERS => 'im',
+ GESHI_BEFORE => '',
+ GESHI_AFTER => ''
+ ),
+ 6 => array(//Warning messages
+ GESHI_SEARCH => '(^WARNING.*)',
+ GESHI_REPLACE => '\\1',
+ GESHI_MODIFIERS => 'im',
+ GESHI_BEFORE => '',
+ GESHI_AFTER => ''
+ ),
+ 7 => array(//Notice messages
+ GESHI_SEARCH => '(^NOTE.*)',
+ GESHI_REPLACE => '\\1',
+ GESHI_MODIFIERS => 'im',
+ GESHI_BEFORE => '',
+ GESHI_AFTER => ''
+ ),
+ 8 => array(
+ GESHI_SEARCH => '(^\\s*)(CARDS.*)(^\\s*;\\s*$)',
+ GESHI_REPLACE => '\\2',
+ GESHI_MODIFIERS => 'sim',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => '\\3'
+ ),
+ 9 => array(
+ GESHI_SEARCH => '(^\\s*)(DATALINES.*)(^\\s*;\\s*$)',
+ GESHI_REPLACE => '\\2',
+ GESHI_MODIFIERS => 'sim',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => '\\3'
+ )
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_MAYBE,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/scala.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/scala.php
new file mode 100644
index 000000000..0eae52d92
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/scala.php
@@ -0,0 +1,122 @@
+<?php
+/*************************************************************************************
+ * scala.php
+ * ----------
+ * Author: Franco Lombardo (franco@francolombardo.net)
+ * Copyright: (c) 2008 Franco Lombardo, Benny Baumann
+ * Release Version: 1.0.8.4
+ * Date Started: 2008/02/08
+ *
+ * Scala language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/02/08 (1.0.7.22)
+ * - First Release
+ *
+ * TODO (updated 2007/04/27)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Scala',
+ 'COMMENT_SINGLE' => array(1 => '//'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'abstract', 'case', 'catch', 'class', 'def',
+ 'do', 'else', 'extends', 'false', 'final',
+ 'finally', 'for', 'forSome', 'if', 'implicit',
+ 'import', 'match', 'new', 'null', 'object',
+ 'override', 'package', 'private', 'protected', 'requires',
+ 'return', 'sealed', 'super', 'this', 'throw',
+ 'trait', 'try', 'true', 'type', 'val',
+ 'var', 'while', 'with', 'yield'
+ ),
+ 2 => array(
+ 'void', 'double', 'int', 'boolean', 'byte', 'short', 'long', 'char', 'float'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '[', ']', '{', '}', '*', '&', '%', '!', ';', '<', '>', '?',
+ '_', ':', '=', '=>', '<<:',
+ '<%', '>:', '#', '@'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => true,
+ 2 => true
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #0000ff; font-weight: bold;',
+ 2 => 'color: #9999cc; font-weight: bold;',
+ ),
+ 'COMMENTS' => array(
+ 1=> 'color: #008000; font-style: italic;',
+ 'MULTI' => 'color: #00ff00; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #0000ff; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #F78811;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #6666FF;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #F78811;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #000000;',
+ 2 => 'color: #000000;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #000080;'
+ ),
+ 'SCRIPT' => array(
+ ),
+ 'REGEXPS' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => 'http://scala-lang.org',
+ 2 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/scheme.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/scheme.php
new file mode 100644
index 000000000..1fffcb248
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/scheme.php
@@ -0,0 +1,170 @@
+<?php
+/*************************************************************************************
+ * scheme.php
+ * ----------
+ * Author: Jon Raphaelson (jonraphaelson@gmail.com)
+ * Copyright: (c) 2005 Jon Raphaelson, Nigel McNie (http://qbnz.com/highlighter)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/08/30
+ *
+ * Scheme language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2005/09/22 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2005/09/22)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Scheme',
+ 'COMMENT_SINGLE' => array(1 => ';'),
+ 'COMMENT_MULTI' => array('#|' => '|#'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'abs', 'acos', 'and', 'angle', 'append', 'appply', 'approximate',
+ 'asin', 'assoc', 'assq', 'assv', 'atan',
+
+ 'begin', 'boolean?', 'bound-identifier=?',
+
+ 'caar', 'caddr', 'cadr', 'call-with-current-continuation',
+ 'call-with-input-file', 'call-with-output-file', 'call/cc', 'car',
+ 'case', 'catch', 'cdddar', 'cddddr', 'cdr', 'ceiling', 'char->integer',
+ 'char-alphabetic?', 'char-ci<=?', 'char-ci<?', 'char-ci?', 'char-ci>=?',
+ 'char-ci>?', 'char-ci=?', 'char-downcase', 'char-lower-case?',
+ 'char-numeric', 'char-ready', 'char-ready?', 'char-upcase',
+ 'char-upper-case?', 'char-whitespace?', 'char<=?', 'char<?', 'char=?',
+ 'char>=?', 'char>?', 'char?', 'close-input-port', 'close-output-port',
+ 'complex?', 'cond', 'cons', 'construct-identifier', 'cos',
+ 'current-input-port', 'current-output-port',
+
+ 'd', 'define', 'define-syntax', 'delay', 'denominator', 'display', 'do',
+
+ 'e', 'eof-object?', 'eq?', 'equal?', 'eqv?', 'even?', 'exact->inexact',
+ 'exact?', 'exp', 'expt', 'else',
+
+ 'f', 'floor', 'for-each', 'force', 'free-identifer=?',
+
+ 'gcd', 'gen-counter', 'gen-loser', 'generate-identifier',
+
+ 'identifier->symbol', 'identifier', 'if', 'imag-part', 'inexact->exact',
+ 'inexact?', 'input-port?', 'integer->char', 'integer?', 'integrate-system',
+
+ 'l', 'lambda', 'last-pair', 'lcm', 'length', 'let', 'let*', 'letrec',
+ 'list', 'list->string', 'list->vector', 'list-ref', 'list-tail', 'list?',
+ 'load', 'log',
+
+ 'magnitude', 'make-polar', 'make-promise', 'make-rectangular',
+ 'make-string', 'make-vector', 'map', 'map-streams', 'max', 'member',
+ 'memq', 'memv', 'min', 'modulo',
+
+ 'negative', 'newline', 'nil', 'not', 'null?', 'number->string', 'number?',
+ 'numerator',
+
+ 'odd?', 'open-input-file', 'open-output-file', 'or', 'output-port',
+
+ 'pair?', 'peek-char', 'positive?', 'procedure?',
+
+ 'quasiquote', 'quote', 'quotient',
+
+ 'rational', 'rationalize', 'read', 'read-char', 'real-part', 'real?',
+ 'remainder', 'return', 'reverse',
+
+ 's', 'sequence', 'set!', 'set-char!', 'set-cdr!', 'sin', 'sqrt', 'string',
+ 'string->list', 'string->number', 'string->symbol', 'string-append',
+ 'string-ci<=?', 'string-ci<?', 'string-ci=?', 'string-ci>=?',
+ 'string-ci>?', 'string-copy', 'string-fill!', 'string-length',
+ 'string-ref', 'string-set!', 'string<=?', 'string<?', 'string=?',
+ 'string>=?', 'string>?', 'string?', 'substring', 'symbol->string',
+ 'symbol?', 'syntax', 'syntax-rules',
+
+ 't', 'tan', 'template', 'transcript-off', 'transcript-on', 'truncate',
+
+ 'unquote', 'unquote-splicing', 'unwrap-syntax',
+
+ 'vector', 'vector->list', 'vector-fill!', 'vector-length', 'vector-ref',
+ 'vector-set!', 'vector?',
+
+ 'with-input-from-file', 'with-output-to-file', 'write', 'write-char',
+
+ 'zero?'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '{', '}', '[', ']', '!', '%', '^', '&', '/','+','-','*','=','<','>',';','|'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #b1b100;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #808080; font-style: italic;',
+ 'MULTI' => 'color: #808080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ 0 => 'color: #202020;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/scilab.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/scilab.php
new file mode 100644
index 000000000..3d66d810a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/scilab.php
@@ -0,0 +1,295 @@
+<?php
+/*************************************************************************************
+ * scilab.php
+ * --------
+ * Author: Christophe David (geshi@christophedavid.org)
+ * Copyright: (c) 2008 Christophe David (geshi@christophedavid.org)
+ * Release Version: 1.0.8.4
+ * Date Started: 2008/08/04
+ *
+ * SciLab language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/08/25 (1.0.8.1)
+ * - Corrected with the help of Benny Baumann (BenBE@geshi.org)
+ * 2008/08/04 (0.0.0.1)
+ * - First beta Release - known problem with ' used to transpose matrices considered as start of strings
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'SciLab',
+ 'COMMENT_SINGLE' => array(1 => '//'),
+ 'COMMENT_MULTI' => array(),
+ 'COMMENT_REGEXP' => array(
+ 2 => "/(?<=\)|\]|\w)'/"
+ ),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'HARDQUOTE' => array("'", "'"),
+ 'HARDESCAPE' => array(),
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'if', 'else', 'elseif', 'end', 'select', 'case', 'for', 'while', 'break'
+ ),
+ 2 => array(
+ 'STDIN', 'STDOUT', 'STDERR',
+ '%i', '%pi', '%e', '%eps', '%nan', '%inf', '%s', '%t', '%f',
+ 'usual', 'polynomial', 'boolean', 'character', 'function', 'rational', 'state-space',
+ 'sparse', 'boolean sparse', 'list', 'tlist', 'library', 'endfunction'
+ ),
+ 3 => array(
+ '%asn', '%helps', '%k', '%sn', 'abcd', 'abinv', 'abort', 'about', 'About_M2SCI_tools',
+ 'abs', 'acos', 'acosh', 'acoshm', 'acosm', 'AdCommunications', 'add_demo', 'add_edge',
+ 'add_help_chapter', 'add_node', 'add_palette', 'addcolor', 'addf', 'addinter', 'addmenu',
+ 'adj_lists', 'adj2sp', 'aff2ab', 'alufunctions', 'amell', 'analpf', 'analyze', 'and',
+ 'ans', 'apropos', 'arc_graph', 'arc_number', 'arc_properties', 'argn', 'arhnk', 'arl2',
+ 'arma', 'arma2p', 'armac', 'armax', 'armax1', 'arsimul', 'artest', 'articul', 'ascii',
+ 'asciimat', 'asin', 'asinh', 'asinhm', 'asinm', 'assignation', 'atan', 'atanh', 'atanhm',
+ 'atanm', 'augment', 'auread', 'auwrite', 'axes_properties', 'axis_properties', 'backslash',
+ 'balanc', 'balreal', 'bandwr', 'banner','bar', 'barh', 'barhomogenize', 'basename', 'bdiag',
+ 'beep', 'besselh', 'besseli', 'besselj', 'besselk', 'bessely', 'best_match', 'beta','bezout',
+ 'bifish', 'bilin', 'binomial', 'black', 'bloc2exp', 'bloc2ss', 'bode', 'bool2s',
+ 'boucle', 'brackets', 'browsevar', 'bsplin3val', 'bstap', 'buttmag', 'buttondialog',
+ 'bvode', 'bvodeS', 'c_link', 'cainv', 'calendar', 'calerf', 'calfrq', 'call', 'canon', 'casc',
+ 'cat', 'catch', 'ccontrg', 'cd', 'cdfbet', 'cdfbin', 'cdfchi', 'cdfchn', 'cdff', 'cdffnc',
+ 'cdfgam', 'cdfnbn', 'cdfnor', 'cdfpoi', 'cdft', 'ceil', 'cell', 'cell2mat', 'cellstr', 'center',
+ 'cepstrum', 'chain_struct', 'chaintest', 'champ', 'champ_properties', 'champ1', 'char', 'chart',
+ 'chartooem', 'chdir', 'cheb1mag', 'cheb2mag', 'check_graph', 'chepol', 'chfact', 'chol', 'chsolve',
+ 'circuit', 'classmarkov', 'clc', 'clean', 'clear', 'clear_pixmap', 'clearfun', 'clearglobal','clf',
+ 'clipboard', 'close', 'cls2dls', 'cmb_lin', 'cmndred', 'cmoment', 'code2str', 'coeff', 'coff', 'coffg',
+ 'colcomp', 'colcompr', 'colinout', 'colon', 'color', 'color_list', 'colorbar', 'colordef', 'colormap',
+ 'colregul', 'comma', 'comments', 'comp', 'companion', 'comparison', 'Compound_properties', 'con_nodes',
+ 'cond', 'config', 'configure_msvc', 'conj', 'connex', 'console', 'cont_frm', 'cont_mat', 'Contents',
+ 'continue', 'contour', 'contour2d', 'contour2di', 'contourf', 'contr', 'contract_edge', 'contrss',
+ 'convex_hull', 'convol', 'convstr', 'copfac', 'copy', 'corr', 'correl', 'cos', 'cosh', 'coshm',
+ 'cosm', 'cotg', 'coth', 'cothm', 'covar', 'create_palette', 'cshep2d', 'csim', 'cspect', 'Cste',
+ 'ctr_gram', 'cumprod', 'cumsum', 'cycle_basis', 'czt', 'dasrt', 'dassl', 'datafit', 'date', 'datenum',
+ 'datevec', 'dbphi', 'dcf', 'ddp', 'debug', 'dec2hex', 'deff', 'definedfields', 'degree', 'delbpt',
+ 'delete', 'delete_arcs', 'delete_nodes', 'delip', 'delmenu', 'demoplay', 'denom', 'derivat', 'derivative',
+ 'des2ss', 'des2tf', 'det', 'determ', 'detr', 'detrend', 'dft', 'dhinf', 'dhnorm', 'diag', 'diary',
+ 'diff', 'diophant', 'dir', 'dirname', 'disp', 'dispbpt', 'dispfiles', 'dlgamma', 'dnaupd', 'do', 'dot',
+ 'double', 'dragrect', 'draw', 'drawaxis', 'drawlater', 'drawnow', 'driver', 'dsaupd', 'dscr',
+ 'dsearch', 'dsimul', 'dt_ility', 'dtsi', 'edge_number', 'edit', 'edit_curv', 'edit_error',
+ 'edit_graph', 'edit_graph_menus', 'editvar', 'eigenmarkov', 'ell1mag',
+ 'empty', 'emptystr', 'eqfir', 'eqiir', 'equal', 'Equal', 'equil', 'equil1',
+ 'ereduc', 'erf', 'erfc', 'erfcx', 'errbar', 'errcatch', 'errclear', 'error', 'error_table', 'etime',
+ 'eval', 'eval_cshep2d', 'eval3d', 'eval3dp', 'evans', 'evstr', 'excel2sci', 'exec', 'execstr', 'exists',
+ 'exit', 'exp', 'expm', 'external', 'extraction', 'eye', 'fac3d', 'factorial', 'factors', 'faurre', 'fchamp',
+ 'fcontour', 'fcontour2d', 'fec', 'fec_properties', 'feedback', 'feval', 'ffilt', 'fft', 'fft2', 'fftshift',
+ 'fgrayplot', 'figure', 'figure_properties', 'figure_style', 'file', 'fileinfo', 'fileparts', 'filter', 'find',
+ 'find_freq', 'find_path', 'findABCD', 'findAC', 'findBD', 'findBDK', 'findm', 'findmsvccompiler', 'findobj',
+ 'findR', 'findx0BD', 'firstnonsingleton', 'fit_dat', 'fix', 'floor', 'flts', 'foo', 'format',
+ 'formatman', 'fort', 'fourplan', 'fplot2d', 'fplot3d', 'fplot3d1', 'fprintf', 'fprintfMat', 'frep2tf',
+ 'freq', 'freson', 'frexp', 'frfit', 'frmag', 'fscanf', 'fscanfMat', 'fsfirlin', 'fsolve', 'fspecg',
+ 'fstabst', 'fstair', 'ftest', 'ftuneq', 'full', 'fullfile', 'fullrf', 'fullrfk', 'fun2string', 'Funcall',
+ 'funcprot', 'functions', 'funptr', 'fusee', 'G_make', 'g_margin', 'gainplot', 'gamitg',
+ 'gamma', 'gammaln', 'gca', 'gcare', 'gcd', 'gce', 'gcf', 'gda', 'gdf', 'gen_net', 'genfac3d', 'genlib',
+ 'genmarkov', 'geom3d', 'geomean', 'get', 'get_contents_infer', 'get_function_path', 'getcolor', 'getcwd',
+ 'getd', 'getdate', 'getenv', 'getf', 'getfield', 'getfont', 'gethistory', 'getio', 'getlinestyle',
+ 'getlongpathname', 'getmark', 'getmemory', 'getos', 'getpid', 'getscilabkeywords', 'getshell',
+ 'getshortpathname', 'getsymbol', 'getvalue', 'getversion', 'gfare', 'gfrancis', 'girth', 'givens',
+ 'glever', 'glist', 'global', 'GlobalProperty', 'glue', 'gmres', 'gpeche', 'gr_menu', 'graduate', 'grand',
+ 'graph_2_mat', 'graph_center', 'graph_complement', 'graph_diameter', 'graph_power', 'graph_simp', 'graph_sum',
+ 'graph_union', 'graphic', 'Graphics', 'graphics_entities', 'graph-list', 'graycolormap', 'grayplot',
+ 'grayplot_properties', 'graypolarplot', 'great', 'grep', 'group', 'gschur', 'gsort', 'gspec', 'gstacksize',
+ 'gtild', 'h_cl', 'h_inf', 'h_inf_st', 'h_norm', 'h2norm', 'halt', 'hamilton', 'hank', 'hankelsv', 'harmean',
+ 'hat', 'havewindow', 'head_comments', 'help', 'help_skeleton', 'hermit', 'hess', 'hex2dec', 'hilb', 'hinf',
+ 'hist3d', 'histplot', 'horner', 'host', 'hotcolormap', 'householder', 'hrmt', 'hsv2rgb', 'hsvcolormap',
+ 'htrianr', 'hypermat', 'hypermatrices', 'iconvert', 'ieee', 'ifft', 'iir', 'iirgroup', 'iirlp',
+ 'ilib_build', 'ilib_compile', 'ilib_for_link', 'ilib_gen_gateway', 'ilib_gen_loader', 'ilib_gen_Make',
+ 'im_inv', 'imag', 'impl', 'imrep2ss', 'imult', 'ind2sub', 'Infer', 'inistate', 'input', 'insertion', 'int',
+ 'int16', 'int2d', 'int32', 'int3d', 'int8', 'intc', 'intdec', 'integrate', 'interp', 'interp1', 'interp2d',
+ 'interp3d', 'interpln', 'intersci', 'intersect', 'intg', 'intl', 'intppty', 'intsplin', 'inttrap', 'inttype',
+ 'inv', 'inv_coeff', 'invr', 'invsyslin', 'iqr', 'is_connex', 'iscellstr', 'isdef', 'isdir', 'isempty',
+ 'isequal', 'isequalbitwise', 'iserror', 'isglobal', 'isinf', 'isnan', 'isoview', 'isreal', 'javasci',
+ 'jetcolormap', 'jmat', 'justify', 'kalm', 'karmarkar', 'kernel', 'keyboard', 'knapsack', 'kpure', 'krac2',
+ 'kron', 'kroneck', 'label_properties', 'labostat', 'LANGUAGE', 'lasterror', 'lattn', 'lattp', 'lcf', 'lcm',
+ 'lcmdiag', 'ldiv', 'ldivf', 'leastsq', 'left', 'legend', 'legend_properties', 'legendre', 'legends', 'length',
+ 'leqr', 'less', 'lev', 'levin', 'lex_sort', 'lft', 'lgfft', 'lib', 'lin', 'lin2mu', 'lindquist',
+ 'line_graph', 'linear_interpn', 'lines', 'LineSpec', 'linf', 'linfn', 'link', 'linmeq', 'linpro', 'linsolve',
+ 'linspace', 'listfiles', 'listvarinfile', 'lmisolver', 'lmitool', 'load', 'load_graph', 'loadhistory',
+ 'loadmatfile', 'loadplots', 'loadwave', 'locate', 'log', 'log10', 'log1p', 'log2', 'logm', 'logspace',
+ 'lotest', 'lqe', 'lqg', 'lqg_ltr', 'lqg2stan', 'lqr', 'ls', 'lsq', 'lsq_splin', 'lsqrsolve', 'lsslist',
+ 'lstcat', 'lstsize', 'ltitr', 'lu', 'ludel', 'lufact', 'luget', 'lusolve', 'lyap', 'm_circle', 'm2scideclare',
+ 'macglov', 'macr2lst', 'macr2tree', 'macro', 'macrovar', 'mad', 'make_graph', 'make_index', 'makecell', 'man',
+ 'manedit', 'mapsound', 'markp2ss', 'mat_2_graph', 'matfile2sci', 'Matlab-Scilab_character_strings', 'Matplot',
+ 'Matplot_properties', 'Matplot1', 'matrices', 'matrix', 'max', 'max_cap_path', 'max_clique', 'max_flow',
+ 'maxi', 'mcisendstring', 'mclearerr', 'mclose', 'mdelete', 'mean', 'meanf', 'median', 'menus', 'meof',
+ 'merror', 'mese', 'mesh', 'mesh2d', 'meshgrid', 'mfft', 'mfile2sci', 'mfprintf', 'mfscanf', 'mget', 'mgeti',
+ 'mgetl', 'mgetstr', 'milk_drop', 'min', 'min_lcost_cflow', 'min_lcost_flow1', 'min_lcost_flow2',
+ 'min_qcost_flow', 'min_weight_tree', 'mine', 'mini', 'minreal', 'minss', 'minus', 'mkdir', 'mlist', 'mode',
+ 'modulo', 'moment', 'mopen', 'move', 'mprintf', 'mps2linpro', 'mput', 'mputl', 'mputstr', 'mrfit', 'mscanf',
+ 'msd', 'mseek', 'msprintf', 'msscanf', 'mstr2sci', 'mtell', 'mtlb_0', 'mtlb_a', 'mtlb_all', 'mtlb_any',
+ 'mtlb_axis', 'mtlb_beta', 'mtlb_box', 'mtlb_close', 'mtlb_colordef', 'mtlb_conv', 'mtlb_cumprod', 'mtlb_cumsum',
+ 'mtlb_dec2hex', 'mtlb_delete', 'mtlb_diag', 'mtlb_diff', 'mtlb_dir', 'mtlb_double', 'mtlb_e', 'mtlb_echo',
+ 'mtlb_eig', 'mtlb_eval', 'mtlb_exist', 'mtlb_eye', 'mtlb_false', 'mtlb_fft', 'mtlb_fftshift', 'mtlb_find',
+ 'mtlb_findstr', 'mtlb_fliplr', 'mtlb_fopen', 'mtlb_format', 'mtlb_fprintf', 'mtlb_fread', 'mtlb_fscanf',
+ 'mtlb_full', 'mtlb_fwrite', 'mtlb_grid', 'mtlb_hold', 'mtlb_i', 'mtlb_ifft', 'mtlb_imp', 'mtlb_int16',
+ 'mtlb_int32', 'mtlb_int8', 'mtlb_is', 'mtlb_isa', 'mtlb_isfield', 'mtlb_isletter', 'mtlb_isspace', 'mtlb_l',
+ 'mtlb_legendre', 'mtlb_linspace', 'mtlb_load', 'mtlb_logic', 'mtlb_logical', 'mtlb_lower', 'mtlb_max',
+ 'mtlb_min', 'mtlb_mode', 'mtlb_more', 'mtlb_num2str', 'mtlb_ones', 'mtlb_plot', 'mtlb_prod', 'mtlb_rand',
+ 'mtlb_randn', 'mtlb_rcond', 'mtlb_realmax', 'mtlb_realmin', 'mtlb_repmat', 'mtlb_s', 'mtlb_save',
+ 'mtlb_setstr', 'mtlb_size', 'mtlb_sort', 'mtlb_sparse', 'mtlb_strcmp', 'mtlb_strcmpi', 'mtlb_strfind',
+ 'mtlb_strrep', 'mtlb_sum', 'mtlb_t', 'mtlb_toeplitz', 'mtlb_tril', 'mtlb_triu', 'mtlb_true', 'mtlb_uint16',
+ 'mtlb_uint32', 'mtlb_uint8', 'mtlb_upper', 'mtlb_zeros', 'mu2lin', 'mucomp', 'mulf', 'mvvacov', 'name2rgb',
+ 'names', 'nancumsum', 'nand2mean', 'nanmax', 'nanmean', 'nanmeanf', 'nanmedian', 'nanmin', 'nanstdev',
+ 'nansum', 'narsimul', 'NDcost', 'ndgrid', 'ndims', 'nearfloat', 'nehari', 'neighbors', 'netclose', 'netwindow',
+ 'netwindows', 'new', 'newaxes', 'newest', 'newfun', 'nextpow2', 'nf3d', 'nfreq', 'nlev', 'nnz', 'node_number',
+ 'nodes_2_path', 'nodes_degrees', 'noisegen', 'norm', 'not', 'null', 'number_properties', 'numdiff', 'numer',
+ 'nyquist', 'object_editor', 'obs_gram', 'obscont', 'obscont1', 'observer', 'obsv_mat', 'obsvss', 'ode',
+ 'ode_discrete', 'ode_optional_output', 'ode_root', 'odedc', 'odeoptions', 'oemtochar', 'old_style',
+ 'oldbesseli', 'oldbesselj', 'oldbesselk', 'oldbessely', 'oldload', 'oldplot', 'oldsave', 'ones',
+ 'Operation', 'optim', 'or', 'orth', 'overloading', 'p_margin', 'param3d', 'param3d_properties',
+ 'param3d1', 'paramfplot2d', 'parents', 'parrot', 'part', 'path_2_nodes', 'pathconvert', 'pause', 'pbig',
+ 'pca', 'pcg', 'pdiv', 'pen2ea', 'pencan', 'penlaur', 'percent', 'perctl', 'perfect_match', 'perl',
+ 'perms', 'permute', 'pertrans', 'pfss', 'phasemag', 'phc', 'pie', 'pinv', 'pipe_network', 'playsnd', 'plot',
+ 'plot_graph', 'plot2d', 'plot2d_old_version', 'plot2d1', 'plot2d2', 'plot2d3', 'plot2d4', 'plot3d',
+ 'plot3d_old_version', 'plot3d1', 'plot3d2', 'plot3d3', 'plotframe', 'plotprofile', 'plus', 'plzr',
+ 'pmodulo', 'pol2des', 'pol2str', 'pol2tex', 'polar', 'polarplot', 'polfact', 'poly', 'polyline_properties',
+ 'portr3d', 'portrait', 'power', 'ppol', 'prbs_a', 'predecessors', 'predef', 'print', 'printf',
+ 'printf_conversion', 'printing', 'printsetupbox', 'prod', 'profile', 'progressionbar', 'proj', 'projsl',
+ 'projspec', 'psmall', 'pspect', 'pvm', 'pvm_addhosts', 'pvm_barrier', 'pvm_bcast', 'pvm_bufinfo', 'pvm_config',
+ 'pvm_delhosts', 'pvm_error', 'pvm_exit', 'pvm_f772sci', 'pvm_get_timer', 'pvm_getinst', 'pvm_gettid',
+ 'pvm_gsize', 'pvm_halt', 'pvm_joingroup', 'pvm_kill', 'pvm_lvgroup', 'pvm_mytid', 'pvm_parent', 'pvm_probe',
+ 'pvm_recv', 'pvm_reduce', 'pvm_sci2f77', 'pvm_send', 'pvm_set_timer', 'pvm_spawn', 'pvm_spawn_independent',
+ 'pvm_start', 'pvm_tasks', 'pvm_tidtohost', 'pvmd3', 'pwd', 'qassign', 'qld', 'qmr', 'qr', 'quapro', 'quart',
+ 'quaskro', 'quit', 'quote', 'rand', 'randpencil', 'range', 'rank', 'rankqr', 'rat', 'rcond',
+ 'rdivf', 'read', 'read4b', 'readb', 'readc_', 'readmps', 'readxls', 'real', 'realtime', 'realtimeinit',
+ 'rectangle_properties', 'recur', 'reglin', 'regress', 'remez', 'remezb', 'repfreq', 'replot', 'resethistory',
+ 'residu', 'resume', 'return', 'rgb2name', 'ric_desc', 'ricc', 'riccati', 'rlist', 'rmdir', 'roots', 'rotate',
+ 'round', 'routh_t', 'rowcomp', 'rowcompr', 'rowinout', 'rowregul', 'rowshuff', 'rpem', 'rref', 'rtitr',
+ 'rubberbox', 'salesman', 'sample', 'samplef', 'samwr', 'save', 'save_format', 'save_graph', 'savehistory',
+ 'savematfile', 'savewave', 'sca', 'scaling', 'scanf', 'scanf_conversion', 'scf', 'schur', 'sci_files',
+ 'sci2exp', 'sci2for', 'sci2map', 'sciargs', 'SciComplex', 'SciComplexArray', 'SciDouble', 'SciDoubleArray',
+ 'scilab', 'Scilab', 'ScilabEval', 'scilink', 'scipad', 'SciString', 'SciStringArray', 'sd2sci', 'sda', 'sdf',
+ 'secto3d', 'segs_properties', 'semi', 'semicolon', 'semidef', 'sensi', 'set', 'set_posfig_dim',
+ 'setbpt', 'setdiff', 'setenv', 'seteventhandler', 'setfield', 'sethomedirectory', 'setlanguage', 'setmenu',
+ 'sfact', 'Sfgrayplot', 'Sgrayplot', 'sgrid', 'shortest_path', 'show_arcs', 'show_graph', 'show_nodes',
+ 'show_pixmap', 'showprofile', 'sident', 'sign', 'Signal', 'signm', 'simp', 'simp_mode', 'sin', 'sinc',
+ 'sincd', 'sinh', 'sinhm', 'sinm', 'size', 'slash', 'sleep', 'sm2des', 'sm2ss', 'smooth', 'solve',
+ 'sorder', 'sort', 'sound', 'soundsec', 'sp2adj', 'spaninter', 'spanplus', 'spantwo', 'spchol',
+ 'spcompack', 'spec', 'specfact', 'speye', 'spget', 'splin', 'splin2d', 'splin3d', 'split_edge', 'spones',
+ 'sprand', 'sprintf', 'spzeros', 'sqroot', 'sqrt', 'sqrtm', 'square', 'squarewave', 'srfaur', 'srkf', 'ss2des',
+ 'ss2ss', 'ss2tf', 'sscanf', 'sskf', 'ssprint', 'ssrand', 'st_deviation', 'st_ility', 'stabil', 'stacksize',
+ 'star', 'startup', 'stdev', 'stdevf', 'str2code', 'strange', 'strcat', 'strindex', 'string', 'stringbox',
+ 'strings', 'stripblanks', 'strong_con_nodes', 'strong_connex', 'strsplit', 'strsubst', 'struct', 'sub2ind',
+ 'subf', 'subgraph', 'subplot', 'successors', 'sum', 'supernode', 'surf', 'surface_properties', 'sva',
+ 'svd', 'svplot', 'sylm', 'sylv', 'symbols', 'sysconv', 'sysdiag', 'sysfact', 'syslin', 'syssize', 'system',
+ 'systems', 'systmat', 'tabul', 'tan', 'tangent', 'tanh', 'tanhm', 'tanm', 'TCL_CreateSlave', 'TCL_DeleteInterp',
+ 'TCL_EvalFile', 'TCL_EvalStr', 'TCL_ExistInterp', 'TCL_ExistVar', 'TCL_GetVar', 'TCL_GetVersion', 'TCL_SetVar',
+ 'TCL_UnsetVar', 'TCL_UpVar', 'tdinit', 'testmatrix', 'texprint', 'text_properties', 'tf2des', 'tf2ss', 'then',
+ 'thrownan', 'tic', 'tilda', 'time_id', 'timer', 'title', 'titlepage', 'TK_EvalFile', 'TK_EvalStr', 'tk_getdir',
+ 'tk_getfile', 'TK_GetVar', 'tk_savefile', 'TK_SetVar', 'toc', 'toeplitz', 'tohome', 'tokenpos',
+ 'tokens', 'toolbar', 'toprint', 'trace', 'trans', 'trans_closure', 'translatepaths', 'tree2code', 'trfmod',
+ 'trianfml', 'tril', 'trimmean', 'trisolve', 'triu', 'try', 'trzeros', 'twinkle', 'type', 'Type', 'typename',
+ 'typeof', 'ui_observer', 'uicontrol', 'uimenu', 'uint16', 'uint32', 'uint8', 'ulink', 'unglue', 'union',
+ 'unique', 'unix', 'unix_g', 'unix_s', 'unix_w', 'unix_x', 'unobs', 'unsetmenu', 'unzoom', 'user', 'varargin',
+ 'varargout', 'Variable', 'variance', 'variancef', 'varn', 'vectorfind', 'waitbar', 'warning', 'wavread',
+ 'wavwrite', 'wcenter', 'wfir', 'what', 'where', 'whereami', 'whereis', 'who', 'who_user', 'whos',
+ 'wiener', 'wigner', 'winclose', 'window', 'winlist', 'winopen', 'winqueryreg', 'winsid', 'with_atlas',
+ 'with_gtk', 'with_javasci', 'with_pvm', 'with_texmacs', 'with_tk', 'writb', 'write', 'write4b', 'x_choices',
+ 'x_choose', 'x_dialog', 'x_matrix', 'x_mdialog', 'x_message', 'x_message_modeless', 'xarc', 'xarcs', 'xarrows',
+ 'xaxis', 'xbasc', 'xbasimp', 'xbasr', 'xchange', 'xclea', 'xclear', 'xclick', 'xclip', 'xdel', 'xend',
+ 'xfarc', 'xfarcs', 'xfpoly', 'xfpolys', 'xfrect', 'xget', 'xgetech', 'xgetfile', 'xgetmouse', 'xgraduate',
+ 'xgrid', 'xinfo', 'xinit', 'xlfont', 'xload', 'xls_open', 'xls_read', 'xmltohtml', 'xname', 'xnumb', 'xpause',
+ 'xpoly', 'xpolys', 'xrect', 'xrects', 'xrpoly', 'xs2bmp', 'xs2emf', 'xs2eps', 'xs2fig', 'xs2gif', 'xs2ppm',
+ 'xs2ps', 'xsave', 'xsegs', 'xselect', 'xset', 'xsetech', 'xsetm', 'xstring', 'xstringb', 'xstringl', 'xtape',
+ 'xtitle', 'yulewalk', 'zeropen', 'zeros', 'zgrid', 'zoom_rect', 'zpbutt', 'zpch1', 'zpch2', 'zpell'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '<', '>', '=',
+ '!', '@', '~', '&', '|',
+ '+','-', '*', '/', '%',
+ ',', ';', '?', ':', "'"
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => true,
+ 1 => true,
+ 2 => true,
+ 3 => true,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #b1b100;',
+ 2 => 'color: #000000; font-weight: bold;',
+ 3 => 'color: #000066;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #666666; font-style: italic;',
+ 2 => '',
+ 'MULTI' => 'color: #666666; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;',
+ 'HARD' => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #009900;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;',
+ 'HARD' => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #006600;',
+ 2 => 'color: #006600;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #339933;'
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #0000ff;',
+ 4 => 'color: #009999;',
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => 'http://www.scilab.org/product/dic-mat-sci/M2SCI_doc.htm',
+ 2 => 'http://www.scilab.org/product/dic-mat-sci/M2SCI_doc.htm',
+ 3 => 'http://www.scilab.org/product/dic-mat-sci/M2SCI_doc.htm'
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '-&gt;',
+ 2 => '::'
+ ),
+ 'REGEXPS' => array(
+ //Variable
+ 0 => '[\\$%@]+[a-zA-Z_][a-zA-Z0-9_]*',
+ //File Descriptor
+ 4 => '&lt;[a-zA-Z_][a-zA-Z0-9_]*&gt;',
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/sdlbasic.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/sdlbasic.php
new file mode 100644
index 000000000..b756df514
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/sdlbasic.php
@@ -0,0 +1,165 @@
+<?php
+/*************************************************************************************
+ * sdlbasic.php
+ * ------------
+ * Author: Roberto Rossi
+ * Copyright: (c) 2005 Roberto Rossi (http://rsoftware.altervista.org)
+ * Release Version: 1.0.8.4
+ * Date Started: 2005/08/19
+ *
+ * sdlBasic (http://sdlbasic.sf.net) language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2005/08/19 (1.0.0)
+ * - First Release
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'sdlBasic',
+ 'COMMENT_SINGLE' => array(1 => "'", 2 => "rem", 3 => "!", 4 => "#"),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'const', 'option', 'explicit', 'qbasic', 'include', 'argc',
+ 'argv', 'command', 'command$', 'run', 'shell', 'os', 'declare',
+ 'sub', 'function', 'return', 'while', 'wend', 'exit', 'end',
+ 'continue', 'if', 'then', 'else', 'elseif',
+ 'select', 'case', 'for', 'each', 'step',
+ 'next', 'to', 'dim', 'shared', 'common', 'lbound', 'bound',
+ 'erase', 'asc', 'chr', 'chr$', 'insert', 'insert$', 'instr', 'lcase',
+ 'lcase$', 'left', 'left$', 'len', 'length', 'ltrim', 'ltrim$', 'mid',
+ 'mid$', 'replace', 'replace$', 'replacesubstr', 'replacesubstr$',
+ 'reverse', 'reverse$', 'right', 'right$', 'rinstr', 'rtrim', 'rtrim$',
+ 'space', 'space$', 'str', 'str$', 'strf', 'strf$', 'string', 'string$',
+ 'tally', 'trim', 'trim$', 'typeof', 'typeof$', 'ucase', 'ucase$', 'val',
+ 'abs', 'acos', 'andbit', 'asin', 'atan', 'bitwiseand', 'bitwiseor',
+ 'bitwisexor', 'cos', 'exp', 'fix', 'floor', 'frac', 'hex', 'hex$', 'int',
+ 'log', 'min', 'max', 'orbit', 'randomize', 'rnd', 'round', 'sgn', 'sin',
+ 'sqr', 'tan', 'xorbit', 'open', 'as', 'file', 'input', 'close', 'output',
+ 'append', 'eof', 'fileexists', 'filecopy', 'filemove', 'filerename',
+ 'freefile', 'kill', 'loc', 'lof', 'readbyte', 'rename', 'seek',
+ 'writebyte', 'chdir', 'dir', 'dir$', 'direxists', 'dirfirst', 'dirnext',
+ 'mkdir', 'rmdir', 'print', 'date', 'date$', 'time', 'time$', 'ticks',
+ 'data', 'read', 'reservebank', 'freebank', 'copybank', 'loadbank',
+ 'savebank', 'setbank', 'sizebank', 'poke', 'doke', 'loke', 'peek', 'deek',
+ 'leek', 'memcopy', 'setdisplay', 'setcaption', 'caption', 'displaywidth',
+ 'displayheight', 'displaybpp', 'screen', 'directscreen', 'screenopen',
+ 'screenclose', 'screenclone', 'screencopy', 'screenfade', 'screenfadein',
+ 'screencrossfade', 'screenalpha', 'screenlock', 'screenunlock',
+ 'screenrect', 'xscreenrect', 'yscreenrect', 'wscreenrect', 'hscreenrect',
+ 'flagscreenrect', 'screenwidth', 'screenheight', 'offset', 'xoffset',
+ 'yoffset', 'cls', 'screenswap', 'autoback', 'setautoback',
+ 'dualplayfield', 'waitvbl', 'fps', 'rgb', 'enablepalette', 'color',
+ 'palette', 'colorcycling', 'ink', 'point', 'dot', 'plot', 'line', 'box',
+ 'bar', 'circle', 'fillcircle', 'ellipse', 'fillellipse', 'paint',
+ 'loadimage', 'saveimage', 'loadsound', 'savesound', 'loadmusic',
+ 'hotspot', 'setcolorkey', 'imageexists', 'imagewidth', 'imageheight',
+ 'deleteimage', 'copyimage', 'setalpha', 'zoomimage', 'rotateimage',
+ 'rotozoomimage', 'blt', 'pastebob', 'pasteicon', 'grab', 'spriteclip',
+ 'sprite', 'deletesprite', 'xsprite', 'ysprite', 'spritewidth',
+ 'spriteheight', 'frsprite', 'livesprite', 'spritehit', 'autoupdatesprite',
+ 'updatesprite', 'setbob', 'bob', 'deletebob', 'xbob', 'ybob', 'bobwidth',
+ 'bobheight', 'frbob', 'livebob', 'bobhit', 'autoupdatebob', 'updatebob',
+ 'text', 'setfont', 'textrender', 'pen', 'paper', 'prints', 'locate',
+ 'atx', 'aty', 'curson', 'cursoff', 'inputs', 'zoneinputs',
+ 'isenabledsound', 'soundexists', 'deletesound', 'copysound',
+ 'musicexists', 'playsound', 'volumesound', 'stopsound', 'pausesound',
+ 'resumesound', 'vumetersound', 'positionsound', 'soundchannels',
+ 'playmusic', 'positionmusic', 'stopmusic', 'fademusic', 'pausemusic',
+ 'resumemusic', 'rewindmusic', 'volumemusic', 'speedmusic', 'numdrivescd',
+ 'namecd', 'getfreecd', 'opencd', 'indrivecd', 'trackscd', 'curtrackcd',
+ 'curframecd', 'playcd', 'playtrackscd',
+ 'pausecd', 'resumecd', 'stopcd', 'ejectcd', 'closecd', 'tracktypecd',
+ 'tracklengthcd', 'trackoffsetcd', 'key', 'inkey', 'waitkey', 'xmouse',
+ 'ymouse', 'xmousescreen', 'ymousescreen', 'bmouse', 'changemouse',
+ 'locatemouse', 'mouseshow', 'mousehide', 'mousezone', 'numjoysticks',
+ 'namejoystick', 'numaxesjoystick', 'numballsjoystick', 'numhatsjoystick',
+ 'numbuttonsjoystick', 'getaxisjoystick', 'gethatjoystick',
+ 'getbuttonjoystick', 'xgetballjoystick', 'ygetballjoystick', 'joy',
+ 'bjoy', 'wait', 'timer', 'isenabledsock', 'getfreesock', 'opensock',
+ 'acceptsock', 'isserverready', 'connectsock', 'connectionreadysock',
+ 'isclientready', 'losesock', 'peeksock', 'readsock', 'readbytesock',
+ 'readlinesock', 'writesock', 'writebytesock', 'writelinesock',
+ 'getremoteip', 'getremoteport', 'getlocalip'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #b1b100;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #808080;',
+ 2 => 'color: #808080;',
+ 3 => 'color: #808080;',
+ 4 => 'color: #808080;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099;'
+ ),
+ 'SCRIPT' => array(
+ ),
+ 'REGEXPS' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/smalltalk.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/smalltalk.php
new file mode 100644
index 000000000..b4d67cc71
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/smalltalk.php
@@ -0,0 +1,160 @@
+<?php
+/*************************************************************************************
+ * smalltalk.php
+ * --------
+ * Author: Bananeweizen (Bananeweizen@gmx.de)
+ * Copyright: (c) 2005 Bananeweizen (www.bananeweizen.de)
+ * Release Version: 1.0.8.4
+ * Date Started: 2005/03/27
+ *
+ * Smalltalk language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2006-05-24 (1.0.0)
+ * - First Release
+ *
+ * TODO
+ * -------------------------
+ * * recognize nested array symbols correctly
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Smalltalk',
+ 'COMMENT_SINGLE' => array(),
+ 'COMMENT_MULTI' => array('"' => '"'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'"),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ 1 => array('self','super','true','false','nil')
+ ),
+ 'SYMBOLS' => array(
+ '[', ']', '=' , ':=', '(', ')', '#'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => true
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #7f007f;'
+ ),
+ 'COMMENTS' => array(
+ 'MULTI' => 'color: #007f00; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => ''
+ ),
+ 'BRACKETS' => array(
+ 0 => ''
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #7f0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #7f0000;'
+ ),
+ 'METHODS' => array(
+ 0 => ''
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #000066; font-weight:bold;'
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #0000ff;',
+ 1 => 'color: #7f0000;',
+ 2 => 'color: #7f0000;',
+ 3 => 'color: #00007f;',
+ 4 => 'color: #7f007f;',
+ 5 => 'color: #00007f;',
+ 6 => 'color: #00007f;'
+ ),
+ 'SCRIPT' => array(
+ 0 => ''
+ )
+ ),
+ 'URLS' => array(
+ 1 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ 0 => array(
+ GESHI_SEARCH => '([^a-zA-Z0-9_#<])([A-Z]+[a-zA-Z0-9_]*)(?!>)', //class names
+ GESHI_REPLACE => '\\2',
+ GESHI_MODIFIERS => '',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => ''
+ ),
+ 1 => array(
+ GESHI_SEARCH => '(#+)([a-zA-Z0-9_]+)', //symbols
+ GESHI_REPLACE => '\\1\\2',
+ GESHI_MODIFIERS => '',
+ GESHI_BEFORE => '',
+ GESHI_AFTER => ''
+ ),
+ 2 => array(
+ GESHI_SEARCH => '(#\s*\([^)]*\))', //array symbols
+ GESHI_REPLACE => '\\1',
+ GESHI_MODIFIERS => '',
+ GESHI_BEFORE => '',
+ GESHI_AFTER => ''
+ ),
+ 3 => array(
+ GESHI_SEARCH => '<PIPE>([a-zA-Z0-9_\s]+)<PIPE>', //temporary variables
+ GESHI_REPLACE => '\\1',
+ GESHI_MODIFIERS => '',
+ GESHI_BEFORE => '|',
+ GESHI_AFTER => '|'
+ ),
+ 4 => array(
+ GESHI_SEARCH => '(self|super|true|false|nil)', //keywords again (to avoid matching in next regexp)
+ GESHI_REPLACE => '\\1',
+ GESHI_MODIFIERS => '',
+ GESHI_BEFORE => '',
+ GESHI_AFTER => ''
+ ),
+ 5 => array(
+ GESHI_SEARCH => '([:(,=[.*\/+-]\s*)([a-zA-Z0-9_]+)', //message parameters, message receivers
+ GESHI_REPLACE => '\\2',
+ GESHI_MODIFIERS => 's',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => ''
+ ),
+ 6 => array(
+ GESHI_SEARCH => '([a-zA-Z0-9_]+)(\s*:=)', //assignment targets
+ GESHI_REPLACE => '\\1',
+ GESHI_MODIFIERS => '',
+ GESHI_BEFORE => '',
+ GESHI_AFTER => '\\2'
+ )
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/smarty.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/smarty.php
new file mode 100644
index 000000000..dcc11f61d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/smarty.php
@@ -0,0 +1,192 @@
+<?php
+/*************************************************************************************
+ * smarty.php
+ * ----------
+ * Author: Alan Juden (alan@judenware.org)
+ * Copyright: (c) 2004 Alan Juden, Nigel McNie (http://qbnz.com/highlighter/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/07/10
+ *
+ * Smarty template language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2004/11/27 (1.0.0)
+ * - Initial Release
+ *
+ * TODO
+ * ----
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Smarty',
+ 'COMMENT_SINGLE' => array(),
+ 'COMMENT_MULTI' => array('{*' => '*}'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ '$smarty', 'now', 'const', 'capture', 'config', 'section', 'foreach', 'template', 'version', 'ldelim', 'rdelim',
+ 'foreachelse', 'include', 'include_php', 'insert', 'if', 'elseif', 'else', 'php',
+ 'sectionelse', 'is_cached',
+ ),
+ 2 => array(
+ 'capitalize', 'count_characters', 'cat', 'count_paragraphs', 'count_sentences', 'count_words', 'date_format',
+ 'default', 'escape', 'indent', 'lower', 'nl2br', 'regex_replace', 'replace', 'spacify', 'string_format',
+ 'strip', 'strip_tags', 'truncate', 'upper', 'wordwrap',
+ ),
+ 3 => array(
+ 'counter', 'cycle', 'debug', 'eval', 'html_checkboxes', 'html_image', 'html_options',
+ 'html_radios', 'html_select_date', 'html_select_time', 'html_table', 'math', 'mailto', 'popup_init',
+ 'popup', 'textformat'
+ ),
+ 4 => array(
+ '$template_dir', '$compile_dir', '$config_dir', '$plugins_dir', '$debugging', '$debug_tpl',
+ '$debugging_ctrl', '$autoload_filters', '$compile_check', '$force_compile', '$caching', '$cache_dir',
+ '$cache_lifetime', '$cache_handler_func', '$cache_modified_check', '$config_overwrite',
+ '$config_booleanize', '$config_read_hidden', '$config_fix_newlines', '$default_template_handler_func',
+ '$php_handling', '$security', '$secure_dir', '$security_settings', '$trusted_dir', '$left_delimiter',
+ '$right_delimiter', '$compiler_class', '$request_vars_order', '$request_use_auto_globals',
+ '$error_reporting', '$compile_id', '$use_sub_dirs', '$default_modifiers', '$default_resource_type'
+ ),
+ 5 => array(
+ 'append', 'append_by_ref', 'assign', 'assign_by_ref', 'clear_all_assign', 'clear_all_cache',
+ 'clear_assign', 'clear_cache', 'clear_compiled_tpl', 'clear_config', 'config_load', 'display',
+ 'fetch', 'get_config_vars', 'get_registered_object', 'get_template_vars',
+ 'load_filter', 'register_block', 'register_compiler_function', 'register_function',
+ 'register_modifier', 'register_object', 'register_outputfilter', 'register_postfilter',
+ 'register_prefilter', 'register_resource', 'trigger_error', 'template_exists', 'unregister_block',
+ 'unregister_compiler_function', 'unregister_function', 'unregister_modifier', 'unregister_object',
+ 'unregister_outputfilter', 'unregister_postfilter', 'unregister_prefilter', 'unregister_resource'
+ ),
+ 6 => array(
+ 'name', 'file', 'scope', 'global', 'key', 'once', 'script',
+ 'loop', 'start', 'step', 'max', 'show', 'values', 'value', 'from', 'item'
+ ),
+ 7 => array(
+ 'eq', 'neq', 'ne', 'lte', 'gte', 'ge', 'le', 'not', 'mod'
+ ),
+ 8 => array(
+ // some common php functions
+ 'isset', 'is_array', 'empty', 'count', 'sizeof'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '/', '=', '==', '!=', '>', '<', '>=', '<=', '!', '%'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => false,
+ 5 => false,
+ 6 => false,
+ 7 => false,
+ 8 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #0600FF;', //Functions
+ 2 => 'color: #008000;', //Modifiers
+ 3 => 'color: #0600FF;', //Custom Functions
+ 4 => 'color: #804040;', //Variables
+ 5 => 'color: #008000;', //Methods
+ 6 => 'color: #6A0A0A;', //Attributes
+ 7 => 'color: #D36900;', //Text-based symbols
+ 8 => 'color: #0600FF;' //php functions
+ ),
+ 'COMMENTS' => array(
+ 'MULTI' => 'color: #008080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #D36900;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #006600;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #D36900;'
+ ),
+ 'SCRIPT' => array(
+ 0 => '',
+ 1 => 'color: #808080; font-style: italic;',
+ 2 => 'color: #009000;'
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #00aaff;'
+ )
+ ),
+ 'URLS' => array(
+ 1 => 'http://smarty.php.net/{FNAMEL}',
+ 2 => 'http://smarty.php.net/{FNAMEL}',
+ 3 => 'http://smarty.php.net/{FNAMEL}',
+ 4 => 'http://smarty.php.net/{FNAMEL}',
+ 5 => 'http://smarty.php.net/{FNAMEL}',
+ 6 => '',
+ 7 => 'http://smarty.php.net/{FNAMEL}',
+ 8 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.'
+ ),
+ 'REGEXPS' => array(
+ // variables
+ 0 => '\$[a-zA-Z][a-zA-Z0-9_]*'
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_ALWAYS,
+ 'SCRIPT_DELIMITERS' => array(
+ 0 => array(
+ '{' => '}'
+ ),
+ 1 => array(
+ '<!--' => '-->',
+ ),
+ 2 => array(
+ '<' => '>'
+ )
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ 0 => true,
+ 1 => false,
+ 2 => false
+ ),
+ 'PARSER_CONTROL' => array(
+ 'KEYWORDS' => array(
+ 'DISALLOWED_BEFORE' => "(?<![a-zA-Z0-9\$_\|\#;>|^])",
+ 'DISALLOWED_AFTER' => "(?![a-zA-Z0-9_<\|%\\-&])"
+ )
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/sql.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/sql.php
new file mode 100644
index 000000000..ba91191d1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/sql.php
@@ -0,0 +1,140 @@
+<?php
+/*************************************************************************************
+ * sql.php
+ * -------
+ * Author: Nigel McNie (nigel@geshi.org)
+ * Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/06/04
+ *
+ * SQL language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/05/23 (1.0.7.22)
+ * - Added additional symbols for highlighting
+ * 2004/11/27 (1.0.3)
+ * - Added support for multiple object splitters
+ * 2004/10/27 (1.0.2)
+ * - Added "`" string delimiter
+ * - Added "#" single comment starter
+ * 2004/08/05 (1.0.1)
+ * - Added support for symbols
+ * - Added many more keywords (mostly MYSQL keywords)
+ * 2004/07/14 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2004/11/27)
+ * -------------------------
+ * * Add all keywords
+ * * Split this to several sql files - mysql-sql, ansi-sql etc
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'SQL',
+ 'COMMENT_SINGLE' => array(1 =>'--', 2 => '#'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'CASE_KEYWORDS' => 1,
+ 'QUOTEMARKS' => array("'", '"', '`'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'ADD', 'ALL', 'ALTER', 'AND', 'AS', 'ASC',
+ 'AUTO_INCREMENT', 'BETWEEN', 'BINARY', 'BOOLEAN',
+ 'BOTH', 'BY', 'CHANGE', 'CHECK', 'COLUMN', 'COLUMNS',
+ 'CREATE', 'CROSS', 'DATA', 'DATABASE', 'DATABASES',
+ 'DEFAULT', 'DELAYED', 'DELETE', 'DESC', 'DESCRIBE',
+ 'DISTINCT', 'DROP', 'ENCLOSED', 'ESCAPED', 'EXISTS',
+ 'EXPLAIN', 'FIELD', 'FIELDS', 'FLUSH', 'FOR',
+ 'FOREIGN', 'FROM', 'FULL', 'FUNCTION', 'GRANT',
+ 'GROUP', 'HAVING', 'IDENTIFIED', 'IF', 'IGNORE',
+ 'IN', 'INDEX', 'INFILE', 'INNER', 'INSERT', 'INTO',
+ 'IS', 'JOIN', 'KEY', 'KEYS', 'KILL', 'LANGUAGE',
+ 'LEADING', 'LEFT', 'LIKE', 'LIMIT', 'LINES', 'LOAD',
+ 'LOCAL', 'LOCK', 'LOW_PRIORITY', 'MODIFY', 'NATURAL',
+ 'NEXTVAL', 'NOT', 'NULL', 'ON', 'OPTIMIZE', 'OPTION',
+ 'OPTIONALLY', 'OR', 'ORDER', 'OUTER', 'OUTFILE',
+ 'PRIMARY', 'PROCEDURAL', 'PROCEEDURE', 'READ',
+ 'REFERENCES', 'REGEXP', 'RENAME', 'REPLACE',
+ 'RETURN', 'REVOKE', 'RIGHT', 'RLIKE', 'SELECT',
+ 'SET', 'SETVAL', 'SHOW', 'SONAME', 'STATUS',
+ 'STRAIGHT_JOIN', 'TABLE', 'TABLES', 'TEMINATED',
+ 'TEMPORARY', 'TO', 'TRAILING', 'TRIGGER', 'TRUNCATE',
+ 'TRUSTED', 'UNION', 'UNIQUE', 'UNLOCK', 'UNSIGNED',
+ 'UPDATE', 'USE', 'USING', 'VALUES', 'VARIABLES',
+ 'VIEW', 'WHERE', 'WITH', 'WRITE', 'XOR', 'ZEROFILL'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '=', '<', '>', '|', ',', '.', '+', '-', '*', '/'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #993333; font-weight: bold;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #808080; font-style: italic;',
+ 2 => 'color: #808080; font-style: italic;',
+ 'MULTI' => 'color: #808080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'SCRIPT' => array(
+ ),
+ 'REGEXPS' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/tcl.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/tcl.php
new file mode 100644
index 000000000..25cb31d5b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/tcl.php
@@ -0,0 +1,194 @@
+<?php
+/*************************************************************************************
+ * tcl.php
+ * ---------------------------------
+ * Author: Reid van Melle (rvanmelle@gmail.com)
+ * Copyright: (c) 2004 Reid van Melle (sorry@nowhere)
+ * Release Version: 1.0.8.4
+ * Date Started: 2006/05/05
+ *
+ * TCL/iTCL language file for GeSHi.
+ *
+ * This was thrown together in about an hour so I don't expect
+ * really great things. However, it is a good start. I never
+ * got a change to try out the iTCL or object-based support but
+ * this is not widely used anyway.
+ *
+ * CHANGES
+ * -------
+ * 2008/05/23 (1.0.7.22)
+ * - Added description of extra language features (SF#1970248)
+ * 2006/05/05 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2006/05/05)
+ * -------------------------
+ * - Get TCL built-in special variables highlighted with a new color..
+ * currently, these are listed in //special variables in the keywords
+ * section, but they get covered by the general REGEXP for symbols
+ * - General cleanup, testing, and verification
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'TCL',
+ 'COMMENT_SINGLE' => array(1 => '#'),
+ 'COMMENT_MULTI' => array(),
+ 'COMMENT_REGEXP' => array(
+ 1 => '/(?<!\\\\)#(?:\\\\\\\\|\\\\\\n|.)*$/m',
+ 2 => '/{[^}\n]+}/'
+ ),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"', "'"),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ /*
+ * Set 1: reserved words
+ * http://python.org/doc/current/ref/keywords.html
+ */
+ 1 => array(
+ 'proc', 'global', 'upvar', 'if', 'then', 'else', 'elseif', 'for', 'foreach',
+ 'break', 'continue', 'while', 'set', 'eval', 'case', 'in', 'switch',
+ 'default', 'exit', 'error', 'return', 'uplevel', 'loop',
+ 'for_array_keys', 'for_recursive_glob', 'for_file', 'unwind_protect',
+ 'expr', 'catch', 'namespace', 'rename', 'variable',
+ // itcl
+ 'method', 'itcl_class', 'public', 'protected'),
+
+ /*
+ * Set 2: builtins
+ * http://asps.activatestate.com/ASPN/docs/ActiveTcl/8.4/tcl/tcl_2_contents.htm
+ */
+ 2 => array(
+ // string handling
+ 'append', 'binary', 'format', 're_syntax', 'regexp', 'regsub',
+ 'scan', 'string', 'subst',
+ // list handling
+ 'concat', 'join', 'lappend', 'lindex', 'list', 'llength', 'lrange',
+ 'lreplace', 'lsearch', 'lset', 'lsort', 'split',
+ // procedures and output
+ 'incr', 'close', 'eof', 'fblocked', 'fconfigure', 'fcopy', 'file',
+ 'fileevent', 'flush', 'gets', 'open', 'puts', 'read', 'seek',
+ 'socket', 'tell',
+ // packages and source files
+ 'load', 'loadTk', 'package', 'pgk::create', 'pgk_mkIndex', 'source',
+ // interpreter routines
+ 'bgerror', 'history', 'info', 'interp', 'memory', 'unknown',
+ // library routines
+ 'enconding', 'http', 'msgcat',
+ // system related
+ 'cd', 'clock', 'exec', 'glob', 'pid', 'pwd', 'time',
+ // platform specified
+ 'dde', 'registry', 'resource',
+ // special variables
+ '$argc', '$argv', '$errorCode', '$errorInfo', '$argv0',
+ '$auto_index', '$auto_oldpath', '$auto_path', '$env',
+ '$tcl_interactive', '$tcl_libpath', '$tcl_library',
+ '$tcl_pkgPath', '$tcl_platform', '$tcl_precision', '$tcl_traceExec',
+ ),
+
+ /*
+ * Set 3: standard library
+ */
+ 3 => array(
+ 'comment', 'filename', 'library', 'packagens', 'tcltest', 'tclvars',
+ ),
+
+ /*
+ * Set 4: special methods
+ */
+// 4 => array(
+// )
+
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '[', ']', '{', '}', '$', '*', '&', '%', '!', ';', '<', '>', '?'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => true,
+ 2 => true,
+ 3 => true,
+// 4 => true
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #ff7700;font-weight:bold;', // Reserved
+ 2 => 'color: #008000;', // Built-ins + self
+ 3 => 'color: #dc143c;', // Standard lib
+// 4 => 'color: #0000cd;' // Special methods
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #808080; font-style: italic;',
+ 2 => 'color: #483d8b;',
+ 'MULTI' => 'color: #808080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: black;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #483d8b;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #ff4500;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: black;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #ff3333;'
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+// 4 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '::'
+ ),
+ 'REGEXPS' => array(
+ //Special variables
+ 0 => '[\\$]+[a-zA-Z_][a-zA-Z0-9_]*',
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'PARSER_CONTROL' => array(
+ 'COMMENTS' => array(
+ 'DISALLOWED_BEFORE' => '\\'
+ )
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/teraterm.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/teraterm.php
new file mode 100644
index 000000000..5ac9f8743
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/teraterm.php
@@ -0,0 +1,317 @@
+<?php
+/*************************************************************************************
+ * teraterm.php
+ * --------
+ * Author: Boris Maisuradze (boris at logmett.com)
+ * Copyright: (c) 2008 Boris Maisuradze (http://logmett.com)
+ * Release Version: 1.0.8.4
+ * Date Started: 2008/09/26
+ *
+ * Tera Term Macro language file for GeSHi.
+ *
+ *
+ * This version of ttl.php was created for Tera Term 4.60 and LogMeTT 2.9.4.
+ * Newer versions of these application can contain additional Macro commands
+ * and/or keywords that are not listed here. The latest release of ttl.php
+ * can be downloaded from Download section of LogMeTT.com
+ *
+ * CHANGES
+ * -------
+ * 2008/09/26 (1.0.8)
+ * - First Release for Tera Term 4.60 and below.
+ *
+ * TODO (updated 2008/09/26)
+ * -------------------------
+ * *
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Tera Term Macro',
+ 'COMMENT_SINGLE' => array(1 => ';'),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ /* Commands */
+ 1 => array(
+ 'Beep',
+ 'BplusRecv',
+ 'BplusSend',
+ 'Break', // (version 4.53 or later)
+ 'Call',
+ 'CallMenu', // (version 4.56 or later)
+ 'ChangeDir',
+ 'ClearScreen',
+ 'Clipb2Var', //(version 4.46 or later)
+ 'ClosesBox',
+ 'CloseTT',
+ 'Code2Str',
+ 'Connect',
+ 'CRC32', // (version 4.60 or later)
+ 'CRC32File', // (version 4.60 or later)
+ 'CygConnect', // (version 4.57 or later)
+ 'DelPassword',
+ 'Disconnect',
+ 'Do', // (version 4.56 or later)
+ 'Else',
+ 'EnableKeyb',
+ 'End',
+ 'EndIf',
+ 'EndUntil', // (version 4.56 or later)
+ 'EndWhile',
+ 'Exec',
+ 'ExecCmnd',
+ 'Exit',
+ 'FileClose',
+ 'FileConcat',
+ 'FileCopy',
+ 'FileCreate',
+ 'FileDelete',
+ 'FileMarkPtr',
+ 'FilenameBox', //(version 4.54 or later)
+ 'FileOpen',
+ 'FileRead',
+ 'FileReadln', // (version 4.48 or later)
+ 'FileRename',
+ 'FileSearch',
+ 'FileSeek',
+ 'FileSeekBack',
+ 'FileStrSeek',
+ 'FileStrSeek2',
+ 'FileWrite',
+ 'FileWriteln',
+ 'FindOperations',
+ 'FlushRecv',
+ 'ForNext',
+ 'GetDate',
+ 'GetDir', //(version 4.46 or later)
+ 'GetEnv',
+ 'GetPassword',
+ 'GetTime',
+ 'GetTitle',
+ 'GetVer', //(version 4.58 or later)
+ 'GoTo',
+ 'If',
+ 'IfDefined', // (version 4.46 or later)
+ 'IfThenElseIf',
+ 'Include',
+ 'InputBox',
+ 'Int2Str',
+ 'KmtFinish',
+ 'KmtGet',
+ 'KmtRecv',
+ 'KmtSend',
+ 'LoadKeyMap',
+ 'LogClose',
+ 'LogOpen',
+ 'LogPause',
+ 'LogStart',
+ 'LogWrite',
+ 'Loop', // (version 4.56 or later)
+ 'MakePath',
+ 'MessageBox',
+ 'MPause', // (version 4.27 or later)
+ 'PasswordBox',
+ 'Pause',
+ 'QuickvanRecv',
+ 'QuickvanSend',
+ 'Random', //(version 4.27 or later)
+ 'Recvln',
+ 'RestoreSetup',
+ 'Return',
+ 'RotateLeft', //(version 4.54 or later)
+ 'RotateRight', //(version 4.54 or later)
+ 'ScpRecv', // (version 4.57 or later)
+ 'ScpSend', // (version 4.57 or later)
+ 'Send',
+ 'SendBreak',
+ 'SendFile',
+ 'SendKcode',
+ 'Sendln',
+ 'SetBaud', // (version 4.58 or later)
+ 'SetDate',
+ 'SetDir',
+ 'SetDlgPos',
+ 'SetDTR', // (version 4.59 or later)
+ 'SetRTS', // (version 4.59 or later)
+ 'SetEnv', // (version 4.54 or later)
+ 'SetEcho',
+ 'SetExitCode',
+ 'SetSync',
+ 'SetTime',
+ 'SetTitle',
+ 'Show',
+ 'ShowTT',
+ 'Sprintf', // (version 4.52 or later)
+ 'StatusBox',
+ 'Str2Code',
+ 'Str2Int',
+ 'StrCompare',
+ 'StrConcat',
+ 'StrCopy',
+ 'StrLen',
+ 'StrMatch', // (version 4.59 or later)
+ 'StrScan',
+ 'Testlink',
+ 'Then',
+ 'ToLower', //(version 4.53 or later)
+ 'ToUpper', //(version 4.53 or later)
+ 'Unlink',
+ 'Until', // (version 4.56 or later)
+ 'Var2Clipb', //(version 4.46 or later)
+ 'Wait',
+ 'WaitEvent',
+ 'Waitln',
+ 'WaitRecv',
+ 'WaitRegex', // (version 4.21 or later)
+ 'While',
+ 'XmodemRecv',
+ 'XmodemSend',
+ 'YesNoBox',
+ 'ZmodemRecv',
+ 'ZmodemSend'
+ ),
+ /* System Variables */
+ 2 => array(
+ 'groupmatchstr1',
+ 'groupmatchstr2',
+ 'groupmatchstr3',
+ 'groupmatchstr4',
+ 'groupmatchstr5',
+ 'groupmatchstr6',
+ 'groupmatchstr7',
+ 'groupmatchstr8',
+ 'groupmatchstr9',
+ 'inputstr',
+ 'matchstr',
+ 'param2',
+ 'param3',
+ 'param4',
+ 'param5',
+ 'param6',
+ 'param7',
+ 'param8',
+ 'param9',
+ 'result',
+ 'timeout'
+ ),
+ /* LogMeTT Key Words */
+ 3 => array(
+ '$[1]',
+ '$[2]',
+ '$[3]',
+ '$[4]',
+ '$[5]',
+ '$[6]',
+ '$[7]',
+ '$[8]',
+ '$connection$',
+ '$email$',
+ '$logdir$',
+ '$logfilename$',
+ '$logit$',
+ '$mobile$',
+ '$name$',
+ '$pager$',
+ '$parent$',
+ '$phone$',
+ '$snippet$',
+ '$ttdir$',
+ '$user$',
+ '$windir$',
+ ),
+ /* Keyword Symbols */
+ 4 => array(
+ 'and',
+ 'not',
+ 'or',
+ 'xor'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '[', ']',
+ '~', '!', '+', '-', '*', '/', '%', '>>', '<<', '<<<', '>>>', '&', '^', '|',
+ '<>', '<=', '>=', '=', '==', '<>', '!=', '&&', '||'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #000080; font-weight: bold!important;',
+ 2 => 'color: #808000; font-weight: bold;', // System Variables
+ 3 => 'color: #ff0000; font-weight: bold;', // LogMeTT Key Words
+ 4 => 'color: #ff00ff; font-weight: bold;' // Keyword Symbols
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #008000; font-style: italic;',
+ ),
+ 'ESCAPE_CHAR' => array(),
+ 'BRACKETS' => array(
+ 0 => 'color: #ff00ff; font-weight: bold;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #800080;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #008080;'
+ ),
+ 'SCRIPT' => array(
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #ff00ff; font-weight: bold;'
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #0000ff; font-weight: bold;'
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(),
+ 'REGEXPS' => array(
+ 0 => array (
+ GESHI_SEARCH => '(\:[_a-zA-Z][_a-zA-Z0-9]+)',
+ GESHI_REPLACE => '\\1',
+ GESHI_MODIFIERS => '',
+ GESHI_BEFORE => '',
+ GESHI_AFTER => ''
+ )
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(),
+ 'TAB_WIDTH' => 4
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/text.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/text.php
new file mode 100644
index 000000000..9183895c2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/text.php
@@ -0,0 +1,84 @@
+<?php
+/*************************************************************************************
+ * text.php
+ * --------
+ * Author: Sean Hanna (smokingrope@gmail.com)
+ * Copyright: (c) 2006 Sean Hanna
+ * Release Version: 1.0.8.4
+ * Date Started: 04/23/2006
+ *
+ * Standard Text File (No Syntax Highlighting).
+ * Plaintext language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 04/23/2006 (0.5.0)
+ * - Syntax File Created
+ *
+ * 04/27/2006 (1.0.0)
+ * - Documentation Cleaned Up
+ * - First Release
+ *
+ * TODO (updated 04/27/2006)
+ * -------------------------
+ *
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Text',
+ 'COMMENT_SINGLE' => array(),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array(),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(),
+ 'SYMBOLS' => array(),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(),
+ 'COMMENTS' => array(),
+ 'ESCAPE_CHAR' => array(),
+ 'BRACKETS' => array(),
+ 'STRINGS' => array(),
+ 'NUMBERS' => array(),
+ 'METHODS' => array(),
+ 'SYMBOLS' => array(),
+ 'SCRIPT' => array(),
+ 'REGEXPS' => array()
+ ),
+ 'URLS' => array(),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(),
+ 'REGEXPS' => array(),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(),
+ 'PARSER_CONTROL' => array(
+ 'ENABLE_FLAGS' => array(
+ 'ALL' => GESHI_NEVER
+ ),
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/thinbasic.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/thinbasic.php
new file mode 100644
index 000000000..b9c9742c7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/thinbasic.php
@@ -0,0 +1,868 @@
+<?php
+/*************************************************************************************
+ * thinbasic.php
+ * ------
+ * Author: Eros Olmi (eros.olmi@thinbasic.com)
+ * Copyright: (c) 2006 Eros Olmi (http://www.thinbasic.com), Nigel McNie (http://qbnz.com/highlighter)
+ * Release Version: 1.0.8.4
+ * Date Started: 2006/05/12
+ *
+ * thinBasic language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2006/05/12 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2006/05/12)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'thinBasic',
+ 'COMMENT_SINGLE' => array(1 => "'"),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'XOR','XML_TREETOSTRING','XML_PARSEFILE','XML_PARSE','XML_PARENT','XML_NODETYPE','XML_NODETOSTRING','XML_NEXTSIBLING',
+ 'XML_LASTERROR','XML_GETTAG','XML_FREE','XML_FINDNODE','XML_DECODEPARAM','XML_CHILDDATA','XML_CHILD','XML_ATTRIBVALUE',
+ 'XML_ATTRIBNAME','XML_ATTRIBCOUNT','WORD','WITH','WIN_SHOW','WIN_SETTITLE','WIN_SETFOREGROUND','WIN_ISZOOMED',
+ 'WIN_ISVISIBLE','WIN_ISICONIC','WIN_GETTITLE','WIN_GETFOREGROUND','WIN_GETCLASS','WIN_GETACTIVE','WIN_FLASH','WIN_FINDBYTITLE',
+ 'WIN_FINDBYCLASS','WHILE','WEND','VERIFY','VARPTR','VARIANTVT$','VARIANTVT','VARIANT',
+ 'VARIABLE_GETINFO','VARIABLE_EXISTS','VARIABLE_EXIST','VALUE','VAL','USING$','USING','USES',
+ 'USER','UNTIL','UNITS','UNION','UNICODE2ASCII','UDP_SEND','UDP_RECV','UDP_OPENSERVER',
+ 'UDP_OPEN','UDP_FREEFILE','UDP_CLOSE','UCODE$','UCASE$','UBOUND','TYPE','TRIMFULL$',
+ 'TRIM$','TOOLTIP','TOKENIZER_MOVETOEOL','TOKENIZER_KEYSETUSERSTRING','TOKENIZER_KEYSETUSERNUMBER','TOKENIZER_KEYGETUSERSTRING','TOKENIZER_KEYGETUSERNUMBER','TOKENIZER_KEYGETSUBTYPE',
+ 'TOKENIZER_KEYGETNAME','TOKENIZER_KEYGETMAINTYPE','TOKENIZER_KEYFIND','TOKENIZER_KEYADD','TOKENIZER_GETNEXTTOKEN','TOKENIZER_DEFAULT_SET','TOKENIZER_DEFAULT_GET','TOKENIZER_DEFAULT_CODE',
+ 'TOKENIZER_DEFAULT_CHAR','TO','TIMER','TIME$','THEN','TEXTBOX','TEXT','TCP_SEND',
+ 'TCP_RECV','TCP_PRINT','TCP_OPEN','TCP_LINEINPUT','TCP_FREEFILE','TCP_CLOSE','TB_IMGCTX_SETIMAGEADJUSTMENT','TB_IMGCTX_LOADIMAGE',
+ 'TB_IMGCTX_GETIMAGEADJUSTMENT','TBGL_VIEWPORT','TBGL_VERTEX','TBGL_USETEXTUREFLAG','TBGL_USETEXTURE','TBGL_USELINESTIPPLEFLAG','TBGL_USELINESTIPPLE','TBGL_USELIGHTSOURCEFLAG',
+ 'TBGL_USELIGHTSOURCE','TBGL_USELIGHTINGFLAG','TBGL_USELIGHTING','TBGL_USEFOGFLAG','TBGL_USEFOG','TBGL_USEDEPTHMASK','TBGL_USEDEPTHFLAG','TBGL_USEDEPTH',
+ 'TBGL_USECLIPPLANEFLAG','TBGL_USECLIPPLANE','TBGL_USEBLENDFLAG','TBGL_USEBLEND','TBGL_USEALPHATEST','TBGL_TRANSLATE','TBGL_TORUS','TBGL_TEXCOORD2D',
+ 'TBGL_SPHERE','TBGL_SHOWWINDOW','TBGL_SHOWCURSOR','TBGL_SETWINDOWTITLE','TBGL_SETUPLIGHTSOURCE','TBGL_SETUPFOG','TBGL_SETUPCLIPPLANE','TBGL_SETPRIMITIVEQUALITY',
+ 'TBGL_SETLIGHTPARAMETER','TBGL_SETDRAWDISTANCE','TBGL_SCALE','TBGL_SAVESCREENSHOT','TBGL_ROTATEXYZ','TBGL_ROTATE','TBGL_RESETMATRIX','TBGL_RENDERTOTEXTURE',
+ 'TBGL_RENDERMATRIX3D','TBGL_RENDERMATRIX2D','TBGL_PUSHMATRIX','TBGL_PRINTFONT','TBGL_PRINTBMP','TBGL_PRINT','TBGL_POS3DTOPOS2D','TBGL_POPMATRIX',
+ 'TBGL_POLYGONLOOK','TBGL_POINTSIZE','TBGL_POINTINSIDE3D','TBGL_NORMAL','TBGL_NEWLIST','TBGL_MOUSEGETWHEELDELTA','TBGL_MOUSEGETRBUTTON','TBGL_MOUSEGETPOSY',
+ 'TBGL_MOUSEGETPOSX','TBGL_MOUSEGETMBUTTON','TBGL_MOUSEGETLBUTTON','TBGL_M15SETVERTEXZ','TBGL_M15SETVERTEXY','TBGL_M15SETVERTEXXYZ','TBGL_M15SETVERTEXX','TBGL_M15SETVERTEXTEXY',
+ 'TBGL_M15SETVERTEXTEXXY','TBGL_M15SETVERTEXTEXX','TBGL_M15SETVERTEXTEXN','TBGL_M15SETVERTEXRGB','TBGL_M15SETVERTEXR','TBGL_M15SETVERTEXPSTOP','TBGL_M15SETVERTEXPARAM','TBGL_M15SETVERTEXLAYER',
+ 'TBGL_M15SETVERTEXG','TBGL_M15SETVERTEXB','TBGL_M15SETMODELVERTEXCOUNT','TBGL_M15SETBONECHILD','TBGL_M15ROTBONEZ','TBGL_M15ROTBONEY','TBGL_M15ROTBONEX','TBGL_M15ROTBONE',
+ 'TBGL_M15RESETBONES','TBGL_M15RECALCNORMALS','TBGL_M15LOADMODEL','TBGL_M15INITMODELBUFFERS','TBGL_M15GETVERTEXZ','TBGL_M15GETVERTEXY','TBGL_M15GETVERTEXXYZ','TBGL_M15GETVERTEXX',
+ 'TBGL_M15GETVERTEXTEXY','TBGL_M15GETVERTEXTEXXY','TBGL_M15GETVERTEXTEXX','TBGL_M15GETVERTEXTEXN','TBGL_M15GETVERTEXRGB','TBGL_M15GETVERTEXR','TBGL_M15GETVERTEXPSTOP','TBGL_M15GETVERTEXPARAM',
+ 'TBGL_M15GETVERTEXLAYER','TBGL_M15GETVERTEXG','TBGL_M15GETVERTEXB','TBGL_M15GETMODELVERTEXCOUNT','TBGL_M15GETMODELPOLYCOUNT','TBGL_M15ERASECHILDBONES','TBGL_M15DRAWMODEL','TBGL_M15DEFBONERESET',
+ 'TBGL_M15DEFBONELAYER','TBGL_M15DEFBONEBOX','TBGL_M15DEFBONEANCHOR','TBGL_M15DEFBONEADDVERTEX','TBGL_M15CLEARMODEL','TBGL_M15APPLYBONES','TBGL_M15ADDBONETREEITEM','TBGL_LOADTEXTURE',
+ 'TBGL_LOADFONT','TBGL_LOADBMPFONT','TBGL_LINEWIDTH','TBGL_LINESTIPPLE','TBGL_KILLFONT','TBGL_ISWINDOW','TBGL_ISPOINTVISIBLE','TBGL_ISPOINTBEHINDVIEW',
+ 'TBGL_GETWINDOWMULTIKEYSTATE','TBGL_GETWINDOWKEYSTATE','TBGL_GETWINDOWKEYONCE','TBGL_GETWINDOWCLIENT','TBGL_GETTEXTURENAME','TBGL_GETTEXTURELIST','TBGL_GETPIXELINFO','TBGL_GETMULTIASYNCKEYSTATE',
+ 'TBGL_GETLASTGLERROR','TBGL_GETFRAMERATE','TBGL_GETDESKTOPINFO','TBGL_GETASYNCKEYSTATE','TBGL_ERRORMESSAGES','TBGL_ENDPOLY','TBGL_ENDLIST','TBGL_DRAWFRAME',
+ 'TBGL_DESTROYWINDOW','TBGL_DELETELIST','TBGL_CYLINDER','TBGL_CREATEWINDOWEX','TBGL_CREATEWINDOW','TBGL_COLORALPHA','TBGL_COLOR','TBGL_CLEARFRAME',
+ 'TBGL_CAMERA','TBGL_CALLLIST','TBGL_BUILDFONT','TBGL_BOX','TBGL_BLENDFUNC','TBGL_BINDTEXTURE','TBGL_BEGINPOLY','TBGL_BACKCOLOR',
+ 'TBGL_ALPHAFUNC','TBDI_JOYZ','TBDI_JOYY','TBDI_JOYX','TBDI_JOYSTOPEFFECT','TBDI_JOYSLIDER','TBDI_JOYSETRANGEZ','TBDI_JOYSETRANGEY',
+ 'TBDI_JOYSETRANGEXYZ','TBDI_JOYSETRANGEX','TBDI_JOYSETDEADZONEZ','TBDI_JOYSETDEADZONEY','TBDI_JOYSETDEADZONEXYZ','TBDI_JOYSETDEADZONEX','TBDI_JOYSETAUTOCENTER','TBDI_JOYRZ',
+ 'TBDI_JOYRY','TBDI_JOYRX','TBDI_JOYPOV','TBDI_JOYPLAYEFFECT','TBDI_JOYLOADEFFECT','TBDI_JOYHASFF','TBDI_JOYHASEFFECT','TBDI_JOYGETEFFECTNAME',
+ 'TBDI_JOYGETEFFECTGUID','TBDI_JOYCREATEEFFECT','TBDI_JOYCOUNTPOV','TBDI_JOYCOUNTEFFECTS','TBDI_JOYCOUNTBTN','TBDI_JOYCOUNTAXES','TBDI_JOYBUTTON','TBDI_JOYAVAIL',
+ 'TBDI_INIT','TBASS_STREAMFREE','TBASS_STREAMCREATEFILE','TBASS_SETVOLUME','TBASS_SETEAXPRESET','TBASS_SETEAXPARAMETERS','TBASS_SETCONFIG','TBASS_SET3DPOSITION',
+ 'TBASS_SET3DFACTORS','TBASS_SAMPLELOAD','TBASS_SAMPLEGETCHANNEL','TBASS_MUSICLOAD','TBASS_MUSICFREE','TBASS_INIT','TBASS_GETVOLUME','TBASS_GETVERSION',
+ 'TBASS_GETCONFIG','TBASS_FREE','TBASS_ERRORGETCODE','TBASS_CHANNELSTOP','TBASS_CHANNELSETPOSITION','TBASS_CHANNELSETATTRIBUTES','TBASS_CHANNELSET3DPOSITION','TBASS_CHANNELPLAY',
+ 'TBASS_CHANNELPAUSE','TBASS_CHANNELISACTIVE','TBASS_CHANNELGETPOSITION','TBASS_CHANNELGETLENGTH','TBASS_CHANNELGETATTRIBUTES','TBASS_APPLY3D','TANH','TANGENT',
+ 'TAN','TALLY','TABCTRL_ONNOTIFY','TABCTRL_INSERTITEM','TABCTRL_GETCURSEL','SWAP','SUB','STRZIP$',
+ 'STRUNZIP$','STRREVERSE$','STRPTRLEN','STRPTR','STRINSERT$','STRING$','STRING','STRDELETE$',
+ 'STR$','STOP','STEP','STDOUT','STDIN','STAT_SUM','STAT_STDERROR','STAT_STDDEVIATION',
+ 'STAT_RANDOM','STAT_PRODUCT','STAT_MIN','STAT_MEDIAN','STAT_MEANHARMONIC','STAT_MEANGEOMETRIC','STAT_MEANARITHMETIC','STAT_MAX',
+ 'STAT_INVERSESUM','STAT_HISTOGRAM','STAT_FILLARRAY','STAT_COUNT','STAT_COPYARRAY','STAT_CLONEARRAY','STAT_CHISQUARE','STATIC',
+ 'STATE','SQR','SPLIT','SORT','SMTP_STATISTICS','SMTP_SETOPTION','SMTP_SETLOGFILE','SMTP_SENDHTML',
+ 'SMTP_SENDEMAIL','SMTP_GETERROR','SMTP_FINISHED','SMTP_DEBUG','SMTP_CONNECT','SMTP_CLOSE','SLEEP','SIZEOF',
+ 'SIZE','SINH','SINGLE','SIN','SIGNED','SHOW','SHIFT','SHAPETOBMP',
+ 'SGN','SETAT','SET','SENDMESSAGE','SENDKEYSBULK','SENDKEYS','SEND','SELECTEXPRESSION',
+ 'SELECT','SECH','SEC','SCAN','SAPI_SPEAK','SAPI_SETVOLUME','SAPI_SETRATE','SAPI_MODULELOADED',
+ 'SAPI_GETVOLUME','SAPI_GETRATE','RTRIM$','RTF_SETTEXT','RTF_SETFONTSIZE','RTF_SETFONTNAME','RTF_SETFGCOLOR','RTF_SETEFFECT',
+ 'RTF_SETBGCOLOR','RTF_SETALIGN','RTF_SAVETOFILE','RTF_LOADFROMFILE','RTF_GETTEXT','RTF_GETFONTSIZE','RTF_GETFONTNAME','RTF_GETEFFECT',
+ 'RTF_GETCLASS','RTF_APPENDTEXT','RSET$','ROUND','RNDF','RND','RIGHT$','RIGHT',
+ 'RGB','RESOURCE','RESIZE','RESET','REPLACE$','REPEAT$','REMOVE$','REM',
+ 'REGISTRY_SETVALUE','REGISTRY_SETTXTNUM','REGISTRY_SETTXTBOOL','REGISTRY_SETDWORD','REGISTRY_GETVALUE','REGISTRY_GETTXTNUM','REGISTRY_GETTXTBOOL','REGISTRY_GETDWORD',
+ 'REGISTRY_GETALLKEYS','REGISTRY_DELVALUE','REGISTRY_DELKEY','REFERENCE','REF','REDRAW','REDIM','RAS_SETPARAMS',
+ 'RAS_OPENDIALUPDIALOG','RAS_LOADENTRIES','RAS_HANGUPALL','RAS_HANGUP','RAS_GETENTRY','RAS_BEGINDIAL','RANDOMIZE','RADTODEG',
+ 'QUERYPERFORMANCEFREQUENCY','QUERYPERFORMANCECOUNTER','QUAD','PTR','PRESERVE','POST','POPUP','POKE$',
+ 'POKE','PIXELS','PI','PERMUTATIONS','PEEKMESSAGE','PEEK$','PEEK','PC_SYSTEMUPFROM',
+ 'PC_SUSPENDSTATE','PC_SHUTDOWN','PC_SHOWCARET','PC_SETCARETBLINKTIME','PC_RESTARTDIALOG','PC_PREVENTSHUTDOWN','PC_LOCK','PC_INSERTCD',
+ 'PC_HIDECARET','PC_GETSTATEONOFF','PC_GETSCROLLLOCKKEYSTATE','PC_GETNUMLOCKKEYSTATE','PC_GETCARETBLINKTIME','PC_GETCAPSLOCKKEYSTATE','PC_EMPTYBIN','PC_EJECTCD',
+ 'PC_DECODECDERROR','PCT','PARSESET$','PARSECOUNT','PARSE$','PARSE','PARAMETERS','OUTSIDE',
+ 'OS_WINVERSIONTEXT','OS_WINGETVERSIONTIMELINE','OS_SHELLEXECUTE','OS_SHELLABOUT','OS_SHELL','OS_SETLASTCALLDLLERROR','OS_SERVICESTOP','OS_SERVICESTATUSDESCRIPTION',
+ 'OS_SERVICESTARTTYPEDESCRIPTION','OS_SERVICESTART','OS_SERVICESETSTARTTYPE','OS_SERVICEQUERY','OS_SERVICEGETSTARTTYPE','OS_SERVICEGETLIST','OS_PROCESSKILLBYNAME','OS_PROCESSKILLBYID',
+ 'OS_PROCESSISRUNNING','OS_PROCESSGETLIST','OS_PROCESSGETID','OS_PROCESSARERUNNING','OS_MESSAGEBEEP','OS_ISWOW64','OS_ISFEATUREPRESENT','OS_IEVERSION',
+ 'OS_GETWINDOWSDIR','OS_GETUSERNAME','OS_GETTEMPDIR','OS_GETSYSTEMDIR','OS_GETSPECIALFOLDER','OS_GETLASTCALLDLLSTATUS','OS_GETLASTCALLDLLERROR','OS_GETCURRENTTHREADID',
+ 'OS_GETCURRENTPROCESSID','OS_GETCOMPUTERNAME','OS_GETCOMMANDS','OS_GETCOMMAND','OS_FLASHWINDOW','OS_FATALAPPEXIT','OS_ENVIRON','OS_CALLDLL',
+ 'OR','OPTIONAL','OPTION','OPT','ONCE','ON','OFF','NUMBER',
+ 'NOT','NEXT','NEW','MSGBOX','MOUSEPTR','MODULE','MODELESS','MODAL',
+ 'MOD','MKWRD$','MKS$','MKQ$','MKL$','MKI$','MKE$','MKDWD$',
+ 'MKD$','MKCUX$','MKCUR$','MKBYT$','MIN$','MIN','MID$','MENU',
+ 'MDI_CREATE','MCASE$','MAX$','MAX','MAKWRD','MAKLNG','MAKINT','MAKDWR',
+ 'LTRIM$','LSET$','LOWRD','LOOP','LONG','LOINT','LOG_WRITE','LOGB',
+ 'LOG2','LOG10','LOG','LOCAL','LOC','LL_UPDATEBYNAME','LL_UPDATE','LL_TOSTRING',
+ 'LL_TOFILE','LL_NAME','LL_GETITEM','LL_GETBYNUMBER','LL_FROMFILE','LL_FREE','LL_FINDLAST','LL_FINDBYNAME',
+ 'LL_FINDBYDATA','LL_DELETELIKE','LL_DELETEBYNAME','LL_DELETE','LL_DATABYNAME','LL_DATA','LL_COUNT','LL_ADD',
+ 'LISTBOX','LINE','LIBRARY_EXISTS','LIB','LEN','LEFT$','LEFT','LCASE$',
+ 'LBOUND','LABEL','KILL','JOIN$','ITERATE','ISWINDOW','ISUNICODE','ISTRUE',
+ 'ISODD','ISLIKE','ISFALSE','ISEVEN','IP_TOSTRING','IP_ADDR','INTERNALINFO','INTEGER',
+ 'INT','INSTR','INSIDE','INPUTBOX$','INI_SETKEY','INI_GETSECTIONSLIST','INI_GETSECTIONKEYLIST','INI_GETKEY',
+ 'INET_URLDOWNLOAD','INET_PING','INET_OPENDIALUPDIALOG','INET_GETSTATE','INET_GETREMOTEMACADDRESS','INET_GETIP','INET_GETCONNECTIONMODE','INCR',
+ 'IN','IMAGE','IIF$','IIF','IF','ICRYPTO_TESTSHA1','ICRYPTO_TESTMD5','ICRYPTO_TESTCRC32',
+ 'ICRYPTO_TESTCRC16','ICRYPTO_STRING2ASCII','ICRYPTO_SHA1','ICRYPTO_MD5','ICRYPTO_ENCRYPTRIJNDAEL','ICRYPTO_ENCRYPTRC4','ICRYPTO_DECRYPTRIJNDAEL','ICRYPTO_DECRYPTRC4',
+ 'ICRYPTO_CRC32','ICRYPTO_CRC16','ICRYPTO_BYTEXOR','ICRYPTO_BIN2ASCII','ICRYPTO_ASCII2STRING','ICRYPTO_ASCII2BIN','HOST_ADDR','HOSTNAME_TOIP',
+ 'HOSTIP_TONAME','HIWRD','HIINT','HEX$','HASH','HANDLE','GUIDTXT$','GUID$',
+ 'GRAPHIC','GLVOID','GLUSHORT','GLUINT','GLUBYTE','GLSIZEI','GLSHORT','GLOBAL',
+ 'GLINT','GLFLOAT','GLENUM','GLDOUBLE','GLCLAMPF','GLCLAMPD','GLBYTE','GLBOOLEAN',
+ 'GLBITFIELD','GETWINDOWMULTIKEYSTATE','GETWINDOWKEYSTATE','GETTICKCOUNT','GETS','GETMULTIASYNCKEYSTATE','GETMESSAGE','GETCURRENTINSTANCE',
+ 'GETAT','GETASYNCKEYSTATE','GET','FUNCTION_NPARAMS','FUNCTION_EXISTS','FUNCTION_CPARAMS','FUNCTION','FTP_SETSTRING',
+ 'FTP_SETSERVERDIR','FTP_SETNUMBER','FTP_SETMODE','FTP_SETLOGFILE','FTP_SETLOCALDIR','FTP_QUIT','FTP_PUTFILE','FTP_GETSTRING',
+ 'FTP_GETSERVERDIR','FTP_GETNUMBER','FTP_GETLOCALDIR','FTP_GETLIST','FTP_GETFILE','FTP_GETERRORSTRING','FTP_GETERRORNUMBER','FTP_FINISHED',
+ 'FTP_EXTRACT','FTP_DELFILE','FTP_CONNECT','FTP_COMMAND','FRAME','FRAC','FORMAT$','FOR',
+ 'FONT_LIST','FONT_CREATE','FONT','FOCUS','FLUSH','FIX','FILE_SIZE','FILE_SHELLDELETE',
+ 'FILE_SHELLCOPY','FILE_SETDATETIME','FILE_SEEK','FILE_SAVE','FILE_RENAME','FILE_PUT','FILE_PATHSPLIT','FILE_OPEN',
+ 'FILE_LOF','FILE_LOAD','FILE_LINEPRINT','FILE_LINEINPUT','FILE_KILL','FILE_GETVERSIONSTRING','FILE_GETVERSION','FILE_GETTIME',
+ 'FILE_GETDATETIMESTAMP','FILE_GETDATETIME','FILE_GETDATE','FILE_GET','FILE_EXISTS','FILE_EOF','FILE_COPY','FILE_CLOSE',
+ 'FILE_CHANGED','FILE_APPEND','FACTORIAL','EXTRACT$','EXT','EXPORT','EXP2','EXP10',
+ 'EXP','EXIT','EVAL_STRING','EVAL_SETSTRING','EVAL_SETNUMBER','EVAL_MATH','EVAL_LINKEXT','EVAL_GETSTRING',
+ 'EVAL_GETNUMBER','EVAL_ERRORGETTOKEN','EVAL_ERRORDESCRIPTION','EVAL_ERRORCLEAR','EVAL','ERRCLEAR','ERR','ENGINE_GETCURRENTTOKEN',
+ 'ENDIF','END','ENABLE','ELSEIF','ELSE','ECHO','DWORD','DT_YEAR',
+ 'DT_TIMETOSEC','DT_TIMESUBSECONDS','DT_TIMEFORMAT','DT_TIMEADDSECONDS','DT_SETTIMESEPARATOR','DT_SETDATESEPARATOR','DT_SETDATECENTURY','DT_SECTOTIME',
+ 'DT_SECTODATE','DT_SECOND','DT_MONTH','DT_MINUTE','DT_LASTDAYOFMONTH','DT_ISVALIDDATE','DT_ISLEAPYEAR','DT_HOUR',
+ 'DT_GETWEEKDAYNAME','DT_GETWEEKDAY','DT_GETTIMESTAMP','DT_GETTIMESEPARATOR','DT_GETMONTHNAME','DT_GETDATESEPARATOR','DT_GETDATECENTURY','DT_DAY',
+ 'DT_DATETOSEC','DT_DATETIMESUBSECONDS','DT_DATETIMEADDSECONDS','DT_DATESUBDAYS','DT_DATEFORMAT','DT_DATEDIFF','DT_DATEADDDAYS','DT_COOKIEDATE',
+ 'DRAW','DOUBLE','DOEVENTS','DO','DISABLE','DIR_REMOVE','DIR_MAKEALL','DIR_MAKE',
+ 'DIR_LISTARRAY','DIR_LIST','DIR_ISEMPTY','DIR_ISDIR','DIR_GETCURRENT','DIR_EXISTS','DIR_CHANGEDRIVE','DIR_CHANGE',
+ 'DIM','DICTIONARY_MEMINFO','DICTIONARY_LISTKEYS','DICTIONARY_FREE','DICTIONARY_FIND','DICTIONARY_EXISTS','DICTIONARY_CREATE','DICTIONARY_COUNT',
+ 'DICTIONARY_ADD','DIALOG_STOPEVENTS','DIALOG_SAVEFILE','DIALOG_OPENFILE','DIALOG_GETCONTROL','DIALOG_CHOOSECOLOR','DIALOG_BROWSEFORFOLDER','DIALOG',
+ 'DESKTOP','DESCENDING','DESCEND','DELETEOBJECT','DELETE','DEGTORAD','DECR','DECLARE',
+ 'DATE$','CVWRD','CVS','CVQ','CVL','CVI','CVE','CVDWD',
+ 'CVD','CVCUX','CVCUR','CVBYT','CURRENCY','CUR','CSET$','CSCH',
+ 'CSC','CRYPTO_GETPROVIDERTYPESCOUNT','CRYPTO_GETPROVIDERSCOUNT','CRYPTO_GETDEFAULTPROVIDER','CRYPTO_GENRANDOMSTRING','CRYPTO_ENUMPROVIDERTYPES','CRYPTO_ENUMPROVIDERS','CRYPTO_ENCRYPT',
+ 'CRYPTO_DECRYPT','CREATEFONT','COTH','COTAN','COSH','COS','CONTROL_SETTEXT','CONTROL_GETTEXT',
+ 'CONTROL_GETNUMBER','CONTROL','CONST','CONSOLE_WRITELINE','CONSOLE_WRITE','CONSOLE_WAITKEY','CONSOLE_SHOWWINDOW','CONSOLE_SHOWCURSOR',
+ 'CONSOLE_SETTITLE','CONSOLE_SETTEXTATTRIBUTE','CONSOLE_SETSTDHANDLE','CONSOLE_SETSCREENBUFFERSIZE','CONSOLE_SETPROGRESSBARCHAR','CONSOLE_SETOUTPUTMODE','CONSOLE_SETOUTPUTCP','CONSOLE_SETINPUTMODE',
+ 'CONSOLE_SETFILEAPISTOOEM','CONSOLE_SETFILEAPISTOANSI','CONSOLE_SETCURSORSIZE','CONSOLE_SETCURSORPOSITION','CONSOLE_SETCP','CONSOLE_SETACTIVESCREENBUFFER','CONSOLE_SCROLLWINDOW','CONSOLE_SCROLLBUFFERONEROW',
+ 'CONSOLE_SCROLLBUFFER','CONSOLE_SAVESCREEN','CONSOLE_RESTORESCREEN','CONSOLE_READLINE','CONSOLE_READ','CONSOLE_PROGRESSBAR','CONSOLE_PRINTLINE','CONSOLE_PRINTAT',
+ 'CONSOLE_PRINT','CONSOLE_NORMALSCREEN','CONSOLE_LINE','CONSOLE_INKEYB','CONSOLE_INKEY','CONSOLE_HIDECURSOR','CONSOLE_GETTITLE','CONSOLE_GETTEXTATTRIBUTE',
+ 'CONSOLE_GETSTDHANDLE','CONSOLE_GETSIZEY','CONSOLE_GETSIZEX','CONSOLE_GETPROGRESSBARCHAR','CONSOLE_GETOUTPUTMODE','CONSOLE_GETOUTPUTCP','CONSOLE_GETNUMBEROFMOUSEBUTTONS','CONSOLE_GETINPUTMODE',
+ 'CONSOLE_GETCURSORY','CONSOLE_GETCURSORX','CONSOLE_GETCURSORSIZE','CONSOLE_GETCURRENTFONTINDEX','CONSOLE_GETCP','CONSOLE_GENERATECTRLEVENT','CONSOLE_FULLSCREEN','CONSOLE_FREE',
+ 'CONSOLE_FOREGROUNDRGB','CONSOLE_ENABLECTRLC','CONSOLE_DISABLECTRLC','CONSOLE_CREATESCREENBUFFER','CONSOLE_COLORAT','CONSOLE_CLS','CONSOLE_BOX','CONSOLE_BACKGROUNDRGB',
+ 'CONSOLE_ATTACH','CONSOLE_AREFILEAPISANSI','CONSOLE_ALLOC','COM_VARIANTINIT','COM_VARIANTCOPY','COM_VARIANTCLEAR','COM_SUCCEEDED','COM_STRINGFROMCLSID',
+ 'COM_RELEASE','COM_QUERYINTERFACE','COM_PROGIDFROMCLSID','COM_ISEQUALIID','COM_ISEQUALGUID','COM_ISEQUALCLSID','COM_GETOBJECT','COM_GETENGINEGUID',
+ 'COM_EXECUTE','COM_DISPLAYERROR','COM_CREATEOBJECT','COM_CLSIDFROMSTRING','COM_CLSIDFROMPROGID','COM_BUILDVARIANT','COMBOBOX','COMBINATIONS',
+ 'COLOR','CLIPBOARD_SETTEXT','CLIPBOARD_GETTEXT','CLIENT','CLEARMESSAGES','CHR$','CHOOSE$','CHOOSE',
+ 'CHECKBOX','CHECK3STATE','CHECK','CGI_WRITELOGFILE','CGI_WRITE','CGI_URLDECODESTRING','CGI_UPLOADFILESTIME','CGI_UPLOADFILESNUMBER',
+ 'CGI_UPLOADFILESIZE','CGI_STARTSESSION','CGI_SETSESSIONVARIABLE','CGI_RESETDEFAULTSETTINGS','CGI_REMOVESPECIALCHARSPREFIX','CGI_REMOVEQUOTE','CGI_READ','CGI_LOADCONFIGFILE',
+ 'CGI_HEADER','CGI_GETSESSIONVARIABLE','CGI_GETREQUESTMETHOD','CGI_GETQUERYVALUE','CGI_GETCURRENTSESSION','CGI_GETCURRENTGUID','CGI_ENVIRON','CGI_CFGSETOPTION',
+ 'CGI_CFGGETOPTION','CGI_ADDSPECIALCHARSPREFIX','CGI_ADDQUOTE','CEIL','CASE','CALL','BYVAL','BYTE',
+ 'BYREF','BYCMD','BUTTON','BUNDLE_SETSCRIPTPARAMETERS','BUNDLE_SETSCRIPTNAME','BUNDLE_SETFLAGOBFUSCATEMAINSCRIPT','BUNDLE_SETFLAGDELETEAFTERRUN','BUNDLE_SETFLAGCOMPRESSALLFILES',
+ 'BUNDLE_SETFLAGASKBEFOREEXTRACT','BUNDLE_SETEXTRACTIONFOLDER','BUNDLE_SETCREATIONFOLDER','BUNDLE_SETBUNDLENAME','BUNDLE_RESET','BUNDLE_MAKE','BUNDLE_BUILDER','BUNDLE_ADDFOLDER',
+ 'BUNDLE_ADDFILE','BOUNDCHECK','BIN$','BIFF_WRITETEXT','BIFF_WRITENUMBER','BIFF_WRITEDATE','BIFF_SETROWHEIGHT','BIFF_SETCOLWIDTH',
+ 'BIFF_SETBUFFER','BIFF_CREATEFILE','BIFF_CLOSEFILE','BETWEEN','BEEP','BAR','ATTACH','ATN',
+ 'AT','ASSIGN','ASCIZ','ASCIIZ','ASCII2UNICODE','ASCENDING','ASCEND','ASC',
+ 'AS','ARRAY','ARCTANH','ARCSINH','ARCSIN','ARCSECH','ARCSEC','ARCCSCH',
+ 'ARCCSC','ARCCOTH','ARCCOT','ARCCOSH','ARCCOS','APP_TIMER','APP_SOURCEPATH','APP_SOURCENAME',
+ 'APP_SOURCEFULLNAME','APP_PATH','APP_NAME','APP_LISTVARIABLES','APP_LISTKEYWORDS','APP_LISTFUNCTIONS','APP_LISTEQUATES','APP_INCLUDEPATH',
+ 'APP_GETMODULEFULLPATH','APP_COUNTER','APPEND','ANY','ANIMATE_STOP','ANIMATE_PLAY','ANIMATE_OPEN','AND',
+ 'ALIAS','ALERT','ADD','ACODE$','ABS','%DEF','#MINVERSION','#IF',
+ '#ENDIF','#ELSEIF','#ELSE','#DEFAULT','#DEF','SQLWRITEPRIVATEPROFILESTRING','SQLWRITEFILEDSN','SQLWRITEDSNTOINI',
+ 'SQLVALIDDSN','SQLTRANSACT','SQLTABLES','SQLTABLEPRIVILEGES','SQLSTATISTICS','SQLSPECIALCOLUMNS','SQLSETSTMTOPTION','SQLSETSTMTATTR',
+ 'SQLSETSCROLLOPTIONS','SQLSETPOS','SQLSETPARAM','SQLSETENVATTR','SQLSETDESCREC','SQLSETDESCFIELD','SQLSETCURSORNAME','SQLSETCONNECTOPTION',
+ 'SQLSETCONNECTATTR','SQLSETCONFIGMODE','SQLROWCOUNT','SQLREMOVETRANSLATOR','SQLREMOVEDSNFROMINI','SQLREMOVEDRIVERMANAGER','SQLREMOVEDRIVER','SQLREADFILEDSN',
+ 'SQLPUTDATA','SQLPROCEDURES','SQLPROCEDURECOLUMNS','SQLPRIMARYKEYS','SQLPREPARE','SQLPOSTINSTALLERERROR','SQLPARAMOPTIONS','SQLPARAMDATA',
+ 'SQLNUMRESULTCOLS','SQLNUMPARAMS','SQLNATIVESQL','SQLMORERESULTS','SQLMANAGEDATASOURCES','SQLINSTALLTRANSLATOREX','SQLINSTALLERERROR','SQLINSTALLDRIVERMANAGER',
+ 'SQLINSTALLDRIVEREX','SQLGETTYPEINFO','SQLGETTRANSLATOR','SQLGETSTMTOPTION','SQLGETSTMTATTR','SQLGETPRIVATEPROFILESTRING','SQLGETINSTALLEDDRIVERS','SQLGETINFO',
+ 'SQLGETFUNCTIONS','SQLGETENVATTR','SQLGETDIAGREC','SQLGETDIAGFIELD','SQLGETDESCREC','SQLGETDESCFIELD','SQLGETDATA','SQLGETCURSORNAME',
+ 'SQLGETCONNECTOPTION','SQLGETCONNECTATTR','SQLGETCONFIGMODE','SQLFREESTMT','SQLFREEHANDLE','SQLFREEENV','SQLFREECONNECT','SQLFOREIGNKEYS',
+ 'SQLFETCHSCROLL','SQLFETCH','SQLEXTENDEDFETCH','SQLEXECUTE','SQLEXECDIRECT','SQLERROR','SQLENDTRAN','SQLDRIVERS',
+ 'SQLDRIVERCONNECT','SQLDISCONNECT','SQLDESCRIBEPARAM','SQLDESCRIBECOL','SQLDATASOURCES','SQLCREATEDATASOURCE','SQLCOPYDESC','SQLCONNECT',
+ 'SQLCONFIGDRIVER','SQLCONFIGDATASOURCE','SQLCOLUMNS','SQLCOLUMNPRIVILEGES','SQLCOLATTRIBUTES','SQLCOLATTRIBUTE','SQLCLOSECURSOR','SQLCANCEL',
+ 'SQLBULKOPERATIONS','SQLBROWSECONNECT','SQLBINDPARAMETER','SQLBINDPARAM','SQLBINDCOL','SQLALLOCSTMT','SQLALLOCHANDLE','SQLALLOCENV',
+ 'SQLALLOCCONNECT','ODBCWRONGDRIVER','ODBCWRITEPRIVATEPROFILESTRING','ODBCWRITEFILEDSN','ODBCWRITEDSNTOINI','ODBCVALIDDSN','ODBCUPDATERECORD','ODBCUPDATEBYBOOKMARK',
+ 'ODBCUNLOCKRECORD','ODBCUNBINDCOLUMNS','ODBCUNBINDCOL','ODBCTABLESCOUNT','ODBCTABLES','ODBCTABLEPRIVILEGESCOUNT','ODBCTABLEPRIVILEGES','ODBCSUPPORTS',
+ 'ODBCSTATTABLESCHEMANAME','ODBCSTATTABLEPAGES','ODBCSTATTABLECATALOGNAME','ODBCSTATTABLECARDINALITY','ODBCSTATISTICSCOUNT','ODBCSTATISTICS','ODBCSTATINDEXSORTSEQUENCE','ODBCSTATINDEXSCHEMANAME',
+ 'ODBCSTATINDEXQUALIFIER','ODBCSTATINDEXPAGES','ODBCSTATINDEXFILTERCONDITION','ODBCSTATINDEXCOLUMNORDINALPOSITION','ODBCSTATINDEXCOLUMNNAME','ODBCSTATINDEXCATALOGNAME','ODBCSTATINDEXCARDINALITY','ODBCSTATINDEXALLOWDUPLICATES',
+ 'ODBCSPECIALCOLUMNSCOUNT','ODBCSPECIALCOLUMNS','ODBCSETTXNISOLATION','ODBCSETTRANSLATELIB','ODBCSETTRACEFILE','ODBCSETTRACE','ODBCSETSTMTUSEBOOKMARKS','ODBCSETSTMTSIMULATECURSOR',
+ 'ODBCSETSTMTROWSTATUSPTR','ODBCSETSTMTROWSFETCHEDPTR','ODBCSETSTMTROWOPERATIONPTR','ODBCSETSTMTROWBINDTYPE','ODBCSETSTMTROWBINDOFFSETPTR','ODBCSETSTMTROWARRAYSIZE','ODBCSETSTMTRETRIEVEDATA','ODBCSETSTMTQUERYTIMEOUT',
+ 'ODBCSETSTMTPARAMSTATUSPTR','ODBCSETSTMTPARAMSPROCESSEDPTR','ODBCSETSTMTPARAMSETSIZE','ODBCSETSTMTPARAMOPERATIONPTR','ODBCSETSTMTPARAMBINDTYPE','ODBCSETSTMTPARAMBINDOFFSETPTR','ODBCSETSTMTNOSCAN','ODBCSETSTMTMETADATAID',
+ 'ODBCSETSTMTMAXROWS','ODBCSETSTMTMAXLENGTH','ODBCSETSTMTKEYSETSIZE','ODBCSETSTMTFETCHBOOKMARKPTR','ODBCSETSTMTENABLEAUTOIPD','ODBCSETSTMTCURSORTYPE','ODBCSETSTMTCURSORSENSITIVITY','ODBCSETSTMTCURSORSCROLLABLE',
+ 'ODBCSETSTMTCONCURRENCY','ODBCSETSTMTATTR','ODBCSETSTMTASYNCENABLE','ODBCSETSTMTAPPROWDESC','ODBCSETSTMTAPPPARAMDESC','ODBCSETSTATICCURSOR','ODBCSETROWVERCONCURRENCY','ODBCSETRESULT',
+ 'ODBCSETRELATIVEPOSITION','ODBCSETREADONLYCONCURRENCY','ODBCSETQUIETMODE','ODBCSETPOSITION','ODBCSETPOS','ODBCSETPACKETMODE','ODBCSETOPTIMISTICCONCURRENCY','ODBCSETODBCCURSORS',
+ 'ODBCSETMULTIUSERKEYSETCURSOR','ODBCSETMETADATAID','ODBCSETLOGINTIMEOUT','ODBCSETLOCKCONCURRENCY','ODBCSETKEYSETDRIVENCURSOR','ODBCSETFORWARDONLYCURSOR','ODBCSETENVOUTPUTNTS','ODBCSETENVODBCVERSION',
+ 'ODBCSETENVCPMATCH','ODBCSETENVCONNECTIONPOOLING','ODBCSETENVATTR','ODBCSETDYNAMICCURSOR','ODBCSETDESCREC','ODBCSETDESCFIELD','ODBCSETCURSORTYPE','ODBCSETCURSORSENSITIVITY',
+ 'ODBCSETCURSORSCROLLABILITY','ODBCSETCURSORNAME','ODBCSETCURSORLOCKTYPE','ODBCSETCURSORKEYSETSIZE','ODBCSETCURSORCONCURRENCY','ODBCSETCURRENTCATALOG','ODBCSETCONNECTIONTIMEOUT','ODBCSETCONNECTATTR',
+ 'ODBCSETCONFIGMODE','ODBCSETCONCURVALUESCONCURRENCY','ODBCSETAUTOCOMMITON','ODBCSETAUTOCOMMITOFF','ODBCSETAUTOCOMMIT','ODBCSETASYNCENABLE','ODBCSETACCESSMODE','ODBCSETABSOLUTEPOSITION',
+ 'ODBCROWCOUNT','ODBCROLLBACKTRAN','ODBCROLLBACKENVTRAN','ODBCROLLBACKDBCTRAN','ODBCRESULT','ODBCRESETPARAMS','ODBCREMOVETRANSLATOR','ODBCREMOVEDSNFROMINI',
+ 'ODBCREMOVEDRIVERMANAGER','ODBCREMOVEDRIVER','ODBCREFRESHRECORD','ODBCRECORDCOUNT','ODBCREADFILEDSN','ODBCQUOTEDIDENTIFIERCASE','ODBCPUTDATA','ODBCPROCEDURESCOUNT',
+ 'ODBCPROCEDURES','ODBCPROCEDURECOLUMNSCOUNT','ODBCPROCEDURECOLUMNS','ODBCPRIMARYKEYSCOUNT','ODBCPRIMARYKEYS','ODBCPREPARE','ODBCPOSTINSTALLERERROR','ODBCPARAMDATA',
+ 'ODBCOPENSTMT','ODBCOPENCONNECTION','ODBCNUMRESULTCOLS','ODBCNUMPARAMS','ODBCNATIVESQL','ODBCMOVEPREVIOUS','ODBCMOVENEXT','ODBCMOVELAST',
+ 'ODBCMOVEFIRST','ODBCMOVE','ODBCMORERESULTS','ODBCMANAGEDATASOURCES','ODBCLOCKRECORD','ODBCINSTALLTRANSLATOREX','ODBCINSTALLERERROR','ODBCINSTALLDRIVERMANAGER',
+ 'ODBCINSTALLDRIVEREX','ODBCGETXOPENCLIYEAR','ODBCGETUSERNAME','ODBCGETUNION','ODBCGETTYPEINFOCOUNT','ODBCGETTYPEINFO','ODBCGETTXNISOLATIONOPTION','ODBCGETTXNISOLATION',
+ 'ODBCGETTXNCAPABLE','ODBCGETTRANSLATOR','ODBCGETTRANSLATELIB','ODBCGETTRACEFILE','ODBCGETTRACE','ODBCGETTIMEDATEFUNCTIONS','ODBCGETTIMEDATEDIFFINTERVALS','ODBCGETTIMEDATEADDINTERVALS',
+ 'ODBCGETTABLETERM','ODBCGETSYSTEMFUNCTIONS','ODBCGETSUBQUERIES','ODBCGETSTRINGFUNCTIONS','ODBCGETSTMTUSEBOOKMARKS','ODBCGETSTMTSQLSTATE','ODBCGETSTMTSIMULATECURSOR','ODBCGETSTMTROWSTATUSPTR',
+ 'ODBCGETSTMTROWSFETCHEDPTR','ODBCGETSTMTROWOPERATIONPTR','ODBCGETSTMTROWNUMBER','ODBCGETSTMTROWBINDTYPE','ODBCGETSTMTROWBINDOFFSETPTR','ODBCGETSTMTROWARRAYSIZE','ODBCGETSTMTRETRIEVEDATA','ODBCGETSTMTQUERYTIMEOUT',
+ 'ODBCGETSTMTPARAMSTATUSPTR','ODBCGETSTMTPARAMSPROCESSEDPTR','ODBCGETSTMTPARAMSETSIZE','ODBCGETSTMTPARAMOPERATIONPTR','ODBCGETSTMTPARAMBINDTYPE','ODBCGETSTMTPARAMBINDOFFSETPTR','ODBCGETSTMTNOSCAN','ODBCGETSTMTMETADATAID',
+ 'ODBCGETSTMTMAXROWS','ODBCGETSTMTMAXLENGTH','ODBCGETSTMTKEYSETSIZE','ODBCGETSTMTIMPROWDESC','ODBCGETSTMTIMPPARAMDESC','ODBCGETSTMTFETCHBOOKMARKPTR','ODBCGETSTMTERRORINFO','ODBCGETSTMTENABLEAUTOIPD',
+ 'ODBCGETSTMTCURSORTYPE','ODBCGETSTMTCURSORSENSITIVITY','ODBCGETSTMTCURSORSCROLLABLE','ODBCGETSTMTCONCURRENCY','ODBCGETSTMTATTR','ODBCGETSTMTASYNCENABLE','ODBCGETSTMTAPPROWDESC','ODBCGETSTMTAPPPARAMDESC',
+ 'ODBCGETSTATICCURSORATTRIBUTES2','ODBCGETSTATICCURSORATTRIBUTES1','ODBCGETSTATEMENTSQLSTATE','ODBCGETSTATEMENTERRORINFO','ODBCGETSTANDARDCLICONFORMANCE','ODBCGETSQLSTATE','ODBCGETSQLCONFORMANCE','ODBCGETSQL92VALUEEXPRESSIONS',
+ 'ODBCGETSQL92STRINGFUNCTIONS','ODBCGETSQL92ROWVALUECONSTRUCTOR','ODBCGETSQL92REVOKE','ODBCGETSQL92RELATIONALJOINOPERATORS','ODBCGETSQL92PREDICATES','ODBCGETSQL92NUMERICVALUEFUNCTIONS','ODBCGETSQL92GRANT','ODBCGETSQL92FOREIGNKEYUPDATERULE',
+ 'ODBCGETSQL92FOREIGNKEYDELETERULE','ODBCGETSQL92DATETIMEFUNCTIONS','ODBCGETSPECIALCHARACTERS','ODBCGETSERVERNAME','ODBCGETSEARCHPATTERNESCAPE','ODBCGETSCROLLOPTIONS','ODBCGETSCHEMAUSAGE','ODBCGETSCHEMATERM',
+ 'ODBCGETROWUPDATES','ODBCGETQUIETMODE','ODBCGETPROCEDURETERM','ODBCGETPROCEDURESSUPPORT','ODBCGETPRIVATEPROFILESTRING','ODBCGETPOSOPERATIONS','ODBCGETPARAMARRAYSELECTS','ODBCGETPARAMARRAYROWCOUNTS',
+ 'ODBCGETPACKETMODE','ODBCGETOUTERJOINS','ODBCGETORDERBYCOLUMNSINSELECT','ODBCGETOJCAPABILITIES','ODBCGETODBCVER','ODBCGETODBCINTERFACECONFORMANCE','ODBCGETODBCCURSORS','ODBCGETNUMERICFUNCTIONS',
+ 'ODBCGETNULLCOLLATION','ODBCGETNONNULLABLECOLUMNS','ODBCGETNEEDLONGDATALEN','ODBCGETMULTRESULTSETS','ODBCGETMULTIPLEACTIVETXN','ODBCGETMETADATAID','ODBCGETMAXUSERNAMELEN','ODBCGETMAXTABLESINSELECT',
+ 'ODBCGETMAXTABLENAMELEN','ODBCGETMAXSTATEMENTLEN','ODBCGETMAXSCHEMANAMELEN','ODBCGETMAXROWSIZEINCLUDESLONG','ODBCGETMAXROWSIZE','ODBCGETMAXPROCEDURENAMELEN','ODBCGETMAXINDEXSIZE','ODBCGETMAXIDENTIFIERLEN',
+ 'ODBCGETMAXDRIVERCONNECTIONS','ODBCGETMAXCURSORNAMELEN','ODBCGETMAXCONCURRENTACTIVITIES','ODBCGETMAXCOLUMNSINTABLE','ODBCGETMAXCOLUMNSINSELECT','ODBCGETMAXCOLUMNSINORDERBY','ODBCGETMAXCOLUMNSININDEX','ODBCGETMAXCOLUMNSINGROUPBY',
+ 'ODBCGETMAXCOLUMNNAMELEN','ODBCGETMAXCHARLITERALLEN','ODBCGETMAXCATALOGNAMELEN','ODBCGETMAXBINARYLITERALLEN','ODBCGETMAXASYNCCONCURRENTSTATEMENTS','ODBCGETLONGVARCHARDATABYCOLNAME','ODBCGETLONGVARCHARDATA','ODBCGETLOGINTIMEOUT',
+ 'ODBCGETLIKEESCAPECLAUSE','ODBCGETKEYWORDS','ODBCGETKEYSETCURSORATTRIBUTES2','ODBCGETKEYSETCURSORATTRIBUTES1','ODBCGETINTEGRITY','ODBCGETINSTALLERERRORMESSAGE','ODBCGETINSTALLERERRORCODE','ODBCGETINSTALLEDDRIVERS',
+ 'ODBCGETINSERTSTATEMENT','ODBCGETINFOSTR','ODBCGETINFOSCHEMAVIEWS','ODBCGETINFOLONG','ODBCGETINFOINT','ODBCGETINFO','ODBCGETINDEXKEYWORDS','ODBCGETIMPROWDESCREC',
+ 'ODBCGETIMPROWDESCFIELDTYPE','ODBCGETIMPROWDESCFIELDSCALE','ODBCGETIMPROWDESCFIELDPRECISION','ODBCGETIMPROWDESCFIELDOCTETLENGTH','ODBCGETIMPROWDESCFIELDNULLABLE','ODBCGETIMPROWDESCFIELDNAME','ODBCGETIMPROWDESCFIELD','ODBCGETIMPPARAMDESCREC',
+ 'ODBCGETIMPPARAMDESCFIELDTYPE','ODBCGETIMPPARAMDESCFIELDSCALE','ODBCGETIMPPARAMDESCFIELDPRECISION','ODBCGETIMPPARAMDESCFIELDOCTETLENGTH','ODBCGETIMPPARAMDESCFIELDNULLABLE','ODBCGETIMPPARAMDESCFIELDNAME','ODBCGETIMPPARAMDESCFIELD','ODBCGETIDENTIFIERQUOTECHAR',
+ 'ODBCGETIDENTIFIERCASE','ODBCGETGROUPBY','ODBCGETFUNCTIONS','ODBCGETFORWARDONLYCURSORATTRIBUTES2','ODBCGETFORWARDONLYCURSORATTRIBUTES1','ODBCGETFILEUSAGE','ODBCGETEXPRESSIONSINORDERBY','ODBCGETERRORINFO',
+ 'ODBCGETENVSQLSTATE','ODBCGETENVOUTPUTNTS','ODBCGETENVODBCVERSION','ODBCGETENVIRONMENTSQLSTATE','ODBCGETENVIRONMENTERRORINFO','ODBCGETENVERRORINFO','ODBCGETENVCPMATCH','ODBCGETENVCONNECTIONPOOLING',
+ 'ODBCGETENVATTR','ODBCGETDYNAMICCURSORATTRIBUTES2','ODBCGETDYNAMICCURSORATTRIBUTES1','ODBCGETDROPVIEW','ODBCGETDROPTRANSLATION','ODBCGETDROPTABLE','ODBCGETDROPSCHEMA','ODBCGETDROPDOMAIN',
+ 'ODBCGETDROPCOLLATION','ODBCGETDROPCHARACTERSET','ODBCGETDROPASSERTION','ODBCGETDRIVERVER','ODBCGETDRIVERODBCVER','ODBCGETDRIVERNAME','ODBCGETDRIVERMANAGERINSTALLPATH','ODBCGETDRIVERHLIB',
+ 'ODBCGETDRIVERHENV','ODBCGETDRIVERHDBC','ODBCGETDMVERMINOR','ODBCGETDMVERMAJOR','ODBCGETDMVER','ODBCGETDIAGREC','ODBCGETDIAGFIELD','ODBCGETDESCSQLSTATE',
+ 'ODBCGETDESCRIPTORSQLSTATE','ODBCGETDESCRIPTORERRORINFO','ODBCGETDESCRIBEPARAMETER','ODBCGETDESCREC','ODBCGETDESCFIELD','ODBCGETDESCERRORINFO','ODBCGETDEFAULTTXNISOLATION','ODBCGETDDLINDEX',
+ 'ODBCGETDBMSVER','ODBCGETDBMSNAME','ODBCGETDBCSQLSTATE','ODBCGETDBCERRORINFO','ODBCGETDATETIMELITERALS','ODBCGETDATASTRINGBYCOLNAME','ODBCGETDATASTRING','ODBCGETDATASOURCEREADONLY',
+ 'ODBCGETDATASOURCENAME','ODBCGETDATAEXTENSIONS','ODBCGETDATABASENAME','ODBCGETDATA','ODBCGETCURSORTYPE','ODBCGETCURSORSENSITIVITYSUPPORT','ODBCGETCURSORSENSITIVITY','ODBCGETCURSORSCROLLABILITY',
+ 'ODBCGETCURSORROLLBACKBEHAVIOR','ODBCGETCURSORNAME','ODBCGETCURSORLOCKTYPE','ODBCGETCURSORKEYSETSIZE','ODBCGETCURSORCONCURRENCY','ODBCGETCURSORCOMMITBEHAVIOR','ODBCGETCURRENTCATALOG','ODBCGETCREATEVIEW',
+ 'ODBCGETCREATETRANSLATION','ODBCGETCREATETABLE','ODBCGETCREATESCHEMA','ODBCGETCREATEDOMAIN','ODBCGETCREATECOLLATION','ODBCGETCREATECHARACTERSET','ODBCGETCREATEASSERTION','ODBCGETCORRELATIONNAME',
+ 'ODBCGETCONVERTVARCHAR','ODBCGETCONVERTVARBINARY','ODBCGETCONVERTTINYINT','ODBCGETCONVERTTIMESTAMP','ODBCGETCONVERTTIME','ODBCGETCONVERTSMALLINT','ODBCGETCONVERTREAL','ODBCGETCONVERTNUMERIC',
+ 'ODBCGETCONVERTLONGVARCHAR','ODBCGETCONVERTLONGVARBINARY','ODBCGETCONVERTINTERVALYEARMONTH','ODBCGETCONVERTINTERVALDAYTIME','ODBCGETCONVERTINTEGER','ODBCGETCONVERTFUNCTIONS','ODBCGETCONVERTFLOAT','ODBCGETCONVERTDOUBLE',
+ 'ODBCGETCONVERTDECIMAL','ODBCGETCONVERTDATE','ODBCGETCONVERTCHAR','ODBCGETCONVERTBIT','ODBCGETCONVERTBINARY','ODBCGETCONVERTBIGINT','ODBCGETCONNECTIONTIMEOUT','ODBCGETCONNECTIONSQLSTATE',
+ 'ODBCGETCONNECTIONERRORINFO','ODBCGETCONNECTIONDEAD','ODBCGETCONNECTATTR','ODBCGETCONFIGMODE','ODBCGETCONCATNULLBEHAVIOR','ODBCGETCOLUMNALIAS','ODBCGETCOLLATIONSEQ','ODBCGETCATALOGUSAGE',
+ 'ODBCGETCATALOGTERM','ODBCGETCATALOGNAMESEPARATOR','ODBCGETCATALOGNAME','ODBCGETCATALOGLOCATION','ODBCGETBOOKMARKPERSISTENCE','ODBCGETBATCHSUPPORT','ODBCGETBATCHROWCOUNT','ODBCGETAUTOIPD',
+ 'ODBCGETAUTOCOMMIT','ODBCGETASYNCMODE','ODBCGETASYNCENABLE','ODBCGETALTERTABLE','ODBCGETALTERDOMAIN','ODBCGETAGGREGATEFUNCTIONS','ODBCGETACTIVEENVIRONMENTS','ODBCGETACCESSMODE',
+ 'ODBCGETACCESSIBLETABLES','ODBCGETACCESSIBLEPROCEDURES','ODBCFREESTMT','ODBCFREEHANDLE','ODBCFREEENV','ODBCFREEDESC','ODBCFREEDBC','ODBCFREECONNECT',
+ 'ODBCFOREIGNKEYSCOUNT','ODBCFOREIGNKEYS','ODBCFETCHSCROLL','ODBCFETCHBYBOOKMARK','ODBCFETCH','ODBCEXTENDEDFETCH','ODBCEXECUTE','ODBCEXECDIRECT',
+ 'ODBCERROR','ODBCEOF','ODBCENDTRAN','ODBCDRIVERSCOUNT','ODBCDRIVERS','ODBCDRIVERCONNECT','ODBCDISCONNECT','ODBCDESCRIBEPARAM',
+ 'ODBCDESCRIBECOL','ODBCDELETERECORD','ODBCDELETEBYBOOKMARK','ODBCDATASOURCES','ODBCCREATEDATASOURCE','ODBCCOPYDESC','ODBCCONNECTIONISDEAD','ODBCCONNECTIONISALIVE',
+ 'ODBCCONNECT','ODBCCONFIGDRIVER','ODBCCONFIGDATASOURCE','ODBCCOMMITTRAN','ODBCCOMMITENVTRAN','ODBCCOMMITDBCTRAN','ODBCCOLUPDATABLE','ODBCCOLUNSIGNED',
+ 'ODBCCOLUNNAMED','ODBCCOLUMNSCOUNT','ODBCCOLUMNS','ODBCCOLUMNPRIVILEGESCOUNT','ODBCCOLUMNPRIVILEGES','ODBCCOLUMN','ODBCCOLTYPENAME','ODBCCOLTYPE',
+ 'ODBCCOLTABLENAME','ODBCCOLSEARCHABLE','ODBCCOLSCHEMANAME','ODBCCOLSCALE','ODBCCOLPRECISION','ODBCCOLOCTETLENGTH','ODBCCOLNUMPRECRADIX','ODBCCOLNULLABLE',
+ 'ODBCCOLNAME','ODBCCOLLOCALTYPENAME','ODBCCOLLITERALSUFFIX','ODBCCOLLITERALPREFIX','ODBCCOLLENGTH','ODBCCOLLABEL','ODBCCOLISNULL','ODBCCOLFIXEDPRECSCALE',
+ 'ODBCCOLDISPLAYSIZE','ODBCCOLCOUNT','ODBCCOLCONCISETYPE','ODBCCOLCATALOGNAME','ODBCCOLCASESENSITIVE','ODBCCOLBASETABLENAME','ODBCCOLBASECOLUMNNAME','ODBCCOLAUTOUNIQUEVALUE',
+ 'ODBCCOLATTRIBUTE','ODBCCLOSESTMTCURSOR','ODBCCLOSESTMT','ODBCCLOSECURSOR','ODBCCLOSECONNECTION','ODBCCLEARRESULT','ODBCCANCEL','ODBCBULKOPERATIONS',
+ 'ODBCBROWSECONNECT','ODBCBINDPARAMETER','ODBCBINDCOLTOWORD','ODBCBINDCOLTOTIMESTAMP','ODBCBINDCOLTOTIME','ODBCBINDCOLTOSTRING','ODBCBINDCOLTOSINGLE','ODBCBINDCOLTOQUAD',
+ 'ODBCBINDCOLTONUMERIC','ODBCBINDCOLTOLONG','ODBCBINDCOLTOINTEGER','ODBCBINDCOLTODWORD','ODBCBINDCOLTODOUBLE','ODBCBINDCOLTODECIMAL','ODBCBINDCOLTODATE','ODBCBINDCOLTOCURRENCY',
+ 'ODBCBINDCOLTOBYTE','ODBCBINDCOLTOBIT','ODBCBINDCOLTOBINARY','ODBCBINDCOL','ODBCALLOCSTMT','ODBCALLOCHANDLE','ODBCALLOCENV','ODBCALLOCDESC',
+ 'ODBCALLOCDBC','ODBCALLOCCONNECT','ODBCADDRECORD','GLVIEWPORT','GLVERTEXPOINTER','GLVERTEX4SV','GLVERTEX4S','GLVERTEX4IV',
+ 'GLVERTEX4I','GLVERTEX4FV','GLVERTEX4F','GLVERTEX4DV','GLVERTEX4D','GLVERTEX3SV','GLVERTEX3S','GLVERTEX3IV',
+ 'GLVERTEX3I','GLVERTEX3FV','GLVERTEX3F','GLVERTEX3DV','GLVERTEX3D','GLVERTEX2SV','GLVERTEX2S','GLVERTEX2IV',
+ 'GLVERTEX2I','GLVERTEX2FV','GLVERTEX2F','GLVERTEX2DV','GLVERTEX2D','GLUUNPROJECT','GLUTESSVERTEX','GLUTESSPROPERTY',
+ 'GLUTESSNORMAL','GLUTESSENDPOLYGON','GLUTESSENDCONTOUR','GLUTESSCALLBACK','GLUTESSBEGINPOLYGON','GLUTESSBEGINCONTOUR','GLUSPHERE','GLUSCALEIMAGE',
+ 'GLUQUADRICTEXTURE','GLUQUADRICORIENTATION','GLUQUADRICNORMALS','GLUQUADRICDRAWSTYLE','GLUQUADRICCALLBACK','GLUPWLCURVE','GLUPROJECT','GLUPICKMATRIX',
+ 'GLUPERSPECTIVE','GLUPARTIALDISK','GLUORTHO2D','GLUNURBSSURFACE','GLUNURBSPROPERTY','GLUNURBSCURVE','GLUNURBSCALLBACK','GLUNEXTCONTOUR',
+ 'GLUNEWTESS','GLUNEWQUADRIC','GLUNEWNURBSRENDERER','GLULOOKAT','GLULOADSAMPLINGMATRICES','GLUGETTESSPROPERTY','GLUGETSTRING','GLUGETNURBSPROPERTY',
+ 'GLUERRORSTRING','GLUENDTRIM','GLUENDSURFACE','GLUENDPOLYGON','GLUENDCURVE','GLUDISK','GLUDELETETESS','GLUDELETEQUADRIC',
+ 'GLUDELETENURBSRENDERER','GLUCYLINDER','GLUBUILD2DMIPMAPS','GLUBUILD1DMIPMAPS','GLUBEGINTRIM','GLUBEGINSURFACE','GLUBEGINPOLYGON','GLUBEGINCURVE',
+ 'GLTRANSLATEF','GLTRANSLATED','GLTEXSUBIMAGE2D','GLTEXSUBIMAGE1D','GLTEXPARAMETERIV','GLTEXPARAMETERI','GLTEXPARAMETERFV','GLTEXPARAMETERF',
+ 'GLTEXIMAGE2D','GLTEXIMAGE1D','GLTEXGENIV','GLTEXGENI','GLTEXGENFV','GLTEXGENF','GLTEXGENDV','GLTEXGEND',
+ 'GLTEXENVIV','GLTEXENVI','GLTEXENVFV','GLTEXENVF','GLTEXCOORDPOINTER','GLTEXCOORD4SV','GLTEXCOORD4S','GLTEXCOORD4IV',
+ 'GLTEXCOORD4I','GLTEXCOORD4FV','GLTEXCOORD4F','GLTEXCOORD4DV','GLTEXCOORD4D','GLTEXCOORD3SV','GLTEXCOORD3S','GLTEXCOORD3IV',
+ 'GLTEXCOORD3I','GLTEXCOORD3FV','GLTEXCOORD3F','GLTEXCOORD3DV','GLTEXCOORD3D','GLTEXCOORD2SV','GLTEXCOORD2S','GLTEXCOORD2IV',
+ 'GLTEXCOORD2I','GLTEXCOORD2FV','GLTEXCOORD2F','GLTEXCOORD2DV','GLTEXCOORD2D','GLTEXCOORD1SV','GLTEXCOORD1S','GLTEXCOORD1IV',
+ 'GLTEXCOORD1I','GLTEXCOORD1FV','GLTEXCOORD1F','GLTEXCOORD1DV','GLTEXCOORD1D','GLSTENCILOP','GLSTENCILMASK','GLSTENCILFUNC',
+ 'GLSHADEMODEL','GLSELECTBUFFER','GLSCISSOR','GLSCALEF','GLSCALED','GLROTATEF','GLROTATED','GLRENDERMODE',
+ 'GLRECTSV','GLRECTS','GLRECTIV','GLRECTI','GLRECTFV','GLRECTF','GLRECTDV','GLRECTD',
+ 'GLREADPIXELS','GLREADBUFFER','GLRASTERPOS4SV','GLRASTERPOS4S','GLRASTERPOS4IV','GLRASTERPOS4I','GLRASTERPOS4FV','GLRASTERPOS4F',
+ 'GLRASTERPOS4DV','GLRASTERPOS4D','GLRASTERPOS3SV','GLRASTERPOS3S','GLRASTERPOS3IV','GLRASTERPOS3I','GLRASTERPOS3FV','GLRASTERPOS3F',
+ 'GLRASTERPOS3DV','GLRASTERPOS3D','GLRASTERPOS2SV','GLRASTERPOS2S','GLRASTERPOS2IV','GLRASTERPOS2I','GLRASTERPOS2FV','GLRASTERPOS2F',
+ 'GLRASTERPOS2DV','GLRASTERPOS2D','GLPUSHNAME','GLPUSHMATRIX','GLPUSHCLIENTATTRIB','GLPUSHATTRIB','GLPRIORITIZETEXTURES','GLPOPNAME',
+ 'GLPOPMATRIX','GLPOPCLIENTATTRIB','GLPOPATTRIB','GLPOLYGONSTIPPLE','GLPOLYGONOFFSET','GLPOLYGONMODE','GLPOINTSIZE','GLPIXELZOOM',
+ 'GLPIXELTRANSFERI','GLPIXELTRANSFERF','GLPIXELSTOREI','GLPIXELSTOREF','GLPIXELMAPUSV','GLPIXELMAPUIV','GLPIXELMAPFV','GLPASSTHROUGH',
+ 'GLORTHO','GLNORMALPOINTER','GLNORMAL3SV','GLNORMAL3S','GLNORMAL3IV','GLNORMAL3I','GLNORMAL3FV','GLNORMAL3F',
+ 'GLNORMAL3DV','GLNORMAL3D','GLNORMAL3BV','GLNORMAL3B','GLNEWLIST','GLMULTMATRIXF','GLMULTMATRIXD','GLMATRIXMODE',
+ 'GLMATERIALIV','GLMATERIALI','GLMATERIALFV','GLMATERIALF','GLMAPGRID2F','GLMAPGRID2D','GLMAPGRID1F','GLMAPGRID1D',
+ 'GLMAP2F','GLMAP2D','GLMAP1F','GLMAP1D','GLLOGICOP','GLLOADNAME','GLLOADMATRIXF','GLLOADMATRIXD',
+ 'GLLOADIDENTITY','GLLISTBASE','GLLINEWIDTH','GLLINESTIPPLE','GLLIGHTMODELIV','GLLIGHTMODELI','GLLIGHTMODELFV','GLLIGHTMODELF',
+ 'GLLIGHTIV','GLLIGHTI','GLLIGHTFV','GLLIGHTF','GLISTEXTURE','GLISLIST','GLISENABLED','GLINTERLEAVEDARRAYS',
+ 'GLINITNAMES','GLINDEXUBV','GLINDEXUB','GLINDEXSV','GLINDEXS','GLINDEXPOINTER','GLINDEXMASK','GLINDEXIV',
+ 'GLINDEXI','GLINDEXFV','GLINDEXF','GLINDEXDV','GLINDEXD','GLHINT','GLGETTEXPARAMETERIV','GLGETTEXPARAMETERFV',
+ 'GLGETTEXLEVELPARAMETERIV','GLGETTEXLEVELPARAMETERFV','GLGETTEXIMAGE','GLGETTEXGENIV','GLGETTEXGENFV','GLGETTEXGENDV','GLGETTEXENVIV','GLGETTEXENVFV',
+ 'GLGETSTRING','GLGETPOLYGONSTIPPLE','GLGETPOINTERV','GLGETPIXELMAPUSV','GLGETPIXELMAPUIV','GLGETPIXELMAPFV','GLGETMATERIALIV','GLGETMATERIALFV',
+ 'GLGETMAPIV','GLGETMAPFV','GLGETMAPDV','GLGETLIGHTIV','GLGETLIGHTFV','GLGETINTEGERV','GLGETFLOATV','GLGETERROR',
+ 'GLGETDOUBLEV','GLGETCLIPPLANE','GLGETBOOLEANV','GLGENTEXTURES','GLGENLISTS','GLFRUSTUM','GLFRONTFACE','GLFOGIV',
+ 'GLFOGI','GLFOGFV','GLFOGF','GLFLUSH','GLFINISH','GLFEEDBACKBUFFER','GLEVALPOINT2','GLEVALPOINT1',
+ 'GLEVALMESH2','GLEVALMESH1','GLEVALCOORD2FV','GLEVALCOORD2F','GLEVALCOORD2DV','GLEVALCOORD2D','GLEVALCOORD1FV','GLEVALCOORD1F',
+ 'GLEVALCOORD1DV','GLEVALCOORD1D','GLENDLIST','GLEND','GLENABLECLIENTSTATE','GLENABLE','GLEDGEFLAGV','GLEDGEFLAGPOINTER',
+ 'GLEDGEFLAG','GLDRAWPIXELS','GLDRAWELEMENTS','GLDRAWBUFFER','GLDRAWARRAYS','GLDISABLECLIENTSTATE','GLDISABLE','GLDEPTHRANGE',
+ 'GLDEPTHMASK','GLDEPTHFUNC','GLDELETETEXTURES','GLDELETELISTS','GLCULLFACE','GLCOPYTEXSUBIMAGE2D','GLCOPYTEXSUBIMAGE1D','GLCOPYTEXIMAGE2D',
+ 'GLCOPYTEXIMAGE1D','GLCOPYPIXELS','GLCOLORPOINTER','GLCOLORMATERIAL','GLCOLORMASK','GLCOLOR4USV','GLCOLOR4US','GLCOLOR4UIV',
+ 'GLCOLOR4UI','GLCOLOR4UBV','GLCOLOR4UB','GLCOLOR4SV','GLCOLOR4S','GLCOLOR4IV','GLCOLOR4I','GLCOLOR4FV',
+ 'GLCOLOR4F','GLCOLOR4DV','GLCOLOR4D','GLCOLOR4BV','GLCOLOR4B','GLCOLOR3USV','GLCOLOR3US','GLCOLOR3UIV',
+ 'GLCOLOR3UI','GLCOLOR3UBV','GLCOLOR3UB','GLCOLOR3SV','GLCOLOR3S','GLCOLOR3IV','GLCOLOR3I','GLCOLOR3FV',
+ 'GLCOLOR3F','GLCOLOR3DV','GLCOLOR3D','GLCOLOR3BV','GLCOLOR3B','GLCLIPPLANE','GLCLEARSTENCIL','GLCLEARINDEX',
+ 'GLCLEARDEPTH','GLCLEARCOLOR','GLCLEARACCUM','GLCLEAR','GLCALLLISTS','GLCALLLIST','GLBLENDFUNC','GLBITMAP',
+ 'GLBINDTEXTURE','GLBEGIN','GLARRAYELEMENT','GLARETEXTURESRESIDENT','GLALPHAFUNC','GLACCUM'),
+ 2 => array(
+ '$BEL','$BS','$CR','$CRLF','$DQ','$DT_DATE_SEPARATOR','$DT_LANGUAGE','$DT_TIME_SEPARATOR',
+ '$ESC','$FF','$LF','$NUL','$PC_SD_MY_PC','$SPC','$SQL_OPT_TRACE_FILE_DEFAULT','$SQL_SPEC_STRING',
+ '$TAB','$TRACKBAR_CLASS','$VT','%ACM_OPEN','%ACM_OPENW','%ACM_PLAY','%ACM_STOP','%ACN_START',
+ '%ACN_STOP','%ACS_AUTOPLAY','%ACS_CENTER','%ACS_TIMER','%ACS_TRANSPARENT','%APP_COUNTER_FUNLOOKUP','%APP_COUNTER_KEYLOOKUP','%APP_COUNTER_LOOKUP',
+ '%APP_COUNTER_TESTALPHA','%APP_COUNTER_UDTLOOKUP','%APP_COUNTER_VARLOOKUP','%APP_TIMER_EXECTOTAL','%APP_TIMER_INIT','%APP_TIMER_LOAD','%APP_TIMER_PREPROCESSOR','%AW_ACTIVATE',
+ '%AW_BLEND','%AW_CENTER','%AW_HIDE','%AW_HOR_NEGATIVE','%AW_HOR_POSITIVE','%AW_SLIDE','%AW_VER_NEGATIVE','%AW_VER_POSITIVE',
+ '%BCM_FIRST','%BLACK','%BLUE','%BM_GETCHECK','%BM_SETCHECK','%BST_CHECKED','%BST_UNCHECKED','%BS_AUTOCHECKBOX',
+ '%BS_BOTTOM','%BS_CENTER','%BS_DEFAULT','%BS_DEFPUSHBUTTON','%BS_FLAT','%BS_LEFT','%BS_LEFTTEXT','%BS_MULTILINE',
+ '%BS_NOTIFY','%BS_OWNERDRAW','%BS_PUSHLIKE','%BS_RIGHT','%BS_TOP','%BS_VCENTER','%BUNDLE_BUILDER_CANCELLED','%CBM_FIRST',
+ '%CBN_CLOSEUP','%CBN_DBLCLK','%CBN_DROPDOWN','%CBN_EDITCHANGE','%CBN_EDITUPDATE','%CBN_ERRSPACE','%CBN_KILLFOCUS','%CBN_SELCANCEL',
+ '%CBN_SELCHANGE','%CBN_SELENDCANCEL','%CBN_SELENDOK','%CBN_SETFOCUS','%CBS_AUTOHSCROLL','%CBS_DISABLENOSCROLL','%CBS_DROPDOWN','%CBS_DROPDOWNLIST',
+ '%CBS_HASSTRINGS','%CBS_LOWERCASE','%CBS_NOINTEGRALHEIGHT','%CBS_SIMPLE','%CBS_SORT','%CBS_UPPERCASE','%CB_SELECTSTRING','%CCM_FIRST',
+ '%CC_ANYCOLOR','%CC_ENABLEHOOK','%CC_ENABLETEMPLATE','%CC_ENABLETEMPLATEHANDLE','%CC_FULLOPEN','%CC_PREVENTFULLOPEN','%CC_RGBINIT','%CC_SHOWHELP',
+ '%CC_SOLIDCOLOR','%CFE_BOLD','%CFE_ITALIC','%CFE_LINK','%CFE_PROTECTED','%CFE_STRIKEOUT','%CFE_UNDERLINE','%CFM_ANIMATION',
+ '%CFM_BACKCOLOR','%CFM_BOLD','%CFM_CHARSET','%CFM_COLOR','%CFM_FACE','%CFM_ITALIC','%CFM_KERNING','%CFM_LCID',
+ '%CFM_LINK','%CFM_OFFSET','%CFM_PROTECTED','%CFM_REVAUTHOR','%CFM_SIZE','%CFM_SPACING','%CFM_STRIKEOUT','%CFM_STYLE',
+ '%CFM_UNDERLINE','%CFM_UNDERLINETYPE','%CFM_WEIGHT','%CGI_ACCEPT_FILE_UPLOAD','%CGI_AUTO_ADD_SPECIAL_CHARS_PREFIX','%CGI_AUTO_CREATE_VARS','%CGI_BUFFERIZE_OUTPUT','%CGI_DOUBLE_QUOTE',
+ '%CGI_FILE_UPLOAD_BASEPATH','%CGI_FORCE_SESSION_VALIDATION','%CGI_MAX_BYTE_FROM_STD_IN','%CGI_REQUEST_METHOD_GET','%CGI_REQUEST_METHOD_POST','%CGI_SESSION_FILE_BASEPATH','%CGI_SINGLE_QUOTE','%CGI_SPECIAL_CHARS_PREFIX',
+ '%CGI_TEMPORARY_UPLOAD_PATH','%CGI_UPLOAD_CAN_OVERWRITE','%CGI_WRITE_LOG_FILE','%CGI_WRITE_VARS_INTO_LOG_FILE','%CONOLE_ATTACH_PARENT_PROCESS','%CONSOLE_BACKGROUND_BLUE','%CONSOLE_BACKGROUND_GREEN','%CONSOLE_BACKGROUND_INTENSITY',
+ '%CONSOLE_BACKGROUND_RED','%CONSOLE_BOX_FLAG_3DOFF','%CONSOLE_BOX_FLAG_3DON','%CONSOLE_BOX_FLAG_SHADOW','%CONSOLE_COMMON_LVB_GRID_HORIZONTAL','%CONSOLE_COMMON_LVB_GRID_LVERTICAL','%CONSOLE_COMMON_LVB_GRID_RVERTICAL','%CONSOLE_COMMON_LVB_LEADING_BYTE',
+ '%CONSOLE_COMMON_LVB_REVERSE_VIDEO','%CONSOLE_COMMON_LVB_TRAILING_BYTE','%CONSOLE_COMMON_LVB_UNDERSCORE','%CONSOLE_CTRL_BREAK_EVENT','%CONSOLE_CTRL_C_EVENT','%CONSOLE_DOUBLE_CLICK','%CONSOLE_ENABLE_AUTO_POSITION','%CONSOLE_ENABLE_ECHO_INPUT',
+ '%CONSOLE_ENABLE_EXTENDED_FLAGS','%CONSOLE_ENABLE_INSERT_MODE','%CONSOLE_ENABLE_LINE_INPUT','%CONSOLE_ENABLE_MOUSE_INPUT','%CONSOLE_ENABLE_PROCESSED_INPUT','%CONSOLE_ENABLE_PROCESSED_OUTPUT','%CONSOLE_ENABLE_QUICK_EDIT_MODE','%CONSOLE_ENABLE_WINDOW_INPUT',
+ '%CONSOLE_ENABLE_WRAP_AT_EOL_OUTPUT','%CONSOLE_FOREGROUND_BLUE','%CONSOLE_FOREGROUND_GREEN','%CONSOLE_FOREGROUND_INTENSITY','%CONSOLE_FOREGROUND_RED','%CONSOLE_LBUTTON','%CONSOLE_LINE_HORIZONTAL','%CONSOLE_LINE_VERTICAL',
+ '%CONSOLE_MBUTTON','%CONSOLE_MOUSE_MOVED','%CONSOLE_MOUSE_WHEELED','%CONSOLE_RBUTTON','%CONSOLE_SCROLLBUF_DOWN','%CONSOLE_SCROLLBUF_UP','%CONSOLE_SCROLLWND_ABSOLUTE','%CONSOLE_SCROLLWND_RELATIVE',
+ '%CONSOLE_STD_ERROR_HANDLE','%CONSOLE_STD_INPUT_HANDLE','%CONSOLE_STD_OUTPUT_HANDLE','%CONSOLE_SW_FORCEMINIMIZE','%CONSOLE_SW_HIDE','%CONSOLE_SW_MAXIMIZE','%CONSOLE_SW_MINIMIZE','%CONSOLE_SW_RESTORE',
+ '%CONSOLE_SW_SHOW','%CONSOLE_SW_SHOWDEFAULT','%CONSOLE_SW_SHOWMAXIMIZED','%CONSOLE_SW_SHOWMINIMIZED','%CONSOLE_SW_SHOWMINNOACTIVE','%CONSOLE_SW_SHOWNA','%CONSOLE_SW_SHOWNOACTIVATE','%CONSOLE_SW_SHOWNORMAL',
+ '%CONSOLE_UNAVAILABLE','%CRYPTO_CALG_DES','%CRYPTO_CALG_RC2','%CRYPTO_CALG_RC4','%CRYPTO_PROV_DH_SCHANNEL','%CRYPTO_PROV_DSS','%CRYPTO_PROV_DSS_DH','%CRYPTO_PROV_FORTEZZA',
+ '%CRYPTO_PROV_MS_EXCHANGE','%CRYPTO_PROV_RSA_FULL','%CRYPTO_PROV_RSA_SCHANNEL','%CRYPTO_PROV_RSA_SIG','%CRYPTO_PROV_SSL','%CSIDL_ADMINTOOLS','%CSIDL_ALTSTARTUP','%CSIDL_APPDATA',
+ '%CSIDL_BITBUCKET','%CSIDL_CDBURN_AREA','%CSIDL_COMMON_ADMINTOOLS','%CSIDL_COMMON_ALTSTARTUP','%CSIDL_COMMON_APPDATA','%CSIDL_COMMON_DESKTOPDIRECTORY','%CSIDL_COMMON_DOCUMENTS','%CSIDL_COMMON_FAVORITES',
+ '%CSIDL_COMMON_MUSIC','%CSIDL_COMMON_PICTURES','%CSIDL_COMMON_PROGRAMS','%CSIDL_COMMON_STARTMENU','%CSIDL_COMMON_STARTUP','%CSIDL_COMMON_TEMPLATES','%CSIDL_COMMON_VIDEO','%CSIDL_CONTROLS',
+ '%CSIDL_COOKIES','%CSIDL_DESKTOP','%CSIDL_DESKTOPDIRECTORY','%CSIDL_DRIVES','%CSIDL_FAVORITES','%CSIDL_FLAG_CREATE','%CSIDL_FONTS','%CSIDL_HISTORY',
+ '%CSIDL_INTERNET','%CSIDL_INTERNET_CACHE','%CSIDL_LOCAL_APPDATA','%CSIDL_MYDOCUMENTS','%CSIDL_MYMUSIC','%CSIDL_MYPICTURES','%CSIDL_MYVIDEO','%CSIDL_NETHOOD',
+ '%CSIDL_NETWORK','%CSIDL_PERSONAL','%CSIDL_PRINTERS','%CSIDL_PRINTHOOD','%CSIDL_PROFILE','%CSIDL_PROGRAMS','%CSIDL_PROGRAM_FILES','%CSIDL_PROGRAM_FILES_COMMON',
+ '%CSIDL_RECENT','%CSIDL_SENDTO','%CSIDL_STARTMENU','%CSIDL_STARTUP','%CSIDL_SYSTEM','%CSIDL_TEMPLATES','%CSIDL_WINDOWS','%CW_USEDEFAULT',
+ '%CYAN','%DATE_TIME_FILE_CREATION','%DATE_TIME_LAST_FILE_ACCESS','%DATE_TIME_LAST_FILE_WRITE','%DICTIONARY_MEMINFO_DATA','%DICTIONARY_MEMINFO_KEYS','%DICTIONARY_MEMINFO_TOTAL','%DICTIONARY_SORTDESCENDING',
+ '%DICTIONARY_SORTKEYS','%DSCAPS_CERTIFIED','%DSCAPS_CONTINUOUSRATE','%DSCAPS_EMULDRIVER','%DSCAPS_SECONDARY16BIT','%DSCAPS_SECONDARY8BIT','%DSCAPS_SECONDARYMONO','%DSCAPS_SECONDARYSTEREO',
+ '%DSCCAPS_CERTIFIED','%DSCCAPS_EMULDRIVER','%DS_3DLOOK','%DS_ABSALIGN','%DS_CENTER','%DS_CENTERMOUSE','%DS_CONTEXTHELP','%DS_CONTROL',
+ '%DS_MODALFRAME','%DS_NOFAILCREATE','%DS_SETFONT','%DS_SETFOREGROUND','%DS_SYSMODAL','%DTM_FIRST','%DTM_GETMCCOLOR','%DTM_GETMCFONT',
+ '%DTM_GETMONTHCAL','%DTM_GETRANGE','%DTM_GETSYSTEMTIME','%DTM_SETFORMAT','%DTM_SETFORMATW','%DTM_SETMCCOLOR','%DTM_SETMCFONT','%DTM_SETRANGE',
+ '%DTM_SETSYSTEMTIME','%DTN_CLOSEUP','%DTN_DATETIMECHANGE','%DTN_DROPDOWN','%DTN_FORMAT','%DTN_FORMATQUERY','%DTN_FORMATQUERYW','%DTN_FORMATW',
+ '%DTN_USERSTRING','%DTN_USERSTRINGW','%DTN_WMKEYDOWN','%DTN_WMKEYDOWNW','%DTS_APPCANPARSE','%DTS_LONGDATEFORMAT','%DTS_RIGHTALIGN','%DTS_SHORTDATECENTURYFORMAT',
+ '%DTS_SHORTDATEFORMAT','%DTS_SHOWNONE','%DTS_TIMEFORMAT','%DTS_UPDOWN','%DT_DATE_CENTURY','%DT_DATE_OK','%DT_DAY_IN_YEAR','%DT_DIFF_IN_DAYS',
+ '%DT_DIFF_IN_HOURS','%DT_DIFF_IN_MINUTES','%DT_DIFF_IN_SECONDS','%DT_HOURS_IN_DAY','%DT_MINUTES_IN_HOUR','%DT_SECONDS_IN_DAY','%DT_SECONDS_IN_HOUR','%DT_SECONDS_IN_MINUTE',
+ '%DT_SECONDS_IN_YEAR','%DT_USE_LONG_FORM','%DT_USE_SHORT_FORM','%DT_WRONG_DATE','%DT_WRONG_DAY','%DT_WRONG_MONTH','%ECM_FIRST','%ECOOP_AND',
+ '%ECOOP_OR','%ECOOP_SET','%ECOOP_XOR','%ECO_AUTOHSCROLL','%ECO_AUTOVSCROLL','%ECO_AUTOWORDSELECTION','%ECO_NOHIDESEL','%ECO_READONLY',
+ '%ECO_SELECTIONBAR','%ECO_WANTRETURN','%EM_AUTOURLDETECT','%EM_CANPASTE','%EM_CANREDO','%EM_CANUNDO','%EM_CHARFROMPOS','%EM_DISPLAYBAND',
+ '%EM_EMPTYUNDOBUFFER','%EM_EXGETSEL','%EM_EXLIMITTEXT','%EM_EXLINEFROMCHAR','%EM_EXSETSEL','%EM_FINDTEXT','%EM_FINDTEXTEX','%EM_FINDWORDBREAK',
+ '%EM_FMTLINES','%EM_FORMATRANGE','%EM_GETAUTOURLDETECT','%EM_GETCHARFORMAT','%EM_GETEDITSTYLE','%EM_GETEVENTMASK','%EM_GETFIRSTVISIBLELINE','%EM_GETHANDLE',
+ '%EM_GETIMESTATUS','%EM_GETLIMITTEXT','%EM_GETLINE','%EM_GETLINECOUNT','%EM_GETMARGINS','%EM_GETMODIFY','%EM_GETOLEINTERFACE','%EM_GETOPTIONS',
+ '%EM_GETPARAFORMAT','%EM_GETPASSWORDCHAR','%EM_GETRECT','%EM_GETREDONAME','%EM_GETSCROLLPOS','%EM_GETSEL','%EM_GETSELTEXT','%EM_GETTEXTMODE',
+ '%EM_GETTEXTRANGE','%EM_GETTHUMB','%EM_GETUNDONAME','%EM_GETWORDBREAKPROC','%EM_GETWORDBREAKPROCEX','%EM_HIDESELECTION','%EM_LIMITTEXT','%EM_LINEFROMCHAR',
+ '%EM_LINEINDEX','%EM_LINELENGTH','%EM_LINESCROLL','%EM_PASTESPECIAL','%EM_POSFROMCHAR','%EM_REDO','%EM_REPLACESEL','%EM_REQUESTRESIZE',
+ '%EM_SCROLL','%EM_SCROLLCARET','%EM_SELECTIONTYPE','%EM_SETBKGNDCOLOR','%EM_SETCHARFORMAT','%EM_SETEDITSTYLE','%EM_SETEVENTMASK','%EM_SETHANDLE',
+ '%EM_SETIMESTATUS','%EM_SETLIMITTEXT','%EM_SETMARGINS','%EM_SETMODIFY','%EM_SETOLECALLBACK','%EM_SETOPTIONS','%EM_SETPARAFORMAT','%EM_SETPASSWORDCHAR',
+ '%EM_SETREADONLY','%EM_SETRECT','%EM_SETRECTNP','%EM_SETSCROLLPOS','%EM_SETSEL','%EM_SETTABSTOPS','%EM_SETTARGETDEVICE','%EM_SETTEXTMODE',
+ '%EM_SETUNDOLIMIT','%EM_SETWORDBREAKPROC','%EM_SETWORDBREAKPROCEX','%EM_SETWORDWRAPMODE','%EM_SETZOOM','%EM_STOPGROUPTYPING','%EM_STREAMIN','%EM_STREAMOUT',
+ '%EM_UNDO','%ENM_CHANGE','%ENM_CORRECTTEXT','%ENM_DRAGDROPDONE','%ENM_DROPFILES','%ENM_KEYEVENTS','%ENM_MOUSEEVENTS','%ENM_NONE',
+ '%ENM_PARAGRAPHEXPANDED','%ENM_PROTECTED','%ENM_REQUESTRESIZE','%ENM_SCROLL','%ENM_SCROLLEVENTS','%ENM_SELCHANGE','%ENM_UPDATE','%EN_CHANGE',
+ '%EN_MSGFILTER','%EN_SELCHANGE','%EN_UPDATE','%ES_AUTOHSCROLL','%ES_AUTOVSCROLL','%ES_CENTER','%ES_DISABLENOSCROLL','%ES_EX_NOCALLOLEINIT',
+ '%ES_LEFT','%ES_LOWERCASE','%ES_MULTILINE','%ES_NOHIDESEL','%ES_NOOLEDRAGDROP','%ES_NUMBER','%ES_OEMCONVERT','%ES_PASSWORD',
+ '%ES_READONLY','%ES_RIGHT','%ES_SAVESEL','%ES_SELECTIONBAR','%ES_SUNKEN','%ES_UPPERCASE','%ES_WANTRETURN','%EVAL_EXEC_STRING',
+ '%FALSE','%FILE_ADDPATH','%FILE_ARCHIVE','%FILE_BUILDVERSION','%FILE_HIDDEN','%FILE_MAJORVERSION','%FILE_MINORVERSION','%FILE_NORMAL',
+ '%FILE_READONLY','%FILE_REVISIONVERSION','%FILE_SUBDIR','%FILE_SYSTEM','%FILE_VLABEL','%FTP_GET_CONNECT_STATUS','%FTP_GET_FILE_BYTES_RCVD','%FTP_GET_FILE_BYTES_SENT',
+ '%FTP_GET_LAST_RESPONSE','%FTP_GET_LOCAL_IP','%FTP_GET_SERVER_IP','%FTP_GET_TOTAL_BYTES_RCVD','%FTP_GET_TOTAL_BYTES_SENT','%FTP_LIST_FULLLIST','%FTP_LIST_FULLLISTDIR','%FTP_LIST_FULLLISTFILE',
+ '%FTP_SET_ASYNC','%FTP_SET_CONNECT_WAIT','%FTP_SET_MAX_LISTEN_WAIT','%FTP_SET_MAX_RESPONSE_WAIT','%FTP_SET_PASSIVE','%FTP_SET_SYNC','%FW_BLACK','%FW_BOLD',
+ '%FW_DEMIBOLD','%FW_DONTCARE','%FW_EXTRABOLD','%FW_EXTRALIGHT','%FW_HEAVY','%FW_LIGHT','%FW_MEDIUM','%FW_NORMAL',
+ '%FW_REGULAR','%FW_SEMIBOLD','%FW_THIN','%FW_ULTRABOLD','%FW_ULTRALIGHT','%GDTR_MAX','%GDTR_MIN','%GLU_AUTO_LOAD_MATRIX',
+ '%GLU_BEGIN','%GLU_CCW','%GLU_CULLING','%GLU_CW','%GLU_DISPLAY_MODE','%GLU_DOMAIN_DISTANCE','%GLU_EDGE_FLAG','%GLU_END',
+ '%GLU_ERROR','%GLU_EXTENSIONS','%GLU_EXTERIOR','%GLU_FALSE','%GLU_FILL','%GLU_FLAT','%GLU_INCOMPATIBLE_GL_VERSION','%GLU_INSIDE',
+ '%GLU_INTERIOR','%GLU_INVALID_ENUM','%GLU_INVALID_VALUE','%GLU_LINE','%GLU_MAP1_TRIM_2','%GLU_MAP1_TRIM_3','%GLU_NONE','%GLU_NURBS_ERROR1',
+ '%GLU_NURBS_ERROR10','%GLU_NURBS_ERROR11','%GLU_NURBS_ERROR12','%GLU_NURBS_ERROR13','%GLU_NURBS_ERROR14','%GLU_NURBS_ERROR15','%GLU_NURBS_ERROR16','%GLU_NURBS_ERROR17',
+ '%GLU_NURBS_ERROR18','%GLU_NURBS_ERROR19','%GLU_NURBS_ERROR2','%GLU_NURBS_ERROR20','%GLU_NURBS_ERROR21','%GLU_NURBS_ERROR22','%GLU_NURBS_ERROR23','%GLU_NURBS_ERROR24',
+ '%GLU_NURBS_ERROR25','%GLU_NURBS_ERROR26','%GLU_NURBS_ERROR27','%GLU_NURBS_ERROR28','%GLU_NURBS_ERROR29','%GLU_NURBS_ERROR3','%GLU_NURBS_ERROR30','%GLU_NURBS_ERROR31',
+ '%GLU_NURBS_ERROR32','%GLU_NURBS_ERROR33','%GLU_NURBS_ERROR34','%GLU_NURBS_ERROR35','%GLU_NURBS_ERROR36','%GLU_NURBS_ERROR37','%GLU_NURBS_ERROR4','%GLU_NURBS_ERROR5',
+ '%GLU_NURBS_ERROR6','%GLU_NURBS_ERROR7','%GLU_NURBS_ERROR8','%GLU_NURBS_ERROR9','%GLU_OUTLINE_PATCH','%GLU_OUTLINE_POLYGON','%GLU_OUTSIDE','%GLU_OUT_OF_MEMORY',
+ '%GLU_PARAMETRIC_ERROR','%GLU_PARAMETRIC_TOLERANCE','%GLU_PATH_LENGTH','%GLU_POINT','%GLU_SAMPLING_METHOD','%GLU_SAMPLING_TOLERANCE','%GLU_SILHOUETTE','%GLU_SMOOTH',
+ '%GLU_TESS_BEGIN','%GLU_TESS_BEGIN_DATA','%GLU_TESS_BOUNDARY_ONLY','%GLU_TESS_COMBINE','%GLU_TESS_COMBINE_DATA','%GLU_TESS_COORD_TOO_LARGE','%GLU_TESS_EDGE_FLAG','%GLU_TESS_EDGE_FLAG_DATA',
+ '%GLU_TESS_END','%GLU_TESS_END_DATA','%GLU_TESS_ERROR','%GLU_TESS_ERROR1','%GLU_TESS_ERROR2','%GLU_TESS_ERROR3','%GLU_TESS_ERROR4','%GLU_TESS_ERROR5',
+ '%GLU_TESS_ERROR6','%GLU_TESS_ERROR7','%GLU_TESS_ERROR8','%GLU_TESS_ERROR_DATA','%GLU_TESS_MISSING_BEGIN_CONTOUR','%GLU_TESS_MISSING_BEGIN_POLYGON','%GLU_TESS_MISSING_END_CONTOUR','%GLU_TESS_MISSING_END_POLYGON',
+ '%GLU_TESS_NEED_COMBINE_CALLBACK','%GLU_TESS_TOLERANCE','%GLU_TESS_VERTEX','%GLU_TESS_VERTEX_DATA','%GLU_TESS_WINDING_ABS_GEQ_TWO','%GLU_TESS_WINDING_NEGATIVE','%GLU_TESS_WINDING_NONZERO','%GLU_TESS_WINDING_ODD',
+ '%GLU_TESS_WINDING_POSITIVE','%GLU_TESS_WINDING_RULE','%GLU_TRUE','%GLU_UNKNOWN','%GLU_U_STEP','%GLU_VERSION','%GLU_VERSION_1_1','%GLU_VERSION_1_2',
+ '%GLU_VERTEX','%GLU_V_STEP','%GL_2D','%GL_2_BYTES','%GL_3D','%GL_3D_COLOR','%GL_3D_COLOR_TEXTURE','%GL_3_BYTES',
+ '%GL_4D_COLOR_TEXTURE','%GL_4_BYTES','%GL_ABGR_EXT','%GL_ACCUM','%GL_ACCUM_ALPHA_BITS','%GL_ACCUM_BLUE_BITS','%GL_ACCUM_BUFFER_BIT','%GL_ACCUM_CLEAR_VALUE',
+ '%GL_ACCUM_GREEN_BITS','%GL_ACCUM_RED_BITS','%GL_ADD','%GL_ALL_ATTRIB_BITS','%GL_ALPHA','%GL_ALPHA12','%GL_ALPHA16','%GL_ALPHA4',
+ '%GL_ALPHA8','%GL_ALPHA_BIAS','%GL_ALPHA_BITS','%GL_ALPHA_SCALE','%GL_ALPHA_TEST','%GL_ALPHA_TEST_FUNC','%GL_ALPHA_TEST_REF','%GL_ALWAYS',
+ '%GL_AMBIENT','%GL_AMBIENT_AND_DIFFUSE','%GL_AND','%GL_AND_INVERTED','%GL_AND_REVERSE','%GL_ARRAY_ELEMENT_LOCK_COUNT_EXT','%GL_ARRAY_ELEMENT_LOCK_FIRST_EXT','%GL_ATTRIB_STACK_DEPTH',
+ '%GL_AUTO_NORMAL','%GL_AUX0','%GL_AUX1','%GL_AUX2','%GL_AUX3','%GL_AUX_BUFFERS','%GL_BACK','%GL_BACK_LEFT',
+ '%GL_BACK_RIGHT','%GL_BGRA_EXT','%GL_BGR_EXT','%GL_BITMAP','%GL_BITMAP_TOKEN','%GL_BLEND','%GL_BLEND_COLOR_EXT','%GL_BLEND_DST',
+ '%GL_BLEND_EQUATION_EXT','%GL_BLEND_SRC','%GL_BLUE','%GL_BLUE_BIAS','%GL_BLUE_BITS','%GL_BLUE_SCALE','%GL_BYTE','%GL_C3F_V3F',
+ '%GL_C4F_N3F_V3F','%GL_C4UB_V2F','%GL_C4UB_V3F','%GL_CCW','%GL_CLAMP','%GL_CLEAR','%GL_CLIENT_ALL_ATTRIB_BITS','%GL_CLIENT_ATTRIB_STACK_DEPTH',
+ '%GL_CLIENT_PIXEL_STORE_BIT','%GL_CLIENT_VERTEX_ARRAY_BIT','%GL_CLIP_PLANE0','%GL_CLIP_PLANE1','%GL_CLIP_PLANE2','%GL_CLIP_PLANE3','%GL_CLIP_PLANE4','%GL_CLIP_PLANE5',
+ '%GL_CLIP_VOLUME_CLIPPING_HINT_EXT','%GL_COEFF','%GL_COLOR','%GL_COLOR_ARRAY','%GL_COLOR_ARRAY_COUNT_EXT','%GL_COLOR_ARRAY_EXT','%GL_COLOR_ARRAY_POINTER','%GL_COLOR_ARRAY_POINTER_EXT',
+ '%GL_COLOR_ARRAY_SIZE','%GL_COLOR_ARRAY_SIZE_EXT','%GL_COLOR_ARRAY_STRIDE','%GL_COLOR_ARRAY_STRIDE_EXT','%GL_COLOR_ARRAY_TYPE','%GL_COLOR_ARRAY_TYPE_EXT','%GL_COLOR_BUFFER_BIT','%GL_COLOR_CLEAR_VALUE',
+ '%GL_COLOR_INDEX','%GL_COLOR_INDEX12_EXT','%GL_COLOR_INDEX16_EXT','%GL_COLOR_INDEX1_EXT','%GL_COLOR_INDEX2_EXT','%GL_COLOR_INDEX4_EXT','%GL_COLOR_INDEX8_EXT','%GL_COLOR_INDEXES',
+ '%GL_COLOR_LOGIC_OP','%GL_COLOR_MATERIAL','%GL_COLOR_MATERIAL_FACE','%GL_COLOR_MATERIAL_PARAMETER','%GL_COLOR_SUM_EXT','%GL_COLOR_TABLE_ALPHA_SIZE_EXT','%GL_COLOR_TABLE_BIAS_EXT','%GL_COLOR_TABLE_BLUE_SIZE_EXT',
+ '%GL_COLOR_TABLE_EXT','%GL_COLOR_TABLE_FORMAT_EXT','%GL_COLOR_TABLE_GREEN_SIZE_EXT','%GL_COLOR_TABLE_INTENSITY_SIZE_EXT','%GL_COLOR_TABLE_LUMINANCE_SIZE_EXT','%GL_COLOR_TABLE_RED_SIZE_EXT','%GL_COLOR_TABLE_SCALE_EXT','%GL_COLOR_TABLE_WIDTH_EXT',
+ '%GL_COLOR_WRITEMASK','%GL_COMPILE','%GL_COMPILE_AND_EXECUTE','%GL_CONSTANT_ALPHA_EXT','%GL_CONSTANT_ATTENUATION','%GL_CONSTANT_COLOR_EXT','%GL_CONVOLUTION_1D_EXT','%GL_CONVOLUTION_2D_EXT',
+ '%GL_CONVOLUTION_BORDER_MODE_EXT','%GL_CONVOLUTION_FILTER_BIAS_EXT','%GL_CONVOLUTION_FILTER_SCALE_EXT','%GL_CONVOLUTION_FORMAT_EXT','%GL_CONVOLUTION_HEIGHT_EXT','%GL_CONVOLUTION_WIDTH_EXT','%GL_COPY','%GL_COPY_INVERTED',
+ '%GL_COPY_PIXEL_TOKEN','%GL_CULL_FACE','%GL_CULL_FACE_MODE','%GL_CULL_VERTEX_EXT','%GL_CULL_VERTEX_EYE_POSITION_EXT','%GL_CULL_VERTEX_OBJECT_POSITION_EXT','%GL_CURRENT_BIT','%GL_CURRENT_COLOR',
+ '%GL_CURRENT_INDEX','%GL_CURRENT_NORMAL','%GL_CURRENT_RASTER_COLOR','%GL_CURRENT_RASTER_DISTANCE','%GL_CURRENT_RASTER_INDEX','%GL_CURRENT_RASTER_POSITION','%GL_CURRENT_RASTER_POSITION_VALID','%GL_CURRENT_RASTER_TEXTURE_COORDS',
+ '%GL_CURRENT_SECONDARY_COLOR_EXT','%GL_CURRENT_TEXTURE_COORDS','%GL_CW','%GL_DECAL','%GL_DECR','%GL_DEPTH','%GL_DEPTH_BIAS','%GL_DEPTH_BITS',
+ '%GL_DEPTH_BUFFER_BIT','%GL_DEPTH_CLEAR_VALUE','%GL_DEPTH_COMPONENT','%GL_DEPTH_FUNC','%GL_DEPTH_RANGE','%GL_DEPTH_SCALE','%GL_DEPTH_TEST','%GL_DEPTH_WRITEMASK',
+ '%GL_DIFFUSE','%GL_DITHER','%GL_DOMAIN','%GL_DONT_CARE','%GL_DOUBLE','%GL_DOUBLEBUFFER','%GL_DOUBLE_EXT','%GL_DRAW_BUFFER',
+ '%GL_DRAW_PIXEL_TOKEN','%GL_DST_ALPHA','%GL_DST_COLOR','%GL_EDGE_FLAG','%GL_EDGE_FLAG_ARRAY','%GL_EDGE_FLAG_ARRAY_COUNT_EXT','%GL_EDGE_FLAG_ARRAY_EXT','%GL_EDGE_FLAG_ARRAY_POINTER',
+ '%GL_EDGE_FLAG_ARRAY_POINTER_EXT','%GL_EDGE_FLAG_ARRAY_STRIDE','%GL_EDGE_FLAG_ARRAY_STRIDE_EXT','%GL_EMISSION','%GL_ENABLE_BIT','%GL_EQUAL','%GL_EQUIV','%GL_EVAL_BIT',
+ '%GL_EXP','%GL_EXP2','%GL_EXTENSIONS','%GL_EXT_ABGR','%GL_EXT_BGRA','%GL_EXT_BLEND_COLOR','%GL_EXT_BLEND_MINMAX','%GL_EXT_BLEND_SUBTRACT',
+ '%GL_EXT_CLIP_VOLUME_HINT','%GL_EXT_COLOR_TABLE','%GL_EXT_COMPILED_VERTEX_ARRAY','%GL_EXT_CONVOLUTION','%GL_EXT_CULL_VERTEX','%GL_EXT_HISTOGRAM','%GL_EXT_PACKED_PIXELS','%GL_EXT_PALETTED_TEXTURE',
+ '%GL_EXT_POLYGON_OFFSET','%GL_EXT_SECONDARY_COLOR','%GL_EXT_SEPARATE_SPECULAR_COLOR','%GL_EXT_VERTEX_ARRAY','%GL_EYE_LINEAR','%GL_EYE_PLANE','%GL_FALSE','%GL_FASTEST',
+ '%GL_FEEDBACK','%GL_FEEDBACK_BUFFER_POINTER','%GL_FEEDBACK_BUFFER_SIZE','%GL_FEEDBACK_BUFFER_TYPE','%GL_FILL','%GL_FLAT','%GL_FLOAT','%GL_FOG',
+ '%GL_FOG_BIT','%GL_FOG_COLOR','%GL_FOG_DENSITY','%GL_FOG_END','%GL_FOG_HINT','%GL_FOG_INDEX','%GL_FOG_MODE','%GL_FOG_START',
+ '%GL_FRONT','%GL_FRONT_AND_BACK','%GL_FRONT_FACE','%GL_FRONT_LEFT','%GL_FRONT_RIGHT','%GL_FUNC_ADD_EXT','%GL_FUNC_REVERSE_SUBTRACT_EXT','%GL_FUNC_SUBTRACT_EXT',
+ '%GL_GEQUAL','%GL_GREATER','%GL_GREEN','%GL_GREEN_BIAS','%GL_GREEN_BITS','%GL_GREEN_SCALE','%GL_HINT_BIT','%GL_HISTOGRAM_ALPHA_SIZE_EXT',
+ '%GL_HISTOGRAM_BLUE_SIZE_EXT','%GL_HISTOGRAM_EXT','%GL_HISTOGRAM_FORMAT_EXT','%GL_HISTOGRAM_GREEN_SIZE_EXT','%GL_HISTOGRAM_LUMINANCE_SIZE_EXT','%GL_HISTOGRAM_RED_SIZE_EXT','%GL_HISTOGRAM_SINK_EXT','%GL_HISTOGRAM_WIDTH_EXT',
+ '%GL_INCR','%GL_INDEX_ARRAY','%GL_INDEX_ARRAY_COUNT_EXT','%GL_INDEX_ARRAY_EXT','%GL_INDEX_ARRAY_POINTER','%GL_INDEX_ARRAY_POINTER_EXT','%GL_INDEX_ARRAY_STRIDE','%GL_INDEX_ARRAY_STRIDE_EXT',
+ '%GL_INDEX_ARRAY_TYPE','%GL_INDEX_ARRAY_TYPE_EXT','%GL_INDEX_BITS','%GL_INDEX_CLEAR_VALUE','%GL_INDEX_LOGIC_OP','%GL_INDEX_MODE','%GL_INDEX_OFFSET','%GL_INDEX_SHIFT',
+ '%GL_INDEX_WRITEMASK','%GL_INT','%GL_INTENSITY','%GL_INTENSITY12','%GL_INTENSITY16','%GL_INTENSITY4','%GL_INTENSITY8','%GL_INVALID_ENUM',
+ '%GL_INVALID_OPERATION','%GL_INVALID_VALUE','%GL_INVERT','%GL_KEEP','%GL_LEFT','%GL_LEQUAL','%GL_LESS','%GL_LIGHT0',
+ '%GL_LIGHT1','%GL_LIGHT2','%GL_LIGHT3','%GL_LIGHT4','%GL_LIGHT5','%GL_LIGHT6','%GL_LIGHT7','%GL_LIGHTING',
+ '%GL_LIGHTING_BIT','%GL_LIGHT_MODEL_AMBIENT','%GL_LIGHT_MODEL_COLOR_CONTROL_EXT','%GL_LIGHT_MODEL_LOCAL_VIEWER','%GL_LIGHT_MODEL_TWO_SIDE','%GL_LINE','%GL_LINEAR','%GL_LINEAR_ATTENUATION',
+ '%GL_LINEAR_MIPMAP_LINEAR','%GL_LINEAR_MIPMAP_NEAREST','%GL_LINES','%GL_LINE_BIT','%GL_LINE_LOOP','%GL_LINE_RESET_TOKEN','%GL_LINE_SMOOTH','%GL_LINE_SMOOTH_HINT',
+ '%GL_LINE_STIPPLE','%GL_LINE_STIPPLE_PATTERN','%GL_LINE_STIPPLE_REPEAT','%GL_LINE_STRIP','%GL_LINE_TOKEN','%GL_LINE_WIDTH','%GL_LINE_WIDTH_GRANULARITY','%GL_LINE_WIDTH_RANGE',
+ '%GL_LIST_BASE','%GL_LIST_BIT','%GL_LIST_INDEX','%GL_LIST_MODE','%GL_LOAD','%GL_LOGIC_OP','%GL_LOGIC_OP_MODE','%GL_LUMINANCE',
+ '%GL_LUMINANCE12','%GL_LUMINANCE12_ALPHA12','%GL_LUMINANCE12_ALPHA4','%GL_LUMINANCE16','%GL_LUMINANCE16_ALPHA16','%GL_LUMINANCE4','%GL_LUMINANCE4_ALPHA4','%GL_LUMINANCE6_ALPHA2',
+ '%GL_LUMINANCE8','%GL_LUMINANCE8_ALPHA8','%GL_LUMINANCE_ALPHA','%GL_MAP1_COLOR_4','%GL_MAP1_GRID_DOMAIN','%GL_MAP1_GRID_SEGMENTS','%GL_MAP1_INDEX','%GL_MAP1_NORMAL',
+ '%GL_MAP1_TEXTURE_COORD_1','%GL_MAP1_TEXTURE_COORD_2','%GL_MAP1_TEXTURE_COORD_3','%GL_MAP1_TEXTURE_COORD_4','%GL_MAP1_VERTEX_3','%GL_MAP1_VERTEX_4','%GL_MAP2_COLOR_4','%GL_MAP2_GRID_DOMAIN',
+ '%GL_MAP2_GRID_SEGMENTS','%GL_MAP2_INDEX','%GL_MAP2_NORMAL','%GL_MAP2_TEXTURE_COORD_1','%GL_MAP2_TEXTURE_COORD_2','%GL_MAP2_TEXTURE_COORD_3','%GL_MAP2_TEXTURE_COORD_4','%GL_MAP2_VERTEX_3',
+ '%GL_MAP2_VERTEX_4','%GL_MAP_COLOR','%GL_MAP_STENCIL','%GL_MATRIX_MODE','%GL_MAX_ATTRIB_STACK_DEPTH','%GL_MAX_CLIENT_ATTRIB_STACK_DEPTH','%GL_MAX_CLIP_PLANES','%GL_MAX_CONVOLUTION_HEIGHT_EXT',
+ '%GL_MAX_CONVOLUTION_WIDTH_EXT','%GL_MAX_EVAL_ORDER','%GL_MAX_EXT','%GL_MAX_LIGHTS','%GL_MAX_LIST_NESTING','%GL_MAX_MODELVIEW_STACK_DEPTH','%GL_MAX_NAME_STACK_DEPTH','%GL_MAX_PIXEL_MAP_TABLE',
+ '%GL_MAX_PROJECTION_STACK_DEPTH','%GL_MAX_TEXTURE_SIZE','%GL_MAX_TEXTURE_STACK_DEPTH','%GL_MAX_VIEWPORT_DIMS','%GL_MINMAX_EXT','%GL_MINMAX_FORMAT_EXT','%GL_MINMAX_SINK_EXT','%GL_MIN_EXT',
+ '%GL_MODELVIEW','%GL_MODELVIEW_MATRIX','%GL_MODELVIEW_STACK_DEPTH','%GL_MODULATE','%GL_MULT','%GL_N3F_V3F','%GL_NAME_STACK_DEPTH','%GL_NAND',
+ '%GL_NEAREST','%GL_NEAREST_MIPMAP_LINEAR','%GL_NEAREST_MIPMAP_NEAREST','%GL_NEVER','%GL_NICEST','%GL_NONE','%GL_NOOP','%GL_NOR',
+ '%GL_NORMALIZE','%GL_NORMAL_ARRAY','%GL_NORMAL_ARRAY_COUNT_EXT','%GL_NORMAL_ARRAY_EXT','%GL_NORMAL_ARRAY_POINTER','%GL_NORMAL_ARRAY_POINTER_EXT','%GL_NORMAL_ARRAY_STRIDE','%GL_NORMAL_ARRAY_STRIDE_EXT',
+ '%GL_NORMAL_ARRAY_TYPE','%GL_NORMAL_ARRAY_TYPE_EXT','%GL_NOTEQUAL','%GL_NO_ERROR','%GL_OBJECT_LINEAR','%GL_OBJECT_PLANE','%GL_ONE','%GL_ONE_MINUS_CONSTANT_ALPHA_EXT',
+ '%GL_ONE_MINUS_CONSTANT_COLOR_EXT','%GL_ONE_MINUS_DST_ALPHA','%GL_ONE_MINUS_DST_COLOR','%GL_ONE_MINUS_SRC_ALPHA','%GL_ONE_MINUS_SRC_COLOR','%GL_OR','%GL_ORDER','%GL_OR_INVERTED',
+ '%GL_OR_REVERSE','%GL_OUT_OF_MEMORY','%GL_PACK_ALIGNMENT','%GL_PACK_LSB_FIRST','%GL_PACK_ROW_LENGTH','%GL_PACK_SKIP_PIXELS','%GL_PACK_SKIP_ROWS','%GL_PACK_SWAP_BYTES',
+ '%GL_PASS_THROUGH_TOKEN','%GL_PERSPECTIVE_CORRECTION_HINT','%GL_PIXEL_MAP_A_TO_A','%GL_PIXEL_MAP_A_TO_A_SIZE','%GL_PIXEL_MAP_B_TO_B','%GL_PIXEL_MAP_B_TO_B_SIZE','%GL_PIXEL_MAP_G_TO_G','%GL_PIXEL_MAP_G_TO_G_SIZE',
+ '%GL_PIXEL_MAP_I_TO_A','%GL_PIXEL_MAP_I_TO_A_SIZE','%GL_PIXEL_MAP_I_TO_B','%GL_PIXEL_MAP_I_TO_B_SIZE','%GL_PIXEL_MAP_I_TO_G','%GL_PIXEL_MAP_I_TO_G_SIZE','%GL_PIXEL_MAP_I_TO_I','%GL_PIXEL_MAP_I_TO_I_SIZE',
+ '%GL_PIXEL_MAP_I_TO_R','%GL_PIXEL_MAP_I_TO_R_SIZE','%GL_PIXEL_MAP_R_TO_R','%GL_PIXEL_MAP_R_TO_R_SIZE','%GL_PIXEL_MAP_S_TO_S','%GL_PIXEL_MAP_S_TO_S_SIZE','%GL_PIXEL_MODE_BIT','%GL_POINT',
+ '%GL_POINTS','%GL_POINT_BIT','%GL_POINT_SIZE','%GL_POINT_SIZE_GRANULARITY','%GL_POINT_SIZE_RANGE','%GL_POINT_SMOOTH','%GL_POINT_SMOOTH_HINT','%GL_POINT_TOKEN',
+ '%GL_POLYGON','%GL_POLYGON_BIT','%GL_POLYGON_MODE','%GL_POLYGON_OFFSET_BIAS_EXT','%GL_POLYGON_OFFSET_EXT','%GL_POLYGON_OFFSET_FACTOR','%GL_POLYGON_OFFSET_FACTOR_EXT','%GL_POLYGON_OFFSET_FILL',
+ '%GL_POLYGON_OFFSET_LINE','%GL_POLYGON_OFFSET_POINT','%GL_POLYGON_OFFSET_UNITS','%GL_POLYGON_SMOOTH','%GL_POLYGON_SMOOTH_HINT','%GL_POLYGON_STIPPLE','%GL_POLYGON_STIPPLE_BIT','%GL_POLYGON_TOKEN',
+ '%GL_POSITION','%GL_POST_COLOR_MATRIX_COLOR_TABLE_EXT','%GL_POST_CONVOLUTION_ALPHA_BIAS_EXT','%GL_POST_CONVOLUTION_ALPHA_SCALE_EXT','%GL_POST_CONVOLUTION_BLUE_BIAS_EXT','%GL_POST_CONVOLUTION_BLUE_SCALE_EXT','%GL_POST_CONVOLUTION_COLOR_TABLE_EXT','%GL_POST_CONVOLUTION_GREEN_BIAS_EXT',
+ '%GL_POST_CONVOLUTION_GREEN_SCALE_EXT','%GL_POST_CONVOLUTION_RED_BIAS_EXT','%GL_POST_CONVOLUTION_RED_SCALE_EXT','%GL_PROJECTION','%GL_PROJECTION_MATRIX','%GL_PROJECTION_STACK_DEPTH','%GL_PROXY_COLOR_TABLE_EXT','%GL_PROXY_HISTOGRAM_EXT',
+ '%GL_PROXY_POST_COLOR_MATRIX_COLOR_TABLE_EXT','%GL_PROXY_POST_CONVOLUTION_COLOR_TABLE_EXT','%GL_PROXY_TEXTURE_1D','%GL_PROXY_TEXTURE_2D','%GL_Q','%GL_QUADRATIC_ATTENUATION','%GL_QUADS','%GL_QUAD_STRIP',
+ '%GL_R','%GL_R3_G3_B2','%GL_READ_BUFFER','%GL_RED','%GL_REDUCE_EXT','%GL_RED_BIAS','%GL_RED_BITS','%GL_RED_SCALE',
+ '%GL_RENDER','%GL_RENDERER','%GL_RENDER_MODE','%GL_REPEAT','%GL_REPLACE','%GL_RETURN','%GL_RGB','%GL_RGB10',
+ '%GL_RGB10_A2','%GL_RGB12','%GL_RGB16','%GL_RGB4','%GL_RGB5','%GL_RGB5_A1','%GL_RGB8','%GL_RGBA',
+ '%GL_RGBA12','%GL_RGBA16','%GL_RGBA2','%GL_RGBA4','%GL_RGBA8','%GL_RGBA_MODE','%GL_RIGHT','%GL_S',
+ '%GL_SCISSOR_BIT','%GL_SCISSOR_BOX','%GL_SCISSOR_TEST','%GL_SECONDARY_COLOR_ARRAY_EXT','%GL_SECONDARY_COLOR_ARRAY_POINTER_EXT','%GL_SECONDARY_COLOR_ARRAY_SIZE_EXT','%GL_SECONDARY_COLOR_ARRAY_STRIDE_EXT','%GL_SECONDARY_COLOR_ARRAY_TYPE_EXT',
+ '%GL_SELECT','%GL_SELECTION_BUFFER_POINTER','%GL_SELECTION_BUFFER_SIZE','%GL_SEPARABLE_2D_EXT','%GL_SEPARATE_SPECULAR_COLOR_EXT','%GL_SET','%GL_SHADE_MODEL','%GL_SHININESS',
+ '%GL_SHORT','%GL_SINGLE_COLOR_EXT','%GL_SMOOTH','%GL_SPECULAR','%GL_SPHERE_MAP','%GL_SPOT_CUTOFF','%GL_SPOT_DIRECTION','%GL_SPOT_EXPONENT',
+ '%GL_SRC_ALPHA','%GL_SRC_ALPHA_SATURATE','%GL_SRC_COLOR','%GL_STACK_OVERFLOW','%GL_STACK_UNDERFLOW','%GL_STENCIL','%GL_STENCIL_BITS','%GL_STENCIL_BUFFER_BIT',
+ '%GL_STENCIL_CLEAR_VALUE','%GL_STENCIL_FAIL','%GL_STENCIL_FUNC','%GL_STENCIL_INDEX','%GL_STENCIL_PASS_DEPTH_FAIL','%GL_STENCIL_PASS_DEPTH_PASS','%GL_STENCIL_REF','%GL_STENCIL_TEST',
+ '%GL_STENCIL_VALUE_MASK','%GL_STENCIL_WRITEMASK','%GL_STEREO','%GL_SUBPIXEL_BITS','%GL_T','%GL_T2F_C3F_V3F','%GL_T2F_C4F_N3F_V3F','%GL_T2F_C4UB_V3F',
+ '%GL_T2F_N3F_V3F','%GL_T2F_V3F','%GL_T4F_C4F_N3F_V4F','%GL_T4F_V4F','%GL_TABLE_TOO_LARGE_EXT','%GL_TEXTURE','%GL_TEXTURE_1D','%GL_TEXTURE_2D',
+ '%GL_TEXTURE_ALPHA_SIZE','%GL_TEXTURE_BINDING_1D','%GL_TEXTURE_BINDING_2D','%GL_TEXTURE_BIT','%GL_TEXTURE_BLUE_SIZE','%GL_TEXTURE_BORDER','%GL_TEXTURE_BORDER_COLOR','%GL_TEXTURE_COMPONENTS',
+ '%GL_TEXTURE_COORD_ARRAY','%GL_TEXTURE_COORD_ARRAY_COUNT_EXT','%GL_TEXTURE_COORD_ARRAY_EXT','%GL_TEXTURE_COORD_ARRAY_POINTER','%GL_TEXTURE_COORD_ARRAY_POINTER_EXT','%GL_TEXTURE_COORD_ARRAY_SIZE','%GL_TEXTURE_COORD_ARRAY_SIZE_EXT','%GL_TEXTURE_COORD_ARRAY_STRIDE',
+ '%GL_TEXTURE_COORD_ARRAY_STRIDE_EXT','%GL_TEXTURE_COORD_ARRAY_TYPE','%GL_TEXTURE_COORD_ARRAY_TYPE_EXT','%GL_TEXTURE_ENV','%GL_TEXTURE_ENV_COLOR','%GL_TEXTURE_ENV_MODE','%GL_TEXTURE_GEN_MODE','%GL_TEXTURE_GEN_Q',
+ '%GL_TEXTURE_GEN_R','%GL_TEXTURE_GEN_S','%GL_TEXTURE_GEN_T','%GL_TEXTURE_GREEN_SIZE','%GL_TEXTURE_HEIGHT','%GL_TEXTURE_INTENSITY_SIZE','%GL_TEXTURE_INTERNAL_FORMAT','%GL_TEXTURE_LUMINANCE_SIZE',
+ '%GL_TEXTURE_MAG_FILTER','%GL_TEXTURE_MATRIX','%GL_TEXTURE_MIN_FILTER','%GL_TEXTURE_PRIORITY','%GL_TEXTURE_RED_SIZE','%GL_TEXTURE_RESIDENT','%GL_TEXTURE_STACK_DEPTH','%GL_TEXTURE_WIDTH',
+ '%GL_TEXTURE_WRAP_S','%GL_TEXTURE_WRAP_T','%GL_TRANSFORM_BIT','%GL_TRIANGLES','%GL_TRIANGLE_FAN','%GL_TRIANGLE_STRIP','%GL_TRUE','%GL_UNPACK_ALIGNMENT',
+ '%GL_UNPACK_LSB_FIRST','%GL_UNPACK_ROW_LENGTH','%GL_UNPACK_SKIP_PIXELS','%GL_UNPACK_SKIP_ROWS','%GL_UNPACK_SWAP_BYTES','%GL_UNSIGNED_BYTE','%GL_UNSIGNED_BYTE_3_3_2_EXT','%GL_UNSIGNED_INT',
+ '%GL_UNSIGNED_INT_10_10_10_2_EXT','%GL_UNSIGNED_INT_8_8_8_8_EXT','%GL_UNSIGNED_SHORT','%GL_UNSIGNED_SHORT_4_4_4_4_EXT','%GL_UNSIGNED_SHORT_5_5_5_1_EXT','%GL_V2F','%GL_V3F','%GL_VENDOR',
+ '%GL_VERSION','%GL_VERSION_1_1','%GL_VERTEX_ARRAY','%GL_VERTEX_ARRAY_COUNT_EXT','%GL_VERTEX_ARRAY_EXT','%GL_VERTEX_ARRAY_POINTER','%GL_VERTEX_ARRAY_POINTER_EXT','%GL_VERTEX_ARRAY_SIZE',
+ '%GL_VERTEX_ARRAY_SIZE_EXT','%GL_VERTEX_ARRAY_STRIDE','%GL_VERTEX_ARRAY_STRIDE_EXT','%GL_VERTEX_ARRAY_TYPE','%GL_VERTEX_ARRAY_TYPE_EXT','%GL_VIEWPORT','%GL_VIEWPORT_BIT','%GL_WIN_SWAP_HINT',
+ '%GL_XOR','%GL_ZERO','%GL_ZOOM_X','%GL_ZOOM_Y','%GRAY','%GREEN','%GWLP_HINSTANCE','%GWLP_HWNDPARENT',
+ '%GWLP_ID','%GWLP_USERDATA','%GWLP_WNDPROC','%GWL_EXSTYLE','%GWL_HINSTANCE','%GWL_HWNDPARENT','%GWL_ID','%GWL_STYLE',
+ '%GWL_USERDATA','%GWL_WNDPROC','%HDM_FIRST','%HTCAPTION','%HWND_BOTTOM','%HWND_DESKTOP','%HWND_MESSAGE','%HWND_NOTOPMOST',
+ '%HWND_TOP','%HWND_TOPMOST','%ICRYPTO_XOR_DECREASE','%ICRYPTO_XOR_INCREASE','%ICRYPTO_XOR_NORMAL','%IDABORT','%IDCANCEL','%IDCONTINUE',
+ '%IDIGNORE','%IDNO','%IDOK','%IDRETRY','%IDTIMEOUT','%IDTRYAGAIN','%IDYES','%INTERNET_CONNECTION_CONFIGURED',
+ '%INTERNET_CONNECTION_LAN','%INTERNET_CONNECTION_MODEM','%INTERNET_CONNECTION_MODEM_BUSY','%INTERNET_CONNECTION_OFFLINE','%INTERNET_CONNECTION_PROXY','%INTERNET_RAS_INSTALLED','%LBN_DBLCLK','%LBN_KILLFOCUS',
+ '%LBN_SELCANCEL','%LBN_SELCHANGE','%LBN_SETFOCUS','%LBS_DISABLENOSCROLL','%LBS_EXTENDEDSEL','%LBS_MULTICOLUMN','%LBS_MULTIPLESEL','%LBS_NOINTEGRALHEIGHT',
+ '%LBS_NOSEL','%LBS_NOTIFY','%LBS_SORT','%LBS_STANDARD','%LBS_USETABSTOPS','%LB_ADDFILE','%LB_ADDSTRING','%LB_DELETESTRING',
+ '%LB_DIR','%LB_FINDSTRING','%LB_FINDSTRINGEXACT','%LB_GETANCHORINDEX','%LB_GETCARETINDEX','%LB_GETCOUNT','%LB_GETCURSEL','%LB_GETHORIZONTALEXTENT',
+ '%LB_GETITEMDATA','%LB_GETITEMHEIGHT','%LB_GETITEMRECT','%LB_GETLISTBOXINFO','%LB_GETLOCALE','%LB_GETSEL','%LB_GETSELCOUNT','%LB_GETSELITEMS',
+ '%LB_GETTEXT','%LB_GETTEXTLEN','%LB_GETTOPINDEX','%LB_INITSTORAGE','%LB_INSERTSTRING','%LB_ITEMFROMPOINT','%LB_MULTIPLEADDSTRING','%LB_RESETCONTENT',
+ '%LB_SELECTSTRING','%LB_SELITEMRANGE','%LB_SELITEMRANGEEX','%LB_SETANCHORINDEX','%LB_SETCARETINDEX','%LB_SETCOLUMNWIDTH','%LB_SETCOUNT','%LB_SETCURSEL',
+ '%LB_SETHORIZONTALEXTENT','%LB_SETITEMDATA','%LB_SETITEMHEIGHT','%LB_SETLOCALE','%LB_SETSEL','%LB_SETTABSTOPS','%LB_SETTOPINDEX','%LF_FACESIZE',
+ '%LTGRAY','%LVM_FIRST','%LWA_ALPHA','%LWA_COLORKEY','%MAGENTA','%MAXBYTE','%MAXCHAR','%MAXDWORD',
+ '%MAXSHORT','%MAXWORD','%MAX_PATH','%MB_ABORTRETRYIGNORE','%MB_APPLMODAL','%MB_CANCELTRYCONTINUE','%MB_DEFBUTTON1','%MB_DEFBUTTON2',
+ '%MB_DEFBUTTON3','%MB_HELP','%MB_ICONASTERISK','%MB_ICONERROR','%MB_ICONEXCLAMATION','%MB_ICONHAND','%MB_ICONINFORMATION','%MB_ICONQUESTION',
+ '%MB_ICONSTOP','%MB_ICONWARNING','%MB_OK','%MB_OKCANCEL','%MB_RETRYCANCEL','%MB_SIMPLE','%MB_SYSTEMMODAL','%MB_TOPMOST',
+ '%MB_YESNO','%MB_YESNOCANCEL','%MF_CHECKED','%MF_DISABLED','%MF_ENABLED','%MF_GRAYED','%MF_SEPARATOR','%MF_UNCHECKED',
+ '%MINCHAR','%MINLONG','%MINSHORT','%NULL','%ODBC352_INC','%ODBCVER','%ODBC_ADD_DSN','%ODBC_ADD_SYS_DSN',
+ '%ODBC_BOTH_DSN','%ODBC_CONFIG_DRIVER','%ODBC_CONFIG_DRIVER_MAX','%ODBC_CONFIG_DSN','%ODBC_CONFIG_SYS_DSN','%ODBC_DRIVER_VERSION','%ODBC_ERROR_COMPONENT_NOT_FOUND','%ODBC_ERROR_CREATE_DSN_FAILED',
+ '%ODBC_ERROR_GENERAL_ERR','%ODBC_ERROR_INVALID_BUFF_LEN','%ODBC_ERROR_INVALID_DSN','%ODBC_ERROR_INVALID_HWND','%ODBC_ERROR_INVALID_INF','%ODBC_ERROR_INVALID_KEYWORD_VALUE','%ODBC_ERROR_INVALID_LOG_FILE','%ODBC_ERROR_INVALID_NAME',
+ '%ODBC_ERROR_INVALID_PARAM_SEQUENCE','%ODBC_ERROR_INVALID_PATH','%ODBC_ERROR_INVALID_REQUEST_TYPE','%ODBC_ERROR_INVALID_STR','%ODBC_ERROR_LOAD_LIB_FAILED','%ODBC_ERROR_OUTPUT_STRING_TRUNCATED','%ODBC_ERROR_OUT_OF_MEM','%ODBC_ERROR_REMOVE_DSN_FAILED',
+ '%ODBC_ERROR_REQUEST_FAILED','%ODBC_ERROR_USAGE_UPDATE_FAILED','%ODBC_ERROR_USER_CANCELED','%ODBC_ERROR_WRITING_SYSINFO_FAILED','%ODBC_INSTALL_COMPLETE','%ODBC_INSTALL_DRIVER','%ODBC_INSTALL_INQUIRY','%ODBC_REMOVE_DEFAULT_DSN',
+ '%ODBC_REMOVE_DRIVER','%ODBC_REMOVE_DSN','%ODBC_REMOVE_SYS_DSN','%ODBC_SYSTEM_DSN','%ODBC_USER_DSN','%OFN_ALLOWMULTISELECT','%OFN_CREATEPROMPT','%OFN_ENABLEHOOK',
+ '%OFN_ENABLEINCLUDENOTIFY','%OFN_ENABLESIZING','%OFN_ENABLETEMPLATE','%OFN_ENABLETEMPLATEHANDLE','%OFN_EXPLORER','%OFN_EXTENSIONDIFFERENT','%OFN_FILEMUSTEXIST','%OFN_HIDEREADONLY',
+ '%OFN_LONGNAMES','%OFN_NOCHANGEDIR','%OFN_NODEREFERENCELINKS','%OFN_NOLONGNAMES','%OFN_NONETWORKBUTTON','%OFN_NOREADONLYRETURN','%OFN_NOTESTFILECREATE','%OFN_NOVALIDATE',
+ '%OFN_OVERWRITEPROMPT','%OFN_PATHMUSTEXIST','%OFN_READONLY','%OFN_SHAREAWARE','%OFN_SHOWHELP','%OS_ERROR_CALLFUNCTION','%OS_ERROR_EMPTYSTRING','%OS_ERROR_LOADLIBRARY',
+ '%OS_ERROR_SUCCESS','%OS_ERROR_WRONGPARAMETER','%OS_SHELL_ASYNC','%OS_SHELL_SYNC','%OS_WINDOWS_2K','%OS_WINDOWS_95','%OS_WINDOWS_95_OSR2','%OS_WINDOWS_98',
+ '%OS_WINDOWS_98_SE','%OS_WINDOWS_ME','%OS_WINDOWS_NT','%OS_WINDOWS_SERVER_2003','%OS_WINDOWS_SERVER_LONGHORN','%OS_WINDOWS_SERVER_LONGHORN_DC','%OS_WINDOWS_VISTA','%OS_WINDOWS_XP',
+ '%OS_WNDSTYLE_HIDE','%OS_WNDSTYLE_MAXIMIZED','%OS_WNDSTYLE_MINIMIZED','%OS_WNDSTYLE_MINIMIZEDNOFOCUS','%OS_WNDSTYLE_NORMAL','%OS_WNDSTYLE_NORMALNOFOCUS','%PATH_EXT','%PATH_FILE',
+ '%PATH_FILEEXT','%PATH_ROOT','%PATH_ROOTPATH','%PATH_ROOTPATHPROG','%PATH_ROOTPATHPROGEXT','%PBM_DELTAPOS','%PBM_GETPOS','%PBM_GETRANGE',
+ '%PBM_SETBARCOLOR','%PBM_SETBKCOLOR','%PBM_SETPOS','%PBM_SETRANGE','%PBM_SETRANGE32','%PBM_SETSTEP','%PBM_STEPIT','%PBS_SMOOTH',
+ '%PBS_VERTICAL','%PC_DISABLEWAKEEVENT_OFF','%PC_DISABLEWAKEEVENT_ON','%PC_EB_NOCONFIRMATION','%PC_EB_NOPROGRESSUI','%PC_EB_NORMAL','%PC_EB_NOSOUND','%PC_FORCECRITICAL_OFF',
+ '%PC_FORCECRITICAL_ON','%PC_HIBERNATE_OFF','%PC_HIBERNATE_ON','%PC_RD_FORCE','%PC_RD_FORCEIFHUNG','%PC_RD_LOGOFF','%PC_RD_POWEROFF','%PC_RD_REBOOT',
+ '%PC_RD_SHUTDOWN','%PC_SD_DONOT_FORCE','%PC_SD_DONOT_REBOOT','%PC_SD_FORCE','%PC_SD_REBOOT','%PFA_CENTER','%PFA_LEFT','%PFA_RIGHT',
+ '%PF_3DNOW_INSTRUCTIONS_AVAILABLE','%PF_CHANNELS_ENABLED','%PF_COMPARE64_EXCHANGE128','%PF_COMPARE_EXCHANGE128','%PF_COMPARE_EXCHANGE_DOUBLE','%PF_FLOATING_POINT_EMULATED','%PF_FLOATING_POINT_PRECISION_ERRATA','%PF_MMX_INSTRUCTIONS_AVAILABLE',
+ '%PF_NX_ENABLED','%PF_PAE_ENABLED','%PF_RDTSC_INSTRUCTION_AVAILABLE','%PF_SSE3_INSTRUCTIONS_AVAILABLE','%PF_XMMI64_INSTRUCTIONS_AVAILABLE','%PF_XMMI_INSTRUCTIONS_AVAILABLE','%PGM_FIRST','%RED',
+ '%RTF_UBB','%SAPI_SVSFDEFAULT','%SAPI_SVSFISFILENAME','%SAPI_SVSFISNOTXML','%SAPI_SVSFISXML','%SAPI_SVSFLAGSASYNC','%SAPI_SVSFNLPMASK','%SAPI_SVSFNLPSPEAKPUNC',
+ '%SAPI_SVSFPERSISTXML','%SAPI_SVSFPURGEBEFORESPEAK','%SAPI_SVSFUNUSEDFLAGS','%SAPI_SVSFVOICEMASK','%SBS_SIZEGRIP','%SB_BOTTOM','%SB_ENDSCROLL','%SB_LEFT',
+ '%SB_LINEDOWN','%SB_LINELEFT','%SB_LINERIGHT','%SB_LINEUP','%SB_PAGEDOWN','%SB_PAGELEFT','%SB_PAGERIGHT','%SB_PAGEUP',
+ '%SB_RIGHT','%SB_SETPARTS','%SB_SETTEXT','%SB_THUMBPOSITION','%SB_THUMBTRACK','%SB_TOP','%SCF_ALL','%SCF_ASSOCIATEFONT',
+ '%SCF_DEFAULT','%SCF_NOKBUPDATE','%SCF_SELECTION','%SCF_USEUIRULES','%SCF_WORD','%SC_CLOSE','%SC_CONTEXTHELP','%SC_HOTKEY',
+ '%SC_HSCROLL','%SC_KEYMENU','%SC_MAXIMIZE','%SC_MINIMIZE','%SC_MONITORPOWER','%SC_MOUSEMENU','%SC_MOVE','%SC_NEXTWINDOW',
+ '%SC_PREVWINDOW','%SC_RESTORE','%SC_SCREENSAVE','%SC_SIZE','%SC_TASKLIST','%SC_VSCROLL','%SERVICE_ACTIVE','%SERVICE_AUTO_START',
+ '%SERVICE_BOOT_START','%SERVICE_CONTINUE_PENDING','%SERVICE_DEMAND_START','%SERVICE_DISABLED','%SERVICE_DRIVER','%SERVICE_INACTIVE','%SERVICE_INFO_DISPLAY_NAME','%SERVICE_INFO_NAME',
+ '%SERVICE_PAUSED','%SERVICE_PAUSE_PENDING','%SERVICE_RUNNING','%SERVICE_START_PENDING','%SERVICE_STATE_ALL','%SERVICE_STOPPED','%SERVICE_STOP_PENDING','%SERVICE_SYSTEM_START',
+ '%SERVICE_TYPE_ALL','%SERVICE_WIN32','%SES_ALLOWBEEPS','%SES_BEEPONMAXTEXT','%SES_BIDI','%SES_EMULATE10','%SES_EMULATESYSEDIT','%SES_EXTENDBACKCOLOR',
+ '%SES_LOWERCASE','%SES_MAPCPS','%SES_NOIME','%SES_NOINPUTSEQUENCECHK','%SES_SCROLLONKILLFOCUS','%SES_UPPERCASE','%SES_USEAIMM','%SES_USECRLF',
+ '%SES_XLTCRCRLFTOCR','%SF_RTF','%SF_TEXT','%SMTP_SET_ATTACH_CONTENT_TYPE','%SMTP_SET_CONTENT_TYPE_PREFIX','%SQL_AA_FALSE','%SQL_AA_TRUE','%SQL_ACCESSIBLE_PROCEDURES',
+ '%SQL_ACCESSIBLE_TABLES','%SQL_ACCESS_MODE','%SQL_ACTIVE_CONNECTIONS','%SQL_ACTIVE_ENVIRONMENTS','%SQL_ACTIVE_STATEMENTS','%SQL_ADD','%SQL_AD_ADD_CONSTRAINT_DEFERRABLE','%SQL_AD_ADD_CONSTRAINT_INITIALLY_DEFERRED',
+ '%SQL_AD_ADD_CONSTRAINT_INITIALLY_IMMEDIATE','%SQL_AD_ADD_CONSTRAINT_NON_DEFERRABLE','%SQL_AD_ADD_DOMAIN_CONSTRAINT','%SQL_AD_ADD_DOMAIN_DEFAULT','%SQL_AD_CONSTRAINT_NAME_DEFINITION','%SQL_AD_DROP_DOMAIN_CONSTRAINT','%SQL_AD_DROP_DOMAIN_DEFAULT','%SQL_AF_ALL',
+ '%SQL_AF_AVG','%SQL_AF_COUNT','%SQL_AF_DISTINCT','%SQL_AF_MAX','%SQL_AF_MIN','%SQL_AF_SUM','%SQL_AGGREGATE_FUNCTIONS','%SQL_ALL_EXCEPT_LIKE',
+ '%SQL_ALL_TYPES','%SQL_ALTER_DOMAIN','%SQL_ALTER_TABLE','%SQL_AM_CONNECTION','%SQL_AM_NONE','%SQL_AM_STATEMENT','%SQL_API_ALL_FUNCTIONS','%SQL_API_LOADBYORDINAL',
+ '%SQL_API_ODBC3_ALL_FUNCTIONS','%SQL_API_ODBC3_ALL_FUNCTIONS_SIZE','%SQL_API_SQLALLOCCONNECT','%SQL_API_SQLALLOCENV','%SQL_API_SQLALLOCHANDLE','%SQL_API_SQLALLOCHANDLESTD','%SQL_API_SQLALLOCSTMT','%SQL_API_SQLBINDCOL',
+ '%SQL_API_SQLBINDPARAM','%SQL_API_SQLBINDPARAMETER','%SQL_API_SQLBROWSECONNECT','%SQL_API_SQLBULKOPERATIONS','%SQL_API_SQLCANCEL','%SQL_API_SQLCLOSECURSOR','%SQL_API_SQLCOLATTRIBUTE','%SQL_API_SQLCOLATTRIBUTES',
+ '%SQL_API_SQLCOLUMNPRIVILEGES','%SQL_API_SQLCOLUMNS','%SQL_API_SQLCONNECT','%SQL_API_SQLCOPYDESC','%SQL_API_SQLDATASOURCES','%SQL_API_SQLDESCRIBECOL','%SQL_API_SQLDESCRIBEPARAM','%SQL_API_SQLDISCONNECT',
+ '%SQL_API_SQLDRIVERCONNECT','%SQL_API_SQLDRIVERS','%SQL_API_SQLENDTRAN','%SQL_API_SQLERROR','%SQL_API_SQLEXECDIRECT','%SQL_API_SQLEXECUTE','%SQL_API_SQLEXTENDEDFETCH','%SQL_API_SQLFETCH',
+ '%SQL_API_SQLFETCHSCROLL','%SQL_API_SQLFOREIGNKEYS','%SQL_API_SQLFREECONNECT','%SQL_API_SQLFREEENV','%SQL_API_SQLFREEHANDLE','%SQL_API_SQLFREESTMT','%SQL_API_SQLGETCONNECTATTR','%SQL_API_SQLGETCONNECTOPTION',
+ '%SQL_API_SQLGETCURSORNAME','%SQL_API_SQLGETDATA','%SQL_API_SQLGETDESCFIELD','%SQL_API_SQLGETDESCREC','%SQL_API_SQLGETDIAGFIELD','%SQL_API_SQLGETDIAGREC','%SQL_API_SQLGETENVATTR','%SQL_API_SQLGETFUNCTIONS',
+ '%SQL_API_SQLGETINFO','%SQL_API_SQLGETSTMTATTR','%SQL_API_SQLGETSTMTOPTION','%SQL_API_SQLGETTYPEINFO','%SQL_API_SQLMORERESULTS','%SQL_API_SQLNATIVESQL','%SQL_API_SQLNUMPARAMS','%SQL_API_SQLNUMRESULTCOLS',
+ '%SQL_API_SQLPARAMDATA','%SQL_API_SQLPARAMOPTIONS','%SQL_API_SQLPREPARE','%SQL_API_SQLPRIMARYKEYS','%SQL_API_SQLPROCEDURECOLUMNS','%SQL_API_SQLPROCEDURES','%SQL_API_SQLPUTDATA','%SQL_API_SQLROWCOUNT',
+ '%SQL_API_SQLSETCONNECTATTR','%SQL_API_SQLSETCONNECTOPTION','%SQL_API_SQLSETCURSORNAME','%SQL_API_SQLSETDESCFIELD','%SQL_API_SQLSETDESCREC','%SQL_API_SQLSETENVATTR','%SQL_API_SQLSETPARAM','%SQL_API_SQLSETPOS',
+ '%SQL_API_SQLSETSCROLLOPTIONS','%SQL_API_SQLSETSTMTATTR','%SQL_API_SQLSETSTMTOPTION','%SQL_API_SQLSPECIALCOLUMNS','%SQL_API_SQLSTATISTICS','%SQL_API_SQLTABLEPRIVILEGES','%SQL_API_SQLTABLES','%SQL_API_SQLTRANSACT',
+ '%SQL_ARD_TYPE','%SQL_ASYNC_ENABLE','%SQL_ASYNC_ENABLE_DEFAULT','%SQL_ASYNC_ENABLE_OFF','%SQL_ASYNC_ENABLE_ON','%SQL_ASYNC_MODE','%SQL_ATTR_ACCESS_MODE','%SQL_ATTR_ANSI_APP',
+ '%SQL_ATTR_APP_PARAM_DESC','%SQL_ATTR_APP_ROW_DESC','%SQL_ATTR_ASYNC_ENABLE','%SQL_ATTR_AUTOCOMMIT','%SQL_ATTR_AUTO_IPD','%SQL_ATTR_CONCURRENCY','%SQL_ATTR_CONNECTION_DEAD','%SQL_ATTR_CONNECTION_POOLING',
+ '%SQL_ATTR_CONNECTION_TIMEOUT','%SQL_ATTR_CP_MATCH','%SQL_ATTR_CURRENT_CATALOG','%SQL_ATTR_CURSOR_SCROLLABLE','%SQL_ATTR_CURSOR_SENSITIVITY','%SQL_ATTR_CURSOR_TYPE','%SQL_ATTR_DISCONNECT_BEHAVIOR','%SQL_ATTR_ENABLE_AUTO_IPD',
+ '%SQL_ATTR_ENLIST_IN_DTC','%SQL_ATTR_ENLIST_IN_XA','%SQL_ATTR_FETCH_BOOKMARK_PTR','%SQL_ATTR_IMP_PARAM_DESC','%SQL_ATTR_IMP_ROW_DESC','%SQL_ATTR_KEYSET_SIZE','%SQL_ATTR_LOGIN_TIMEOUT','%SQL_ATTR_MAX_LENGTH',
+ '%SQL_ATTR_MAX_ROWS','%SQL_ATTR_METADATA_ID','%SQL_ATTR_NOSCAN','%SQL_ATTR_ODBC_CURSORS','%SQL_ATTR_ODBC_VERSION','%SQL_ATTR_OUTPUT_NTS','%SQL_ATTR_PACKET_SIZE','%SQL_ATTR_PARAMSET_SIZE',
+ '%SQL_ATTR_PARAMS_PROCESSED_PTR','%SQL_ATTR_PARAM_BIND_OFFSET_PTR','%SQL_ATTR_PARAM_BIND_TYPE','%SQL_ATTR_PARAM_OPERATION_PTR','%SQL_ATTR_PARAM_STATUS_PTR','%SQL_ATTR_QUERY_TIMEOUT','%SQL_ATTR_QUIET_MODE','%SQL_ATTR_READONLY',
+ '%SQL_ATTR_READWRITE_UNKNOWN','%SQL_ATTR_RETRIEVE_DATA','%SQL_ATTR_ROWS_FETCHED_PTR','%SQL_ATTR_ROW_ARRAY_SIZE','%SQL_ATTR_ROW_BIND_OFFSET_PTR','%SQL_ATTR_ROW_BIND_TYPE','%SQL_ATTR_ROW_NUMBER','%SQL_ATTR_ROW_OPERATION_PTR',
+ '%SQL_ATTR_ROW_STATUS_PTR','%SQL_ATTR_SIMULATE_CURSOR','%SQL_ATTR_TRACE','%SQL_ATTR_TRACEFILE','%SQL_ATTR_TRANSLATE_LIB','%SQL_ATTR_TRANSLATE_OPTION','%SQL_ATTR_TXN_ISOLATION','%SQL_ATTR_USE_BOOKMARKS',
+ '%SQL_ATTR_WRITE','%SQL_AT_ADD_COLUMN','%SQL_AT_ADD_COLUMN_COLLATION','%SQL_AT_ADD_COLUMN_DEFAULT','%SQL_AT_ADD_COLUMN_SINGLE','%SQL_AT_ADD_CONSTRAINT','%SQL_AT_ADD_TABLE_CONSTRAINT','%SQL_AT_CONSTRAINT_DEFERRABLE',
+ '%SQL_AT_CONSTRAINT_INITIALLY_DEFERRED','%SQL_AT_CONSTRAINT_INITIALLY_IMMEDIATE','%SQL_AT_CONSTRAINT_NAME_DEFINITION','%SQL_AT_CONSTRAINT_NON_DEFERRABLE','%SQL_AT_DROP_COLUMN','%SQL_AT_DROP_COLUMN_CASCADE','%SQL_AT_DROP_COLUMN_DEFAULT','%SQL_AT_DROP_COLUMN_RESTRICT',
+ '%SQL_AT_DROP_TABLE_CONSTRAINT_CASCADE','%SQL_AT_DROP_TABLE_CONSTRAINT_RESTRICT','%SQL_AT_SET_COLUMN_DEFAULT','%SQL_AUTOCOMMIT','%SQL_AUTOCOMMIT_DEFAULT','%SQL_AUTOCOMMIT_OFF','%SQL_AUTOCOMMIT_ON','%SQL_BATCH_ROW_COUNT',
+ '%SQL_BATCH_SUPPORT','%SQL_BEST_ROWID','%SQL_BIGINT','%SQL_BINARY','%SQL_BIND_BY_COLUMN','%SQL_BIND_TYPE','%SQL_BIND_TYPE_DEFAULT','%SQL_BIT',
+ '%SQL_BOOKMARK_PERSISTENCE','%SQL_BP_CLOSE','%SQL_BP_DELETE','%SQL_BP_DROP','%SQL_BP_OTHER_HSTMT','%SQL_BP_SCROLL','%SQL_BP_TRANSACTION','%SQL_BP_UPDATE',
+ '%SQL_BRC_EXPLICIT','%SQL_BRC_PROCEDURES','%SQL_BRC_ROLLED_UP','%SQL_BS_ROW_COUNT_EXPLICIT','%SQL_BS_ROW_COUNT_PROC','%SQL_BS_SELECT_EXPLICIT','%SQL_BS_SELECT_PROC','%SQL_CA1_ABSOLUTE',
+ '%SQL_CA1_BOOKMARK','%SQL_CA1_BULK_ADD','%SQL_CA1_BULK_DELETE_BY_BOOKMARK','%SQL_CA1_BULK_FETCH_BY_BOOKMARK','%SQL_CA1_BULK_UPDATE_BY_BOOKMARK','%SQL_CA1_LOCK_EXCLUSIVE','%SQL_CA1_LOCK_NO_CHANGE','%SQL_CA1_LOCK_UNLOCK',
+ '%SQL_CA1_NEXT','%SQL_CA1_POSITIONED_DELETE','%SQL_CA1_POSITIONED_UPDATE','%SQL_CA1_POS_DELETE','%SQL_CA1_POS_POSITION','%SQL_CA1_POS_REFRESH','%SQL_CA1_POS_UPDATE','%SQL_CA1_RELATIVE',
+ '%SQL_CA1_SELECT_FOR_UPDATE','%SQL_CA2_CRC_APPROXIMATE','%SQL_CA2_CRC_EXACT','%SQL_CA2_LOCK_CONCURRENCY','%SQL_CA2_MAX_ROWS_AFFECTS_ALL','%SQL_CA2_MAX_ROWS_CATALOG','%SQL_CA2_MAX_ROWS_DELETE','%SQL_CA2_MAX_ROWS_INSERT',
+ '%SQL_CA2_MAX_ROWS_SELECT','%SQL_CA2_MAX_ROWS_UPDATE','%SQL_CA2_OPT_ROWVER_CONCURRENCY','%SQL_CA2_OPT_VALUES_CONCURRENCY','%SQL_CA2_READ_ONLY_CONCURRENCY','%SQL_CA2_SENSITIVITY_ADDITIONS','%SQL_CA2_SENSITIVITY_DELETIONS','%SQL_CA2_SENSITIVITY_UPDATES',
+ '%SQL_CA2_SIMULATE_NON_UNIQUE','%SQL_CA2_SIMULATE_TRY_UNIQUE','%SQL_CA2_SIMULATE_UNIQUE','%SQL_CASCADE','%SQL_CATALOG_LOCATION','%SQL_CATALOG_NAME','%SQL_CATALOG_NAME_SEPARATOR','%SQL_CATALOG_TERM',
+ '%SQL_CATALOG_USAGE','%SQL_CA_CONSTRAINT_DEFERRABLE','%SQL_CA_CONSTRAINT_INITIALLY_DEFERRED','%SQL_CA_CONSTRAINT_INITIALLY_IMMEDIATE','%SQL_CA_CONSTRAINT_NON_DEFERRABLE','%SQL_CA_CREATE_ASSERTION','%SQL_CB_CLOSE','%SQL_CB_DELETE',
+ '%SQL_CB_NON_NULL','%SQL_CB_NULL','%SQL_CB_PRESERVE','%SQL_CCOL_CREATE_COLLATION','%SQL_CCS_COLLATE_CLAUSE','%SQL_CCS_CREATE_CHARACTER_SET','%SQL_CCS_LIMITED_COLLATION','%SQL_CC_CLOSE',
+ '%SQL_CC_DELETE','%SQL_CC_PRESERVE','%SQL_CDO_COLLATION','%SQL_CDO_CONSTRAINT','%SQL_CDO_CONSTRAINT_DEFERRABLE','%SQL_CDO_CONSTRAINT_INITIALLY_DEFERRED','%SQL_CDO_CONSTRAINT_INITIALLY_IMMEDIATE','%SQL_CDO_CONSTRAINT_NAME_DEFINITION',
+ '%SQL_CDO_CONSTRAINT_NON_DEFERRABLE','%SQL_CDO_CREATE_DOMAIN','%SQL_CDO_DEFAULT','%SQL_CD_FALSE','%SQL_CD_TRUE','%SQL_CHAR','%SQL_CLOSE','%SQL_CL_END',
+ '%SQL_CL_START','%SQL_CN_ANY','%SQL_CN_DIFFERENT','%SQL_CN_NONE','%SQL_CODE_DATE','%SQL_CODE_DAY','%SQL_CODE_DAY_TO_HOUR','%SQL_CODE_DAY_TO_MINUTE',
+ '%SQL_CODE_DAY_TO_SECOND','%SQL_CODE_HOUR','%SQL_CODE_HOUR_TO_MINUTE','%SQL_CODE_HOUR_TO_SECOND','%SQL_CODE_MINUTE','%SQL_CODE_MINUTE_TO_SECOND','%SQL_CODE_MONTH','%SQL_CODE_SECOND',
+ '%SQL_CODE_TIME','%SQL_CODE_TIMESTAMP','%SQL_CODE_YEAR','%SQL_CODE_YEAR_TO_MONTH','%SQL_COLATT_OPT_MAX','%SQL_COLATT_OPT_MIN','%SQL_COLLATION_SEQ','%SQL_COLUMN_ALIAS',
+ '%SQL_COLUMN_AUTO_INCREMENT','%SQL_COLUMN_CASE_SENSITIVE','%SQL_COLUMN_COUNT','%SQL_COLUMN_DISPLAY_SIZE','%SQL_COLUMN_IGNORE','%SQL_COLUMN_LABEL','%SQL_COLUMN_LENGTH','%SQL_COLUMN_MONEY',
+ '%SQL_COLUMN_NAME','%SQL_COLUMN_NULLABLE','%SQL_COLUMN_NUMBER_UNKNOWN','%SQL_COLUMN_OWNER_NAME','%SQL_COLUMN_PRECISION','%SQL_COLUMN_QUALIFIER_NAME','%SQL_COLUMN_SCALE','%SQL_COLUMN_SEARCHABLE',
+ '%SQL_COLUMN_TABLE_NAME','%SQL_COLUMN_TYPE','%SQL_COLUMN_TYPE_NAME','%SQL_COLUMN_UNSIGNED','%SQL_COLUMN_UPDATABLE','%SQL_COL_PRED_BASIC','%SQL_COL_PRED_CHAR','%SQL_COMMIT',
+ '%SQL_CONCAT_NULL_BEHAVIOR','%SQL_CONCURRENCY','%SQL_CONCUR_DEFAULT','%SQL_CONCUR_LOCK','%SQL_CONCUR_READ_ONLY','%SQL_CONCUR_ROWVER','%SQL_CONCUR_TIMESTAMP','%SQL_CONCUR_VALUES',
+ '%SQL_CONVERT_BIGINT','%SQL_CONVERT_BINARY','%SQL_CONVERT_BIT','%SQL_CONVERT_CHAR','%SQL_CONVERT_DATE','%SQL_CONVERT_DECIMAL','%SQL_CONVERT_DOUBLE','%SQL_CONVERT_FLOAT',
+ '%SQL_CONVERT_FUNCTIONS','%SQL_CONVERT_GUID','%SQL_CONVERT_INTEGER','%SQL_CONVERT_INTERVAL_DAY_TIME','%SQL_CONVERT_INTERVAL_YEAR_MONTH','%SQL_CONVERT_LONGVARBINARY','%SQL_CONVERT_LONGVARCHAR','%SQL_CONVERT_NUMERIC',
+ '%SQL_CONVERT_REAL','%SQL_CONVERT_SMALLINT','%SQL_CONVERT_TIME','%SQL_CONVERT_TIMESTAMP','%SQL_CONVERT_TINYINT','%SQL_CONVERT_VARBINARY','%SQL_CONVERT_VARCHAR','%SQL_CONVERT_WCHAR',
+ '%SQL_CONVERT_WLONGVARCHAR','%SQL_CONVERT_WVARCHAR','%SQL_CORRELATION_NAME','%SQL_CP_DEFAULT','%SQL_CP_MATCH_DEFAULT','%SQL_CP_OFF','%SQL_CP_ONE_PER_DRIVER','%SQL_CP_ONE_PER_HENV',
+ '%SQL_CP_RELAXED_MATCH','%SQL_CP_STRICT_MATCH','%SQL_CREATE_ASSERTION','%SQL_CREATE_CHARACTER_SET','%SQL_CREATE_COLLATION','%SQL_CREATE_DOMAIN','%SQL_CREATE_SCHEMA','%SQL_CREATE_TABLE',
+ '%SQL_CREATE_TRANSLATION','%SQL_CREATE_VIEW','%SQL_CR_CLOSE','%SQL_CR_DELETE','%SQL_CR_PRESERVE','%SQL_CS_AUTHORIZATION','%SQL_CS_CREATE_SCHEMA','%SQL_CS_DEFAULT_CHARACTER_SET',
+ '%SQL_CTR_CREATE_TRANSLATION','%SQL_CT_COLUMN_COLLATION','%SQL_CT_COLUMN_CONSTRAINT','%SQL_CT_COLUMN_DEFAULT','%SQL_CT_COMMIT_DELETE','%SQL_CT_COMMIT_PRESERVE','%SQL_CT_CONSTRAINT_DEFERRABLE','%SQL_CT_CONSTRAINT_INITIALLY_DEFERRED',
+ '%SQL_CT_CONSTRAINT_INITIALLY_IMMEDIATE','%SQL_CT_CONSTRAINT_NAME_DEFINITION','%SQL_CT_CONSTRAINT_NON_DEFERRABLE','%SQL_CT_CREATE_TABLE','%SQL_CT_GLOBAL_TEMPORARY','%SQL_CT_LOCAL_TEMPORARY','%SQL_CT_TABLE_CONSTRAINT','%SQL_CURRENT_QUALIFIER',
+ '%SQL_CURSOR_COMMIT_BEHAVIOR','%SQL_CURSOR_DYNAMIC','%SQL_CURSOR_FORWARD_ONLY','%SQL_CURSOR_KEYSET_DRIVEN','%SQL_CURSOR_ROLLBACK_BEHAVIOR','%SQL_CURSOR_SENSITIVITY','%SQL_CURSOR_STATIC','%SQL_CURSOR_TYPE',
+ '%SQL_CURSOR_TYPE_DEFAULT','%SQL_CUR_DEFAULT','%SQL_CUR_USE_DRIVER','%SQL_CUR_USE_IF_NEEDED','%SQL_CUR_USE_ODBC','%SQL_CU_DML_STATEMENTS','%SQL_CU_INDEX_DEFINITION','%SQL_CU_PRIVILEGE_DEFINITION',
+ '%SQL_CU_PROCEDURE_INVOCATION','%SQL_CU_TABLE_DEFINITION','%SQL_CVT_BIGINT','%SQL_CVT_BINARY','%SQL_CVT_BIT','%SQL_CVT_CHAR','%SQL_CVT_DATE','%SQL_CVT_DECIMAL',
+ '%SQL_CVT_DOUBLE','%SQL_CVT_FLOAT','%SQL_CVT_GUID','%SQL_CVT_INTEGER','%SQL_CVT_INTERVAL_DAY_TIME','%SQL_CVT_INTERVAL_YEAR_MONTH','%SQL_CVT_LONGVARBINARY','%SQL_CVT_LONGVARCHAR',
+ '%SQL_CVT_NUMERIC','%SQL_CVT_REAL','%SQL_CVT_SMALLINT','%SQL_CVT_TIME','%SQL_CVT_TIMESTAMP','%SQL_CVT_TINYINT','%SQL_CVT_VARBINARY','%SQL_CVT_VARCHAR',
+ '%SQL_CVT_WCHAR','%SQL_CVT_WLONGVARCHAR','%SQL_CVT_WVARCHAR','%SQL_CV_CASCADED','%SQL_CV_CHECK_OPTION','%SQL_CV_CREATE_VIEW','%SQL_CV_LOCAL','%SQL_C_BINARY',
+ '%SQL_C_BIT','%SQL_C_BOOKMARK','%SQL_C_CHAR','%SQL_C_DATE','%SQL_C_DEFAULT','%SQL_C_DOUBLE','%SQL_C_FLOAT','%SQL_C_GUID',
+ '%SQL_C_INTERVAL_DAY','%SQL_C_INTERVAL_DAY_TO_HOUR','%SQL_C_INTERVAL_DAY_TO_MINUTE','%SQL_C_INTERVAL_DAY_TO_SECOND','%SQL_C_INTERVAL_HOUR','%SQL_C_INTERVAL_HOUR_TO_MINUTE','%SQL_C_INTERVAL_HOUR_TO_SECOND','%SQL_C_INTERVAL_MINUTE',
+ '%SQL_C_INTERVAL_MINUTE_TO_SECOND','%SQL_C_INTERVAL_MONTH','%SQL_C_INTERVAL_SECOND','%SQL_C_INTERVAL_YEAR','%SQL_C_INTERVAL_YEAR_TO_MONTH','%SQL_C_LONG','%SQL_C_NUMERIC','%SQL_C_SBIGINT',
+ '%SQL_C_SHORT','%SQL_C_SLONG','%SQL_C_SSHORT','%SQL_C_STINYINT','%SQL_C_TIME','%SQL_C_TIMESTAMP','%SQL_C_TINYINT','%SQL_C_TYPE_DATE',
+ '%SQL_C_TYPE_TIME','%SQL_C_TYPE_TIMESTAMP','%SQL_C_UBIGINT','%SQL_C_ULONG','%SQL_C_USHORT','%SQL_C_UTINYINT','%SQL_C_VARBOOKMARK','%SQL_DATABASE_NAME',
+ '%SQL_DATA_AT_EXEC','%SQL_DATA_SOURCE_NAME','%SQL_DATA_SOURCE_READ_ONLY','%SQL_DATE','%SQL_DATETIME','%SQL_DATETIME_LITERALS','%SQL_DATE_LEN','%SQL_DAY',
+ '%SQL_DAY_TO_HOUR','%SQL_DAY_TO_MINUTE','%SQL_DAY_TO_SECOND','%SQL_DA_DROP_ASSERTION','%SQL_DBMS_NAME','%SQL_DBMS_VER','%SQL_DB_DEFAULT','%SQL_DB_DISCONNECT',
+ '%SQL_DB_RETURN_TO_POOL','%SQL_DCS_DROP_CHARACTER_SET','%SQL_DC_DROP_COLLATION','%SQL_DDL_INDEX','%SQL_DD_CASCADE','%SQL_DD_DROP_DOMAIN','%SQL_DD_RESTRICT','%SQL_DECIMAL',
+ '%SQL_DEFAULT','%SQL_DEFAULT_PARAM','%SQL_DEFAULT_TXN_ISOLATION','%SQL_DELETE','%SQL_DELETE_BY_BOOKMARK','%SQL_DESCRIBE_PARAMETER','%SQL_DESC_ALLOC_AUTO','%SQL_DESC_ALLOC_TYPE',
+ '%SQL_DESC_ALLOC_USER','%SQL_DESC_ARRAY_SIZE','%SQL_DESC_ARRAY_STATUS_PTR','%SQL_DESC_AUTO_UNIQUE_VALUE','%SQL_DESC_BASE_COLUMN_NAME','%SQL_DESC_BASE_TABLE_NAME','%SQL_DESC_BIND_OFFSET_PTR','%SQL_DESC_BIND_TYPE',
+ '%SQL_DESC_CASE_SENSITIVE','%SQL_DESC_CATALOG_NAME','%SQL_DESC_CONCISE_TYPE','%SQL_DESC_COUNT','%SQL_DESC_DATA_PTR','%SQL_DESC_DATETIME_INTERVAL_CODE','%SQL_DESC_DATETIME_INTERVAL_PRECISION','%SQL_DESC_DISPLAY_SIZE',
+ '%SQL_DESC_FIXED_PREC_SCALE','%SQL_DESC_INDICATOR_PTR','%SQL_DESC_LABEL','%SQL_DESC_LENGTH','%SQL_DESC_LITERAL_PREFIX','%SQL_DESC_LITERAL_SUFFIX','%SQL_DESC_LOCAL_TYPE_NAME','%SQL_DESC_MAXIMUM_SCALE',
+ '%SQL_DESC_MINIMUM_SCALE','%SQL_DESC_NAME','%SQL_DESC_NULLABLE','%SQL_DESC_NUM_PREC_RADIX','%SQL_DESC_OCTET_LENGTH','%SQL_DESC_OCTET_LENGTH_PTR','%SQL_DESC_PARAMETER_TYPE','%SQL_DESC_PRECISION',
+ '%SQL_DESC_ROWS_PROCESSED_PTR','%SQL_DESC_SCALE','%SQL_DESC_SCHEMA_NAME','%SQL_DESC_SEARCHABLE','%SQL_DESC_TABLE_NAME','%SQL_DESC_TYPE','%SQL_DESC_TYPE_NAME','%SQL_DESC_UNNAMED',
+ '%SQL_DESC_UNSIGNED','%SQL_DESC_UPDATABLE','%SQL_DIAG_ALTER_TABLE','%SQL_DIAG_CALL','%SQL_DIAG_CLASS_ORIGIN','%SQL_DIAG_COLUMN_NUMBER','%SQL_DIAG_CONNECTION_NAME','%SQL_DIAG_CREATE_INDEX',
+ '%SQL_DIAG_CREATE_TABLE','%SQL_DIAG_CREATE_VIEW','%SQL_DIAG_CURSOR_ROW_COUNT','%SQL_DIAG_DELETE_WHERE','%SQL_DIAG_DROP_INDEX','%SQL_DIAG_DROP_TABLE','%SQL_DIAG_DROP_VIEW','%SQL_DIAG_DYNAMIC_DELETE_CURSOR',
+ '%SQL_DIAG_DYNAMIC_FUNCTION','%SQL_DIAG_DYNAMIC_FUNCTION_CODE','%SQL_DIAG_DYNAMIC_UPDATE_CURSOR','%SQL_DIAG_GRANT','%SQL_DIAG_INSERT','%SQL_DIAG_MESSAGE_TEXT','%SQL_DIAG_NATIVE','%SQL_DIAG_NUMBER',
+ '%SQL_DIAG_RETURNCODE','%SQL_DIAG_REVOKE','%SQL_DIAG_ROW_COUNT','%SQL_DIAG_ROW_NUMBER','%SQL_DIAG_SELECT_CURSOR','%SQL_DIAG_SERVER_NAME','%SQL_DIAG_SQLSTATE','%SQL_DIAG_SUBCLASS_ORIGIN',
+ '%SQL_DIAG_UNKNOWN_STATEMENT','%SQL_DIAG_UPDATE_WHERE','%SQL_DI_CREATE_INDEX','%SQL_DI_DROP_INDEX','%SQL_DL_SQL92_DATE','%SQL_DL_SQL92_INTERVAL_DAY','%SQL_DL_SQL92_INTERVAL_DAY_TO_HOUR','%SQL_DL_SQL92_INTERVAL_DAY_TO_MINUTE',
+ '%SQL_DL_SQL92_INTERVAL_DAY_TO_SECOND','%SQL_DL_SQL92_INTERVAL_HOUR','%SQL_DL_SQL92_INTERVAL_HOUR_TO_MINUTE','%SQL_DL_SQL92_INTERVAL_HOUR_TO_SECOND','%SQL_DL_SQL92_INTERVAL_MINUTE','%SQL_DL_SQL92_INTERVAL_MINUTE_TO_SECOND','%SQL_DL_SQL92_INTERVAL_MONTH','%SQL_DL_SQL92_INTERVAL_SECOND',
+ '%SQL_DL_SQL92_INTERVAL_YEAR','%SQL_DL_SQL92_INTERVAL_YEAR_TO_MONTH','%SQL_DL_SQL92_TIME','%SQL_DL_SQL92_TIMESTAMP','%SQL_DM_VER','%SQL_DOUBLE','%SQL_DRIVER_COMPLETE','%SQL_DRIVER_COMPLETE_REQUIRED',
+ '%SQL_DRIVER_HDBC','%SQL_DRIVER_HDESC','%SQL_DRIVER_HENV','%SQL_DRIVER_HLIB','%SQL_DRIVER_HSTMT','%SQL_DRIVER_NAME','%SQL_DRIVER_NOPROMPT','%SQL_DRIVER_ODBC_VER',
+ '%SQL_DRIVER_PROMPT','%SQL_DRIVER_VER','%SQL_DROP','%SQL_DROP_ASSERTION','%SQL_DROP_CHARACTER_SET','%SQL_DROP_COLLATION','%SQL_DROP_DOMAIN','%SQL_DROP_SCHEMA',
+ '%SQL_DROP_TABLE','%SQL_DROP_TRANSLATION','%SQL_DROP_VIEW','%SQL_DS_CASCADE','%SQL_DS_DROP_SCHEMA','%SQL_DS_RESTRICT','%SQL_DTC_DONE','%SQL_DTC_ENLIST_EXPENSIVE',
+ '%SQL_DTC_TRANSITION_COST','%SQL_DTC_UNENLIST_EXPENSIVE','%SQL_DTR_DROP_TRANSLATION','%SQL_DT_CASCADE','%SQL_DT_DROP_TABLE','%SQL_DT_RESTRICT','%SQL_DV_CASCADE','%SQL_DV_DROP_VIEW',
+ '%SQL_DV_RESTRICT','%SQL_DYNAMIC_CURSOR_ATTRIBUTES1','%SQL_DYNAMIC_CURSOR_ATTRIBUTES2','%SQL_ENSURE','%SQL_ENTIRE_ROWSET','%SQL_ERROR','%SQL_EXPRESSIONS_IN_ORDERBY','%SQL_FALSE',
+ '%SQL_FD_FETCH_ABSOLUTE','%SQL_FD_FETCH_BOOKMARK','%SQL_FD_FETCH_FIRST','%SQL_FD_FETCH_LAST','%SQL_FD_FETCH_NEXT','%SQL_FD_FETCH_PREV','%SQL_FD_FETCH_PRIOR','%SQL_FD_FETCH_RELATIVE',
+ '%SQL_FETCH_ABSOLUTE','%SQL_FETCH_BOOKMARK','%SQL_FETCH_BY_BOOKMARK','%SQL_FETCH_DIRECTION','%SQL_FETCH_FIRST','%SQL_FETCH_FIRST_SYSTEM','%SQL_FETCH_FIRST_USER','%SQL_FETCH_LAST',
+ '%SQL_FETCH_NEXT','%SQL_FETCH_PREV','%SQL_FETCH_PRIOR','%SQL_FETCH_RELATIVE','%SQL_FILE_CATALOG','%SQL_FILE_NOT_SUPPORTED','%SQL_FILE_QUALIFIER','%SQL_FILE_TABLE',
+ '%SQL_FILE_USAGE','%SQL_FLOAT','%SQL_FN_CVT_CAST','%SQL_FN_CVT_CONVERT','%SQL_FN_NUM_ABS','%SQL_FN_NUM_ACOS','%SQL_FN_NUM_ASIN','%SQL_FN_NUM_ATAN',
+ '%SQL_FN_NUM_ATAN2','%SQL_FN_NUM_CEILING','%SQL_FN_NUM_COS','%SQL_FN_NUM_COT','%SQL_FN_NUM_DEGREES','%SQL_FN_NUM_EXP','%SQL_FN_NUM_FLOOR','%SQL_FN_NUM_LOG',
+ '%SQL_FN_NUM_LOG10','%SQL_FN_NUM_MOD','%SQL_FN_NUM_PI','%SQL_FN_NUM_POWER','%SQL_FN_NUM_RADIANS','%SQL_FN_NUM_RAND','%SQL_FN_NUM_ROUND','%SQL_FN_NUM_SIGN',
+ '%SQL_FN_NUM_SIN','%SQL_FN_NUM_SQRT','%SQL_FN_NUM_TAN','%SQL_FN_NUM_TRUNCATE','%SQL_FN_STR_ASCII','%SQL_FN_STR_BIT_LENGTH','%SQL_FN_STR_CHAR','%SQL_FN_STR_CHARACTER_LENGTH',
+ '%SQL_FN_STR_CHAR_LENGTH','%SQL_FN_STR_CONCAT','%SQL_FN_STR_DIFFERENCE','%SQL_FN_STR_INSERT','%SQL_FN_STR_LCASE','%SQL_FN_STR_LEFT','%SQL_FN_STR_LENGTH','%SQL_FN_STR_LOCATE',
+ '%SQL_FN_STR_LOCATE_2','%SQL_FN_STR_LTRIM','%SQL_FN_STR_OCTET_LENGTH','%SQL_FN_STR_POSITION','%SQL_FN_STR_REPEAT','%SQL_FN_STR_REPLACE','%SQL_FN_STR_RIGHT','%SQL_FN_STR_RTRIM',
+ '%SQL_FN_STR_SOUNDEX','%SQL_FN_STR_SPACE','%SQL_FN_STR_SUBSTRING','%SQL_FN_STR_UCASE','%SQL_FN_SYS_DBNAME','%SQL_FN_SYS_IFNULL','%SQL_FN_SYS_USERNAME','%SQL_FN_TD_CURDATE',
+ '%SQL_FN_TD_CURRENT_DATE','%SQL_FN_TD_CURRENT_TIME','%SQL_FN_TD_CURRENT_TIMESTAMP','%SQL_FN_TD_CURTIME','%SQL_FN_TD_DAYNAME','%SQL_FN_TD_DAYOFMONTH','%SQL_FN_TD_DAYOFWEEK','%SQL_FN_TD_DAYOFYEAR',
+ '%SQL_FN_TD_EXTRACT','%SQL_FN_TD_HOUR','%SQL_FN_TD_MINUTE','%SQL_FN_TD_MONTH','%SQL_FN_TD_MONTHNAME','%SQL_FN_TD_NOW','%SQL_FN_TD_QUARTER','%SQL_FN_TD_SECOND',
+ '%SQL_FN_TD_TIMESTAMPADD','%SQL_FN_TD_TIMESTAMPDIFF','%SQL_FN_TD_WEEK','%SQL_FN_TD_YEAR','%SQL_FN_TSI_DAY','%SQL_FN_TSI_FRAC_SECOND','%SQL_FN_TSI_HOUR','%SQL_FN_TSI_MINUTE',
+ '%SQL_FN_TSI_MONTH','%SQL_FN_TSI_QUARTER','%SQL_FN_TSI_SECOND','%SQL_FN_TSI_WEEK','%SQL_FN_TSI_YEAR','%SQL_FORWARD_ONLY_CURSOR_ATTRIBUTES1','%SQL_FORWARD_ONLY_CURSOR_ATTRIBUTES2','%SQL_GB_COLLATE',
+ '%SQL_GB_GROUP_BY_CONTAINS_SELECT','%SQL_GB_GROUP_BY_EQUALS_SELECT','%SQL_GB_NOT_SUPPORTED','%SQL_GB_NO_RELATION','%SQL_GD_ANY_COLUMN','%SQL_GD_ANY_ORDER','%SQL_GD_BLOCK','%SQL_GD_BOUND',
+ '%SQL_GETDATA_EXTENSIONS','%SQL_GET_BOOKMARK','%SQL_GROUP_BY','%SQL_GUID','%SQL_HANDLE_DBC','%SQL_HANDLE_DESC','%SQL_HANDLE_ENV','%SQL_HANDLE_SENV',
+ '%SQL_HANDLE_STMT','%SQL_HOUR','%SQL_HOUR_TO_MINUTE','%SQL_HOUR_TO_SECOND','%SQL_IC_LOWER','%SQL_IC_MIXED','%SQL_IC_SENSITIVE','%SQL_IC_UPPER',
+ '%SQL_IDENTIFIER_CASE','%SQL_IDENTIFIER_QUOTE_CHAR','%SQL_IGNORE','%SQL_IK_ALL','%SQL_IK_ASC','%SQL_IK_DESC','%SQL_IK_NONE','%SQL_INDEX_ALL',
+ '%SQL_INDEX_CLUSTERED','%SQL_INDEX_HASHED','%SQL_INDEX_KEYWORDS','%SQL_INDEX_OTHER','%SQL_INDEX_UNIQUE','%SQL_INFO_FIRST','%SQL_INFO_SCHEMA_VIEWS','%SQL_INITIALLY_DEFERRED',
+ '%SQL_INITIALLY_IMMEDIATE','%SQL_INSENSITIVE','%SQL_INSERT_STATEMENT','%SQL_INTEGER','%SQL_INTEGRITY','%SQL_INTERVAL','%SQL_INTERVAL_DAY','%SQL_INTERVAL_DAY_TO_HOUR',
+ '%SQL_INTERVAL_DAY_TO_MINUTE','%SQL_INTERVAL_DAY_TO_SECOND','%SQL_INTERVAL_HOUR','%SQL_INTERVAL_HOUR_TO_MINUTE','%SQL_INTERVAL_HOUR_TO_SECOND','%SQL_INTERVAL_MINUTE','%SQL_INTERVAL_MINUTE_TO_SECOND','%SQL_INTERVAL_MONTH',
+ '%SQL_INTERVAL_SECOND','%SQL_INTERVAL_YEAR','%SQL_INTERVAL_YEAR_TO_MONTH','%SQL_INVALID_HANDLE','%SQL_ISV_ASSERTIONS','%SQL_ISV_CHARACTER_SETS','%SQL_ISV_CHECK_CONSTRAINTS','%SQL_ISV_COLLATIONS',
+ '%SQL_ISV_COLUMNS','%SQL_ISV_COLUMN_DOMAIN_USAGE','%SQL_ISV_COLUMN_PRIVILEGES','%SQL_ISV_CONSTRAINT_COLUMN_USAGE','%SQL_ISV_CONSTRAINT_TABLE_USAGE','%SQL_ISV_DOMAINS','%SQL_ISV_DOMAIN_CONSTRAINTS','%SQL_ISV_KEY_COLUMN_USAGE',
+ '%SQL_ISV_REFERENTIAL_CONSTRAINTS','%SQL_ISV_SCHEMATA','%SQL_ISV_SQL_LANGUAGES','%SQL_ISV_TABLES','%SQL_ISV_TABLE_CONSTRAINTS','%SQL_ISV_TABLE_PRIVILEGES','%SQL_ISV_TRANSLATIONS','%SQL_ISV_USAGE_PRIVILEGES',
+ '%SQL_ISV_VIEWS','%SQL_ISV_VIEW_COLUMN_USAGE','%SQL_ISV_VIEW_TABLE_USAGE','%SQL_IS_DAY','%SQL_IS_DAY_TO_HOUR','%SQL_IS_DAY_TO_MINUTE','%SQL_IS_DAY_TO_SECOND','%SQL_IS_HOUR',
+ '%SQL_IS_HOUR_TO_MINUTE','%SQL_IS_HOUR_TO_SECOND','%SQL_IS_INSERT_LITERALS','%SQL_IS_INSERT_SEARCHED','%SQL_IS_INTEGER','%SQL_IS_MINUTE','%SQL_IS_MINUTE_TO_SECOND','%SQL_IS_MONTH',
+ '%SQL_IS_POINTER','%SQL_IS_SECOND','%SQL_IS_SELECT_INTO','%SQL_IS_SMALLINT','%SQL_IS_UINTEGER','%SQL_IS_USMALLINT','%SQL_IS_YEAR','%SQL_IS_YEAR_TO_MONTH',
+ '%SQL_KEYSET_CURSOR_ATTRIBUTES1','%SQL_KEYSET_CURSOR_ATTRIBUTES2','%SQL_KEYSET_SIZE','%SQL_KEYSET_SIZE_DEFAULT','%SQL_KEYWORDS','%SQL_LCK_EXCLUSIVE','%SQL_LCK_NO_CHANGE','%SQL_LCK_UNLOCK',
+ '%SQL_LEN_BINARY_ATTR_OFFSET','%SQL_LEN_DATA_AT_EXEC_OFFSET','%SQL_LIKE_ESCAPE_CLAUSE','%SQL_LIKE_ONLY','%SQL_LOCK_EXCLUSIVE','%SQL_LOCK_NO_CHANGE','%SQL_LOCK_TYPES','%SQL_LOCK_UNLOCK',
+ '%SQL_LOGIN_TIMEOUT','%SQL_LOGIN_TIMEOUT_DEFAULT','%SQL_LONGVARBINARY','%SQL_LONGVARCHAR','%SQL_MAXIMUM_CATALOG_NAME_LENGTH','%SQL_MAXIMUM_COLUMNS_IN_GROUP_BY','%SQL_MAXIMUM_COLUMNS_IN_INDEX','%SQL_MAXIMUM_COLUMNS_IN_ORDER_BY',
+ '%SQL_MAXIMUM_COLUMNS_IN_SELECT','%SQL_MAXIMUM_COLUMN_NAME_LENGTH','%SQL_MAXIMUM_CONCURRENT_ACTIVITIES','%SQL_MAXIMUM_CURSOR_NAME_LENGTH','%SQL_MAXIMUM_DRIVER_CONNECTIONS','%SQL_MAXIMUM_IDENTIFIER_LENGTH','%SQL_MAXIMUM_INDEX_SIZE','%SQL_MAXIMUM_ROW_SIZE',
+ '%SQL_MAXIMUM_SCHEMA_NAME_LENGTH','%SQL_MAXIMUM_STATEMENT_LENGTH','%SQL_MAXIMUM_TABLES_IN_SELECT','%SQL_MAXIMUM_USER_NAME_LENGTH','%SQL_MAX_ASYNC_CONCURRENT_STATEMENTS','%SQL_MAX_BINARY_LITERAL_LEN','%SQL_MAX_CATALOG_NAME_LEN','%SQL_MAX_CHAR_LITERAL_LEN',
+ '%SQL_MAX_COLUMNS_IN_GROUP_BY','%SQL_MAX_COLUMNS_IN_INDEX','%SQL_MAX_COLUMNS_IN_ORDER_BY','%SQL_MAX_COLUMNS_IN_SELECT','%SQL_MAX_COLUMNS_IN_TABLE','%SQL_MAX_COLUMN_NAME_LEN','%SQL_MAX_CONCURRENT_ACTIVITIES','%SQL_MAX_CURSOR_NAME_LEN',
+ '%SQL_MAX_DRIVER_CONNECTIONS','%SQL_MAX_DSN_LENGTH','%SQL_MAX_IDENTIFIER_LEN','%SQL_MAX_INDEX_SIZE','%SQL_MAX_LENGTH','%SQL_MAX_LENGTH_DEFAULT','%SQL_MAX_MESSAGE_LENGTH','%SQL_MAX_NUMERIC_LEN',
+ '%SQL_MAX_OPTION_STRING_LENGTH','%SQL_MAX_OWNER_NAME_LEN','%SQL_MAX_PROCEDURE_NAME_LEN','%SQL_MAX_QUALIFIER_NAME_LEN','%SQL_MAX_ROWS','%SQL_MAX_ROWS_DEFAULT','%SQL_MAX_ROW_SIZE','%SQL_MAX_ROW_SIZE_INCLUDES_LONG',
+ '%SQL_MAX_SCHEMA_NAME_LEN','%SQL_MAX_STATEMENT_LEN','%SQL_MAX_TABLES_IN_SELECT','%SQL_MAX_TABLE_NAME_LEN','%SQL_MAX_USER_NAME_LEN','%SQL_MINUTE','%SQL_MINUTE_TO_SECOND','%SQL_MODE_DEFAULT',
+ '%SQL_MODE_READ_ONLY','%SQL_MODE_READ_WRITE','%SQL_MONTH','%SQL_MULTIPLE_ACTIVE_TXN','%SQL_MULT_RESULT_SETS','%SQL_NAMED','%SQL_NC_END','%SQL_NC_HIGH',
+ '%SQL_NC_LOW','%SQL_NC_START','%SQL_NEED_DATA','%SQL_NEED_LONG_DATA_LEN','%SQL_NNC_NON_NULL','%SQL_NNC_NULL','%SQL_NONSCROLLABLE','%SQL_NON_NULLABLE_COLUMNS',
+ '%SQL_NOSCAN','%SQL_NOSCAN_DEFAULT','%SQL_NOSCAN_OFF','%SQL_NOSCAN_ON','%SQL_NOT_DEFERRABLE','%SQL_NO_ACTION','%SQL_NO_COLUMN_NUMBER','%SQL_NO_DATA',
+ '%SQL_NO_DATA_FOUND','%SQL_NO_NULLS','%SQL_NO_ROW_NUMBER','%SQL_NO_TOTAL','%SQL_NTS','%SQL_NTSL','%SQL_NULLABLE','%SQL_NULLABLE_UNKNOWN',
+ '%SQL_NULL_COLLATION','%SQL_NULL_DATA','%SQL_NULL_HANDLE','%SQL_NULL_HDBC','%SQL_NULL_HDESC','%SQL_NULL_HENV','%SQL_NULL_HSTMT','%SQL_NUMERIC',
+ '%SQL_NUMERIC_FUNCTIONS','%SQL_OAC_LEVEL1','%SQL_OAC_LEVEL2','%SQL_OAC_NONE','%SQL_ODBC_API_CONFORMANCE','%SQL_ODBC_CURSORS','%SQL_ODBC_INTERFACE_CONFORMANCE','%SQL_ODBC_SAG_CLI_CONFORMANCE',
+ '%SQL_ODBC_SQL_CONFORMANCE','%SQL_ODBC_SQL_OPT_IEF','%SQL_ODBC_VER','%SQL_OIC_CORE','%SQL_OIC_LEVEL1','%SQL_OIC_LEVEL2','%SQL_OJ_ALL_COMPARISON_OPS','%SQL_OJ_CAPABILITIES',
+ '%SQL_OJ_FULL','%SQL_OJ_INNER','%SQL_OJ_LEFT','%SQL_OJ_NESTED','%SQL_OJ_NOT_ORDERED','%SQL_OJ_RIGHT','%SQL_OPT_TRACE','%SQL_OPT_TRACEFILE',
+ '%SQL_OPT_TRACE_DEFAULT','%SQL_OPT_TRACE_OFF','%SQL_OPT_TRACE_ON','%SQL_ORDER_BY_COLUMNS_IN_SELECT','%SQL_OSCC_COMPLIANT','%SQL_OSCC_NOT_COMPLIANT','%SQL_OSC_CORE','%SQL_OSC_EXTENDED',
+ '%SQL_OSC_MINIMUM','%SQL_OUTER_JOINS','%SQL_OUTER_JOIN_CAPABILITIES','%SQL_OU_DML_STATEMENTS','%SQL_OU_INDEX_DEFINITION','%SQL_OU_PRIVILEGE_DEFINITION','%SQL_OU_PROCEDURE_INVOCATION','%SQL_OU_TABLE_DEFINITION',
+ '%SQL_OV_ODBC2','%SQL_OV_ODBC3','%SQL_OWNER_TERM','%SQL_OWNER_USAGE','%SQL_PACKET_SIZE','%SQL_PARAM_ARRAY_ROW_COUNTS','%SQL_PARAM_ARRAY_SELECTS','%SQL_PARAM_BIND_BY_COLUMN',
+ '%SQL_PARAM_BIND_TYPE_DEFAULT','%SQL_PARAM_DIAG_UNAVAILABLE','%SQL_PARAM_ERROR','%SQL_PARAM_IGNORE','%SQL_PARAM_INPUT','%SQL_PARAM_INPUT_OUTPUT','%SQL_PARAM_OUTPUT','%SQL_PARAM_PROCEED',
+ '%SQL_PARAM_SUCCESS','%SQL_PARAM_SUCCESS_WITH_INFO','%SQL_PARAM_TYPE_DEFAULT','%SQL_PARAM_TYPE_UNKNOWN','%SQL_PARAM_UNUSED','%SQL_PARC_BATCH','%SQL_PARC_NO_BATCH','%SQL_PAS_BATCH',
+ '%SQL_PAS_NO_BATCH','%SQL_PAS_NO_SELECT','%SQL_PC_NON_PSEUDO','%SQL_PC_NOT_PSEUDO','%SQL_PC_PSEUDO','%SQL_PC_UNKNOWN','%SQL_POSITION','%SQL_POSITIONED_STATEMENTS',
+ '%SQL_POS_ADD','%SQL_POS_DELETE','%SQL_POS_OPERATIONS','%SQL_POS_POSITION','%SQL_POS_REFRESH','%SQL_POS_UPDATE','%SQL_PRED_BASIC','%SQL_PRED_CHAR',
+ '%SQL_PRED_NONE','%SQL_PRED_SEARCHABLE','%SQL_PROCEDURES','%SQL_PROCEDURE_TERM','%SQL_PS_POSITIONED_DELETE','%SQL_PS_POSITIONED_UPDATE','%SQL_PS_SELECT_FOR_UPDATE','%SQL_PT_FUNCTION',
+ '%SQL_PT_PROCEDURE','%SQL_PT_UNKNOWN','%SQL_QL_END','%SQL_QL_START','%SQL_QUALIFIER_LOCATION','%SQL_QUALIFIER_NAME_SEPARATOR','%SQL_QUALIFIER_TERM','%SQL_QUALIFIER_USAGE',
+ '%SQL_QUERY_TIMEOUT','%SQL_QUERY_TIMEOUT_DEFAULT','%SQL_QUICK','%SQL_QUIET_MODE','%SQL_QUOTED_IDENTIFIER_CASE','%SQL_QU_DML_STATEMENTS','%SQL_QU_INDEX_DEFINITION','%SQL_QU_PRIVILEGE_DEFINITION',
+ '%SQL_QU_PROCEDURE_INVOCATION','%SQL_QU_TABLE_DEFINITION','%SQL_RD_DEFAULT','%SQL_RD_OFF','%SQL_RD_ON','%SQL_REAL','%SQL_REFRESH','%SQL_RESET_PARAMS',
+ '%SQL_RESTRICT','%SQL_RESULT_COL','%SQL_RETRIEVE_DATA','%SQL_RETURN_VALUE','%SQL_ROLLBACK','%SQL_ROWSET_SIZE','%SQL_ROWSET_SIZE_DEFAULT','%SQL_ROWVER',
+ '%SQL_ROW_ADDED','%SQL_ROW_DELETED','%SQL_ROW_ERROR','%SQL_ROW_IDENTIFIER','%SQL_ROW_IGNORE','%SQL_ROW_NOROW','%SQL_ROW_NUMBER','%SQL_ROW_NUMBER_UNKNOWN',
+ '%SQL_ROW_PROCEED','%SQL_ROW_SUCCESS','%SQL_ROW_SUCCESS_WITH_INFO','%SQL_ROW_UPDATED','%SQL_ROW_UPDATES','%SQL_SCCO_LOCK','%SQL_SCCO_OPT_ROWVER','%SQL_SCCO_OPT_TIMESTAMP',
+ '%SQL_SCCO_OPT_VALUES','%SQL_SCCO_READ_ONLY','%SQL_SCC_ISO92_CLI','%SQL_SCC_XOPEN_CLI_VERSION1','%SQL_SCHEMA_TERM','%SQL_SCHEMA_USAGE','%SQL_SCOPE_CURROW','%SQL_SCOPE_SESSION',
+ '%SQL_SCOPE_TRANSACTION','%SQL_SCROLLABLE','%SQL_SCROLL_CONCURRENCY','%SQL_SCROLL_DYNAMIC','%SQL_SCROLL_FORWARD_ONLY','%SQL_SCROLL_KEYSET_DRIVEN','%SQL_SCROLL_OPTIONS','%SQL_SCROLL_STATIC',
+ '%SQL_SC_FIPS127_2_TRANSITIONAL','%SQL_SC_NON_UNIQUE','%SQL_SC_SQL92_ENTRY','%SQL_SC_SQL92_FULL','%SQL_SC_SQL92_INTERMEDIATE','%SQL_SC_TRY_UNIQUE','%SQL_SC_UNIQUE','%SQL_SDF_CURRENT_DATE',
+ '%SQL_SDF_CURRENT_TIME','%SQL_SDF_CURRENT_TIMESTAMP','%SQL_SEARCHABLE','%SQL_SEARCH_PATTERN_ESCAPE','%SQL_SECOND','%SQL_SENSITIVE','%SQL_SERVER_NAME','%SQL_SETPARAM_VALUE_MAX',
+ '%SQL_SETPOS_MAX_LOCK_VALUE','%SQL_SETPOS_MAX_OPTION_VALUE','%SQL_SET_DEFAULT','%SQL_SET_NULL','%SQL_SFKD_CASCADE','%SQL_SFKD_NO_ACTION','%SQL_SFKD_SET_DEFAULT','%SQL_SFKD_SET_NULL',
+ '%SQL_SFKU_CASCADE','%SQL_SFKU_NO_ACTION','%SQL_SFKU_SET_DEFAULT','%SQL_SFKU_SET_NULL','%SQL_SG_DELETE_TABLE','%SQL_SG_INSERT_COLUMN','%SQL_SG_INSERT_TABLE','%SQL_SG_REFERENCES_COLUMN',
+ '%SQL_SG_REFERENCES_TABLE','%SQL_SG_SELECT_TABLE','%SQL_SG_UPDATE_COLUMN','%SQL_SG_UPDATE_TABLE','%SQL_SG_USAGE_ON_CHARACTER_SET','%SQL_SG_USAGE_ON_COLLATION','%SQL_SG_USAGE_ON_DOMAIN','%SQL_SG_USAGE_ON_TRANSLATION',
+ '%SQL_SG_WITH_GRANT_OPTION','%SQL_SIGNED_OFFSET','%SQL_SIMULATE_CURSOR','%SQL_SMALLINT','%SQL_SNVF_BIT_LENGTH','%SQL_SNVF_CHARACTER_LENGTH','%SQL_SNVF_CHAR_LENGTH','%SQL_SNVF_EXTRACT',
+ '%SQL_SNVF_OCTET_LENGTH','%SQL_SNVF_POSITION','%SQL_SO_DYNAMIC','%SQL_SO_FORWARD_ONLY','%SQL_SO_KEYSET_DRIVEN','%SQL_SO_MIXED','%SQL_SO_STATIC','%SQL_SPECIAL_CHARACTERS',
+ '%SQL_SPEC_MAJOR','%SQL_SPEC_MINOR','%SQL_SP_BETWEEN','%SQL_SP_COMPARISON','%SQL_SP_EXISTS','%SQL_SP_IN','%SQL_SP_ISNOTNULL','%SQL_SP_ISNULL',
+ '%SQL_SP_LIKE','%SQL_SP_MATCH_FULL','%SQL_SP_MATCH_PARTIAL','%SQL_SP_MATCH_UNIQUE_FULL','%SQL_SP_MATCH_UNIQUE_PARTIAL','%SQL_SP_OVERLAPS','%SQL_SP_QUANTIFIED_COMPARISON','%SQL_SP_UNIQUE',
+ '%SQL_SQL92_DATETIME_FUNCTIONS','%SQL_SQL92_FOREIGN_KEY_DELETE_RULE','%SQL_SQL92_FOREIGN_KEY_UPDATE_RULE','%SQL_SQL92_GRANT','%SQL_SQL92_NUMERIC_VALUE_FUNCTIONS','%SQL_SQL92_PREDICATES','%SQL_SQL92_RELATIONAL_JOIN_OPERATORS','%SQL_SQL92_REVOKE',
+ '%SQL_SQL92_ROW_VALUE_CONSTRUCTOR','%SQL_SQL92_STRING_FUNCTIONS','%SQL_SQL92_VALUE_EXPRESSIONS','%SQL_SQLSTATE_SIZE','%SQL_SQL_CONFORMANCE','%SQL_SQ_COMPARISON','%SQL_SQ_CORRELATED_SUBQUERIES','%SQL_SQ_EXISTS',
+ '%SQL_SQ_IN','%SQL_SQ_QUANTIFIED','%SQL_SRJO_CORRESPONDING_CLAUSE','%SQL_SRJO_CROSS_JOIN','%SQL_SRJO_EXCEPT_JOIN','%SQL_SRJO_FULL_OUTER_JOIN','%SQL_SRJO_INNER_JOIN','%SQL_SRJO_INTERSECT_JOIN',
+ '%SQL_SRJO_LEFT_OUTER_JOIN','%SQL_SRJO_NATURAL_JOIN','%SQL_SRJO_RIGHT_OUTER_JOIN','%SQL_SRJO_UNION_JOIN','%SQL_SRVC_DEFAULT','%SQL_SRVC_NULL','%SQL_SRVC_ROW_SUBQUERY','%SQL_SRVC_VALUE_EXPRESSION',
+ '%SQL_SR_CASCADE','%SQL_SR_DELETE_TABLE','%SQL_SR_GRANT_OPTION_FOR','%SQL_SR_INSERT_COLUMN','%SQL_SR_INSERT_TABLE','%SQL_SR_REFERENCES_COLUMN','%SQL_SR_REFERENCES_TABLE','%SQL_SR_RESTRICT',
+ '%SQL_SR_SELECT_TABLE','%SQL_SR_UPDATE_COLUMN','%SQL_SR_UPDATE_TABLE','%SQL_SR_USAGE_ON_CHARACTER_SET','%SQL_SR_USAGE_ON_COLLATION','%SQL_SR_USAGE_ON_DOMAIN','%SQL_SR_USAGE_ON_TRANSLATION','%SQL_SSF_CONVERT',
+ '%SQL_SSF_LOWER','%SQL_SSF_SUBSTRING','%SQL_SSF_TRANSLATE','%SQL_SSF_TRIM_BOTH','%SQL_SSF_TRIM_LEADING','%SQL_SSF_TRIM_TRAILING','%SQL_SSF_UPPER','%SQL_SS_ADDITIONS',
+ '%SQL_SS_DELETIONS','%SQL_SS_UPDATES','%SQL_STANDARD_CLI_CONFORMANCE','%SQL_STATIC_CURSOR_ATTRIBUTES1','%SQL_STATIC_CURSOR_ATTRIBUTES2','%SQL_STATIC_SENSITIVITY','%SQL_STILL_EXECUTING','%SQL_STRING_FUNCTIONS',
+ '%SQL_SUBQUERIES','%SQL_SUCCESS','%SQL_SUCCESS_WITH_INFO','%SQL_SU_DML_STATEMENTS','%SQL_SU_INDEX_DEFINITION','%SQL_SU_PRIVILEGE_DEFINITION','%SQL_SU_PROCEDURE_INVOCATION','%SQL_SU_TABLE_DEFINITION',
+ '%SQL_SVE_CASE','%SQL_SVE_CAST','%SQL_SVE_COALESCE','%SQL_SVE_NULLIF','%SQL_SYSTEM_FUNCTIONS','%SQL_TABLE_STAT','%SQL_TABLE_TERM','%SQL_TC_ALL',
+ '%SQL_TC_DDL_COMMIT','%SQL_TC_DDL_IGNORE','%SQL_TC_DML','%SQL_TC_NONE','%SQL_TIME','%SQL_TIMEDATE_ADD_INTERVALS','%SQL_TIMEDATE_DIFF_INTERVALS','%SQL_TIMEDATE_FUNCTIONS',
+ '%SQL_TIMESTAMP','%SQL_TIMESTAMP_LEN','%SQL_TIME_LEN','%SQL_TINYINT','%SQL_TRANSACTION_CAPABLE','%SQL_TRANSACTION_ISOLATION_OPTION','%SQL_TRANSACTION_READ_COMMITTED','%SQL_TRANSACTION_READ_UNCOMMITTED',
+ '%SQL_TRANSACTION_REPEATABLE_READ','%SQL_TRANSACTION_SERIALIZABLE','%SQL_TRANSLATE_DLL','%SQL_TRANSLATE_OPTION','%SQL_TRUE','%SQL_TXN_CAPABLE','%SQL_TXN_ISOLATION','%SQL_TXN_ISOLATION_OPTION',
+ '%SQL_TXN_READ_COMMITTED','%SQL_TXN_READ_UNCOMMITTED','%SQL_TXN_REPEATABLE_READ','%SQL_TXN_SERIALIZABLE','%SQL_TYPE_DATE','%SQL_TYPE_NULL','%SQL_TYPE_TIME','%SQL_TYPE_TIMESTAMP',
+ '%SQL_UB_DEFAULT','%SQL_UB_FIXED','%SQL_UB_OFF','%SQL_UB_ON','%SQL_UB_VARIABLE','%SQL_UNBIND','%SQL_UNICODE','%SQL_UNICODE_CHAR',
+ '%SQL_UNICODE_LONGVARCHAR','%SQL_UNICODE_VARCHAR','%SQL_UNION','%SQL_UNION_STATEMENT','%SQL_UNKNOWN_TYPE','%SQL_UNNAMED','%SQL_UNSEARCHABLE','%SQL_UNSIGNED_OFFSET',
+ '%SQL_UNSPECIFIED','%SQL_UPDATE','%SQL_UPDATE_BY_BOOKMARK','%SQL_USER_NAME','%SQL_USE_BOOKMARKS','%SQL_US_UNION','%SQL_US_UNION_ALL','%SQL_U_UNION',
+ '%SQL_U_UNION_ALL','%SQL_VARBINARY','%SQL_VARCHAR','%SQL_XOPEN_CLI_YEAR','%SQL_YEAR','%SQL_YEAR_TO_MONTH','%SRCCOPY','%SS_BITMAP',
+ '%SS_BLACKFRAME','%SS_BLACKRECT','%SS_CENTER','%SS_CENTERIMAGE','%SS_ENDELLIPSIS','%SS_ETCHEDFRAME','%SS_ETCHEDHORZ','%SS_ETCHEDVERT',
+ '%SS_GRAYFRAME','%SS_GRAYRECT','%SS_LEFT','%SS_NOPREFIX','%SS_NOTIFY','%SS_NOWORDWRAP','%SS_PATHELLIPSIS','%SS_RIGHT',
+ '%SS_RIGHTJUST','%SS_SIMPLE','%SS_SUNKEN','%SS_WHITEFRAME','%SS_WHITERECT','%SS_WORDELLIPSIS','%STAT_FILL_FROM_MEMORY','%STAT_FILL_NATURAL',
+ '%STAT_FILL_NATURAL_ERASTONE','%STAT_FILL_NATURAL_EVEN','%STAT_FILL_NATURAL_FIBONACCI','%STAT_FILL_NATURAL_ODD','%STAT_FILL_WITH_NUMBER','%STAT_MINMAX_INDEX','%STAT_MINMAX_VALUE','%STAT_TYPE_BYTE',
+ '%STAT_TYPE_CURRENCY','%STAT_TYPE_DOUBLE','%STAT_TYPE_DWORD','%STAT_TYPE_EXT','%STAT_TYPE_INTEGER','%STAT_TYPE_LONG','%STAT_TYPE_QUAD','%STAT_TYPE_SINGLE',
+ '%STAT_TYPE_WORD','%SWP_ASYNCWINDOWPOS','%SWP_DEFERERASE','%SWP_DRAWFRAME','%SWP_FRAMECHANGED','%SWP_HIDEWINDOW','%SWP_NOACTIVATE','%SWP_NOCOPYBITS',
+ '%SWP_NOMOVE','%SWP_NOOWNERZORDER','%SWP_NOREDRAW','%SWP_NOREPOSITION','%SWP_NOSENDCHANGING','%SWP_NOSIZE','%SWP_NOZORDER','%SWP_SHOWWINDOW',
+ '%SW_FORCEMINIMIZE','%SW_HIDE','%SW_MAXIMIZE','%SW_MINIMIZE','%SW_NORMAL','%SW_RESTORE','%SW_SHOW','%SW_SHOWDEFAULT',
+ '%SW_SHOWMAXIMIZED','%SW_SHOWMINIMIZED','%SW_SHOWMINNOACTIVE','%SW_SHOWNA','%SW_SHOWNOACTIVATE','%SW_SHOWNORMAL','%TBASS_3DALG_DEFAULT','%TBASS_3DALG_FULL',
+ '%TBASS_3DALG_LIGHT','%TBASS_3DALG_OFF','%TBASS_3DMODE_NORMAL','%TBASS_3DMODE_OFF','%TBASS_3DMODE_RELATIVE','%TBASS_ACTIVE_PAUSED','%TBASS_ACTIVE_PLAYING','%TBASS_ACTIVE_STALLED',
+ '%TBASS_ACTIVE_STOPPED','%TBASS_CONFIG_3DALGORITHM','%TBASS_CONFIG_BUFFER','%TBASS_CONFIG_CURVE_PAN','%TBASS_CONFIG_CURVE_VOL','%TBASS_CONFIG_FLOATDSP','%TBASS_CONFIG_GVOL_MUSIC','%TBASS_CONFIG_GVOL_SAMPLE',
+ '%TBASS_CONFIG_GVOL_STREAM','%TBASS_CONFIG_MAXVOL','%TBASS_CONFIG_MP3_CODEC','%TBASS_CONFIG_NET_AGENT','%TBASS_CONFIG_NET_BUFFER','%TBASS_CONFIG_NET_PASSIVE','%TBASS_CONFIG_NET_PREBUF','%TBASS_CONFIG_NET_PROXY',
+ '%TBASS_CONFIG_NET_TIMEOUT','%TBASS_CONFIG_PAUSE_NOPLAY','%TBASS_CONFIG_UPDATEPERIOD','%TBASS_CTYPE_MUSIC_IT','%TBASS_CTYPE_MUSIC_MO3','%TBASS_CTYPE_MUSIC_MOD','%TBASS_CTYPE_MUSIC_MTM','%TBASS_CTYPE_MUSIC_S3M',
+ '%TBASS_CTYPE_MUSIC_XM','%TBASS_CTYPE_RECORD','%TBASS_CTYPE_SAMPLE','%TBASS_CTYPE_STREAM','%TBASS_CTYPE_STREAM_AIFF','%TBASS_CTYPE_STREAM_MP1','%TBASS_CTYPE_STREAM_MP2','%TBASS_CTYPE_STREAM_MP3',
+ '%TBASS_CTYPE_STREAM_OGG','%TBASS_CTYPE_STREAM_WAV','%TBASS_CTYPE_STREAM_WAV_FLOAT','%TBASS_CTYPE_STREAM_WAV_PCM','%TBASS_DATA_AVAILABLE','%TBASS_DATA_FFT1024','%TBASS_DATA_FFT2048','%TBASS_DATA_FFT4096',
+ '%TBASS_DATA_FFT512','%TBASS_DATA_FFT_INDIVIDUAL','%TBASS_DATA_FFT_NOWINDOW','%TBASS_DATA_FLOAT','%TBASS_DEVICE_3D','%TBASS_DEVICE_8BITS','%TBASS_DEVICE_LATENCY','%TBASS_DEVICE_MONO',
+ '%TBASS_DEVICE_NOSPEAKER','%TBASS_DEVICE_SPEAKERS','%TBASS_EAX_ENVIRONMENT_ALLEY','%TBASS_EAX_ENVIRONMENT_ARENA','%TBASS_EAX_ENVIRONMENT_AUDITORIUM','%TBASS_EAX_ENVIRONMENT_BATHROOM','%TBASS_EAX_ENVIRONMENT_CARPETEDHALLWAY','%TBASS_EAX_ENVIRONMENT_CAVE',
+ '%TBASS_EAX_ENVIRONMENT_CITY','%TBASS_EAX_ENVIRONMENT_CONCERTHALL','%TBASS_EAX_ENVIRONMENT_COUNT','%TBASS_EAX_ENVIRONMENT_DIZZY','%TBASS_EAX_ENVIRONMENT_DRUGGED','%TBASS_EAX_ENVIRONMENT_FOREST','%TBASS_EAX_ENVIRONMENT_GENERIC','%TBASS_EAX_ENVIRONMENT_HALLWAY',
+ '%TBASS_EAX_ENVIRONMENT_HANGAR','%TBASS_EAX_ENVIRONMENT_LIVINGROOM','%TBASS_EAX_ENVIRONMENT_MOUNTAINS','%TBASS_EAX_ENVIRONMENT_PADDEDCELL','%TBASS_EAX_ENVIRONMENT_PARKINGLOT','%TBASS_EAX_ENVIRONMENT_PLAIN','%TBASS_EAX_ENVIRONMENT_PSYCHOTIC','%TBASS_EAX_ENVIRONMENT_QUARRY',
+ '%TBASS_EAX_ENVIRONMENT_ROOM','%TBASS_EAX_ENVIRONMENT_SEWERPIPE','%TBASS_EAX_ENVIRONMENT_STONECORRIDOR','%TBASS_EAX_ENVIRONMENT_STONEROOM','%TBASS_EAX_ENVIRONMENT_UNDERWATER','%TBASS_ERROR_ALREADY','%TBASS_ERROR_BUFLOST','%TBASS_ERROR_CODEC',
+ '%TBASS_ERROR_CREATE','%TBASS_ERROR_DECODE','%TBASS_ERROR_DEVICE','%TBASS_ERROR_DRIVER','%TBASS_ERROR_DX','%TBASS_ERROR_EMPTY','%TBASS_ERROR_FILEFORM','%TBASS_ERROR_FILEOPEN',
+ '%TBASS_ERROR_FORMAT','%TBASS_ERROR_FREQ','%TBASS_ERROR_HANDLE','%TBASS_ERROR_ILLPARAM','%TBASS_ERROR_ILLTYPE','%TBASS_ERROR_INIT','%TBASS_ERROR_MEM','%TBASS_ERROR_NO3D',
+ '%TBASS_ERROR_NOCHAN','%TBASS_ERROR_NOEAX','%TBASS_ERROR_NOFX','%TBASS_ERROR_NOHW','%TBASS_ERROR_NONET','%TBASS_ERROR_NOPAUSE','%TBASS_ERROR_NOPLAY','%TBASS_ERROR_NOTAVAIL',
+ '%TBASS_ERROR_NOTFILE','%TBASS_ERROR_PLAYING','%TBASS_ERROR_POSITION','%TBASS_ERROR_SPEAKER','%TBASS_ERROR_START','%TBASS_ERROR_TIMEOUT','%TBASS_ERROR_UNKNOWN','%TBASS_ERROR_VERSION',
+ '%TBASS_FALSE','%TBASS_FILEPOS_CURRENT','%TBASS_FILEPOS_DECODE','%TBASS_FILEPOS_DOWNLOAD','%TBASS_FILEPOS_END','%TBASS_FILEPOS_START','%TBASS_FILE_CLOSE','%TBASS_FILE_LEN',
+ '%TBASS_FILE_READ','%TBASS_FILE_SEEK','%TBASS_FX_CHORUS','%TBASS_FX_COMPRESSOR','%TBASS_FX_DISTORTION','%TBASS_FX_ECHO','%TBASS_FX_FLANGER','%TBASS_FX_GARGLE',
+ '%TBASS_FX_I3DL2REVERB','%TBASS_FX_PARAMEQ','%TBASS_FX_PHASE_180','%TBASS_FX_PHASE_90','%TBASS_FX_PHASE_NEG_180','%TBASS_FX_PHASE_NEG_90','%TBASS_FX_PHASE_ZERO','%TBASS_FX_REVERB',
+ '%TBASS_INPUT_LEVEL','%TBASS_INPUT_OFF','%TBASS_INPUT_ON','%TBASS_INPUT_TYPE_ANALOG','%TBASS_INPUT_TYPE_AUX','%TBASS_INPUT_TYPE_CD','%TBASS_INPUT_TYPE_DIGITAL','%TBASS_INPUT_TYPE_LINE',
+ '%TBASS_INPUT_TYPE_MASK','%TBASS_INPUT_TYPE_MIC','%TBASS_INPUT_TYPE_PHONE','%TBASS_INPUT_TYPE_SPEAKER','%TBASS_INPUT_TYPE_SYNTH','%TBASS_INPUT_TYPE_UNDEF','%TBASS_INPUT_TYPE_WAVE','%TBASS_MP3_SETPOS',
+ '%TBASS_MUSIC_3D','%TBASS_MUSIC_ATTRIB_AMPLIFY','%TBASS_MUSIC_ATTRIB_BPM','%TBASS_MUSIC_ATTRIB_PANSEP','%TBASS_MUSIC_ATTRIB_PSCALER','%TBASS_MUSIC_ATTRIB_SPEED','%TBASS_MUSIC_ATTRIB_VOL_CHAN','%TBASS_MUSIC_ATTRIB_VOL_GLOBAL',
+ '%TBASS_MUSIC_ATTRIB_VOL_INST','%TBASS_MUSIC_AUTOFREE','%TBASS_MUSIC_CALCLEN','%TBASS_MUSIC_DECODE','%TBASS_MUSIC_FLOAT','%TBASS_MUSIC_FT2MOD','%TBASS_MUSIC_FX','%TBASS_MUSIC_LOOP',
+ '%TBASS_MUSIC_MONO','%TBASS_MUSIC_NONINTER','%TBASS_MUSIC_NOSAMPLE','%TBASS_MUSIC_POSRESET','%TBASS_MUSIC_POSRESETEX','%TBASS_MUSIC_PRESCAN','%TBASS_MUSIC_PT1MOD','%TBASS_MUSIC_RAMP',
+ '%TBASS_MUSIC_RAMPS','%TBASS_MUSIC_STOPBACK','%TBASS_MUSIC_SURROUND','%TBASS_MUSIC_SURROUND2','%TBASS_OBJECT_DS','%TBASS_OBJECT_DS3DL','%TBASS_OK','%TBASS_RECORD_PAUSE',
+ '%TBASS_SAMPLE_3D','%TBASS_SAMPLE_8BITS','%TBASS_SAMPLE_FLOAT','%TBASS_SAMPLE_FX','%TBASS_SAMPLE_LOOP','%TBASS_SAMPLE_MONO','%TBASS_SAMPLE_MUTEMAX','%TBASS_SAMPLE_OVER_DIST',
+ '%TBASS_SAMPLE_OVER_POS','%TBASS_SAMPLE_OVER_VOL','%TBASS_SAMPLE_SOFTWARE','%TBASS_SAMPLE_VAM','%TBASS_SLIDE_FREQ','%TBASS_SLIDE_PAN','%TBASS_SLIDE_VOL','%TBASS_SPEAKER_CENLFE',
+ '%TBASS_SPEAKER_CENTER','%TBASS_SPEAKER_FRONT','%TBASS_SPEAKER_FRONTLEFT','%TBASS_SPEAKER_FRONTRIGHT','%TBASS_SPEAKER_LEFT','%TBASS_SPEAKER_LFE','%TBASS_SPEAKER_REAR','%TBASS_SPEAKER_REAR2',
+ '%TBASS_SPEAKER_REAR2LEFT','%TBASS_SPEAKER_REAR2RIGHT','%TBASS_SPEAKER_REARLEFT','%TBASS_SPEAKER_REARRIGHT','%TBASS_SPEAKER_RIGHT','%TBASS_STREAMPROC_END','%TBASS_STREAM_AUTOFREE','%TBASS_STREAM_BLOCK',
+ '%TBASS_STREAM_DECODE','%TBASS_STREAM_PRESCAN','%TBASS_STREAM_RESTRATE','%TBASS_STREAM_STATUS','%TBASS_SYNC_DOWNLOAD','%TBASS_SYNC_END','%TBASS_SYNC_FREE','%TBASS_SYNC_MESSAGE',
+ '%TBASS_SYNC_META','%TBASS_SYNC_MIXTIME','%TBASS_SYNC_MUSICFX','%TBASS_SYNC_MUSICINST','%TBASS_SYNC_MUSICPOS','%TBASS_SYNC_ONETIME','%TBASS_SYNC_POS','%TBASS_SYNC_SLIDE',
+ '%TBASS_SYNC_STALL','%TBASS_TAG_HTTP','%TBASS_TAG_ICY','%TBASS_TAG_ID3','%TBASS_TAG_ID3V2','%TBASS_TAG_META','%TBASS_TAG_MUSIC_INST','%TBASS_TAG_MUSIC_MESSAGE',
+ '%TBASS_TAG_MUSIC_NAME','%TBASS_TAG_MUSIC_SAMPLE','%TBASS_TAG_OGG','%TBASS_TAG_RIFF_INFO','%TBASS_TAG_VENDOR','%TBASS_TRUE','%TBASS_UNICODE','%TBASS_VAM_HARDWARE',
+ '%TBASS_VAM_SOFTWARE','%TBASS_VAM_TERM_DIST','%TBASS_VAM_TERM_PRIO','%TBASS_VAM_TERM_TIME','%TBASS_VERSION','%TBCD_CHANNEL','%TBCD_THUMB','%TBCD_TICS',
+ '%TBGL_ALIGN_CENTER','%TBGL_ALIGN_CENTER_CENTER','%TBGL_ALIGN_CENTER_DOWN','%TBGL_ALIGN_CENTER_UP','%TBGL_ALIGN_LEFT','%TBGL_ALIGN_LEFT_CENTER','%TBGL_ALIGN_LEFT_DOWN','%TBGL_ALIGN_LEFT_UP',
+ '%TBGL_ALIGN_RIGHT','%TBGL_ALIGN_RIGHT_CENTER','%TBGL_ALIGN_RIGHT_DOWN','%TBGL_ALIGN_RIGHT_UP','%TBGL_ALWAYS','%TBGL_EQUAL','%TBGL_ERROR_FILE','%TBGL_ERROR_MSGBOX',
+ '%TBGL_ERROR_NONE','%TBGL_GEQUAL','%TBGL_GREATER','%TBGL_LEQUAL','%TBGL_LESS','%TBGL_LIGHT_AMBIENT','%TBGL_LIGHT_CONSTANT_ATTENUATION','%TBGL_LIGHT_DIFFUSE',
+ '%TBGL_LIGHT_LINEAR_ATTENUATION','%TBGL_LIGHT_POSITION','%TBGL_LIGHT_QUADRATIC_ATTENUATION','%TBGL_LIGHT_SPECULAR','%TBGL_LIGHT_SPOT_CUTOFF','%TBGL_LIGHT_SPOT_DIRECTION','%TBGL_LIGHT_SPOT_EXPONENT','%TBGL_M15B',
+ '%TBGL_M15G','%TBGL_M15LAYER','%TBGL_M15PSTOP','%TBGL_M15R','%TBGL_M15TEXN','%TBGL_M15TEXX','%TBGL_M15TEXY','%TBGL_M15X',
+ '%TBGL_M15Y','%TBGL_M15Z','%TBGL_NEVER','%TBGL_NORMAL_NONE','%TBGL_NORMAL_PRECISE','%TBGL_NORMAL_SMOOTH','%TBGL_NOTEQUAL','%TBGL_OBJ_CUBE',
+ '%TBGL_OBJ_CUBE3','%TBGL_OBJ_CYLINDER','%TBGL_OBJ_SPHERE','%TBGL_PINFO_RGB','%TBGL_PINFO_XYZ','%TBGL_TEX_LINEAR','%TBGL_TEX_MIPMAP','%TBGL_TEX_NEAREST',
+ '%TBM_CLEARSEL','%TBM_CLEARTICS','%TBM_GETBUDDY','%TBM_GETCHANNELRECT','%TBM_GETLINESIZE','%TBM_GETNUMTICS','%TBM_GETPAGESIZE','%TBM_GETPOS',
+ '%TBM_GETPTICS','%TBM_GETRANGEMAX','%TBM_GETRANGEMIN','%TBM_GETSELEND','%TBM_GETSELSTART','%TBM_GETTHUMBLENGTH','%TBM_GETTHUMBRECT','%TBM_GETTIC',
+ '%TBM_GETTICPOS','%TBM_GETTOOLTIPS','%TBM_GETUNICODEFORMAT','%TBM_SETBUDDY','%TBM_SETLINESIZE','%TBM_SETPAGESIZE','%TBM_SETPOS','%TBM_SETRANGE',
+ '%TBM_SETRANGEMAX','%TBM_SETRANGEMIN','%TBM_SETSEL','%TBM_SETSELEND','%TBM_SETSELSTART','%TBM_SETTHUMBLENGTH','%TBM_SETTIC','%TBM_SETTICFREQ',
+ '%TBM_SETTIPSIDE','%TBM_SETTOOLTIPS','%TBM_SETUNICODEFORMAT','%TBS_AUTOTICKS','%TBS_BOTH','%TBS_BOTTOM','%TBS_DOWNISLEFT','%TBS_ENABLESELRANGE',
+ '%TBS_FIXEDLENGTH','%TBS_HORZ','%TBS_LEFT','%TBS_NOTHUMB','%TBS_NOTICKS','%TBS_REVERSED','%TBS_RIGHT','%TBS_TOOLTIPS',
+ '%TBS_TOP','%TBS_VERT','%TBTS_BOTTOM','%TBTS_LEFT','%TBTS_RIGHT','%TBTS_TOP','%TB_%VT_BSTR','%TB_%VT_CY',
+ '%TB_%VT_DATE','%TB_%VT_EMPTY','%TB_%VT_I2','%TB_%VT_I4','%TB_%VT_NULL','%TB_%VT_R4','%TB_%VT_R8','%TB_BOTTOM',
+ '%TB_CLASS_E_NOAGGREGATION','%TB_CO_E_CLASSSTRING','%TB_DISPATCH_METHOD','%TB_DISPATCH_PROPERTYGET','%TB_DISPATCH_PROPERTYPUT','%TB_DISPATCH_PROPERTYPUTREF','%TB_ENDTRACK','%TB_E_INVALIDARG',
+ '%TB_E_NOINTERFACE','%TB_E_OUTOFMEMORY','%TB_IMGCTX_ACTUALSIZE','%TB_IMGCTX_AUTOSIZE','%TB_IMGCTX_FITTOHEIGHT','%TB_IMGCTX_FITTOWIDTH','%TB_IMGCTX_STRETCH','%TB_LINEDOWN',
+ '%TB_LINEUP','%TB_MK_E_CONNECTMANUALLY','%TB_MK_E_EXCEEDEDDEADLINE','%TB_MK_E_INTERMEDIATEINTERFACENOTSUPPORTED','%TB_MK_E_NOOBJECT','%TB_MK_E_SYNTAX','%TB_PAGEDOWN','%TB_PAGEUP',
+ '%TB_REGDB_E_CLASSNOTREG','%TB_REGDB_E_WRITEREGDB','%TB_SIZEOF_TBVARIANT','%TB_S_FALSE','%TB_S_OK','%TB_THUMBPOSITION','%TB_THUMBTRACK','%TB_TOP',
+ '%TCM_FIRST','%TCM_GETCURSEL','%TCN_FOCUSCHANGE','%TCN_GETOBJECT','%TCN_SELCHANGE','%TCN_SELCHANGING','%TCS_BOTTOM','%TCS_BUTTONS',
+ '%TCS_EX_FLATSEPARATORS','%TCS_EX_REGISTERDROP','%TCS_FIXEDWIDTH','%TCS_FLATBUTTONS','%TCS_FOCUSNEVER','%TCS_FOCUSONBUTTONDOWN','%TCS_FORCEICONLEFT','%TCS_FORCELABELLEFT',
+ '%TCS_HOTTRACK','%TCS_MULTILINE','%TCS_MULTISELECT','%TCS_OWNERDRAWFIXED','%TCS_RAGGEDRIGHT','%TCS_RIGHT','%TCS_RIGHTJUSTIFY','%TCS_SCROLLOPPOSITE',
+ '%TCS_SINGLELINE','%TCS_TABS','%TCS_TOOLTIPS','%TCS_VERTICAL','%TM_PLAINTEXT','%TM_RICHTEXT','%TOKENIZER_DEFAULT_ALPHA','%TOKENIZER_DEFAULT_DELIM',
+ '%TOKENIZER_DEFAULT_DQUOTE','%TOKENIZER_DEFAULT_NEWLINE','%TOKENIZER_DEFAULT_NUMERIC','%TOKENIZER_DEFAULT_SPACE','%TOKENIZER_DELIMITER','%TOKENIZER_EOL','%TOKENIZER_ERROR','%TOKENIZER_FINISHED',
+ '%TOKENIZER_NUMBER','%TOKENIZER_QUOTE','%TOKENIZER_STRING','%TOKENIZER_UNDEFTOK','%TRUE','%TV_FIRST','%UDM_GETACCEL','%UDM_GETBASE',
+ '%UDM_GETBUDDY','%UDM_GETPOS','%UDM_GETPOS32','%UDM_GETRANGE','%UDM_GETRANGE32','%UDM_GETUNICODEFORMAT','%UDM_SETACCEL','%UDM_SETBASE',
+ '%UDM_SETBUDDY','%UDM_SETPOS','%UDM_SETPOS32','%UDM_SETRANGE','%UDM_SETRANGE32','%UDM_SETUNICODEFORMAT','%UDS_ALIGNLEFT','%UDS_ALIGNRIGHT',
+ '%UDS_ARROWKEYS','%UDS_AUTOBUDDY','%UDS_HORZ','%UDS_HOTTRACK','%UDS_NOTHOUSANDS','%UDS_SETBUDDYINT','%UDS_WRAP','%UD_MAXVAL',
+ '%UD_MINVAL','%VK_0','%VK_1','%VK_2','%VK_3','%VK_4','%VK_5','%VK_6',
+ '%VK_7','%VK_8','%VK_9','%VK_A','%VK_ACCEPT','%VK_ADD','%VK_APPS','%VK_B',
+ '%VK_BACK','%VK_C','%VK_CANCEL','%VK_CAPITAL','%VK_CLEAR','%VK_CONTROL','%VK_CONVERT','%VK_D',
+ '%VK_DECIMAL','%VK_DELETE','%VK_DIVIDE','%VK_DOWN','%VK_E','%VK_END','%VK_ESCAPE','%VK_EXECUTE',
+ '%VK_F','%VK_F1','%VK_F10','%VK_F11','%VK_F12','%VK_F13','%VK_F14','%VK_F15',
+ '%VK_F16','%VK_F17','%VK_F18','%VK_F19','%VK_F2','%VK_F20','%VK_F21','%VK_F22',
+ '%VK_F23','%VK_F24','%VK_F3','%VK_F4','%VK_F5','%VK_F6','%VK_F7','%VK_F8',
+ '%VK_F9','%VK_FINAL','%VK_G','%VK_H','%VK_HANGEUL','%VK_HANGUL','%VK_HANJA','%VK_HELP',
+ '%VK_HOME','%VK_I','%VK_INSERT','%VK_J','%VK_JUNJA','%VK_K','%VK_KANA','%VK_KANJI',
+ '%VK_L','%VK_LBUTTON','%VK_LEFT','%VK_LINEFEED','%VK_LWIN','%VK_M','%VK_MBUTTON','%VK_MENU',
+ '%VK_MODECHANGE','%VK_MULTIPLY','%VK_N','%VK_NEXT','%VK_NONCONVERT','%VK_NUMLOCK','%VK_NUMPAD0','%VK_NUMPAD1',
+ '%VK_NUMPAD2','%VK_NUMPAD3','%VK_NUMPAD4','%VK_NUMPAD5','%VK_NUMPAD6','%VK_NUMPAD7','%VK_NUMPAD8','%VK_NUMPAD9',
+ '%VK_O','%VK_P','%VK_PAUSE','%VK_PGDN','%VK_PGUP','%VK_PRINT','%VK_PRIOR','%VK_Q',
+ '%VK_R','%VK_RBUTTON','%VK_RETURN','%VK_RIGHT','%VK_RWIN','%VK_S','%VK_SCROLL','%VK_SELECT',
+ '%VK_SEPARATOR','%VK_SHIFT','%VK_SLEEP','%VK_SNAPSHOT','%VK_SPACE','%VK_SUBTRACT','%VK_T','%VK_TAB',
+ '%VK_U','%VK_UP','%VK_V','%VK_W','%VK_X','%VK_XBUTTON1','%VK_XBUTTON2','%VK_Y',
+ '%VK_Z','%VT_ARRAY','%VT_BLOB','%VT_BLOB_OBJECT','%VT_BOOL','%VT_BSTR','%VT_BYREF','%VT_CARRAY',
+ '%VT_CF','%VT_CLSID','%VT_CY','%VT_DATE','%VT_DISPATCH','%VT_EMPTY','%VT_ERROR','%VT_FILETIME',
+ '%VT_HRESULT','%VT_I1','%VT_I2','%VT_I4','%VT_I8','%VT_INT','%VT_LPSTR','%VT_LPWSTR',
+ '%VT_NULL','%VT_PTR','%VT_R4','%VT_R8','%VT_RECORD','%VT_RESERVED','%VT_SAFEARRAY','%VT_STORAGE',
+ '%VT_STORED_OBJECT','%VT_STREAM','%VT_STREAMED_OBJECT','%VT_UI1','%VT_UI2','%VT_UI4','%VT_UI8','%VT_UINT',
+ '%VT_UNKNOWN','%VT_USERDEFINED','%VT_VARIANT','%VT_VECTOR','%VT_VOID','%WAVE_FORMAT_1M08','%WAVE_FORMAT_1M16','%WAVE_FORMAT_1S08',
+ '%WAVE_FORMAT_1S16','%WAVE_FORMAT_2M08','%WAVE_FORMAT_2M16','%WAVE_FORMAT_2S08','%WAVE_FORMAT_2S16','%WAVE_FORMAT_4M08','%WAVE_FORMAT_4M16','%WAVE_FORMAT_4S08',
+ '%WAVE_FORMAT_4S16','%WBF_CUSTOM','%WBF_LEVEL1','%WBF_LEVEL2','%WBF_OVERFLOW','%WBF_WORDBREAK','%WBF_WORDWRAP','%WHITE',
+ '%WIN_FINDTITLECONTAIN','%WIN_FINDTITLEEND','%WIN_FINDTITLEEQUAL','%WIN_FINDTITLESTART','%WM_ACTIVATE','%WM_ACTIVATEAPP','%WM_CAPTURECHANGED','%WM_CHAR',
+ '%WM_CLOSE','%WM_COMMAND','%WM_DESTROY','%WM_DROPFILES','%WM_ERASEBKGND','%WM_GETTEXTLENGTH','%WM_HOTKEY','%WM_HSCROLL',
+ '%WM_IDLE','%WM_INITDIALOG','%WM_KEYDOWN','%WM_KEYUP','%WM_KILLFOCUS','%WM_LBUTTONDBLCLK','%WM_LBUTTONDOWN','%WM_LBUTTONUP',
+ '%WM_MBUTTONDBLCLK','%WM_MBUTTONDOWN','%WM_MBUTTONUP','%WM_MOUSEFIRST','%WM_MOUSEMOVE','%WM_MOUSEWHEEL','%WM_MOVE','%WM_MOVING',
+ '%WM_NCLBUTTONDOWN','%WM_NCRBUTTONDOWN','%WM_NEXTDLGCTL','%WM_NOTIFY','%WM_PAINT','%WM_QUIT','%WM_RBUTTONDBLCLK','%WM_RBUTTONDOWN',
+ '%WM_RBUTTONUP','%WM_SETFOCUS','%WM_SETFONT','%WM_SETTEXT','%WM_SIZE','%WM_SIZING','%WM_SYSCOMMAND','%WM_TIMER',
+ '%WM_USER','%WM_VSCROLL','%WS_BORDER','%WS_CAPTION','%WS_CHILD','%WS_CLIPCHILDREN','%WS_CLIPSIBLINGS','%WS_DISABLED',
+ '%WS_DLGFRAME','%WS_EX_ACCEPTFILES','%WS_EX_APPWINDOW','%WS_EX_CLIENTEDGE','%WS_EX_CONTEXTHELP','%WS_EX_CONTROLPARENT','%WS_EX_LAYERED','%WS_EX_LEFT',
+ '%WS_EX_LEFTSCROLLBAR','%WS_EX_LTRREADING','%WS_EX_MDICHILD','%WS_EX_NOPARENTNOTIFY','%WS_EX_OVERLAPPEDWINDOW','%WS_EX_PALETTEWINDOW','%WS_EX_RIGHT','%WS_EX_RIGHTSCROLLBAR',
+ '%WS_EX_RTLREADING','%WS_EX_STATICEDGE','%WS_EX_TOOLWINDOW','%WS_EX_TOPMOST','%WS_EX_TRANSPARENT','%WS_EX_WINDOWEDGE','%WS_GROUP','%WS_HSCROLL',
+ '%WS_ICONIC','%WS_MAXIMIZE','%WS_MAXIMIZEBOX','%WS_MINIMIZE','%WS_MINIMIZEBOX','%WS_OVERLAPPEDWINDOW','%WS_POPUP','%WS_POPUPWINDOW',
+ '%WS_SYSMENU','%WS_TABSTOP','%WS_THICKFRAME','%WS_VISIBLE','%WS_VSCROLL','%YELLOW','%ZERO','CRLF',
+ 'FALSE','M_E','M_PI','NULL','TAB','TRUE'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '{', '}', '[', ']', '=', '+', '-', '*', '/', '!', '%', '^', '&', ':'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #0000FF; font-weight: bold;',
+ 2 => 'color: #993333; font-style: italic; font-weight: bold;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #008000;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #333333;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #800080;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #CC0000;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #66cc66;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #333333;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099;'
+ ),
+ 'SCRIPT' => array(
+ ),
+ 'REGEXPS' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '_'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/tsql.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/tsql.php
new file mode 100644
index 000000000..fca874954
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/tsql.php
@@ -0,0 +1,375 @@
+<?php
+/*************************************************************************************
+ * tsql.php
+ * --------
+ * Author: Duncan Lock (dunc@dflock.co.uk)
+ * Copyright: (c) 2006 Duncan Lock (http://dflock.co.uk/), Nigel McNie (http://qbnz.com/highlighter)
+ * Release Version: 1.0.8.4
+ * Date Started: 2005/11/22
+ *
+ * T-SQL language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2004/01/23 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2006/01/23)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'T-SQL',
+ 'COMMENT_SINGLE' => array(1 => '--'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_UPPER,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ // Datatypes
+ 'bigint', 'int', 'smallint', 'tinyint', 'bit', 'decimal', 'numeric', 'money',
+ 'smallmoney', 'float', 'real', 'datetime', 'smalldatetime', 'char', 'varchar',
+ 'text', 'nchar', 'nvarchar', 'ntext', 'binary', 'varbinary', 'image', 'cursor',
+ 'sql_variant', 'table', 'timestamp', 'uniqueidentifier',
+
+ // Keywords
+ 'ABSOLUTE', 'ACTION', 'ADD', 'ADMIN', 'AFTER', 'AGGREGATE', 'ALIAS', 'ALLOCATE', 'ALTER', 'ARE', 'ARRAY', 'AS',
+ 'ASC', 'ASSERTION', 'AT', 'AUTHORIZATION', 'BACKUP', 'BEFORE', 'BEGIN', 'BINARY', 'BIT', 'BLOB', 'BOOLEAN', 'BOTH', 'BREADTH',
+ 'BREAK', 'BROWSE', 'BULK', 'BY', 'CALL', 'CASCADE', 'CASCADED', 'CASE', 'CAST', 'CATALOG', 'CHAR', 'CHARACTER', 'CHECK', 'CHECKPOINT',
+ 'CLASS', 'CLOB', 'CLOSE', 'CLUSTERED', 'COALESCE', 'COLLATE', 'COLLATION', 'COLUMN', 'COMMIT', 'COMPLETION', 'COMPUTE', 'CONNECT',
+ 'CONNECTION', 'CONSTRAINT', 'CONSTRAINTS', 'CONSTRUCTOR', 'CONTAINS', 'CONTAINSTABLE', 'CONTINUE', 'CONVERT', 'CORRESPONDING', 'CREATE',
+ 'CUBE', 'CURRENT', 'CURRENT_DATE', 'CURRENT_PATH', 'CURRENT_ROLE', 'CURRENT_TIME', 'CURRENT_TIMESTAMP', 'CURRENT_USER',
+ 'CURSOR', 'CYCLE', 'DATA', 'DATABASE', 'DATE', 'DAY', 'DBCC', 'DEALLOCATE', 'DEC', 'DECIMAL', 'DECLARE', 'DEFAULT', 'DEFERRABLE',
+ 'DEFERRED', 'DELETE', 'DENY', 'DEPTH', 'DEREF', 'DESC', 'DESCRIBE', 'DESCRIPTOR', 'DESTROY', 'DESTRUCTOR', 'DETERMINISTIC',
+ 'DIAGNOSTICS', 'DICTIONARY', 'DISCONNECT', 'DISK', 'DISTINCT', 'DISTRIBUTED', 'DOMAIN', 'DOUBLE', 'DROP', 'DUMMY', 'DUMP', 'DYNAMIC',
+ 'EACH', 'ELSE', 'END', 'END-EXEC', 'EQUALS', 'ERRLVL', 'ESCAPE', 'EVERY', 'EXCEPT', 'EXCEPTION', 'EXEC', 'EXECUTE', 'EXIT',
+ 'EXTERNAL', 'FALSE', 'FETCH', 'FILE', 'FILLFACTOR', 'FIRST', 'FLOAT', 'FOR', 'FOREIGN', 'FOUND', 'FREE', 'FREETEXT', 'FREETEXTTABLE',
+ 'FROM', 'FULL', 'FUNCTION', 'GENERAL', 'GET', 'GLOBAL', 'GOTO', 'GRANT', 'GROUP', 'GROUPING', 'HAVING', 'HOLDLOCK', 'HOST', 'HOUR',
+ 'IDENTITY', 'IDENTITY_INSERT', 'IDENTITYCOL', 'IF', 'IGNORE', 'IMMEDIATE', 'INDEX', 'INDICATOR', 'INITIALIZE', 'INITIALLY',
+ 'INNER', 'INOUT', 'INPUT', 'INSERT', 'INT', 'INTEGER', 'INTERSECT', 'INTERVAL', 'INTO', 'IS', 'ISOLATION', 'ITERATE', 'KEY',
+ 'KILL', 'LANGUAGE', 'LARGE', 'LAST', 'LATERAL', 'LEADING', 'LEFT', 'LESS', 'LEVEL', 'LIMIT', 'LINENO', 'LOAD', 'LOCAL',
+ 'LOCALTIME', 'LOCALTIMESTAMP', 'LOCATOR', 'MAP', 'MATCH', 'MINUTE', 'MODIFIES', 'MODIFY', 'MODULE', 'MONTH', 'NAMES', 'NATIONAL',
+ 'NATURAL', 'NCHAR', 'NCLOB', 'NEW', 'NEXT', 'NO', 'NOCHECK', 'NONCLUSTERED', 'NONE', 'NULLIF', 'NUMERIC', 'OBJECT', 'OF',
+ 'OFF', 'OFFSETS', 'OLD', 'ON', 'ONLY', 'OPEN', 'OPENDATASOURCE', 'OPENQUERY', 'OPENROWSET', 'OPENXML', 'OPERATION', 'OPTION',
+ 'ORDER', 'ORDINALITY', 'OUT', 'OUTPUT', 'OVER', 'PAD', 'PARAMETER', 'PARAMETERS', 'PARTIAL', 'PATH', 'PERCENT', 'PLAN',
+ 'POSTFIX', 'PRECISION', 'PREFIX', 'PREORDER', 'PREPARE', 'PRESERVE', 'PRIMARY', 'PRINT', 'PRIOR', 'PRIVILEGES', 'PROC', 'PROCEDURE',
+ 'PUBLIC', 'RAISERROR', 'READ', 'READS', 'READTEXT', 'REAL', 'RECONFIGURE', 'RECURSIVE', 'REF', 'REFERENCES', 'REFERENCING', 'RELATIVE',
+ 'REPLICATION', 'RESTORE', 'RESTRICT', 'RESULT', 'RETURN', 'RETURNS', 'REVOKE', 'RIGHT', 'ROLE', 'ROLLBACK', 'ROLLUP', 'ROUTINE', 'ROW',
+ 'ROWGUIDCOL', 'ROWS', 'RULE', 'SAVE', 'SAVEPOINT', 'SCHEMA', 'SCOPE', 'SCROLL', 'SEARCH', 'SECOND', 'SECTION', 'SELECT',
+ 'SEQUENCE', 'SESSION', 'SESSION_USER', 'SET', 'SETS', 'SETUSER', 'SHUTDOWN', 'SIZE', 'SMALLINT', 'SPACE', 'SPECIFIC',
+ 'SPECIFICTYPE', 'SQL', 'SQLEXCEPTION', 'SQLSTATE', 'SQLWARNING', 'START', 'STATE', 'STATEMENT', 'STATIC', 'STATISTICS', 'STRUCTURE',
+ 'SYSTEM_USER', 'TABLE', 'TEMPORARY', 'TERMINATE', 'TEXTSIZE', 'THAN', 'THEN', 'TIME', 'TIMESTAMP', 'TIMEZONE_HOUR', 'TIMEZONE_MINUTE',
+ 'TO', 'TOP', 'TRAILING', 'TRAN', 'TRANSACTION', 'TRANSLATION', 'TREAT', 'TRIGGER', 'TRUE', 'TRUNCATE', 'TSEQUAL', 'UNDER', 'UNION',
+ 'UNIQUE', 'UNKNOWN', 'UNNEST', 'UPDATE', 'UPDATETEXT', 'USAGE', 'USE', 'USER', 'USING', 'VALUE', 'VALUES', 'VARCHAR', 'VARIABLE',
+ 'VARYING', 'VIEW', 'WAITFOR', 'WHEN', 'WHENEVER', 'WHERE', 'WHILE', 'WITH', 'WITHOUT', 'WORK', 'WRITE', 'WRITETEXT', 'YEAR', 'ZONE',
+ 'UNCOMMITTED', 'NOCOUNT',
+ ),
+ 2 => array(
+ /*
+ Built-in functions
+ Highlighted in pink.
+ */
+
+ //Configuration Functions
+ '@@DATEFIRST','@@OPTIONS','@@DBTS','@@REMSERVER','@@LANGID','@@SERVERNAME',
+ '@@LANGUAGE','@@SERVICENAME','@@LOCK_TIMEOUT','@@SPID','@@MAX_CONNECTIONS',
+ '@@TEXTSIZE','@@MAX_PRECISION','@@VERSION','@@NESTLEVEL',
+
+ //Cursor Functions
+ '@@CURSOR_ROWS','@@FETCH_STATUS',
+
+ //Date and Time Functions
+ 'DATEADD','DATEDIFF','DATENAME','DATEPART','GETDATE','GETUTCDATE',
+
+ //Mathematical Functions
+ 'ABS','DEGREES','RAND','ACOS','EXP','ROUND','ASIN','FLOOR','SIGN',
+ 'ATAN','LOG','SIN','ATN2','LOG10','SQUARE','CEILING','PI','SQRT','COS',
+ 'POWER','TAN','COT','RADIANS',
+
+ //Meta Data Functions
+ 'COL_LENGTH','COL_NAME','FULLTEXTCATALOGPROPERTY',
+ 'COLUMNPROPERTY','FULLTEXTSERVICEPROPERTY','DATABASEPROPERTY','INDEX_COL',
+ 'DATABASEPROPERTYEX','INDEXKEY_PROPERTY','DB_ID','INDEXPROPERTY','DB_NAME',
+ 'OBJECT_ID','FILE_ID','OBJECT_NAME','FILE_NAME','OBJECTPROPERTY','FILEGROUP_ID',
+ '@@PROCID','FILEGROUP_NAME','SQL_VARIANT_PROPERTY','FILEGROUPPROPERTY',
+ 'TYPEPROPERTY','FILEPROPERTY',
+
+ //Security Functions
+ 'IS_SRVROLEMEMBER','SUSER_SID','SUSER_SNAME','USER_ID',
+ 'HAS_DBACCESS','IS_MEMBER',
+
+ //String Functions
+ 'ASCII','SOUNDEX','PATINDEX','CHARINDEX','REPLACE','STR',
+ 'DIFFERENCE','QUOTENAME','STUFF','REPLICATE','SUBSTRING','LEN',
+ 'REVERSE','UNICODE','LOWER','UPPER','LTRIM','RTRIM',
+
+ //System Functions
+ 'APP_NAME','COLLATIONPROPERTY','@@ERROR','FORMATMESSAGE',
+ 'GETANSINULL','HOST_ID','HOST_NAME','IDENT_CURRENT','IDENT_INCR',
+ 'IDENT_SEED','@@IDENTITY','ISDATE','ISNUMERIC','PARSENAME','PERMISSIONS',
+ '@@ROWCOUNT','ROWCOUNT_BIG','SCOPE_IDENTITY','SERVERPROPERTY','SESSIONPROPERTY',
+ 'STATS_DATE','@@TRANCOUNT','USER_NAME',
+
+ //System Statistical Functions
+ '@@CONNECTIONS','@@PACK_RECEIVED','@@CPU_BUSY','@@PACK_SENT',
+ '@@TIMETICKS','@@IDLE','@@TOTAL_ERRORS','@@IO_BUSY',
+ '@@TOTAL_READ','@@PACKET_ERRORS','@@TOTAL_WRITE',
+
+ //Text and Image Functions
+ 'TEXTPTR','TEXTVALID',
+
+ //Aggregate functions
+ 'AVG', 'MAX', 'BINARY_CHECKSUM', 'MIN', 'CHECKSUM', 'SUM', 'CHECKSUM_AGG',
+ 'STDEV', 'COUNT', 'STDEVP', 'COUNT_BIG', 'VAR', 'VARP'
+ ),
+ 3 => array(
+ /*
+ System stored procedures
+ Higlighted dark brown
+ */
+
+ //Active Directory Procedures
+ 'sp_ActiveDirectory_Obj', 'sp_ActiveDirectory_SCP',
+
+ //Catalog Procedures
+ 'sp_column_privileges', 'sp_special_columns', 'sp_columns', 'sp_sproc_columns',
+ 'sp_databases', 'sp_statistics', 'sp_fkeys', 'sp_stored_procedures', 'sp_pkeys',
+ 'sp_table_privileges', 'sp_server_info', 'sp_tables',
+
+ //Cursor Procedures
+ 'sp_cursor_list', 'sp_describe_cursor_columns', 'sp_describe_cursor', 'sp_describe_cursor_tables',
+
+ //Database Maintenance Plan Procedures
+ 'sp_add_maintenance_plan', 'sp_delete_maintenance_plan_db', 'sp_add_maintenance_plan_db',
+ 'sp_delete_maintenance_plan_job', 'sp_add_maintenance_plan_job', 'sp_help_maintenance_plan',
+ 'sp_delete_maintenance_plan',
+
+ //Distributed Queries Procedures
+ 'sp_addlinkedserver', 'sp_indexes', 'sp_addlinkedsrvlogin', 'sp_linkedservers', 'sp_catalogs',
+ 'sp_primarykeys', 'sp_column_privileges_ex', 'sp_columns_ex',
+ 'sp_table_privileges_ex', 'sp_tables_ex', 'sp_foreignkeys',
+
+ //Full-Text Search Procedures
+ 'sp_fulltext_catalog', 'sp_help_fulltext_catalogs_cursor', 'sp_fulltext_column',
+ 'sp_help_fulltext_columns', 'sp_fulltext_database', 'sp_help_fulltext_columns_cursor',
+ 'sp_fulltext_service', 'sp_help_fulltext_tables', 'sp_fulltext_table',
+ 'sp_help_fulltext_tables_cursor', 'sp_help_fulltext_catalogs',
+
+ //Log Shipping Procedures
+ 'sp_add_log_shipping_database', 'sp_delete_log_shipping_database', 'sp_add_log_shipping_plan',
+ 'sp_delete_log_shipping_plan', 'sp_add_log_shipping_plan_database',
+ 'sp_delete_log_shipping_plan_database', 'sp_add_log_shipping_primary',
+ 'sp_delete_log_shipping_primary', 'sp_add_log_shipping_secondary',
+ 'sp_delete_log_shipping_secondary', 'sp_can_tlog_be_applied', 'sp_get_log_shipping_monitor_info',
+ 'sp_change_monitor_role', 'sp_remove_log_shipping_monitor', 'sp_change_primary_role',
+ 'sp_resolve_logins', 'sp_change_secondary_role', 'sp_update_log_shipping_monitor_info',
+ 'sp_create_log_shipping_monitor_account', 'sp_update_log_shipping_plan',
+ 'sp_define_log_shipping_monitor', 'sp_update_log_shipping_plan_database',
+
+ //OLE Automation Extended Stored Procedures
+ 'sp_OACreate', 'sp_OAMethod', 'sp_OADestroy', 'sp_OASetProperty', 'sp_OAGetErrorInfo',
+ 'sp_OAStop', 'sp_OAGetProperty',
+
+ //Replication Procedures
+ 'sp_add_agent_parameter', 'sp_enableagentoffload', 'sp_add_agent_profile',
+ 'sp_enumcustomresolvers', 'sp_addarticle', 'sp_enumdsn', 'sp_adddistpublisher',
+ 'sp_enumfullsubscribers', 'sp_adddistributiondb', 'sp_expired_subscription_cleanup',
+ 'sp_adddistributor', 'sp_generatefilters', 'sp_addmergealternatepublisher',
+ 'sp_getagentoffloadinfo', 'sp_addmergearticle', 'sp_getmergedeletetype', 'sp_addmergefilter',
+ 'sp_get_distributor', 'sp_addmergepublication', 'sp_getqueuedrows', 'sp_addmergepullsubscription',
+ 'sp_getsubscriptiondtspackagename', 'sp_addmergepullsubscription_agent', 'sp_grant_publication_access',
+ 'sp_addmergesubscription', 'sp_help_agent_default', 'sp_addpublication', 'sp_help_agent_parameter',
+ 'sp_addpublication_snapshot', 'sp_help_agent_profile', 'sp_addpublisher70', 'sp_helparticle',
+ 'sp_addpullsubscription', 'sp_helparticlecolumns', 'sp_addpullsubscription_agent', 'sp_helparticledts',
+ 'sp_addscriptexec', 'sp_helpdistpublisher', 'sp_addsubscriber', 'sp_helpdistributiondb',
+ 'sp_addsubscriber_schedule', 'sp_helpdistributor', 'sp_addsubscription', 'sp_helpmergealternatepublisher',
+ 'sp_addsynctriggers', 'sp_helpmergearticle', 'sp_addtabletocontents', 'sp_helpmergearticlecolumn',
+ 'sp_adjustpublisheridentityrange', 'sp_helpmergearticleconflicts', 'sp_article_validation',
+ 'sp_helpmergeconflictrows', 'sp_articlecolumn', 'sp_helpmergedeleteconflictrows', 'sp_articlefilter',
+ 'sp_helpmergefilter', 'sp_articlesynctranprocs', 'sp_helpmergepublication', 'sp_articleview',
+ 'sp_helpmergepullsubscription', 'sp_attachsubscription', 'sp_helpmergesubscription', 'sp_browsesnapshotfolder',
+ 'sp_helppublication', 'sp_browsemergesnapshotfolder', 'sp_help_publication_access', 'sp_browsereplcmds',
+ 'sp_helppullsubscription', 'sp_change_agent_parameter', 'sp_helpreplfailovermode', 'sp_change_agent_profile',
+ 'sp_helpreplicationdboption', 'sp_changearticle', 'sp_helpreplicationoption', 'sp_changedistpublisher',
+ 'sp_helpsubscriberinfo', 'sp_changedistributiondb', 'sp_helpsubscription', 'sp_changedistributor_password',
+ 'sp_ivindexhasnullcols', 'sp_changedistributor_property', 'sp_helpsubscription_properties', 'sp_changemergearticle',
+ 'sp_link_publication', 'sp_changemergefilter', 'sp_marksubscriptionvalidation', 'sp_changemergepublication',
+ 'sp_mergearticlecolumn', 'sp_changemergepullsubscription', 'sp_mergecleanupmetadata', 'sp_changemergesubscription',
+ 'sp_mergedummyupdate', 'sp_changepublication', 'sp_mergesubscription_cleanup', 'sp_changesubscriber',
+ 'sp_publication_validation', 'sp_changesubscriber_schedule', 'sp_refreshsubscriptions', 'sp_changesubscriptiondtsinfo',
+ 'sp_reinitmergepullsubscription', 'sp_changesubstatus', 'sp_reinitmergesubscription', 'sp_change_subscription_properties',
+ 'sp_reinitpullsubscription', 'sp_check_for_sync_trigger', 'sp_reinitsubscription', 'sp_copymergesnapshot',
+ 'sp_removedbreplication', 'sp_copysnapshot', 'sp_repladdcolumn', 'sp_copysubscription', 'sp_replcmds',
+ 'sp_deletemergeconflictrow', 'sp_replcounters', 'sp_disableagentoffload', 'sp_repldone', 'sp_drop_agent_parameter',
+ 'sp_repldropcolumn', 'sp_drop_agent_profile', 'sp_replflush', 'sp_droparticle', 'sp_replicationdboption',
+ 'sp_dropanonymouseagent', 'sp_replication_agent_checkup', 'sp_dropdistpublisher', 'sp_replqueuemonitor',
+ 'sp_dropdistributiondb', 'sp_replsetoriginator', 'sp_dropmergealternatepublisher', 'sp_replshowcmds',
+ 'sp_dropdistributor', 'sp_repltrans', 'sp_dropmergearticle', 'sp_restoredbreplication', 'sp_dropmergefilter',
+ 'sp_revoke_publication_access', 'sp_scriptsubconflicttable', 'sp_dropmergepublication', 'sp_script_synctran_commands',
+ 'sp_dropmergepullsubscription', 'sp_setreplfailovermode', 'sp_showrowreplicainfo', 'sp_dropmergesubscription',
+ 'sp_subscription_cleanup', 'sp_droppublication', 'sp_table_validation', 'sp_droppullsubscription',
+ 'sp_update_agent_profile', 'sp_dropsubscriber', 'sp_validatemergepublication', 'sp_dropsubscription',
+ 'sp_validatemergesubscription', 'sp_dsninfo', 'sp_vupgrade_replication', 'sp_dumpparamcmd',
+
+ //Security Procedures
+ 'sp_addalias', 'sp_droprolemember', 'sp_addapprole', 'sp_dropserver', 'sp_addgroup', 'sp_dropsrvrolemember',
+ 'sp_dropuser', 'sp_addlogin', 'sp_grantdbaccess', 'sp_addremotelogin',
+ 'sp_grantlogin', 'sp_addrole', 'sp_helpdbfixedrole', 'sp_addrolemember', 'sp_helpgroup',
+ 'sp_addserver', 'sp_helplinkedsrvlogin', 'sp_addsrvrolemember', 'sp_helplogins', 'sp_adduser',
+ 'sp_helpntgroup', 'sp_approlepassword', 'sp_helpremotelogin', 'sp_changedbowner', 'sp_helprole',
+ 'sp_changegroup', 'sp_helprolemember', 'sp_changeobjectowner', 'sp_helprotect', 'sp_change_users_login',
+ 'sp_helpsrvrole', 'sp_dbfixedrolepermission', 'sp_helpsrvrolemember', 'sp_defaultdb', 'sp_helpuser',
+ 'sp_defaultlanguage', 'sp_MShasdbaccess', 'sp_denylogin', 'sp_password', 'sp_dropalias', 'sp_remoteoption',
+ 'sp_dropapprole', 'sp_revokedbaccess', 'sp_dropgroup', 'sp_revokelogin', 'sp_droplinkedsrvlogin',
+ 'sp_setapprole', 'sp_droplogin', 'sp_srvrolepermission', 'sp_dropremotelogin', 'sp_validatelogins', 'sp_droprole',
+
+ //SQL Mail Procedures
+ 'sp_processmail', 'xp_sendmail', 'xp_deletemail', 'xp_startmail', 'xp_findnextmsg', 'xp_stopmail', 'xp_readmail',
+
+ //SQL Profiler Procedures
+ 'sp_trace_create', 'sp_trace_setfilter', 'sp_trace_generateevent', 'sp_trace_setstatus', 'sp_trace_setevent',
+
+ //SQL Server Agent Procedures
+ 'sp_add_alert', 'sp_help_jobhistory', 'sp_add_category', 'sp_help_jobschedule', 'sp_add_job',
+ 'sp_help_jobserver', 'sp_add_jobschedule', 'sp_help_jobstep', 'sp_add_jobserver', 'sp_help_notification',
+ 'sp_add_jobstep', 'sp_help_operator', 'sp_add_notification', 'sp_help_targetserver',
+ 'sp_add_operator', 'sp_help_targetservergroup', 'sp_add_targetservergroup', 'sp_helptask',
+ 'sp_add_targetsvrgrp_member', 'sp_manage_jobs_by_login', 'sp_addtask', 'sp_msx_defect',
+ 'sp_apply_job_to_targets', 'sp_msx_enlist', 'sp_delete_alert', 'sp_post_msx_operation',
+ 'sp_delete_category', 'sp_purgehistory', 'sp_delete_job', 'sp_purge_jobhistory', 'sp_delete_jobschedule',
+ 'sp_reassigntask', 'sp_delete_jobserver', 'sp_remove_job_from_targets', 'sp_delete_jobstep',
+ 'sp_resync_targetserver', 'sp_delete_notification', 'sp_start_job', 'sp_delete_operator',
+ 'sp_stop_job', 'sp_delete_targetserver', 'sp_update_alert', 'sp_delete_targetservergroup',
+ 'sp_update_category', 'sp_delete_targetsvrgrp_member', 'sp_update_job', 'sp_droptask',
+ 'sp_update_jobschedule', 'sp_help_alert', 'sp_update_jobstep', 'sp_help_category',
+ 'sp_update_notification', 'sp_help_downloadlist', 'sp_update_operator', 'sp_helphistory',
+ 'sp_update_targetservergroup', 'sp_help_job', 'sp_updatetask', 'xp_sqlagent_proxy_account',
+
+ //System Procedures
+ 'sp_add_data_file_recover_suspect_db', 'sp_helpconstraint', 'sp_addextendedproc',
+ 'sp_helpdb', 'sp_addextendedproperty', 'sp_helpdevice', 'sp_add_log_file_recover_suspect_db',
+ 'sp_helpextendedproc', 'sp_addmessage', 'sp_helpfile', 'sp_addtype', 'sp_helpfilegroup',
+ 'sp_addumpdevice', 'sp_helpindex', 'sp_altermessage', 'sp_helplanguage', 'sp_autostats',
+ 'sp_helpserver', 'sp_attach_db', 'sp_helpsort', 'sp_attach_single_file_db', 'sp_helpstats',
+ 'sp_bindefault', 'sp_helptext', 'sp_bindrule', 'sp_helptrigger', 'sp_bindsession',
+ 'sp_indexoption', 'sp_certify_removable', 'sp_invalidate_textptr', 'sp_configure',
+ 'sp_lock', 'sp_create_removable', 'sp_monitor', 'sp_createstats', 'sp_procoption',
+ 'sp_cycle_errorlog', 'sp_recompile', 'sp_datatype_info', 'sp_refreshview', 'sp_dbcmptlevel',
+ 'sp_releaseapplock', 'sp_dboption', 'sp_rename', 'sp_dbremove', 'sp_renamedb',
+ 'sp_delete_backuphistory', 'sp_resetstatus', 'sp_depends', 'sp_serveroption', 'sp_detach_db',
+ 'sp_setnetname', 'sp_dropdevice', 'sp_settriggerorder', 'sp_dropextendedproc', 'sp_spaceused',
+ 'sp_dropextendedproperty', 'sp_tableoption', 'sp_dropmessage', 'sp_unbindefault', 'sp_droptype',
+ 'sp_unbindrule', 'sp_executesql', 'sp_updateextendedproperty', 'sp_getapplock', 'sp_updatestats',
+ 'sp_getbindtoken', 'sp_validname', 'sp_help', 'sp_who',
+
+ //Web Assistant Procedures
+ 'sp_dropwebtask', 'sp_makewebtask', 'sp_enumcodepages', 'sp_runwebtask',
+
+ //XML Procedures
+ 'sp_xml_preparedocument', 'sp_xml_removedocument',
+
+ //General Extended Procedures
+ 'xp_cmdshellxp_logininfo', 'xp_enumgroups', 'xp_msver', 'xp_findnextmsgxp_revokelogin',
+ 'xp_grantlogin', 'xp_sprintf', 'xp_logevent', 'xp_sqlmaint', 'xp_loginconfig', 'xp_sscanf',
+
+ //API System Stored Procedures
+ 'sp_cursor', 'sp_cursorclose', 'sp_cursorexecute', 'sp_cursorfetch', 'sp_cursoropen',
+ 'sp_cursoroption', 'sp_cursorprepare', 'sp_cursorunprepare', 'sp_execute', 'sp_prepare', 'sp_unprepare',
+
+ //Misc
+ 'sp_createorphan', 'sp_droporphans', 'sp_reset_connection', 'sp_sdidebug'
+ ),
+ 4 => array(
+ //Function/sp's higlighted brown.
+ 'fn_helpcollations', 'fn_listextendedproperty ', 'fn_servershareddrives',
+ 'fn_trace_geteventinfo', 'fn_trace_getfilterinfo', 'fn_trace_getinfo',
+ 'fn_trace_gettable', 'fn_virtualfilestats','fn_listextendedproperty',
+ ),
+ ),
+ 'SYMBOLS' => array(
+ '!', '!=', '%', '&', '&&', '(', ')', '*', '+', '-', '/', '<', '<<', '<=',
+ '<=>', '<>', '=', '>', '>=', '>>', '^', 'ALL', 'AND', 'ANY', 'BETWEEN', 'CROSS',
+ 'EXISTS', 'IN', 'JOIN', 'LIKE', 'NOT', 'NULL', 'OR', 'OUTER', 'SOME', '|', '||', '~'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #0000FF;',
+ 2 => 'color: #FF00FF;',
+ 3 => 'color: #AF0000;',
+ 4 => 'color: #AF0000;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #008080;',
+ 'MULTI' => 'color: #008080;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #808080;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #FF0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #000;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #202020;',
+ 2 => 'color: #202020;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #808080;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/typoscript.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/typoscript.php
new file mode 100644
index 000000000..1e8243c2e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/typoscript.php
@@ -0,0 +1,300 @@
+<?php
+/*************************************************************************************
+ * typoscript.php
+ * --------
+ * Author: Jan-Philipp Halle (typo3@jphalle.de)
+ * Copyright: (c) 2005 Jan-Philipp Halle (http://www.jphalle.de/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2005/07/29
+ *
+ * TypoScript language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/07/11 (1.0.8)
+ * - Michiel Roos <geshi@typofree.org> Complete rewrite
+ * 2005/07/29 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2004/07/14)
+ * -------------------------
+ * <things-to-do>
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'TypoScript',
+ 'COMMENT_SINGLE' => array(1 => '//'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'COMMENT_REGEXP' => array(2 => '/(?<!(#|\'|"))(?:#(?!(?:[a-fA-F0-9]{6}|[a-fA-F0-9]{3}))[^\n#]+|#{2}[^\n#]+|#{7,999}[^\n]+)/'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array(),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ // Conditions: http://documentation.typo3.org/documentation/tsref/conditions/
+ 1 => array(
+ 'browser', 'compatVersion', 'dayofmonth', 'dayofweek', 'device',
+ 'globalString', 'globalVars', 'hostname', 'hour',
+ 'ip', 'language', 'loginUser', 'loginuser', 'minute',
+ 'month', 'PIDinRootline', 'PIDupinRootline',
+ 'system', 'treelevel', 'useragent', 'userFunc',
+ 'usergroup', 'version'
+ ),
+
+ // Functions: http://documentation.typo3.org/documentation/tsref/functions/
+ 2 => array(
+ 'addParams', 'encapsLines', 'filelink', 'HTMLparser',
+ 'HTMLparser_tags', 'if', 'imageLinkWrap',
+ 'imgResource', 'makelinks', 'numRows', 'parseFunc',
+ 'select', 'split', 'stdWrap', 'tableStyle', 'tags',
+ 'textStyle', 'typolink'
+ ),
+
+ // Toplevel objects: http://documentation.typo3.org/documentation/tsref/tlo-objects/
+ 3 => array(
+ 'CARRAY', 'CONFIG', 'CONSTANTS', 'FE_DATA', 'FE_TABLE', 'FRAME',
+ 'FRAMESET', 'META', 'PAGE', 'plugin'
+ ),
+
+ // Content Objects (cObject) : http://documentation.typo3.org/documentation/tsref/cobjects/
+ 4 => array(
+ 'CASE', 'CLEARGIF', 'COA', 'COA_INT', 'COBJ_ARRAY', 'COLUMNS',
+ 'CONTENT', 'CTABLE', 'EDITPANEL', 'FILE', 'FORM',
+ 'HMENU', 'HRULER', 'HTML', 'IMAGE', 'IMGTEXT',
+ 'IMG_RESOURCE', 'LOAD_REGISTER', 'MULTIMEDIA',
+ 'OTABLE', 'PHP_SCRIPT', 'PHP_SCRIPT_EXT',
+ 'PHP_SCRIPT_INT', 'RECORDS', 'RESTORE_REGISTER',
+ 'SEARCHRESULT', 'TEMPLATE', 'TEXT', 'USER',
+ 'USER_INT'
+ ),
+
+ // GIFBUILDER toplevel link: http://documentation.typo3.org/documentation/tsref/gifbuilder/
+ 5 => array(
+ 'GIFBUILDER',
+ ),
+
+ // GIFBUILDER: http://documentation.typo3.org/documentation/tsref/gifbuilder/
+ // skipped fields: IMAGE, TEXT
+ // NOTE! the IMAGE and TEXT field already are linked in group 4, they
+ // cannot be linked twice . . . . unfortunately
+ 6 => array(
+ 'ADJUST', 'BOX', 'CROP', 'EFFECT', 'EMBOSS',
+ 'IMGMAP', 'OUTLINE', 'SCALE', 'SHADOW',
+ 'WORKAREA'
+ ),
+
+ // MENU Objects: http://documentation.typo3.org/documentation/tsref/menu/
+ 7 => array(
+ 'GMENU', 'GMENU_FOLDOUT', 'GMENU_LAYERS', 'IMGMENU',
+ 'IMGMENUITEM', 'JSMENU', 'JSMENUITEM', 'TMENU',
+ 'TMENUITEM', 'TMENU_LAYERS'
+ ),
+
+ // MENU common properties: http://documentation.typo3.org/documentation/tsref/menu/common-properties/
+ 8 => array(
+ 'alternativeSortingField', 'begin', 'debugItemConf',
+ 'imgNameNotRandom', 'imgNamePrefix',
+ 'itemArrayProcFunc', 'JSWindow', 'maxItems',
+ 'minItems', 'overrideId', 'sectionIndex',
+ 'showAccessRestrictedPages', 'submenuObjSuffixes'
+ ),
+
+ // MENU item states: http://documentation.typo3.org/documentation/tsref/menu/item-states/
+ 9 => array(
+ 'ACT', 'ACTIFSUB', 'ACTIFSUBRO', 'ACTRO', 'CUR', 'CURIFSUB',
+ 'CURIFSUBRO', 'CURRO', 'IFSUB', 'IFSUBRO', 'NO',
+ 'SPC', 'USERDEF1', 'USERDEF1RO', 'USERDEF2',
+ 'USERDEF2RO', 'USR', 'USRRO'
+ ),
+ ),
+
+ // Does not include '-' because of stuff like htmlTag_langKey = en-GB and
+ // lib.nav-sub
+ 'SYMBOLS' => array(
+ 0 => array(
+ '|',
+ '+', '*', '/', '%',
+ '!', '&&', '^',
+ '<', '>', '=',
+ '?', ':',
+ '.'
+ ),
+ 1 => array(
+ '(', ')', '{', '}', '[', ']'
+ )
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => true,
+ 2 => true,
+ 3 => true,
+ 4 => true,
+ 5 => true,
+ 6 => true,
+ 7 => true,
+ 8 => true,
+ 9 => true
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #ed7d14;',
+ 2 => 'font-weight: bold;',
+ 3 => 'color: #990000; font-weight: bold;',
+ 4 => 'color: #990000; font-weight: bold;',
+ 5 => 'color: #990000; font-weight: bold;',
+ 6 => 'color: #990000; font-weight: bold;',
+ 7 => 'color: #990000; font-weight: bold;',
+ 8 => 'font-weight: bold;',
+ 9 => 'color: #990000; font-weight: bold;',
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #aaa; font-style: italic;',
+ 2 => 'color: #aaa; font-style: italic;',
+ 'MULTI' => 'color: #aaa; font-style: italic;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ac14aa;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc0000;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #0000e0; font-weight: bold;',
+ 2 => 'color: #0000e0; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #009900;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #339933; font-weight: bold;',
+ // Set this to the same value as brackets above
+ 1 => 'color: #009900; font-weight: bold;'
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #009900;',
+ 1 => 'color: #009900; font-weight: bold;',
+ 2 => 'color: #3366CC;',
+ 3 => 'color: #000066; font-weight: bold;',
+ 4 => 'color: #ed7d14;',
+ 5 => 'color: #000066; font-weight: bold;',
+ 6 => 'color: #009900;',
+ 7 => 'color: #3366CC;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => 'http://documentation.typo3.org/documentation/tsref/conditions/{FNAME}/',
+ 2 => 'http://documentation.typo3.org/documentation/tsref/functions/{FNAME}/',
+ 3 => 'http://documentation.typo3.org/documentation/tsref/tlo-objects/{FNAME}/',
+ 4 => 'http://documentation.typo3.org/documentation/tsref/cobjects/{FNAME}/',
+ 5 => 'http://documentation.typo3.org/documentation/tsref/gifbuilder/',
+ 6 => 'http://documentation.typo3.org/documentation/tsref/gifbuilder/{FNAME}/',
+ 7 => 'http://documentation.typo3.org/documentation/tsref/menu/{FNAME}/',
+ 8 => 'http://documentation.typo3.org/documentation/tsref/menu/common-properties/',
+ 9 => 'http://documentation.typo3.org/documentation/tsref/menu/item-states/'
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ // Constant
+ 0 => array(
+ GESHI_SEARCH => '(\{)(\$[a-zA-Z_\.]+[a-zA-Z0-9_\.]*)(\})',
+ GESHI_REPLACE => '\\2',
+ GESHI_MODIFIERS => '',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => '\\3'
+ ),
+
+ // Constant dollar sign
+ 1 => array(
+ GESHI_SEARCH => '(\$)([a-zA-Z_\.]+[a-zA-Z0-9_\.]*)',
+ GESHI_REPLACE => '\\1',
+ GESHI_MODIFIERS => '',
+ GESHI_BEFORE => '',
+ GESHI_AFTER => '\\2'
+ ),
+
+ // xhtml tag
+ 2 => array(
+ GESHI_SEARCH => '(&lt;[a-zA-Z\!\/].*?&gt;)',
+ GESHI_REPLACE => '\\1',
+ GESHI_MODIFIERS => 's',
+ GESHI_BEFORE => '',
+ GESHI_AFTER => ''
+ ),
+
+ // extension keys / tables: (static|user|ttx|tx|tt|fe)_something[_something]
+ 3 => array(
+ GESHI_SEARCH => '(plugin\.|[^\.]\b)((?:static|user|ttx|tx|tt|fe)(?:_[0-9A-Za-z_]+?)\b)',
+ GESHI_REPLACE => '\\2',
+ GESHI_MODIFIERS => '',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => ''
+ ),
+
+ // conditions and controls
+ 4 => array(
+ GESHI_SEARCH => '(\[)(globalVar|global|end)\b',
+ GESHI_REPLACE => '\\2',
+ GESHI_MODIFIERS => 'i',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => ''
+ ),
+
+ // lowlevel setup and constant objects
+ 5 => array(
+ GESHI_SEARCH => '([^\.\$-\{]\b)(cObj|field|config|content|file|frameset|includeLibs|lib|page|plugin|register|resources|sitemap|sitetitle|styles|temp|tt_content|tt_news|types|xmlnews)\b',
+ GESHI_REPLACE => '\\2',
+ GESHI_MODIFIERS => '',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => ''
+ ),
+
+ // markers
+ 6 => array(
+ GESHI_SEARCH => '(###[^#]+###)',
+ GESHI_REPLACE => '\\1',
+ GESHI_MODIFIERS => '',
+ GESHI_BEFORE => '',
+ GESHI_AFTER => ''
+ ),
+
+ // hex color codes
+ 7 => array(
+ GESHI_SEARCH => '(#[a-fA-F0-9]{6}\b|#[a-fA-F0-9]{3}\b)',
+ GESHI_REPLACE => '\\1',
+ GESHI_MODIFIERS => '',
+ GESHI_BEFORE => '',
+ GESHI_AFTER => ''
+ )
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/vb.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/vb.php
new file mode 100644
index 000000000..bc43ca4a8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/vb.php
@@ -0,0 +1,133 @@
+<?php
+/*************************************************************************************
+ * vb.php
+ * ------
+ * Author: Roberto Rossi (rsoftware@altervista.org)
+ * Copyright: (c) 2004 Roberto Rossi (http://rsoftware.altervista.org),
+ * Nigel McNie (http://qbnz.com/highlighter)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/08/30
+ *
+ * Visual Basic language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/08/27 (1.0.8.1)
+ * - changed keyword list for better Visual Studio compliance
+ * 2008/08/26 (1.0.8.1)
+ * - Fixed multiline comments
+ * 2004/11/27 (1.0.1)
+ * - Added support for multiple object splitters
+ * 2004/08/30 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2004/11/27)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Visual Basic',
+ 'COMMENT_SINGLE' => array(),
+ 'COMMENT_MULTI' => array(),
+ 'COMMENT_REGEXP' => array(
+ // Comments (either single or multiline with _
+ 1 => '/\'.*(?<! _)\n/sU',
+ ),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'AddressOf', 'Alias', 'And', 'Append', 'As', 'BF', 'Binary',
+ 'Boolean', 'ByRef', 'Byte', 'ByVal', 'Call', 'Case', 'CBool',
+ 'CByte', 'CCur', 'CDate', 'CDbl', 'CDec', 'CInt', 'CLng',
+ 'Close', 'Collection', 'Const', 'Control', 'CSng', 'CStr',
+ 'Currency', 'CVar', 'Date', 'Declare', 'Dim', 'Do', 'Double',
+ 'Each', 'Else', 'ElseIf', 'End', 'Enum', 'Erase', 'Error',
+ 'Event', 'Exit', 'Explicit', 'False', 'For', 'Friend',
+ 'Function', 'Get', 'GoSub', 'Goto', 'If', 'Implements', 'In',
+ 'Input', 'Integer', 'Is', 'LBound', 'Let', 'Lib', 'Like',
+ 'Line', 'Long', 'Loop', 'Mod', 'New', 'Next', 'Not',
+ 'Nothing', 'Object', 'On', 'Open', 'Option', 'Optional',
+ 'Or', 'Output', 'ParamArray', 'Preserve', 'Print', 'Private',
+ 'Property', 'Public', 'RaiseEvent', 'Random', 'ReDim',
+ 'Resume', 'Select', 'Set', 'Single', 'Static', 'Step',
+ 'Stop', 'String', 'Sub', 'Then', 'To', 'True', 'Type',
+ 'TypeOf', 'UBound', 'Until', 'Variant', 'While', 'With',
+ 'WithEvents', 'Xor'
+ )
+ ),
+ 'SYMBOLS' => array(
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #000080;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #008000;'
+ ),
+ 'BRACKETS' => array(
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #800000;'
+ ),
+ 'NUMBERS' => array(
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #800000; font-weight: bold;'
+ ),
+ 'SCRIPT' => array(
+ ),
+ 'REGEXPS' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'PARSER_CONTROL' => array(
+ 'ENABLE_FLAGS' => array(
+ 'BRACKETS' => GESHI_NEVER,
+ 'SYMBOLS' => GESHI_NEVER,
+ 'NUMBERS' => GESHI_NEVER
+ )
+ )
+);
+
+?> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/vbnet.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/vbnet.php
new file mode 100644
index 000000000..e9f7c3e43
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/vbnet.php
@@ -0,0 +1,201 @@
+<?php
+/*************************************************************************************
+ * vbnet.php
+ * ---------
+ * Author: Alan Juden (alan@judenware.org)
+ * Copyright: (c) 2004 Alan Juden, Nigel McNie (http://qbnz.com/highlighter)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/06/04
+ *
+ * VB.NET language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2004/11/27 (1.0.0)
+ * - Initial release
+ *
+ * TODO (updated 2004/11/27)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'vb.net',
+ 'COMMENT_SINGLE' => array(1 => "'"),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ 1 => array(
+ '3DDKSHADOW', '3DHIGHLIGHT', '3DLIGHT', 'ABORT', 'ABORTRETRYIGNORE', 'ACTIVEBORDER',
+ 'ACTIVETITLEBAR', 'ALIAS', 'APPLICATIONMODAL', 'APPLICATIONWORKSPACE', 'ARCHIVE',
+ 'BACK', 'BINARYCOMPARE', 'BLACK', 'BLUE', 'BUTTONFACE', 'BUTTONSHADOW', 'BUTTONTEXT',
+ 'CANCEL', 'CDROM', 'CR', 'CRITICAL', 'CRLF', 'CYAN', 'DEFAULT', 'DEFAULTBUTTON1',
+ 'DEFAULTBUTTON2', 'DEFAULTBUTTON3', 'DESKTOP', 'DIRECTORY', 'EXCLAMATION', 'FALSE',
+ 'FIXED', 'FORAPPENDING', 'FORMFEED', 'FORREADING', 'FORWRITING', 'FROMUNICODE',
+ 'GRAYTEXT', 'GREEN', 'HIDDEN', 'HIDE', 'HIGHLIGHT', 'HIGHLIGHTTEXT', 'HIRAGANA',
+ 'IGNORE', 'INACTIVEBORDER', 'INACTIVECAPTIONTEXT', 'INACTIVETITLEBAR', 'INFOBACKGROUND',
+ 'INFORMATION', 'INFOTEXT', 'KATAKANALF', 'LOWERCASE', 'MAGENTA', 'MAXIMIZEDFOCUS',
+ 'MENUBAR', 'MENUTEXT', 'METHOD', 'MINIMIZEDFOCUS', 'MINIMIZEDNOFOCUS', 'MSGBOXRIGHT',
+ 'MSGBOXRTLREADING', 'MSGBOXSETFOREGROUND', 'NARROW', 'NEWLINE', 'NO', 'NORMAL',
+ 'NORMALFOCUS', 'NORMALNOFOCUS', 'NULLSTRING', 'OBJECTERROR', 'OK', 'OKCANCEL', 'OKONLY',
+ 'PROPERCASE', 'QUESTION', 'RAMDISK', 'READONLY', 'RED', 'REMOTE', 'REMOVABLE', 'RETRY',
+ 'RETRYCANCEL', 'SCROLLBARS', 'SYSTEMFOLDER', 'SYSTEMMODAL', 'TEMPORARYFOLDER',
+ 'TEXTCOMPARE', 'TITLEBARTEXT', 'TRUE', 'UNICODE', 'UNKNOWN', 'UPPERCASE', 'VERTICALTAB',
+ 'VOLUME', 'WHITE', 'WIDE', 'WIN16', 'WIN32', 'WINDOWBACKGROUND', 'WINDOWFRAME',
+ 'WINDOWSFOLDER', 'WINDOWTEXT', 'YELLOW', 'YES', 'YESNO', 'YESNOCANCEL'
+ ),
+ 2 => array(
+ 'AndAlso', 'As', 'ADDHANDLER', 'ASSEMBLY', 'AUTO', 'Binary', 'ByRef', 'ByVal', 'BEGINEPILOGUE',
+ 'Else', 'ElseIf', 'Empty', 'Error', 'ENDPROLOGUE', 'EXTERNALSOURCE', 'ENVIRON', 'For',
+ 'Friend', 'GET', 'HANDLES', 'Input', 'Is', 'IsNot', 'Len', 'Lock', 'Me', 'Mid', 'MUSTINHERIT', 'MustOverride',
+ 'MYBASE', 'MYCLASS', 'New', 'Next', 'Nothing', 'Null', 'NOTINHERITABLE',
+ 'NOTOVERRIDABLE', 'OFF', 'On', 'Option', 'Optional', 'Overloads', 'OVERRIDABLE', 'Overrides', 'ParamArray',
+ 'Print', 'Private', 'Property', 'Public', 'Resume', 'Return', 'Seek', 'Static', 'Step',
+ 'String', 'SHELL', 'SENDKEYS', 'SET', 'Shared', 'Then', 'Time', 'To', 'THROW', 'WithEvents'
+ ),
+ 3 => array(
+ 'COLLECTION', 'DEBUG', 'DICTIONARY', 'DRIVE', 'DRIVES', 'ERR', 'FILE', 'FILES',
+ 'FILESYSTEMOBJECT', 'FOLDER', 'FOLDERS', 'TEXTSTREAM'
+ ),
+ 4 => array(
+ 'BOOLEAN', 'BYTE', 'DATE', 'DECIMIAL', 'DOUBLE', 'INTEGER', 'LONG', 'OBJECT',
+ 'SINGLE STRING'
+ ),
+ 5 => array(
+ 'ADDRESSOF', 'AND', 'BITAND', 'BITNOT', 'BITOR', 'BITXOR',
+ 'GETTYPE', 'LIKE', 'MOD', 'NOT', 'ORXOR'
+ ),
+ 6 => array(
+ 'APPACTIVATE', 'BEEP', 'CALL', 'CHDIR', 'CHDRIVE', 'CLASS', 'CASE', 'CATCH', 'CONST',
+ 'DECLARE', 'DELEGATE', 'DELETESETTING', 'DIM', 'DO', 'DOEVENTS', 'END', 'ENUM',
+ 'EVENT', 'EXIT', 'EACH', 'FUNCTION', 'FINALLY', 'IF', 'IMPORTS', 'INHERITS',
+ 'INTERFACE', 'IMPLEMENTS', 'KILL', 'LOOP', 'NAMESPACE', 'OPEN', 'PUT',
+ 'RAISEEVENT', 'RANDOMIZE', 'REDIM', 'REM', 'RESET', 'SAVESETTING', 'SELECT',
+ 'SETATTR', 'STOP', 'SUB', 'SYNCLOCK', 'STRUCTURE', 'SHADOWS', 'SWITCH',
+ 'TRY', 'WIDTH', 'WITH', 'WRITE', 'WHILE'
+ ),
+ 7 => array(
+ 'ABS', 'ARRAY', 'ASC', 'ASCB', 'ASCW', 'CALLBYNAME', 'CBOOL', 'CBYTE', 'CCHAR',
+ 'CCHR', 'CDATE', 'CDBL', 'CDEC', 'CHOOSE', 'CHR', 'CHR$', 'CHRB', 'CHRB$', 'CHRW',
+ 'CINT', 'CLNG', 'CLNG8', 'CLOSE', 'COBJ', 'COMMAND', 'COMMAND$', 'CONVERSION',
+ 'COS', 'CREATEOBJECT', 'CSHORT', 'CSTR', 'CURDIR', 'CTYPE', 'CVDATE', 'DATEADD',
+ 'DATEDIFF', 'DATEPART', 'DATESERIAL', 'DATEVALUE', 'DAY', 'DDB', 'DIR', 'DIR$',
+ 'EOF', 'ERROR$', 'EXP', 'FILEATTR', 'FILECOPY', 'FILEDATATIME', 'FILELEN', 'FILTER',
+ 'FIX', 'FORMAT', 'FORMAT$', 'FORMATCURRENCY', 'FORMATDATETIME', 'FORMATNUMBER',
+ 'FORMATPERCENT', 'FREEFILE', 'FV', 'GETALLSETTINGS', 'GETATTRGETOBJECT', 'GETSETTING',
+ 'HEX', 'HEX$', 'HOUR', 'IIF', 'IMESTATUS', 'INPUT$', 'INPUTB', 'INPUTB$', 'INPUTBOX',
+ 'INSTR', 'INSTRB', 'INSTRREV', 'INT', 'IPMT', 'IRR', 'ISARRAY', 'ISDATE', 'ISEMPTY',
+ 'ISERROR', 'ISNULL', 'ISNUMERIC', 'ISOBJECT', 'JOIN', 'LBOUND', 'LCASE', 'LCASE$',
+ 'LEFT', 'LEFT$', 'LEFTB', 'LEFTB$', 'LENB', 'LINEINPUT', 'LOC', 'LOF', 'LOG', 'LTRIM',
+ 'LTRIM$', 'MID$', 'MIDB', 'MIDB$', 'MINUTE', 'MIRR', 'MKDIR', 'MONTH', 'MONTHNAME',
+ 'MSGBOX', 'NOW', 'NPER', 'NPV', 'OCT', 'OCT$', 'PARTITION', 'PMT', 'PPMT', 'PV',
+ 'RATE', 'REPLACE', 'RIGHT', 'RIGHT$', 'RIGHTB', 'RIGHTB$', 'RMDIR', 'RND', 'RTRIM',
+ 'RTRIM$', 'SECOND', 'SIN', 'SLN', 'SPACE', 'SPACE$', 'SPC', 'SPLIT', 'SQRT', 'STR', 'STR$',
+ 'STRCOMP', 'STRCONV', 'STRING$', 'STRREVERSE', 'SYD', 'TAB', 'TAN', 'TIMEOFDAY',
+ 'TIMER', 'TIMESERIAL', 'TIMEVALUE', 'TODAY', 'TRIM', 'TRIM$', 'TYPENAME', 'UBOUND',
+ 'UCASE', 'UCASE$', 'VAL', 'WEEKDAY', 'WEEKDAYNAME', 'YEAR'
+ ),
+ 8 => array(
+ 'ANY', 'ATN', 'CALENDAR', 'CIRCLE', 'CURRENCY', 'DEFBOOL', 'DEFBYTE', 'DEFCUR',
+ 'DEFDATE', 'DEFDBL', 'DEFDEC', 'DEFINT', 'DEFLNG', 'DEFOBJ', 'DEFSNG', 'DEFSTR',
+ 'DEFVAR', 'EQV', 'GOSUB', 'IMP', 'INITIALIZE', 'ISMISSING', 'LET', 'LINE', 'LSET',
+ 'RSET', 'SGN', 'SQR', 'TERMINATE', 'VARIANT', 'VARTYPE', 'WEND'
+ ),
+ ),
+ 'SYMBOLS' => array(
+ '&', '&=', '*', '*=', '+', '+=', '-', '-=', '//', '/', '/=', '=', '\\', '\\=',
+ '^', '^='
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => false,
+ 5 => false,
+ 6 => false,
+ 7 => false,
+ 8 => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #0600FF;', //Constants
+ 2 => 'color: #FF8000;', //Keywords
+ 3 => 'color: #008000;', //Data Types
+ 4 => 'color: #FF0000;', //Objects
+ 5 => 'color: #804040;', //Operators
+ 6 => 'color: #0600FF;', //Statements
+ 7 => 'color: #0600FF;', //Functions
+ 8 => 'color: #0600FF;' //Deprecated
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #008080; font-style: italic;',
+ 'MULTI' => 'color: #008080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #008080; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #000000;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #808080;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #FF0000;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #0000FF;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #008000;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => 'http://www.google.com/search?q={FNAMEU}+site:msdn.microsoft.com',
+ 4 => '',
+ 5 => '',
+ 6 => '',
+ 7 => '',
+ 8 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 =>'.'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/verilog.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/verilog.php
new file mode 100644
index 000000000..ba1766c2d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/verilog.php
@@ -0,0 +1,173 @@
+<?php
+/**
+ * verilog.php
+ * -----------
+ * Author: G锟絥ter Dannoritzer <dannoritzer@web.de>
+ * Copyright: (C) 2008 Guenter Dannoritzer
+ * Release Version: 1.0.8.4
+ * Date Started: 2008/05/28
+ *
+ * Verilog language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/05/29
+ * - added regular expression to find numbers of the form 4'b001xz
+ * - added regular expression to find values for `timescale command
+ * - extended macro keywords
+ *
+ * TODO (updated 2008/05/29)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Verilog',
+ 'COMMENT_SINGLE' => array(1 => '//'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'COMMENT_REGEXP' => array(1 => '/\/\/(?:\\\\\\\\|\\\\\\n|.)*$/m'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ // keywords
+ 1 => array('always', 'and', 'assign', 'begin', 'buf', 'bufif0', 'bufif1', 'case',
+ 'casex', 'casez', 'cmos', 'deassign', 'default', 'defparam',
+ 'disable', 'edge', 'else', 'end', 'endcase', 'endfunction',
+ 'endmodule', 'endprimitive', 'endspecify', 'endtable', 'endtask',
+ 'event', 'for', 'force', 'forever', 'function', 'highz0',
+ 'highz1', 'if', 'ifnone', 'initial', 'inout', 'input', 'integer',
+ 'join', 'large', 'macromodule', 'medium', 'module', 'nand',
+ 'negedge', 'nmos', 'nor', 'not', 'notif0', 'notif1', 'or',
+ 'output', 'parameter', 'pmos', 'posedge', 'primitive', 'pull0',
+ 'pull1', 'pulldown', 'pullup', 'rcmos', 'real', 'realtime', 'reg',
+ 'release', 'repeat', 'rnmos', 'rpmos', 'rtran', 'rtranif0',
+ 'rtranif1', 'scalared', 'small', 'specify', 'specparam',
+ 'strong0', 'strong1', 'supply0', 'supply1', 'table', 'task',
+ 'time', 'tran', 'tranif0', 'tranif1', 'tri', 'tri0', 'tri1',
+ 'triand', 'trior', 'trireg', 'vectored', 'wait', 'wand', 'weak0',
+ 'weak1', 'while', 'wire', 'wor', 'xnor', 'xor'
+ ),
+ // system tasks
+ 2 => array(
+ '$display', '$monitor',
+ '$dumpall', '$dumpfile', '$dumpflush', '$dumplimit', '$dumpoff',
+ '$dumpon', '$dumpvars',
+ '$fclose', '$fdisplay', '$fopen',
+ '$finish', '$fmonitor', '$fstrobe', '$fwrite',
+ '$fgetc', '$ungetc', '$fgets', '$fscanf', '$fread', '$ftell',
+ '$fseek', '$frewind', '$ferror', '$fflush', '$feof',
+ '$random',
+ '$readmemb', '$readmemh', '$readmemx',
+ '$signed', '$stime', '$stop',
+ '$strobe', '$time', '$unsigned', '$write'
+ ),
+ // macros
+ 3 => array(
+ '`default-net', '`define',
+ '`celldefine', '`default_nettype', '`else', '`elsif', '`endcelldefine',
+ '`endif', '`ifdef', '`ifndef', '`include', '`line', '`nounconnected_drive',
+ '`resetall', '`timescale', '`unconnected_drive', '`undef'
+ ),
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '{', '}', '[', ']', '=', '+', '-', '*', '/', '!', '%',
+ '^', '&', '|', '~',
+ '?', ':',
+ '#', '<<', '<<<',
+ '>', '<', '>=', '<=',
+ '@', ';', ','
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #A52A2A; font-weight: bold;',
+ 2 => 'color: #9932CC;',
+ 3 => 'color: #008800;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #00008B; font-style: italic;',
+ 'MULTI' => 'color: #00008B; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #9F79EE'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #9F79EE;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #FF00FF;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #ff0055;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #202020;',
+ 2 => 'color: #202020;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #5D478B;'
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #ff0055;',
+ 1 => 'color: #ff0055;',
+ ),
+ 'SCRIPT' => array(
+ 0 => '',
+ 1 => '',
+ 2 => '',
+ 3 => ''
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => ''
+ ),
+ 'REGEXPS' => array(
+ // numbers
+ 0 => "\d'[bdh][0-9_a-fA-FxXzZ]+",
+ // time -> 1, 10, or 100; s, ms, us, ns, ps, of fs
+ 1 => "1[0]{0,2}[munpf]?s"
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ 1 => ''
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ 0 => true,
+ 1 => true,
+ 2 => true,
+ 3 => true
+ ),
+ 'TAB_WIDTH' => 4
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/vhdl.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/vhdl.php
new file mode 100644
index 000000000..14072c9d7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/vhdl.php
@@ -0,0 +1,144 @@
+<?php
+/*************************************************************************************
+ * vhdl.php
+ * --------
+ * Author: Alexander 'E-Razor' Krause (admin@erazor-zone.de)
+ * Copyright: (c) 2005 Alexander Krause
+ * Release Version: 1.0.8.4
+ * Date Started: 2005/06/15
+ *
+ * VHDL (VHSICADL, very high speed integrated circuit HDL) language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/05/23 (1.0.7.22)
+ * - Added description of extra language features (SF#1970248)
+ * - Optimized regexp group 0 somewhat
+ * 2006/06/15 (1.0.0)
+ * - First Release
+ *
+ * TODO
+ * ----
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'VHDL',
+ 'COMMENT_SINGLE' => array(1 => '--'),
+ 'COMMENT_MULTI' => array('%' => '%'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ /*keywords*/
+ 1 => array(
+ 'access','after','alias','all','assert','attribute','architecture','begin',
+ 'block','body','buffer','bus','case','component','configuration','constant',
+ 'disconnect','downto','else','elsif','end','entity','exit','file','for',
+ 'function','generate','generic','group','guarded','if','impure','in',
+ 'inertial','inout','is','label','library','linkage','literal','loop',
+ 'map','new','next','null','of','on','open','others','out','package',
+ 'port','postponed','procedure','process','pure','range','record','register',
+ 'reject','report','return','select','severity','signal','shared','subtype',
+ 'then','to','transport','type','unaffected','units','until','use','variable',
+ 'wait','when','while','with','note','warning','error','failure','and',
+ 'or','xor','not','nor','used','memory','segments','dff','dffe','help_id',
+ 'mod','info','latch','rising_edge','falling_edge'
+ ),
+ /*types*/
+ 2 => array(
+ 'bit','bit_vector','character','boolean','integer','real','time','string',
+ 'severity_level','positive','natural','signed','unsigned','line','text',
+ 'std_logic','std_logic_vector','std_ulogic','std_ulogic_vector','qsim_state',
+ 'qsim_state_vector','qsim_12state','qsim_12state_vector','qsim_strength',
+ 'mux_bit','mux_vector','reg_bit','reg_vector','wor_bit','wor_vector',
+ 'work','ieee','std_logic_signed','std_logic_1164','std_logic_arith',
+ 'numeric_std'
+
+ ),
+ /*operators*/
+ ),
+ 'SYMBOLS' => array(
+ '[', ']', '(', ')',
+ ';',':',
+ '<','>','=','<=',':=','=>','=='
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #000080; font-weight: bold;',
+ 2 => 'color: #0000ff;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #008000; font-style: italic;',
+ 'MULTI' => 'color: #008000; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #000066;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #7f007f;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #000066;'
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #ff0000;',
+ 1 => 'color: #ff0000;'
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ //Hex numbers and scientific notation for numbers
+ 0 => '(\b0x[0-9a-fA-F]+|\b\d[0-9a-fA-F]+[hH])|'.
+ '(\b\d+?(\.\d+?)?E[+\-]?\d+)|(\bns)|'.
+ "('[0-9a-zA-Z]+(?!'))",
+ //Number characters?
+ 1 => "\b(''\d'')"
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/vim.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/vim.php
new file mode 100644
index 000000000..3946c4f96
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/vim.php
@@ -0,0 +1,185 @@
+<?php
+
+/*************************************************************************************
+ * vim.php
+ * ----------------
+ * Author: Swaroop C H (swaroop@swaroopch.com)
+ * Copyright: (c) 2008 Swaroop C H (http://www.swaroopch.com)
+ * Release Version: 1.0.8.4
+ * Date Started: 2008/10/19
+ *
+ * Vim scripting language file for GeSHi.
+ *
+ * Reference: http://qbnz.com/highlighter/geshi-doc.html#language-files
+ * All keywords scraped from `:help expression-commands`.
+ * All method names scraped from `:help function-list`.
+ *
+ * CHANGES
+ * -------
+ * 2008/10/19 (1.0.8.2)
+ * - Started.
+ *
+ * TODO (updated 2008/10/19)
+ * -------------------------
+ * - Fill out list of zillion commands
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array(
+ 'LANG_NAME' => 'Vim Script',
+ 'COMMENT_SINGLE' => array(),
+ 'COMMENT_REGEXP' => array(
+ 1 => "/^\".*$/m"
+ ),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'brea', 'break', 'call', 'cat', 'catc',
+ 'catch', 'con', 'cont', 'conti',
+ 'contin', 'continu', 'continue', 'ec', 'echo',
+ 'echoe', 'echoer', 'echoerr', 'echoh',
+ 'echohl', 'echom', 'echoms', 'echomsg', 'echon',
+ 'el', 'els', 'else', 'elsei', 'elseif',
+ 'en', 'end', 'endi', 'endif', 'endfo',
+ 'endfor', 'endt', 'endtr', 'endtry', 'endw',
+ 'endwh', 'endwhi', 'endwhil', 'endwhile', 'exe', 'exec', 'execu',
+ 'execut', 'execute', 'fina', 'final', 'finall', 'finally', 'for',
+ 'fun', 'func', 'funct', 'functi', 'functio', 'function', 'if', 'in',
+ 'let', 'lockv', 'lockva', 'lockvar', 'retu', 'retur', 'return', 'th',
+ 'thr', 'thro', 'throw', 'try', 'unl', 'unle', 'unlet', 'unlo', 'unloc',
+ 'unlock', 'unlockv', 'unlockva', 'unlockvar', 'wh', 'whi', 'whil',
+ 'while'
+ ),
+ 2 => array(
+ 'autocmd', 'com', 'comm', 'comma', 'comman', 'command', 'comc',
+ 'comcl', 'comcle', 'comclea', 'comclear', 'delc', 'delco',
+ 'delcom', 'delcomm', 'delcomma', 'delcomman', 'delcommand',
+ '-nargs' # TODO There are zillions of commands to be added here from http://vimdoc.sourceforge.net/htmldoc/usr_toc.html
+ ),
+ 3 => array(
+ 'abs', 'add', 'append', 'argc', 'argidx', 'argv', 'atan',
+ 'browse', 'browsedir', 'bufexists', 'buflisted', 'bufloaded',
+ 'bufname', 'bufnr', 'bufwinnr', 'byte2line', 'byteidx',
+ 'ceil', 'changenr', 'char2nr', 'cindent', 'clearmatches',
+ 'col', 'complete', 'complete_add', 'complete_check', 'confirm',
+ 'copy', 'cos', 'count', 'cscope_connection', 'cursor',
+ 'deepcopy', 'delete', 'did_filetype', 'diff_filler',
+ 'diff_hlID', 'empty', 'escape', 'eval', 'eventhandler',
+ 'executable', 'exists', 'extend', 'expand', 'feedkeys',
+ 'filereadable', 'filewritable', 'filter', 'finddir',
+ 'findfile', 'float2nr', 'floor', 'fnameescape', 'fnamemodify',
+ 'foldclosed', 'foldclosedend', 'foldlevel', 'foldtext',
+ 'foldtextresult', 'foreground', 'garbagecollect',
+ 'get', 'getbufline', 'getbufvar', 'getchar', 'getcharmod',
+ 'getcmdline', 'getcmdpos', 'getcmdtype', 'getcwd', 'getfperm',
+ 'getfsize', 'getfontname', 'getftime', 'getftype', 'getline',
+ 'getloclist', 'getmatches', 'getpid', 'getpos', 'getqflist',
+ 'getreg', 'getregtype', 'gettabwinvar', 'getwinposx',
+ 'getwinposy', 'getwinvar', 'glob', 'globpath', 'has',
+ 'has_key', 'haslocaldir', 'hasmapto', 'histadd', 'histdel',
+ 'histget', 'histnr', 'hlexists', 'hlID', 'hostname', 'iconv',
+ 'indent', 'index', 'input', 'inputdialog', 'inputlist',
+ 'inputrestore', 'inputsave', 'inputsecret', 'insert',
+ 'isdirectory', 'islocked', 'items', 'join', 'keys', 'len',
+ 'libcall', 'libcallnr', 'line', 'line2byte', 'lispindent',
+ 'localtime', 'log10', 'map', 'maparg', 'mapcheck', 'match',
+ 'matchadd', 'matcharg', 'matchdelete', 'matchend', 'matchlist',
+ 'matchstr', 'max', 'min', 'mkdir', 'mode', 'nextnonblank',
+ 'nr2char', 'pathshorten', 'pow', 'prevnonblank', 'printf',
+ 'pumvisible', 'range', 'readfile', 'reltime', 'reltimestr',
+ 'remote_expr', 'remote_foreground', 'remote_peek',
+ 'remote_read', 'remote_send', 'remove', 'rename', 'repeat',
+ 'resolve', 'reverse', 'round', 'search', 'searchdecl',
+ 'searchpair', 'searchpairpos', 'searchpos', 'server2client',
+ 'serverlist', 'setbufvar', 'setcmdpos', 'setline',
+ 'setloclist', 'setmatches', 'setpos', 'setqflist', 'setreg',
+ 'settabwinvar', 'setwinvar', 'shellescape', 'simplify', 'sin',
+ 'sort', 'soundfold', 'spellbadword', 'spellsuggest', 'split',
+ 'sqrt', 'str2float', 'str2nr', 'strftime', 'stridx', 'string',
+ 'strlen', 'strpart', 'strridx', 'strtrans', 'submatch',
+ 'substitute', 'synID', 'synIDattr', 'synIDtrans', 'synstack',
+ 'system', 'tabpagebuflist', 'tabpagenr', 'tabpagewinnr',
+ 'taglist', 'tagfiles', 'tempname', 'tolower', 'toupper', 'tr',
+ 'trunc', 'type', 'values', 'virtcol', 'visualmode', 'winbufnr',
+ 'wincol', 'winheight', 'winline', 'winnr', 'winrestcmd',
+ 'winrestview', 'winsaveview', 'winwidth', 'writefile'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '[', ']', '{', '}', '!', '%', '&', '*', '|', '/', '<', '>',
+ '^', '-', '+', '~', '?', ':', '$', '@', '.'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => true,
+ 2 => true,
+ 3 => true
+ ),
+ 'STYLES' => array(
+ 'BRACKETS' => array(
+ 0 => 'color: #000000;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #adadad; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => ''
+ ),
+ 'KEYWORDS' => array(
+ 1 => 'color: #804040;',
+ 2 => 'color: #668080;',
+ 3 => 'color: #25BB4D;'
+ ),
+ 'METHODS' => array(
+ 0 => 'color: #000000;',
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #000000; font-weight:bold;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #C5A22D;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #000000;'
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => ''
+ ),
+ 'OOLANG' => false, //Save some time as OO identifiers aren't used
+ 'OBJECT_SPLITTERS' => array(),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(),
+ 'HIGHLIGHT_STRICT_BLOCK' => array()
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/visualfoxpro.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/visualfoxpro.php
new file mode 100644
index 000000000..0cb73c2a0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/visualfoxpro.php
@@ -0,0 +1,456 @@
+<?php
+/*************************************************************************************
+ * visualfoxpro.php
+ * ----------------
+ * Author: Roberto Armellin (r.armellin@tin.it)
+ * Copyright: (c) 2004 Roberto Armellin, Nigel McNie (http://qbnz.com/highlighter/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/09/17
+ *
+ * Visual FoxPro language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/05/23 (1.0.7.22)
+ * - Removed tab as a symbol char
+ * 2004/11/27 (1.0.1)
+ * - Added support for multiple object splitters
+ * 2004/10/27 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2004/10/27)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Visual Fox Pro',
+ 'COMMENT_SINGLE' => array(1 => "//", 2 => "\n*"),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'Case', 'Else', '#Else', 'Then',
+ 'Endcase', 'Enddefine', 'Enddo', 'Endfor', 'Endfunc', 'Endif', 'Endprintjob',
+ 'Endproc', 'Endscan', 'Endtext', 'Endwith', '#Endif',
+ '#Elif','#Define','#If','#Include',
+ '#Itsexpression','#Readclauses','#Region','#Section','#Undef','#Wname',
+ 'Define','Do',
+ 'For','Function','Hidden',
+ 'If','Local','Lparameter','Lparameters','Next','Otherwise',
+ 'Parameters','Printjob','Procedure','Protected','Public','Scan',
+ 'Text','While','With','Abs','Accept','Access','Aclass','Acopy',
+ 'Acos','Adatabases','Adbobjects','Addbs','Addrelationtoenv','Addtabletoenv',
+ 'Adel','Adir','Aelement','Aerror','Afields','Afont',
+ 'Agetclass','Agetfileversion','Ains','Ainstance','Alen','Align',
+ 'Alines','Alltrim','Alter','Amembers','Amouseobj','Anetresources',
+ 'Ansitooem','Append','Aprinters','Ascan','Aselobj','Asin',
+ 'Asort','Assert','Asserts','Assist','Asubscript','Asynchronous',
+ 'At_c','Atan','Atc','Atcc','Atcline','Atline',
+ 'Atn2','Aused','Autoform','Autoreport','Avcxclasses','Average',
+ 'BarCount','BarPrompt','BatchMode','BatchUpdateCount','Begin','BellSound',
+ 'BinToC','Bintoc','Bitand','Bitclear','Bitlshift','Bitnot',
+ 'Bitor','Bitrshift','Bitset','Bittest','Bitxor','Bof',
+ 'Browse','BrowseRefresh','Buffering','BuilderLock','COMArray','COMReturnError',
+ 'CToBin','Calculate','Call','Capslock','Cd','Cdow',
+ 'Ceiling','Central','Change','Char','Chdir','Chr',
+ 'Chrsaw','Chrtran','Chrtranc','Close','Cmonth','Cntbar',
+ 'Cntpad','Col','Comclassinfo','CommandTargetQuery','Compile','Completed',
+ 'Compobj','Compute','Concat','ConnectBusy','ConnectHandle','ConnectName',
+ 'ConnectString','ConnectTimeOut','ContainerReleaseType','Continue','Copy','Cos',
+ 'Cot','Count','Coverage','Cpconvert','Cpcurrent','Cpdbf',
+ 'Cpnotrans','Create','CreateBinary','Createobject','Createobjectex','Createoffline',
+ 'CrsBuffering','CrsFetchMemo','CrsFetchSize','CrsMaxRows','CrsMethodUsed','CrsNumBatch',
+ 'CrsShareConnection','CrsUseMemoSize','CrsWhereClause','Ctobin','Ctod','Ctot',
+ 'Curdate','Curdir','CurrLeft','CurrSymbol','CursorGetProp','CursorSetProp',
+ 'Curtime','Curval','DBGetProp','DBSetProp','DB_BufLockRow','DB_BufLockTable',
+ 'DB_BufOff','DB_BufOptRow','DB_BufOptTable','DB_Complette','DB_DeleteInsert','DB_KeyAndModified',
+ 'DB_KeyAndTimestamp','DB_KeyAndUpdatable','DB_LocalSQL','DB_NoPrompt','DB_Prompt','DB_RemoteSQL',
+ 'DB_TransAuto','DB_TransManual','DB_TransNone','DB_Update','Datetime','Day',
+ 'Dayname','Dayofmonth','Dayofweek','Dayofyear','Dbalias','Dbused',
+ 'Ddeaborttrans','Ddeadvise','Ddeenabled','Ddeexecute','Ddeinitiate','Ddelasterror',
+ 'Ddepoke','Dderequest','Ddesetoption','Ddesetservice','Ddesettopic','Ddeterminate',
+ 'Debugout','Declare','DefOLELCid','DefaultValue','Defaultext','Degrees',
+ 'DeleteTrigger','Desc','Description','Difference','Dimension','Dir',
+ 'Directory','Diskspace','DispLogin','DispWarnings','Display','Dll',
+ 'Dmy','DoDefault','DoEvents','Doc','Doevents','Dow',
+ 'Drivetype','Drop','Dropoffline','Dtoc','Dtor','Dtos',
+ 'Dtot','DynamicInputMask','Each','Edit','Eject','Elif',
+ 'End','Eof','Erase','Evaluate','Event','Eventtracking',
+ 'Exclude','Exclusive','Exit','Exp','Export','External',
+ 'FDate','FTime','Fchsize','Fclose','Fcount','Fcreate',
+ 'Feof','Ferror','FetchMemo','FetchSize','Fflush','Fgets',
+ 'Filer','Filetostr','Find','Fklabel','Fkmax','Fldlist',
+ 'Flock','Floor','Flush','Fontmetric','Fopen','Forceext',
+ 'Forcepath','FormSetClass','FormSetLib','FormsClass','FormsLib','Found',
+ 'FoxPro','Foxcode','Foxdoc','Foxgen','Foxgraph','Foxview',
+ 'Fputs','Fread','French','Fseek','Fsize','Fv',
+ 'Fwrite','Gather','German','GetPem','Getbar','Getcolor',
+ 'Getcp','Getdir','Getenv','Getexpr','Getfile','Getfldstate',
+ 'Getfont','Gethost','Getnextmodified','Getobject','Getpad','Getpict',
+ 'Getprinter','Go','Gomonth','Goto','Graph','GridHorz',
+ 'GridShow','GridShowPos','GridSnap','GridVert','Help','HelpOn',
+ 'HelpTo','HighLightRow','Home','Hour','IMEStatus','IdleTimeOut',
+ 'Idxcollate','Ifdef','Ifndef','Iif','Import','Include',
+ 'Indbc','Index','Indexseek','Inkey','Inlist','Input',
+ 'Insert','InsertTrigger','Insmode','IsBlank','IsFLocked','IsLeadByte',
+ 'IsMouse','IsNull','IsRLocked','Isalpha','Iscolor','Isdigit',
+ 'Isexclusive','Isflocked','Ishosted','Islower','Isreadonly','Isrlocked',
+ 'Isupper','Italian','Japan','Join','Justdrive','Justext',
+ 'Justfname','Justpath','Juststem','KeyField','KeyFieldList','Keyboard'
+ ),
+ 2 => array('Keymatch','LastProject','Lastkey','Lcase','Leftc','Len',
+ 'Lenc','Length','Likec','Lineno','LoadPicture','Loadpicture',
+ 'Locate','Locfile','Log','Log10','Logout','Lookup',
+ 'Loop','Lower','Ltrim','Lupdate','Mail','MaxRecords',
+ 'Mcol','Md','Mdown','Mdx','Mdy','Memlines',
+ 'Menu','Messagebox','Minute','Mkdir','Mline','Modify',
+ 'Month','Monthname','Mouse','Mrkbar','Mrkpad','Mrow',
+ 'Mtdll','Mton','Mwindow','Native','Ndx','Network',
+ 'NoFilter','Nodefault','Normalize','Note','Now','Ntom',
+ 'NullString','Numlock','Nvl','ODBChdbc','ODBChstmt','OLEDropTextInsertion',
+ 'OLELCid','Objnum','Objref','Objtoclient','Objvar','Occurs',
+ 'Oemtoansi','Oldval','OlePublic','Olereturnerror','On','Open',
+ 'Oracle','Order','Os','Outer','PCount','Pack',
+ 'PacketSize','Padc','Padl','Padr','Payment','Pcol',
+ 'PemStatus','Pi','Pivot','Play','Pop','Popup',
+ 'Power','PrimaryKey','Printstatus','Private','Prmbar','Prmpad',
+ 'ProjectClick','Proper','Prow','Prtinfo','Push','Putfile',
+ 'Pv','Qpr','Quater','QueryTimeOut','Quit','Radians',
+ 'Rand','Rat','Ratc','Ratline','Rd','Rdlevel',
+ 'Read','Readkey','Recall','Reccount','RecentlyUsedFiles','Recno',
+ 'Recsize','Regional','Reindex','RelatedChild','RelatedTable','RelatedTag',
+ 'Remove','Rename','Repeat','Replace','Replicate','Report',
+ 'ResHeight','ResWidth','ResourceOn','ResourceTo','Resources','Restore',
+ 'Resume','Retry','Return','Revertoffline','Rgbscheme','Rightc',
+ 'Rlock','Rmdir','Rollback','Round','Rtod','Rtrim',
+ 'RuleExpression','RuleText','Run','Runscript','Rview','SQLAsynchronous',
+ 'SQLBatchMode','SQLCancel','SQLColumns','SQLConnect','SQLConnectTimeOut','SQLDisconnect',
+ 'SQLDispLogin','SQLDispWarnings','SQLExec','SQLGetProp','SQLIdleTimeOut','SQLMoreResults',
+ 'SQLPrepare','SQLQueryTimeOut','SQLSetProp','SQLTables','SQLTransactions','SQLWaitTime',
+ 'Save','SavePicture','Savepicture','ScaleUnits','Scatter','Scols',
+ 'Scroll','Sec','Second','Seek','Select','SendUpdates',
+ 'Set','SetDefault','Setfldstate','Setup','ShareConnection','ShowOLEControls',
+ 'ShowOLEInsertable','ShowVCXs','Sign','Sin','Size','SizeBox',
+ 'Skpbar','Skppad','Sort','Soundex','SourceName','Sqlcommit',
+ 'Sqll','Sqlrollback','Sqlstringconnect','Sqrt','Srows','StatusBar',
+ 'Store','Str','Strconv','Strtofile','Strtran','Stuff',
+ 'Stuffc','Substr','Substrc','Substring','Sum','Suspend',
+ 'Sys','Sysmetric','TabOrdering','Table','TableRefresh','Tablerevert',
+ 'Tableupdate','TagCount','TagNo','Tan','Target','This',
+ 'Thisform','Thisformset','Timestamp','Timestampdiff','Total','Transactions',
+ 'Transform','Trim','Truncate','Ttoc','Ttod','Txnlevel',
+ 'Txtwidth','Type','Ucase','Undefine','Unlock','Unpack',
+ 'Updatable','UpdatableFieldList','Update','UpdateName','UpdateNameList','UpdateTrigger',
+ 'UpdateType','Updated','Upper','Upsizing','Usa','Use',
+ 'UseMemoSize','Used','Val','Validate','Varread','Vartype',
+ 'Version','VersionLanguage','Wait','WaitTime','Wborder','Wchild',
+ 'Wcols','Week','Wexist','Wfont','WhereType','Windcmd',
+ 'Windhelp','Windmemo','Windmenu','Windmodify','Windquery','Windscreen',
+ 'Windsnip','Windstproc','WizardPrompt','Wlast','Wlcol','Wlrow',
+ 'Wmaximum','Wminimum','Wontop','Woutput','Wparent','Wread',
+ 'Wrows','Wtitle','Wvisible','Year','Zap','_Alignment',
+ '_Asciicols','_Asciirows','_Assist','_Beautify','_Box','_Browser',
+ '_Builder','_Calcmem','_Calcvalue','_Cliptext','_Converter','_Coverage',
+ '_Curobj','_Dblclick','_Diarydate','_Dos','_Foxdoc','_Foxgraph',
+ '_Gallery','_Gengraph','_Genhtml','_Genmenu','_Genpd','_Genscrn',
+ '_Genxtab','_Getexpr','_Include','_Indent','_Lmargin','_Mac',
+ '_Mbr_appnd','_Mbr_cpart','_Mbr_delet','_Mbr_font','_Mbr_goto','_Mbr_grid',
+ '_Mbr_link','_Mbr_mode','_Mbr_mvfld','_Mbr_mvprt','_Mbr_seek','_Mbr_sp100',
+ '_Mbr_sp200','_Mbr_szfld','_Mbrowse','_Mda_appnd','_Mda_avg','_Mda_brow',
+ '_Mda_calc','_Mda_copy','_Mda_count','_Mda_label','_Mda_pack','_Mda_reprt',
+ '_Mda_rindx','_Mda_setup','_Mda_sort','_Mda_sp100','_Mda_sp200','_Mda_sp300',
+ '_Mda_sum','_Mda_total','_Mdata','_Mdiary','_Med_clear','_Med_copy',
+ '_Med_cut','_Med_cvtst','_Med_find','_Med_finda','_Med_goto','_Med_insob',
+ '_Med_link','_Med_obj','_Med_paste','_Med_pref','_Med_pstlk','_Med_redo',
+ '_Med_repl','_Med_repla','_Med_slcta','_Med_sp100','_Med_sp200','_Med_sp300',
+ '_Med_sp400','_Med_sp500','_Med_undo','_Medit','_Mfi_clall','_Mfi_close',
+ '_Mfi_export','_Mfi_import','_Mfi_new','_Mfi_open','_Mfi_pgset','_Mfi_prevu',
+ '_Mfi_print','_Mfi_quit','_Mfi_revrt','_Mfi_savas','_Mfi_save','_Mfi_send',
+ '_Mfi_setup','_Mfi_sp100','_Mfi_sp200','_Mfi_sp300','_Mfi_sp400','_Mfile',
+ '_Mfiler','_Mfirst','_Mlabel','_Mlast','_Mline','_Mmacro',
+ '_Mmbldr','_Mpr_beaut','_Mpr_cancl','_Mpr_compl','_Mpr_do','_Mpr_docum',
+ '_Mpr_formwz','_Mpr_gener','_Mpr_graph','_Mpr_resum','_Mpr_sp100','_Mpr_sp200',
+ '_Mpr_sp300','_Mpr_suspend','_Mprog','_Mproj','_Mrc_appnd','_Mrc_chnge',
+ '_Mrc_cont','_Mrc_delet','_Mrc_goto','_Mrc_locat','_Mrc_recal','_Mrc_repl',
+ '_Mrc_seek','_Mrc_sp100','_Mrc_sp200','_Mrecord','_Mreport','_Mrqbe',
+ '_Mscreen','_Msm_data','_Msm_edit','_Msm_file','_Msm_format','_Msm_prog',
+ '_Msm_recrd','_Msm_systm','_Msm_text','_Msm_tools','_Msm_view','_Msm_windo',
+ '_Mst_about','_Mst_ascii','_Mst_calcu','_Mst_captr','_Mst_dbase','_Mst_diary',
+ '_Mst_filer','_Mst_help','_Mst_hphow','_Mst_hpsch','_Mst_macro','_Mst_office',
+ '_Mst_puzzl','_Mst_sp100','_Mst_sp200','_Mst_sp300','_Mst_specl','_Msysmenu',
+ '_Msystem','_Mtable','_Mtb_appnd','_Mtb_cpart','_Mtb_delet','_Mtb_delrc',
+ '_Mtb_goto','_Mtb_link','_Mtb_mvfld','_Mtb_mvprt','_Mtb_props','_Mtb_recal',
+ '_Mtb_sp100','_Mtb_sp200','_Mtb_sp300','_Mtb_sp400','_Mtb_szfld','_Mwi_arran',
+ '_Mwi_clear','_Mwi_cmd','_Mwi_color','_Mwi_debug','_Mwi_hide','_Mwi_hidea',
+ '_Mwi_min','_Mwi_move','_Mwi_rotat','_Mwi_showa','_Mwi_size','_Mwi_sp100',
+ '_Mwi_sp200','_Mwi_toolb','_Mwi_trace','_Mwi_view','_Mwi_zoom','_Mwindow',
+ '_Mwizards','_Mwz_all','_Mwz_form','_Mwz_foxdoc','_Mwz_import','_Mwz_label',
+ '_Mwz_mail','_Mwz_pivot','_Mwz_query','_Mwz_reprt','_Mwz_setup','_Mwz_table',
+ '_Mwz_upsizing','_Netware','_Oracle','_Padvance','_Pageno','_Pbpage',
+ '_Pcolno','_Pcopies','_Pdparms','_Pdriver','_Pdsetup','_Pecode',
+ '_Peject','_Pepage','_Pform','_Plength','_Plineno','_Ploffset',
+ '_Ppitch','_Pquality','_Pretext','_Pscode','_Pspacing','_Pwait',
+ '_Rmargin','_Runactivedoc','_Samples','_Screen','_Shell','_Spellchk',
+ '_Sqlserver','_Startup','_Tabs','_Tally','_Text','_Throttle',
+ '_Transport','_Triggerlevel','_Unix','_WebDevOnly','_WebMenu','_WebMsftHomePage',
+ '_WebVFPHomePage','_WebVfpOnlineSupport','_Windows','_Wizard','_Wrap','_scctext',
+ '_vfp','Additive','After','Again','Aindent','Alignright',
+ 'All','Alt','Alternate','And','Ansi','Any',
+ 'Aplabout','App','Array','As','Asc','Ascending',
+ 'Ascii','At','Attributes','Automatic','Autosave','Avg',
+ 'Bar','Before','Bell','Between','Bitmap','Blank',
+ 'Blink','Blocksize','Border','Bottom','Brstatus','Bucket',
+ 'Buffers','By','Candidate','Carry','Cascade','Catalog',
+ 'Cdx','Center','Century','Cga','Character','Check',
+ 'Classlib','Clock','Cnt','Codepage','Collate','Color',
+ 'Com1','Com2','Command','Compact','Compatible','Compress',
+ 'Confirm','Connection','Connections','Connstring','Console','Copies',
+ 'Cpcompile','Cpdialog','Csv','Currency','Cycle','Databases',
+ 'Datasource','Date','Db4','Dbc','Dbf','Dbmemo3',
+ 'Debug','Decimals','Defaultsource','Deletetables','Delimited','Delimiters',
+ 'Descending','Design','Development','Device','Dif','Disabled',
+ 'Distinct','Dlls','Dohistory','Dos','Dosmem','Double',
+ 'Driver','Duplex','Echo','Editwork','Ega25','Ega43',
+ 'Ems','Ems64','Encrypt','Encryption','Environment','Escape',
+ 'Events','Exact','Except','Exe','Exists','Expression',
+ 'Extended','F','Fdow','Fetch','Field','Fields',
+ 'File','Files','Fill','Fixed','Float','Foldconst',
+ 'Font','Footer','Force','Foreign','Fox2x','Foxplus',
+ 'Free','Freeze','From','Fullpath','Fw2','Fweek',
+ 'Get','Gets','Global','Group','Grow','Halfheight',
+ 'Having','Heading','Headings','Helpfilter','History','Hmemory',
+ 'Hours','Id','In','Indexes','Information','Instruct',
+ 'Int','Integer','Intensity','Intersect','Into','Is',
+ 'Isometric','Key','Keycolumns','Keycomp','Keyset','Last',
+ 'Ledit','Level','Library','Like','Linked','Lock',
+ 'Logerrors','Long','Lpartition','Mac','Macdesktop','Machelp',
+ 'Mackey','Macros','Mark','Master','Max','Maxmem',
+ 'Mdi','Memlimit','Memory','Memos','Memowidth','Memvar',
+ 'Menus','Messages','Middle','Min','Minimize','Minus',
+ 'Mod','Modal','Module','Mono43','Movers','Multilocks',
+ 'Mvarsiz','Mvcount','N','Near','Negotiate','Noalias',
+ 'Noappend','Noclear','Noclose','Noconsole','Nocptrans','Nodata',
+ 'Nodebug','Nodelete','Nodup','Noedit','Noeject','Noenvironment',
+ 'Nofloat','Nofollow','Nogrow','Noinit','Nolgrid','Nolink',
+ 'Nolock','Nomargin','Nomdi','Nomenu','Nominimize','Nomodify'
+ ),
+ 3 => array('Nomouse','None','Nooptimize','Nooverwrite','Noprojecthook','Noprompt',
+ 'Noread','Norefresh','Norequery','Norgrid','Norm','Normal',
+ 'Nosave','Noshadow','Noshow','Nospace','Not','Notab',
+ 'Notify','Noupdate','Novalidate','Noverify','Nowait','Nowindow',
+ 'Nowrap','Nozoom','Npv','Null','Number','Objects',
+ 'Odometer','Of','Off','Oleobjects','Only','Optimize',
+ 'Or','Orientation','Output','Outshow','Overlay','Overwrite',
+ 'Pad','Palette','Paperlength','Papersize','Paperwidth','Password',
+ 'Path','Pattern','Pause','Pdox','Pdsetup','Pen',
+ 'Pfs','Pixels','Plain','Popups','Precision','Preference',
+ 'Preview','Primary','Printer','Printquality','Procedures','Production',
+ 'Program','Progwork','Project','Prompt','Query','Random',
+ 'Range','Readborder','Readerror','Record','Recover','Redit',
+ 'Reference','References','Relative','Remote','Reprocess','Resource',
+ 'Rest','Restrict','Rgb','Right','Row','Rowset',
+ 'Rpd','Runtime','Safety','Same','Sample','Say',
+ 'Scale','Scheme','Scoreboard','Screen','Sdf','Seconds',
+ 'Selection','Shadows','Shared','Sheet','Shell','Shift',
+ 'Shutdown','Single','Some','Sortwork','Space','Sql',
+ 'Standalone','Status','Std','Step','Sticky','String',
+ 'Structure','Subclass','Summary','Sylk','Sysformats','Sysmenus',
+ 'System','T','Tab','Tables','Talk','Tedit',
+ 'Textmerge','Time','Timeout','Titles','Tmpfiles','To',
+ 'Topic','Transaction','Trap','Trbetween','Trigger','Ttoption',
+ 'Typeahead','Udfparms','Union','Unique','Userid','Users',
+ 'Values','Var','Verb','Vga25','Vga50','Views',
+ 'Volume','Where','Windows','Wk1','Wk3','Wks',
+ 'Workarea','Wp','Wr1','Wrap','Wrk','Xcmdfile',
+ 'Xl5','Xl8','Xls','Y','Yresolution','Zoom',
+ 'Activate','ActivateCell','Add','AddColumn','AddItem','AddListItem',
+ 'AddObject','AddProperty','AddToSCC','AfterBuild','AfterCloseTables','AfterDock',
+ 'AfterRowColChange','BeforeBuild','BeforeDock','BeforeOpenTables','BeforeRowColChange','Box',
+ 'Build','CheckIn','CheckOut','Circle','Clear','ClearData',
+ 'Cleanup','Click','CloneObject','CloseEditor','CloseTables','Cls',
+ 'CommandTargetExec','CommandTargetQueryStas','ContainerRelease','DataToClip','DblClick','Deactivate',
+ 'Delete','DeleteColumn','Deleted','Destroy','DoCmd','Dock',
+ 'DoScroll','DoVerb','DownClick','Drag','DragDrop','DragOver',
+ 'DropDown','Draw','EnterFocus','Error','ErrorMessage','Eval',
+ 'ExitFocus','FormatChange','GetData','GetFormat','GetLatestVersion','GoBack',
+ 'GotFocus','GoForward','GridHitTest','Hide','HideDoc','IndexToItemId',
+ 'Init','InteractiveChange','Item','ItemIdToIndex','KeyPress','Line',
+ 'Load','LostFocus','Message','MiddleClick','MouseDown','MouseMove',
+ 'MouseUp','MouseWheel','Move','Moved','NavigateTo','Newobject',
+ 'OLECompleteDrag','OLEDrag','OLEDragDrop','OLEDragOver','OLEGiveFeedback','OLESetData',
+ 'OLEStartDrag','OpenEditor','OpenTables','Paint','Point','Print',
+ 'ProgrammaticChange','PSet','QueryAddFile','QueryModifyFile','QueryRemoveFile','QueryRunFile',
+ 'QueryUnload','RangeHigh','RangeLow','ReadActivate','ReadExpression','ReadDeactivate',
+ 'ReadMethod','ReadShow','ReadValid','ReadWhen','Refresh','Release',
+ 'RemoveFromSCC','RemoveItem','RemoveListItem','RemoveObject','Requery','RequestData',
+ 'Reset','ResetToDefault','Resize','RightClick','SaveAs','SaveAsClass',
+ 'Scrolled','SetAll','SetData','SetFocus','SetFormat','SetMain',
+ 'SetVar','SetViewPort','ShowDoc','ShowWhatsThis','TextHeight','TextWidth',
+ 'Timer','UIEnable','UnDock','UndoCheckOut','Unload','UpClick',
+ 'Valid','WhatsThisMode','When','WriteExpression','WriteMethod','ZOrder',
+ 'ATGetColors','ATListColors','Accelerate','ActiveColumn','ActiveControl','ActiveForm',
+ 'ActiveObjectId','ActivePage','ActiveProject','ActiveRow','AddLineFeeds','Alias',
+ 'Alignment','AllowAddNew','AllowHeaderSizing','AllowResize','AllowRowSizing','AllowTabs',
+ 'AlwaysOnTop','Application','AutoActivate','AutoCenter','AutoCloseTables','AutoIncrement',
+ 'AutoOpenTables','AutoRelease','AutoSize','AutoVerbMenu','AutoYield','AvailNum',
+ 'BackColor','BackStyle','BaseClass','BorderColor','BorderStyle','BorderWidth',
+ 'Bound','BoundColumn','BoundTo','BrowseAlignment','BrowseCellMarg','BrowseDestWidth',
+ 'BufferMode','BufferModeOverride','BuildDateTime','ButtonCount','ButtonIndex','Buttons',
+ 'CLSID','CanAccelerate','CanGetFocus','CanLoseFocus','Cancel','Caption',
+ 'ChildAlias','ChildOrder','Class','ClassLibrary','ClipControls','ClipRect',
+ 'Closable','ColorScheme','ColorSource','ColumnCount','ColumnHeaders','ColumnLines',
+ 'ColumnOrder','ColumnWidths','Columns','Comment','ContinuousScroll','ControlBox',
+ 'ControlCount','ControlIndex','ControlSource','Controls','CurrentControl','CurrentX',
+ 'CurrentY','CursorSource','Curvature','DataSession','DataSessionId','DataSourceObj',
+ 'DataType','Database','DateFormat','DateMark','DefButton','DefButtonOrig',
+ 'DefHeight','DefLeft','DefTop','DefWidth','Default','DefaultFilePath',
+ 'DefineWindows','DeleteMark','Desktop','Dirty','DisabledBackColor','DisabledByEOF',
+ 'DisabledForeColor','DisabledItemBackColor','DisabledItemForeColor','DisabledPicture','DispPageHeight','DispPageWidth',
+ 'DisplayCount','DisplayValue','DoCreate','DockPosition','Docked','DocumentFile',
+ 'DownPicture','DragIcon','DragMode','DragState','DrawMode','DrawStyle',
+ 'DrawWidth','DynamicAlignment','DynamicBackColor','DynamicCurrentControl','DynamicFontBold','DynamicFontItalic',
+ 'DynamicFontName','DynamicFontOutline','DynamicFontShadow','DynamicFontSize','DynamicFontStrikethru','DynamicFontUnderline',
+ 'DynamicForeColor','EditFlags','Enabled','EnabledByReadLock','Encrypted','EnvLevel',
+ 'ErasePage','FileClass','FileClassLibrary','FillColor','FillStyle','Filter',
+ 'FirstElement','FontBold','FontItalic','FontName','FontOutline','FontShadow',
+ 'FontSize','FontStrikethru','FontUnderline','ForceFocus','ForeColor','FormCount',
+ 'FormIndex','FormPageCount','FormPageIndex','Format','Forms','FoxFont',
+ 'FullName','GoFirst','GoLast','GridLineColor','GridLineWidth','GridLines'
+ ),
+ 4 => array('HPROJ','HWnd','HalfHeightCaption','HasClip','HeaderGap','HeaderHeight',
+ 'Height','HelpContextID','HideSelection','Highlight','HomeDir','HostName',
+ 'HotKey','HscrollSmallChange','IMEMode','Icon','IgnoreInsert','InResize',
+ 'Increment','IncrementalSearch','InitialSelectedAlias','InputMask','Instancing','IntegralHeight',
+ 'Interval','ItemBackColor','ItemData','ItemForeColor','ItemIDData','ItemTips',
+ 'JustReadLocked','KeyPreview','KeyboardHighValue','KeyboardLowValue','LastModified','Left',
+ 'LeftColumn','LineSlant','LinkMaster','List','ListCount','ListIndex',
+ 'ListItem','ListItemId','LockDataSource','LockScreen','MDIForm','MainClass',
+ 'MainFile','Margin','MaxButton','MaxHeight','MaxLeft','MaxLength',
+ 'MaxTop','MaxWidth','MemoWindow','MinButton','MinHeight','MinWidth',
+ 'MouseIcon','MousePointer','Movable','MoverBars','MultiSelect','Name',
+ 'NapTime','NewIndex','NewItemId','NoDataOnLoad','NoDefine','NotifyContainer',
+ 'NullDisplay','NumberOfElements','OLEDragMode','OLEDragPicture','OLEDropEffects','OLEDropHasData',
+ 'OLEDropMode','OLERequestPendingTimeOut','OLEServerBusyRaiseError','OLEServerBusyTimeOut','OLETypeAllowed','OleClass',
+ 'OleClassId','OleControlContainer','OleIDispInValue','OleIDispOutValue','OleIDispatchIncoming','OleIDispatchOutgoing',
+ 'OnResize','OneToMany','OpenViews','OpenWindow','PageCount','PageHeight',
+ 'PageOrder','PageWidth','Pages','Panel','PanelLink','Parent',
+ 'ParentAlias','ParentClass','Partition','PasswordChar','Picture','ProcessID',
+ 'ProgID','ProjectHookClass','ProjectHookLibrary','Projects','ReadColors','ReadCycle',
+ 'ReadFiller','ReadLock','ReadMouse','ReadOnly','ReadSave','ReadSize',
+ 'ReadTimeout','RecordMark','RecordSource','RecordSourceType','Rect','RelationalExpr',
+ 'RelativeColumn','RelativeRow','ReleaseErase','ReleaseType','ReleaseWindows','Resizable',
+ 'RightToLeft','RowHeight','RowSource','RowSourceType','SCCProvider','SCCStatus',
+ 'SDIForm','ScaleMode','ScrollBars','SelLength','SelStart','SelText',
+ 'SelectOnEntry','Selected','SelectedBackColor','SelectedForeColor','SelectedID','SelectedItemBackColor',
+ 'SelectedItemForeColor','SelfEdit','ServerClass','ServerClassLibrary','ServerHelpFile','ServerName',
+ 'ServerProject','ShowTips','ShowWindow','Sizable','Size<height>','Size<maxlength>',
+ 'Size<width>','Skip','SkipForm','Sorted','SourceType','Sparse',
+ 'SpecialEffect','SpinnerHighValue','SpinnerLowValue','SplitBar','StartMode','StatusBarText',
+ 'Stretch','StrictDateEntry','Style','SystemRefCount','TabIndex','TabStop',
+ 'TabStretch','TabStyle','Tabhit','Tabs','Tag','TerminateRead',
+ 'ThreadID','TitleBar','ToolTipText','Top','TopIndex','TopItemId',
+ 'TypeLibCLSID','TypeLibDesc','TypeLibName','UnlockDataSource','Value','ValueDirty',
+ 'VersionComments','VersionCompany','VersionCopyright','VersionDescription','VersionNumber','VersionProduct',
+ 'VersionTrademarks','View','ViewPortHeight','ViewPortLeft','ViewPortTop','ViewPortWidth',
+ 'Visible','VscrollSmallChange','WasActive','WasOpen','WhatsThisButton','WhatsThisHelp',
+ 'WhatsThisHelpID','Width','WindowList','WindowNTIList','WindowState','WindowType',
+ 'WordWrap','ZOrderSet','ActiveDoc','Checkbox','Column','ComboBox',
+ 'CommandButton','CommandGroup','Container','Control','Cursor','Custom',
+ 'DataEnvironment','EditBox','Empty','FontClass','Form','Formset',
+ 'General','Grid','Header','HyperLink','Image','Label',
+ 'ListBox','Memo','OleBaseControl','OleBoundControl','OleClassIDispOut','OleControl',
+ 'OptionButton','OptionGroup','Page','PageFrame','ProjectHook','RectClass',
+ 'Relation','Session','Shape','Spinner','TextBox' ,'Toolbar'
+ ),
+ ),
+ 'SYMBOLS' => array(
+ "!", "@", "$", "%",
+ "(", ")", "{", "}", "[", "]",
+ "-", "+", "*", "/",
+ "=", "<", ">",
+ ":", ";", ",", ".", "&",
+ "?", "??", "???"
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: blue;',
+ 2 => 'color: blue;',
+ 3 => 'color: blue;',
+ 4 => 'color: blue;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: green; font-style: italic;',
+ 2 => 'color: green; font-style: italic;',
+ 'MULTI' => 'color: #808080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: blue;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #006600;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: blue;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/visualprolog.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/visualprolog.php
new file mode 100644
index 000000000..19eab2de6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/visualprolog.php
@@ -0,0 +1,129 @@
+<?php
+/*************************************************************************************
+ * visualprolog.php
+ * ----------
+ * Author: Thomas Linder Puls (puls@pdc.dk)
+ * Copyright: (c) 2008 Thomas Linder Puls (puls@pdc.dk)
+ * Release Version: 1.0.8.4
+ * Date Started: 2008/11/20
+ *
+ * Visual Prolog language file for GeSHi.
+ *
+ * CHANGES
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Visual Prolog',
+ 'COMMENT_SINGLE' => array(1 => '%'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'HARDQUOTE' => array('@"', '"'),
+ 'HARDESCAPE' => array('""'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'clauses','constants','constructors','delegate','domains','facts',
+ 'goal','guards','inherits','monitor','namespace','open',
+ 'predicates','properties','resolve','supports'
+ ),
+ 2 => array(
+ 'align','and','anyflow','as','bitsize','catch','determ','digits',
+ 'div','do','else','elseif','erroneous','externally','failure',
+ 'finally','from','language','mod','multi','nondeterm','or',
+ 'procedure','quot','rem','single','then','to'
+ ),
+ 3 => array(
+ '#bininclude','#else','#elseif','#endif','#error','#export',
+ '#externally','#if','#import','#include','#message','#options',
+ '#orrequires','#requires','#then','#warning'
+ ),
+ ),
+ 'SYMBOLS' => array(
+ '+', '-', '*', '?', '=', '/', '>', '<', '^', '!', ':', '(', ')', '{', '}', '[', ']'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => true,
+ 1 => true,
+ 2 => true,
+ 3 => true
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #808000;',
+ 2 => 'color: #333399;',
+ 3 => 'color: #800080;',
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #AA77BD',
+ 'MULTI' => 'color: #AA77BD'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #008080;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #000000;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #00B7B7;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #0000FF;'
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #000000;'
+ ),
+ 'SCRIPT' => array(
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #008000;',
+ 1 => 'color: #808000;',
+ 2 => 'color: #333399;',
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => ':',
+ 2 => '::'
+ ),
+ 'REGEXPS' => array(
+ 0 => "(?<![a-zA-Z0-9_])(?!(?:PIPE|SEMI)>)[A-Z_]\w*(?!\w)",
+ 1 => "\\b(end\\s+)?(implement|class|interface)\\b",
+ 2 => "\\b(end\\s+)?(foreach|if|try)\\b",
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'TAB_WIDTH' => 4
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/whitespace.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/whitespace.php
new file mode 100644
index 000000000..59bbdb8e7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/whitespace.php
@@ -0,0 +1,121 @@
+<?php
+/*************************************************************************************
+ * whitespace.php
+ * ----------
+ * Author: Benny Baumann (BenBE@geshi.org)
+ * Copyright: (c) 2008 Benny Baumann (http://qbnz.com/highlighter/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2009/10/31
+ *
+ * Whitespace language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/10/31 (1.0.8.1)
+ * - First Release
+ *
+ * TODO
+ * ----
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+$language_data = array (
+ 'LANG_NAME' => 'Whitespace',
+ 'COMMENT_SINGLE' => array(),
+ 'COMMENT_MULTI' => array(),
+ 'COMMENT_REGEXP' => array(
+ 3 => "/[^\n\x20\x09]+/s"
+ ),
+ 'CASE_KEYWORDS' => GESHI_CAPS_UPPER,
+ 'QUOTEMARKS' => array(),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ ),
+ 'SYMBOLS' => array(
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ ),
+ 'COMMENTS' => array(
+ 3 => 'color: #666666; font-style: italic;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ ),
+ 'ESCAPE_CHAR' => array(
+ ),
+ 'SCRIPT' => array(
+ ),
+ 'REGEXPS' => array(
+ 2 => 'background-color: #FF9999;',
+ 3 => 'background-color: #9999FF;'
+ )
+ ),
+ 'URLS' => array(
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ 2 => array(
+ GESHI_SEARCH => "(?<!\\A)\x20",
+ GESHI_REPLACE => "&#32;",
+ GESHI_MODIFIERS => 's',
+ GESHI_BEFORE => "",
+ GESHI_AFTER => ""
+ ),
+ 3 => array(
+ GESHI_SEARCH => "\x09",
+ GESHI_REPLACE => "&#9;",
+ GESHI_MODIFIERS => 's',
+ GESHI_BEFORE => "",
+ GESHI_AFTER => ""
+ ),
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'TAB_WIDTH' => 4,
+ 'PARSER_CONTROL' => array(
+ 'ENABLE_FLAGS' => array(
+ 'KEYWORDS' => GESHI_NEVER,
+ 'SYMBOLS' => GESHI_NEVER,
+ 'STRINGS' => GESHI_NEVER,
+// 'REGEXPS' => GESHI_NEVER,
+ 'NUMBERS' => GESHI_NEVER
+ )
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/winbatch.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/winbatch.php
new file mode 100644
index 000000000..e86b03636
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/winbatch.php
@@ -0,0 +1,369 @@
+<?php
+/*************************************************************************************
+ * winbatch.php
+ * ------------
+ * Author: Craig Storey (storey.craig@gmail.com)
+ * Copyright: (c) 2004 Craig Storey (craig.xcottawa.ca)
+ * Release Version: 1.0.8.4
+ * Date Started: 2006/05/19
+ *
+ * WinBatch language file for GeSHi.
+ *
+ * WinBatch is a Windows scripting language - www.winbatch.com.
+ * The keywords were pulled from the winbatch/system/WIL.clr file for v2005G.
+ * Not all extender functions are added, but a very large set of the most common.
+ *
+ * CHANGES
+ * -------
+ * 2008/05/23 (1.0.7.22)
+ * - Added description of extra language features (SF#1970248)
+ * 2006/05/05 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2004/07/14)
+ * -------------------------
+ * - Right now any ':Subroutine' is treated as a comment. This highlights the
+ * Subroutine's name, but it's not a perfect fix. I should use a RegEx in
+ * GeSHI_Search&Replace features..
+ * - Update the list of extender functions.
+ * - Use a regular expression to comment UDFs that start with 'udf_'.
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Winbatch',
+ 'COMMENT_SINGLE' => array(1 => ';', 2 => ':'),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"', '`'),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'While', 'To', 'Then', 'Switch', 'Select', 'Return', 'Next', 'IntControl', 'Include', 'In', 'If',
+ 'Goto', 'GoSub', 'ForEach', 'For', 'Exit', 'Execute', 'ErrorMode', 'EndWhile', 'EndSwitch', '#EndSubRoutine',
+ 'EndSelect', 'EndIf', '#EEndFunction', 'EndFor', 'End', 'Else', 'DropWild', 'Drop', '#DefineSubRoutine',
+ '#DefineFunction', 'Debug', 'Continue', 'Case', 'CallExt', 'Call', 'By', 'BreakPoint', 'Break'
+ ),
+ 2 => array(
+ 'ZOOMED', 'YES', 'WORD4', 'WORD2', 'WORD1', 'WHOLESECTION', 'WAIT', 'UNSORTED', 'UNCHECK', 'TRUE', 'TILE',
+ 'TAB', 'STRING', 'STACK', 'SPC2NET', 'SORTED', 'SOK', 'SNET2PC', 'SINGLE', 'SHIFT', 'SERVER', 'SERRWINSOCK',
+ 'SERRVOICE', 'SERRSOCKET', 'SERRSERVICE', 'SERRSELECT', 'SERRPARAM', 'SERROUTOFMEM', 'SERRNOTFOUND', 'SERRNOCONN',
+ 'SERRNOANSWER', 'SERRMUSTWAIT', 'SERRIPADDR', 'SERRHOSTNAME', 'SERRFAILURE', 'SERRBUSY', 'SCROLLLOCK', 'SCANCEL',
+ 'SAVE', 'SALREADY', 'ROWS', 'REGUSERS', 'REGROOT', 'REGMACHINE', 'REGCURRENT', 'REGCLASSES', 'RDBLCLICK', 'RCLICK',
+ 'RBUTTON', 'RAD2DEG', 'QSUCCESSINFO', 'QSUCCESS', 'QSTILLEX', 'QROLLBACK', 'QNULL', 'QNODATA', 'QNEXT', 'QNEEDDATA',
+ 'QFIRST', 'QCOMMIT', 'QBADHANDLE', 'PRINTER', 'PLANCKJOULES', 'PLANCKERGS', 'PI', 'PARSEONLY', 'PARSEC', 'P3ERRREPLY',
+ 'OPEN', 'ON', 'OFF', 'NUMLOCK', 'NOWAIT', 'NOTIFY', 'NORMAL', 'NORESIZE', 'NONE', 'NO', 'NCSAFORMAT', 'MULTIPLE',
+ 'MSFORMAT', 'MPLAYRDBLCK', 'MPLAYRCLK', 'MPLAYRBUTTON', 'MPLAYMDBLCK', 'MPLAYMCLK', 'MPLAYMBUTTON', 'MPLAYLDBLCK',
+ 'MPLAYLCLK', 'MPLAYLBUTTON', 'MINOR', 'MDBLCLICK', 'MCLICK', 'MBYESNO', 'MBUTTON', 'MBOKCANCEL', 'MAJOR', 'MAGFIELD',
+ 'LOCALGROUP', 'LIGHTMTPS', 'LIGHTMPS', 'LF', 'LDBLCLICK', 'LCLICK', 'LBUTTON', 'LAFFDBERROR', 'ICON', 'HTTPS', 'HTTP',
+ 'HNOHEADER', 'HMETHODPOST', 'HMETHODGET', 'HIDDEN', 'HHEADERONLY', 'HHEADER', 'GRAVITATION', 'GOPHER', 'GOLDENRATIO',
+ 'GMTSEC', 'GLOBALGROUP', 'GFTSEC', 'GETPROCID', 'GETEXITCODE', 'FWDSCAN', 'FTPPASSIVE', 'FTP', 'FLOAT8', 'FARADAY',
+ 'FALSE', 'EXTENDED', 'EULERS', 'ENABLE', 'ELECTRIC', 'DRIVE', 'DISABLE', 'DESCENDING', 'DEG2RAD', 'DEFAULT', 'CTRL',
+ 'CRLF', 'CR', 'COMMONFORMAT', 'COLUMNS', 'CHECK', 'CAPSLOCK', 'CANCEL', 'BOLTZMANN', 'BACKSCAN', 'AVOGADRO', 'ATTR_X',
+ 'ATTR_T', 'ATTR_SY', 'ATTR_SH', 'ATTR_RO', 'ATTR_RI', 'ATTR_P', 'ATTR_IC', 'ATTR_H', 'ATTR_DM', 'ATTR_DI', 'ATTR_DC',
+ 'ATTR_CI', 'ATTR_A', 'ASCENDING', 'ARRANGE', 'AMC', 'ACC_WRITE', 'ACC_READ_NT', 'ACC_READ_95', 'ACC_READ', 'ACC_PRINT_NT',
+ 'ACC_PMANG_NT', 'ACC_PFULL_NT', 'ACC_LIST', 'ACC_FULL_NT', 'ACC_FULL_95', 'ACC_DELETE', 'ACC_CREATE', 'ACC_CONTROL',
+ 'ACC_CHNG_NT', 'ACC_ATTRIB', 'ABOVEICONS'
+ ),
+ 3 => array(
+ 'Yields', 'Yield', 'WinZoom', 'WinWaitExist', 'WinWaitClose', 'WinWaitChild', 'WinVersion', 'WinTitle', 'WinSysInfo',
+ 'WinState', 'WinShow', 'WinResources', 'WinPositionChild', 'WinPosition', 'WinPlaceSet', 'WinPlaceGet', 'WinPlaceChild',
+ 'WinPlace', 'WinParmSet', 'WinParmGet', 'WinName', 'WinMetrics', 'WinItemProcId', 'WinItemNameId', 'WinItemizeEx',
+ 'WinItemize', 'WinItemChild', 'WinIsDos', 'WinIdGet', 'WinIconize', 'WinHide', 'WinHelp', 'WinGetactive', 'WinExistchild',
+ 'WinExist', 'WinExename', 'WinConfig', 'WinClosenot', 'WinClose', 'WinArrange', 'WinActivechild', 'WinActivchild',
+ 'WinActivate', 'WebVerifyCard', 'WebSetTimeout', 'WebParamSize', 'WebParamNames', 'WebParamFile', 'WebParamData',
+ 'WebParamBuf', 'WebOutFile', 'WebOutBinary', 'WebOut', 'WebDumpError', 'WebDatData', 'WebCounter', 'WebConSize', 'WebConData',
+ 'WebConBuf', 'WebCmdData', 'WebBaseConv', 'Wallpaper', 'WaitForKeyEX', 'WaitForKey', 'VersionDLL', 'Version', 'VarType',
+ 'TimeYmdHms', 'TimeWait', 'TimeSubtract', 'TimeJulToYmd', 'TimeJulianDay', 'TimeDiffSecs', 'TimeDiffDays', 'TimeDiff', 'TimeDelay',
+ 'TimeDate', 'TimeAdd', 'TextSelect', 'TextBoxSort', 'TextBox', 'Terminate', 'Tanh', 'Tan', 'SysParamInfo', 'SvcWaitForCmd',
+ 'SvcSetState', 'SvcSetAccept', 'StrUpper', 'StrTrim', 'StrSubWild', 'StrSub', 'StrScan', 'StrReplace', 'StrLower', 'StrLenWild',
+ 'StrLen', 'StrIndexWild', 'StrIndexNC', 'StrIndex', 'StriCmp', 'StrFixLeft', 'StrFixCharsL', 'StrFixChars', 'StrFix', 'StrFill',
+ 'StrCnt', 'StrCmp', 'StrClean', 'StrCharCount', 'StrCat', 'StrByteCount', 'Sqrt', 'SoundVolume', 'Sounds', 'Snapshot', 'Sinh', 'Sin',
+ 'ShortCutMake', 'ShortCutInfo', 'ShortCutExtra', 'ShortCutEdit', 'ShortCutDir', 'ShellExecute', 'SendMenusToEx', 'SendMenusTo',
+ 'SendKeysTo', 'SendKeysChild', 'SendKey', 'RunZoomWait', 'RunZoom', 'RunWithLogon', 'RunWait', 'RunShell', 'RunIconWait',
+ 'RunIcon', 'RunHideWait', 'RunHide', 'RunExit', 'RunEnviron', 'Run', 'RtStatus', 'Reload', 'RegUnloadHive', 'RegSetValue',
+ 'RegSetQword', 'RegSetMulSz', 'RegSetExpSz', 'RegSetEx', 'RegSetDword', 'RegSetBin', 'RegQueryValue', 'RegQueryStr',
+ 'RegQueryQword', 'RegQueryMulSz', 'RegQueryKeys', 'RegQueryKeyLastWriteTime', 'RegQueryKey', 'RegQueryItem', 'RegQueryExpSz',
+ 'RegQueryEx', 'RegQueryDword', 'RegQueryBin', 'RegOpenKeyEx', 'RegOpenKey', 'RegOpenFlags', 'RegLoadHive', 'RegExistValue',
+ 'RegExistKey', 'RegEntryType', 'RegDelValue', 'RegDeleteKey', 'RegCreateKey', 'RegConnect', 'RegCloseKey', 'RegApp', 'Random',
+ 'PtrPersistent', 'PtrGlobalDefine', 'PtrGlobal', 'Print', 'PlayWaveform', 'PlayMidi', 'PlayMedia', 'PipeServerWrite', 'PipeServerRead',
+ 'PipeServerCreate', 'PipeServerClose', 'PipeInfo', 'PipeClientSendRecvData', 'PipeClientOpen', 'PipeClientClose', 'Pause',
+ 'ParseData', 'ObjectTypeGet', 'ObjectType', 'ObjectOpen', 'ObjectGet', 'ObjectEventRemove', 'objecteventremove', 'ObjectEventAdd',
+ 'objecteventadd', 'ObjectCreate', 'ObjectConstToArray', 'ObjectConstantsGet', 'ObjectCollectionOpen', 'ObjectCollectionNext',
+ 'ObjectCollectionClose', 'ObjectClose', 'ObjectAccess', 'Num2Char', 'NetInfo', 'MsgTextGet', 'MousePlay', 'MouseMove', 'MouseInfo',
+ 'MouseDrag', 'MouseCoords', 'MouseClickBtn', 'MouseClick', 'mod', 'Min', 'Message', 'Max', 'Loge', 'LogDisk', 'Log10', 'LastError',
+ 'KeyToggleSet', 'KeyToggleGet', 'ItemSortNc', 'ItemSort', 'ItemSelect', 'ItemReplace', 'ItemRemove', 'ItemLocate', 'ItemInsert',
+ 'ItemExtractCSV', 'ItemExtract', 'ItemCountCSV', 'ItemCount', 'IsNumber', 'IsLicensed', 'IsKeyDown', 'IsInt', 'IsFloat', 'IsDefined',
+ 'Int', 'InstallFile', 'IniWritePvt', 'IniWrite', 'IniReadPvt', 'IniRead', 'IniItemizePvt', 'IniItemize', 'IniDeletePvt', 'IniDelete',
+ 'IgnoreInput', 'IconReplace', 'IconInfo', 'IconExtract', 'IconArrange', 'GetTickCount', 'GetObject', 'GetExactTime', 'Floor',
+ 'FindWindow', 'FileYmdHms', 'FileWrite', 'FileVerInfo', 'FileTimeTouch', 'FileTimeSetEx', 'FileTimeSet', 'FileTimeGetEx',
+ 'FileTimeGet', 'FileTimeCode', 'FileSizeEx', 'FileSize', 'FileRoot', 'FileRename', 'FileRead', 'FilePutW', 'FilePut', 'FilePath',
+ 'FileOpen', 'FileNameShort', 'FileNameLong', 'FileNameEval2', 'FileNameEval1', 'FileMoveAttr', 'FileMove', 'FileMapName',
+ 'FileLocate', 'FileItemPath', 'FileItemize', 'FileInfoToArray', 'FileGetW', 'FileGet', 'FileFullname', 'FileExtension', 'FileExist',
+ 'FileDelete', 'FileCreateTemp', 'FileCopyAttr', 'FileCopy', 'FileCompare', 'FileClose', 'FileBaseName', 'FileAttrSetEx',
+ 'FileAttrSet', 'FileAttrGetEx', 'FileAttrGet', 'FileAppend', 'Fabs', 'ExtractAttachedFile', 'Exp', 'ExeTypeInfo', 'Exclusive',
+ 'EnvItemize', 'EnvironSet', 'Environment', 'EndSession', 'DosVersion', 'DllLoad', 'DllLastError', 'DllHwnd', 'DllHinst',
+ 'DllFree', 'DllCallCDecl', 'DllCall', 'Display', 'DiskVolinfo', 'DiskSize', 'DiskScan', 'DiskInfo', 'DiskFree', 'DiskExist',
+ 'DirWindows', 'DirSize', 'DirScript', 'DirRename', 'DirRemove', 'DirMake', 'DirItemize', 'DirInfoToArray', 'DirHome', 'DirGet',
+ 'DirExist', 'DirChange', 'DirAttrSetEx', 'DirAttrSet', 'DirAttrGetEx', 'DirAttrGet', 'DialogProcOptions', 'DialogObject',
+ 'DialogControlState', 'DialogControlSet', 'DialogControlGet', 'DialogBox', 'Dialog', 'Delay', 'Decimals', 'DebugTrace',
+ 'DebugData', 'DDETimeout', 'DDETerminate', 'DDERequest', 'DDEPoke', 'DDEInitiate', 'DDEExecute', 'DateTime', 'CurrFilepath',
+ 'CurrentPath', 'CurrentFile', 'CreateObject', 'Cosh', 'Cos', 'ClipPut', 'ClipHasFormat', 'ClipGetEx', 'ClipGet', 'ClipAppend',
+ 'ChrUnicodeToString', 'ChrUnicodeToHex', 'ChrStringToUnicode', 'ChrSetCodepage', 'ChrHexToUnicode', 'ChrGetCodepage',
+ 'Char2Num', 'Ceiling', 'ButtonNames', 'BoxUpdates', 'BoxTitle', 'BoxTextFont', 'BoxTextColor', 'BoxText', 'BoxShut', 'BoxPen',
+ 'BoxOpen', 'BoxNew', 'BoxMapmode', 'BoxesUp', 'BoxDrawText', 'BoxDrawRect', 'BoxDrawLine', 'BoxDrawCircle', 'BoxDestroy',
+ 'BoxDataTag', 'BoxDataClear', 'BoxColor', 'BoxCaption', 'BoxButtonWait', 'BoxButtonStat', 'BoxButtonKill', 'BoxButtonDraw',
+ 'BoxBitMap', 'BinaryXor', 'BinaryXlate', 'BinaryWriteEx', 'BinaryWrite', 'BinaryTagRepl', 'BinaryTagLen', 'BinaryTagInit',
+ 'BinaryTagIndex', 'BinaryTagFind', 'BinaryTagExtr', 'BinaryStrCnt', 'BinarySort', 'BinaryReplace', 'BinaryReadEx',
+ 'BinaryRead', 'BinaryPokeStrW', 'BinaryPokeStr', 'BinaryPokeHex', 'BinaryPokeFlt', 'BinaryPoke4', 'BinaryPoke2', 'BinaryPoke',
+ 'BinaryPeekStrW', 'BinaryPeekStr', 'BinaryPeekHex', 'BinaryPeekFlt', 'BinaryPeek4', 'BinaryPeek2', 'BinaryPeek', 'BinaryOr',
+ 'BinaryOleType', 'BinaryIndexNc', 'BinaryIndexEx', 'BinaryIndexBin', 'BinaryIndex', 'BinaryIncrFlt', 'BinaryIncr4',
+ 'BinaryIncr2', 'BinaryIncr', 'BinaryHashRec', 'BinaryFree', 'BinaryEodSet', 'BinaryEodGet', 'BinaryCopy', 'BinaryConvert',
+ 'BinaryCompare', 'BinaryClipPut', 'BinaryClipGet', 'BinaryChecksum', 'BinaryBufInfo', 'BinaryAnd', 'BinaryAllocArray',
+ 'BinaryAlloc', 'Beep', 'Average', 'Atan', 'AskYesNo', 'AskTextbox', 'AskPassword', 'AskLine', 'AskItemlist', 'AskFont',
+ 'AskFiletext', 'AskFilename', 'AskDirectory', 'AskColor', 'Asin', 'ArrInitialize', 'ArrInfo', 'ArrDimension',
+ 'Arrayize', 'ArrayFilePutCSV', 'ArrayFilePut', 'ArrayFileGetCSV', 'ArrayFileGet', 'AppWaitClose', 'AppExist', 'AddExtender',
+ 'Acos', 'Abs', 'About'
+ ),
+ 4 => array(
+ 'zZipFiles', 'zVersionInfo', 'zVersion', 'zUnZipFiles', 'zSetPortBit', 'zRPortShift', 'zPortOut', 'zPortIn', 'zNotPortBit',
+ 'zLPortShift', 'zGetPortBit', 'zClrPortBit', 'xVerifyCCard', 'xSendMessage', 'xMessageBox', 'xMemCompact', 'xHex', 'xGetElapsed',
+ 'xGetChildHwnd', 'xExtenderInfo', 'xEnumStreams', 'xEjectMedia', 'xDriveReady', 'xDiskLabelGet', 'xCursorSet', 'xBaseConvert',
+ 'wxPing', 'wxParmSet', 'wxParmGet', 'wxMsgSetHdr', 'wxMsgGetHdr', 'wxMsgGetBody', 'wxHost2Addr', 'wxGetLastErr', 'wxGetInfo',
+ 'wxGetErrDesc', 'wxAddr2Host', 'wtsWaitSystemEvent', 'wtsVersion', 'wtsTerminateProcess', 'wtsShutdownSystem', 'wtsSendMessage',
+ 'wtsQuerySessionInfo', 'wtsProcIdToSessId', 'wtsLogoffSession', 'wtsLastErrMsg', 'wtsIsTSEnabled', 'wtsIsCitrixEnabled',
+ 'wtsGetActiveConsoleSessId', 'wtsEnumSessions', 'wtsEnumProcesses', 'wtsDisconnectSession', 'wnWrkGroups', 'wnVersion', 'wntWtsUserSet',
+ 'wntWtsUserGet', 'wntVersion', 'wntUserSidChk', 'wntUserSetDat', 'wntUserRename', 'wntUserProps', 'wntUserList', 'wntUserInfo',
+ 'wntUserGetDat', 'wntUserFiles', 'wntUserExist', 'wntUserDel', 'wntUserAddDat', 'wntUserAdd', 'wntSvcStatus', 'wntSvcStart',
+ 'wntSvcList', 'wntSvcDelete', 'wntSvcCreate', 'wntSvcControl', 'wntSvcCfgSet', 'wntSvcCfgGet', 'wntShutdown', 'wntShareUsers',
+ 'wntShareSet', 'wntShareList', 'wntShareInfo', 'wntShareDel', 'wntShareAdd', 'wntServiceInf', 'wntServiceAt', 'wntServerType',
+ 'wntServerList', 'wntServerInfo', 'wntSecurityGet', 'wntRunAsUser', 'wntResources2', 'wntResources', 'wntRemoteTime', 'wntRasUserSet',
+ 'wntRasUserGet', 'wntProfileInfo', 'wntProfileDel', 'wntPrivUsers', 'wntPrivList', 'wntPrivGet', 'wntPrivDel', 'wntPrivAdd',
+ 'wntOwnerSet', 'wntOwnerGet', 'wntMemberSet', 'wntMemberLst2', 'wntMemberList', 'wntMemberGrps', 'wntMemberGet', 'wntMemberDel',
+ 'wntLsaPolSet', 'wntLsaPolGet', 'wntListGroups', 'wntLastErrMsg', 'wntGroupRen', 'wntGroupInfo', 'wntGroupEdit', 'wntGroupDel',
+ 'wntGroupAdd', 'wntGetUser', 'wntGetDrive', 'wntGetDc', 'wntGetCon', 'wntFileUsers', 'wntFilesOpen', 'wntFileClose', 'wntEventWrite',
+ 'wntEventLog', 'wntDomainSync', 'wntDirDialog', 'wntDfsList', 'wntDfsGetInfo', 'wntCurrUsers', 'wntChgPswd', 'wntCancelCon',
+ 'wntAuditMod', 'wntAuditList', 'wntAuditGet', 'wntAuditDel', 'wntAuditAdd2', 'wntAuditAdd', 'wntAddPrinter', 'wntAddDrive',
+ 'wntAcctPolSet', 'wntAcctPolGet', 'wntAcctList', 'wntAcctInfo', 'wntAccessMod', 'wntAccessList', 'wntAccessGet', 'wntAccessDel',
+ 'wntaccessadd2', 'wntAccessAdd', 'wnShares', 'wnSharePath', 'wnShareName', 'wnShareCnt', 'wnServers', 'wnRestore', 'wnNetNames',
+ 'wnGetUser', 'wnGetCon', 'wnGetCaps', 'wnDlgShare', 'wnDlgNoShare', 'wnDlgDiscon', 'wnDlgCon4', 'wnDlgCon3', 'wnDlgCon2', 'wnDlgCon',
+ 'wnDlgBrowse', 'wnDialog', 'wnCmptrInfo', 'wnCancelCon', 'wnAddCon', 'WaitSRQ', 'w9xVersion', 'w9xUserSetDat', 'w9xUserRename',
+ 'w9xUserprops', 'w9xUserList', 'w9xUserinfo', 'w9xUserGetDat', 'w9xUserExist', 'w9xUserDel', 'w9xUserAddDat', 'w9xUserAdd', 'w9xShareSet',
+ 'w9xShareInfo', 'w9xShareDel', 'w9xShareAdd', 'w9xServiceAt', 'w9xServerList', 'w9xRemoteTime', 'w9xOwnerGet', 'w9xMemberSet',
+ 'w9xMemberList', 'w9xMemberGrps', 'w9xMemberGet', 'w9xMemberDel', 'w9xListGroups', 'w9xGroupInfo', 'w9xGroupDel', 'w9xGroupAdd',
+ 'w9xGetDC', 'w9xFileUsers', 'w9xAccessList', 'w9xAccessGet', 'w9xAccessDel', 'w9xAccessAdd', 'w95Version', 'w95ShareUsers',
+ 'w95ShareSet', 'w95ShareList', 'w95ShareInfo', 'w95ShareDel', 'w95ShareAdd', 'w95ServiceInf', 'w95ServiceAt', 'w95ServerType',
+ 'w95ServerInfo', 'w95Resources', 'w95GetUser', 'w95GetDrive', 'w95GetCon', 'w95FileUsers', 'w95FileClose', 'w95DirDialog',
+ 'w95CancelCon', 'w95AddPrinter', 'w95AddDrive', 'w95AccessDel', 'w95AccessAdd', 'w3Version', 'w3PrtBrowse', 'w3NetGetUser',
+ 'w3NetDialog', 'w3GetCon', 'w3GetCaps', 'w3DirBrowse', 'w3CancelCon', 'w3AddCon', 'urlGetScheme', 'urlEncode', 'urlDecode',
+ 'tVersion', 'tSetPriority', 'TriggerList', 'Trigger', 'tRemoteConn', 'tOpenProc', 'tListProc', 'tListMod', 'tKillProc', 'tGetProcInfo',
+ 'tGetPriority', 'tGetModInfo', 'tGetLastError', 'tGetData', 'TestSys', 'TestSRQ', 'tCountProc', 'tCompatible', 'tCloseProc',
+ 'tBrowseCntrs', 'sSendString', 'sSendNum', 'sSendLine', 'sSendBinary', 'sRecvNum', 'sRecvLine', 'sRecvBinary', 'SrchVersion',
+ 'SrchNext', 'SrchInit', 'SrchFree', 'sOpen', 'sOK2Send', 'sOK2Recv', 'smtpSendText', 'smtpSendFile', 'sListen', 'SetRWLS',
+ 'SendSetup', 'SendLLO', 'SendList', 'SendIFC', 'SendDataBytes', 'SendCmds', 'Send', 'sConnect', 'sClose', 'SByteOrder32',
+ 'sByteOrder16', 'sAccept', 'rRegVersion', 'rRegSearch', 'ResetSys', 'ReceiveSetup', 'Receive', 'ReadStsByte', 'RcvRespMsg',
+ 'RasVersion', 'RasTypeSize', 'RasRename', 'RasNumCons', 'RasNameValid', 'RasListActCon', 'RasItemize', 'RasHangUp', 'RasGetLastErr',
+ 'RasGetConStat', 'RasEntrySet', 'RasEntryInfo', 'RasEntryExist', 'RasEntryDel', 'RasEntryAdd', 'RasDialInfo', 'RasDial',
+ 'RasCopy', 'RasConStatus', 'qVersionInfo', 'qTransact', 'qTables', 'qSpecial', 'qSetConnOpt', 'qNumRsltCol', 'qNativeSql', 'qLastCode',
+ 'qGetData', 'qFreeStmt', 'qFreeEnv', 'qFreeConnect', 'qFetch', 'qExecDirect', 'qError', 'qDriverList', 'qDriverCon', 'qDisconnect',
+ 'qDataSources', 'qConnect', 'qConfigError', 'qConfigData', 'qColumns', 'qBindCol', 'qAllocStmt', 'qAllocEnv', 'qAllocConnect',
+ 'pWaitFor', 'pVersionInfo', 'pTimeout', 'pSetPublish', 'pSetPrtInfo', 'pSetPrtAttrib', 'pSetDefPrtEx', 'pSetDefPrt', 'pSendFile',
+ 'pRecvFile', 'pPutString', 'pPutLine', 'pPutChar', 'pPutByte', 'pPutBinary', 'PPollUnconfig', 'PPollConfig', 'PPoll', 'pPeekChar',
+ 'pPeekByte', 'pPaperSizes', 'pPaperBins', 'pModemSReg', 'pModemParams', 'pModemInit', 'pModemHangUp', 'pModemDial', 'pModemControl',
+ 'pModemConnect', 'pModemCommand', 'pModemAnsRing', 'pModemAnsCall', 'pMediaTypes', 'pGetString', 'pGetPublish', 'pGetPrtList',
+ 'pGetPrtInfo', 'pGetPrtAttrib', 'pGetLine', 'pGetLastError', 'pGetErrorMsg', 'pGetErrorCode', 'pGetDefPrtInf', 'pGetChar',
+ 'pGetByte', 'pGetBinary', 'pDelPrtConn', 'pDelPrinter', 'pComOpen', 'pComModify', 'pComInfo', 'pComControl', 'pComClose',
+ 'pCheckSum', 'pCheckBinary', 'pCaptureOn', 'pCaptureOff', 'pCaptureLog', 'PassControl', 'pAddPrtConn', 'pAddPrinter', 'p3RecvText',
+ 'p3RecvFile', 'p3Peek', 'p3Open', 'p3GetReply', 'p3Delete', 'p3Count', 'p3Close', 'nwWhoAmI', 'nwVfyPassword', 'nwVersion',
+ 'nwSrvShutdown', 'nwSrvNLMMgr', 'nwSrvGenGUID', 'nwSrvExecNCF', 'nwSetVolLimit', 'nwSetSrvParam', 'nwSetSrvInfo', 'nwSetPrimServ',
+ 'nwSetPassword', 'nwSetOptions', 'nwSetFileInfo', 'nwSetDirLimit', 'nwSetDirInfo', 'nwSetContext', 'nwSetBcastMode', 'nwServerList',
+ 'nwSendBcastMsg', 'nwSearchObjects', 'nwSearchFilter', 'nwRenameObject', 'nwRemoveObject', 'nwReceiveBcastMsg', 'nwNameConvert',
+ 'nwMutateObject', 'nwMoveObject', 'nwModifyObject', 'nwMapDelete', 'nwMap', 'nwLogout', 'nwLogin', 'nwListUserGroups',
+ 'nwListObjects', 'nwListGroupMembers', 'nwLastErrMsg', 'nwIsUserInGroup', 'nwGetVolLimit', 'nwGetSrvStats', 'nwGetSrvParam',
+ 'nwGetSrvInfo', 'nwGetSrvCfg', 'nwGetOptions', 'nwGetObjValue', 'nwGetObjInfo', 'nwGetNLMInfo', 'nwGetMapped', 'nwGetFileInfo',
+ 'nwGetDirLimit', 'nwGetDirInfo', 'nwGetContext', 'nwGetConnInfo', 'nwGetCapture', 'nwGetBcastMode', 'nwGetAttrInfo',
+ 'nwDriveStatus', 'nwDrivePath', 'nwDetachFromServer', 'nwDelUserFromGroup', 'nwDelConnNum', 'nwCompareObject', 'nwClientInfo',
+ 'nwChgPassword', 'nwAttachToServer', 'nwAddUserToGroup', 'nwAddObject', 'netVersion', 'netResources', 'netGetUser', 'netGetCon',
+ 'netDirDialog', 'netCancelCon', 'netAddPrinter', 'netAddDrive', 'n4Version', 'n4UserGroups', 'n4UserGroupEx', 'n4SetPrimServ',
+ 'n4SetOptions', 'n4SetContextG', 'n4SetContext', 'n4ServerList', 'n4ServerInfo', 'n4ObjSearch', 'n4ObjRename', 'n4ObjOptions',
+ 'n4ObjMove', 'n4ObjGetVal', 'n4ObjectProps', 'n4ObjectList', 'n4ObjectInfo', 'n4ObjDelete', 'n4NameConvert', 'n4MsgsEndAll',
+ 'n4MsgsEnd', 'n4MemberSet', 'n4MemberGet', 'n4MemberDel', 'n4MapRoot', 'n4MapDir', 'n4MapDelete', 'n4Map', 'n4LogoutTree',
+ 'n4Logout', 'n4Login', 'n4GetUserName', 'n4GetUserId', 'n4GetUser', 'n4GetNetAddr', 'n4GetMapped', 'n4GetContext',
+ 'n4GetConnNum', 'n4FileUsers', 'n4FileTimeGet', 'n4FileAttrSet', 'n4FileAttrGet', 'n4DriveStatus', 'n4DrivePath', 'n4DirTimeGet',
+ 'n4DirAttrSet', 'n4DirAttrGet', 'n4Detach', 'n4ChgPassword', 'n4CapturePrt', 'n4CaptureGet', 'n4CaptureEnd', 'n4Attach',
+ 'n3Version', 'n3UserGroups', 'n3ServerList', 'n3ServerInfo', 'n3MsgsEndAll', 'n3MsgsEnd', 'n3MemberSet', 'n3MemberGet',
+ 'n3MemberDel', 'n3Maproot', 'n3Mapdir', 'n3Mapdelete', 'n3Map', 'n3Logout', 'n3GetUserId', 'n3GetUser', 'n3GetNetAddr',
+ 'n3GetMapped', 'n3GetConnNum', 'n3FileTimeGet', 'n3FileAttrSet', 'n3FileAttrGet', 'n3DriveStatus', 'n3DrivePath',
+ 'n3DirTimeGet', 'n3DirAttrSet', 'n3DirAttrGet', 'n3Detach', 'n3ChgPassword', 'n3CapturePrt', 'n3CaptureGet',
+ 'n3CaptureEnd', 'n3Attach', 'mVersion', 'mSyncMail', 'mSendMailEx', 'mSendMail', 'mrecvmail', 'mReadNextMsg', 'mLogOn',
+ 'mLogOff', 'mFindNext', 'mError', 'mCompatible', 'kVerInfo', 'kStatusInfo', 'kSendText', 'kSendFile', 'kManageImap4',
+ 'kInit', 'kGetMail', 'kExtra', 'kDest', 'kDeletePop3', 'iWriteDataBuf', 'iWriteData', 'iVersion', 'IUrlOpen', 'iUrlEncode',
+ 'iUrlDecode', 'iReadDataBuf', 'iReadData', 'ipVersion', 'ipPing', 'iPing', 'ipHost2Addr', 'ipGetLastErr', 'ipGetAddress',
+ 'iParseURL', 'ipAddr2Host', 'iOptionSet', 'iOptionGet', 'ImgWave', 'ImgVersion', 'ImgUnsharpMask', 'ImgThreshold', 'ImgSwirl',
+ 'ImgSpread', 'ImgSolarize', 'ImgShear', 'ImgSharpen', 'ImgShade', 'ImgScale', 'ImgSample', 'ImgRotate', 'ImgResize',
+ 'ImgReduceNoise', 'ImgRaise', 'ImgOilPaint', 'ImgNormalize', 'ImgNegate', 'ImgMotionBlur', 'ImgModulate', 'ImgMinify',
+ 'ImgMedianFilter', 'ImgMagnify', 'ImgLevel', 'ImgIsValid', 'ImgIsPalette', 'ImgIsMono', 'ImgIsGray', 'ImgInfo', 'ImgImplode',
+ 'ImgGetImageType', 'ImgGetColorCount', 'ImgGaussianBlur', 'ImgGamma', 'ImgFrame', 'ImgFlop', 'ImgFlip', 'ImgEqualize',
+ 'ImgEnhance', 'ImgEmboss', 'ImgCrop', 'ImgConvert', 'ImgContrast', 'ImgCompare', 'ImgColorize', 'ImgChop', 'ImgCharcoal',
+ 'ImgBorder', 'ImgBlur', 'ImgAddNoise', 'iLocFindNext', 'iLocFindInit', 'iHttpOpen', 'iHttpInit', 'iHttpHeaders', 'iHttpAccept',
+ 'iHostConnect', 'iHost2Addr', 'iGetResponse', 'iGetLastError', 'iGetIEVer', 'iGetConStatEx', 'iGetConState', 'iFtpRename',
+ 'iFtpPut', 'iFtpOpen', 'iFtpGet', 'iFtpFindNext', 'iFtpFindInit', 'iFtpDirRemove', 'iFtpDirMake', 'iFtpDirGet', 'iFtpDirChange',
+ 'iFtpDialog', 'iFtpDelete', 'iFtpCmd', 'iErrorDialog', 'iDialItemize', 'iDialHangUp', 'iDial', 'iCookieSet', 'iCookieGet',
+ 'iContentURL', 'iContentFile', 'iContentData', 'iClose', 'ibWrtf', 'ibWrt', 'ibWait', 'ibVersion', 'ibUnlock', 'ibTrg',
+ 'ibTmo', 'ibStop', 'ibStatus', 'ibSta', 'ibSre', 'ibSic', 'ibSad', 'ibRsv', 'ibRsp', 'ibRsc', 'ibRpp', 'ibRdf', 'ibRd',
+ 'ibPpc', 'ibPoke', 'ibPct', 'ibPad', 'ibOnl', 'ibMakeAddr', 'ibLock', 'ibLoc', 'ibLn', 'ibLines', 'ibIst', 'ibInit',
+ 'ibGts', 'ibGetSad', 'ibGetPad', 'ibFind', 'ibEvent', 'ibErr', 'ibEot', 'ibEos', 'iBegin', 'ibDma', 'ibDev', 'ibConfig',
+ 'ibCntl', 'ibCnt', 'ibCmda', 'ibCmd', 'ibClr', 'ibCac', 'ibBna', 'ibAsk', 'iAddr2Host', 'huge_Thousands', 'huge_Subtract',
+ 'huge_SetOptions', 'huge_Multiply', 'huge_GetLastError', 'huge_ExtenderInfo', 'huge_Divide', 'huge_Decimal', 'huge_Add',
+ 'httpStripHTML', 'httpRecvTextF', 'httpRecvText', 'httpRecvQuery', 'httpRecvQryF', 'httpRecvFile', 'httpGetServer',
+ 'httpGetQuery', 'httpGetPath', 'httpGetFile', 'httpGetDir', 'httpGetAnchor', 'httpFullPath', 'httpFirewall', 'httpAuth',
+ 'ftpRename', 'ftpQuote', 'ftpPut', 'ftpOpen', 'ftpList', 'ftpGet', 'ftpFirewall', 'ftpDelete', 'ftpClose', 'ftpChDir',
+ 'FindRQS', 'FindLstn', 'EnvSetVar', 'EnvPathDel', 'EnvPathChk', 'EnvPathAdd', 'EnvListVars', 'EnvGetVar', 'EnvGetInfo',
+ 'EnableRemote', 'EnableLocal', 'ehllapiWait', 'ehllapiVersion', 'ehllapiUninit', 'ehllapiStopKeyIntercept', 'ehllapiStopHostNotify',
+ 'ehllapiStopCloseIntercept', 'ehllapiStartKeyIntercept', 'ehllapiStartHostNotify', 'ehllapiStartCloseIntercept',
+ 'ehllapiSetWindowStatus', 'ehllapiSetSessionParams', 'ehllapiSetPSWindowName', 'ehllapiSetCursorLoc', 'ehllapiSendKey',
+ 'ehllapiSendFile', 'ehllapiSearchPS', 'ehllapiSearchField', 'ehllapiRunProfile', 'ehllapiResetSystem', 'ehllapiReserve',
+ 'ehllapiRelease', 'ehllapiReceiveFile', 'ehllapiQuerySystem', 'ehllapiQueryPSStatus', 'ehllapiQueryHostNotify',
+ 'ehllapiQueryFieldAttr', 'ehllapiQueryCursorLoc', 'ehllapiQueryCloseIntercept', 'ehllapiPostInterceptStatus',
+ 'ehllapiPause', 'ehllapiLastErrMsg', 'ehllapiInit', 'ehllapiGetWindowStatus', 'ehllapiGetPSHWND', 'ehllapiGetKey',
+ 'ehllapiFindFieldPos', 'ehllapiFindFieldLen', 'ehllapiDisconnectPS', 'ehllapiCvtRCToPos', 'ehllapiCvtPosToRC',
+ 'ehllapiCopyTextToPS', 'ehllapiCopyTextToField', 'ehllapiCopyTextFromPS', 'ehllapiCopyTextFromField', 'ehllapiCopyOIA',
+ 'ehllapiConnectPS', 'dunItemize', 'dunDisconnect', 'dunConnectEx', 'dunConnect', 'dsTestParam', 'dsSIDtoHexStr', 'dsSetSecProp',
+ 'dsSetProperty', 'dsSetPassword', 'dsSetObj', 'dsSetCredentX', 'dsSetCredent', 'dsRemFromGrp', 'dsRelSecObj', 'dsMoveObj',
+ 'dsIsObject', 'dsIsMemberGrp', 'dsIsContainer', 'dsGetUsersGrps', 'dsGetSecProp', 'dsGetPropName', 'dsGetProperty',
+ 'dsGetPrntPath', 'dsGetPrimGrp', 'dsGetMemGrp', 'dsGetInfo', 'dsGetClass', 'dsGetChldPath', 'dsFindPath', 'dsDeleteObj',
+ 'dsCreatSecObj', 'dsCreateObj', 'dsCopySecObj', 'dsAddToGrp', 'dsAclRemAce', 'dsAclOrderAce', 'dsAclGetAces', 'dsAclAddAce',
+ 'DevClearList', 'DevClear', 'dbTest', 'dbSwapColumns', 'dbSort', 'dbSetRecordField', 'dbSetOptions', 'dbSetErrorReporting',
+ 'dbSetEntireRecord', 'dbSetDelimiter', 'dbSave', 'dbOpen', 'dbNameColumn', 'dbMakeNewItem', 'dbInsertColumn', 'dbGetVersion',
+ 'dbGetSaveStatus', 'dbGetRecordField', 'dbGetRecordCount', 'dbGetNextItem', 'dbGetLastError', 'dbGetEntireRecord',
+ 'dbGetColumnType', 'dbGetColumnNumber', 'dbGetColumnName', 'dbGetColumnCount', 'dbFindRecord', 'dbExist', 'dbEasterEgg',
+ 'dbDeleteRecord', 'dbDeleteColumn', 'dbDebug', 'dbCookDatabases', 'dbClose', 'dbCloneRecord', 'dbBindCol', 'cWndState',
+ 'cWndinfo', 'cWndGetWndSpecName', 'cWndGetWndSpec', 'cWndexist', 'cWndByWndSpecName', 'cWndByWndSpec', 'cWndbyseq',
+ 'cWndbyname', 'cWndbyid', 'cWndbyclass', 'cWinIDConvert', 'cVersionInfo', 'cVendorId', 'cSetWndText', 'cSetUpDownPos',
+ 'cSetTvItem', 'cSetTrackPos', 'cSetTabItem', 'cSetLvItem', 'cSetLbItemEx', 'cSetLbItem', 'cSetIpAddr', 'cSetFocus',
+ 'cSetEditText', 'cSetDtpDate', 'cSetCbItem', 'cSetCalDate', 'cSendMessage', 'cRadioButton', 'cPostMessage', 'cPostButton',
+ 'cMemStat', 'cGetWndCursor', 'cGetUpDownPos', 'cGetUpDownMin', 'cGetUpDownMax', 'cGetTVItem', 'cGetTrackPos', 'cGetTrackMin',
+ 'cGetTrackMax', 'cGetTbText', 'cGetSbText', 'cGetLvText', 'cGetLvSelText', 'cGetLvFocText', 'cGetLvDdtText', 'cGetLvColText',
+ 'cGetLbText', 'cGetLbSelText', 'cGetLbCount', 'cGetIpAddr', 'cGetInfo', 'cGetHrText', 'cGetFocus', 'cGetEditText', 'cGetDtpDate',
+ 'cGetControlImageCRC', 'cGetCBText', 'cGetCbCount', 'cGetCalDate', 'cFindByName', 'cFindByClass', 'cEnablestate', 'cDblClickItem',
+ 'cCpuSupt', 'cCpuSpeed', 'cCpuIdExt', 'cCpuId', 'cCpuFeat', 'cCpuBenchmark', 'cCloneCheck', 'cClickToolbar', 'cClickButton',
+ 'cClearTvItem', 'cClearLvItem', 'cClearLbAll', 'cCheckbox', 'aVersion', 'aStatusbar', 'aShellFolder', 'aMsgTimeout', 'AllSPoll',
+ 'aGetLastError', 'aFileRename', 'aFileMove', 'aFileDelete', 'aFileCopy'
+ ),
+ 5 => array(
+ 'wWordRight', 'wWordLeft', 'wWinTile', 'wWinRestore', 'wWinNext', 'wWinMinimize', 'wWinMaximize', 'wWinCloseAll', 'wWinClose',
+ 'wWinCascade', 'wWinArricons', 'wViewOutput', 'wViewOptions', 'wViewHtml', 'wUpperCase', 'wUpline', 'wUndo', 'wTopOfFile', 'wToggleIns',
+ 'wTab', 'wStatusMsg', 'wStartSel', 'wSpellcheck', 'wSetProject', 'wSetPrefs', 'wSetColblk', 'wSetBookmark', 'wSelWordRight',
+ 'wSelWordLeft', 'wSelUp', 'wSelTop', 'wSelRight', 'wSelPgUp', 'wSelPgDn', 'wSelLeft', 'wSelInfo', 'wSelHome', 'wSelEnd', 'wSelectAll',
+ 'wSelDown', 'wSelBottom', 'wRunRebuild', 'wRunMake', 'wRunExecute', 'wRunDebug', 'wRunConfig', 'wRunCompile', 'wRunCommand', 'wRight',
+ 'wRepeat', 'wRedo', 'wRecord', 'wProperties', 'wPrintDirect', 'wPrinSetup', 'wPrevError', 'wPaste', 'wPageUp', 'wPageDown', 'wNextError',
+ 'wNewLine', 'wLowerCase', 'wLineCount', 'wLeft', 'wInvertCase', 'wInsString', 'wInsLine', 'wHome', 'wHelpKeyword', 'wHelpKeybrd',
+ 'wHelpIndex', 'wHelpHelp', 'wHelpCmds', 'wHelpAbout', 'wGotoLine', 'wGotoCol', 'wGetWrap', 'wGetWord', 'wGetUndo', 'wGetSelstate',
+ 'wGetRedo', 'wGetOutput', 'wGetModified', 'wGetLineNo', 'wGetIns', 'wGetFilename', 'wGetColNo', 'wGetChar', 'wFtpOpen', 'wFindNext',
+ 'wFindInFiles', 'wFind', 'wFileSaveAs', 'wFileSave', 'wFileRevert', 'wFilePrint', 'wFilePgSetup', 'wFileOpen', 'wFileNew', 'wFileMerge',
+ 'wFileList', 'wFileExit', 'wEndSel', 'wEndOfFile', 'wEnd', 'wEdWrap', 'wEdWordRight', 'wEdWordLeft', 'wEdUpLine', 'wEdUndo', 'wEdTopOfFile',
+ 'wEdToggleIns', 'wEdTab', 'wEdStartSel', 'wEdSetColBlk', 'wEdSelectAll', 'wEdRight', 'wEdRedo', 'wEdPaste', 'wEdPageUp', 'wEdPageDown',
+ 'wEdNewLine', 'wEdLeft', 'wEdInsString', 'wEdHome', 'wEdGoToLine', 'wEdGoToCol', 'wEdGetWord', 'wEdEndSel', 'wEdEndOfFile', 'wEdEnd',
+ 'wEdDownLine', 'wEdDelete', 'wEdCutLine', 'wEdCut', 'wEdCopyLine', 'wEdCopy', 'wEdClearSel', 'wEdBackTab', 'wEdBackspace', 'wDownLine',
+ 'wDelete', 'wDelButton', 'wCutMarked', 'wCutLine', 'wCutAppend', 'wCut', 'wCopyMarked', 'wCopyLine', 'wCopyAppend', 'wCopy', 'wCompile',
+ 'wClearSel', 'wChange', 'wCallMacro', 'wBackTab', 'wBackspace', 'wAutoIndent', 'wAddButton', 'edWindowTile', 'edWindowRestore',
+ 'edWindowNext', 'edWindowMinimize', 'edWindowMaximize', 'edWindowCloseall', 'edWindowClose', 'edWindowCascade', 'edWindowArrangeIcons',
+ 'edStatusMsg', 'edSearchViewOutput', 'edSearchRepeat', 'edSearchPrevError', 'edSearchNextError', 'edSearchFind', 'edSearchChange',
+ 'edRunRebuild', 'edRunMake', 'edRunExecute', 'edRunDebug', 'edRunConfigure', 'edRunCompile', 'edRunCommand', 'edRecord', 'edHelpProcedures',
+ 'edHelpKeyword', 'edHelpKeyboard', 'edHelpIndex', 'edHelpHelp', 'edHelpCommands', 'edHelpAbout', 'edGetWordWrapState', 'edGetWindowName',
+ 'edGetUndoState', 'edGetSelectionState', 'edGetRedoState', 'edGetModifiedStatus', 'edGetLineNumber', 'edGetInsertState', 'edGetColumnNumber',
+ 'edGetChar', 'edFileSetPreferences', 'edFileSaveAs', 'edFileSave', 'edFilePrinterSetup', 'edFilePrint', 'edFilePageSetup', 'edFileOpen',
+ 'edFileNew', 'edFileMerge', 'edFileList', 'edFileExit', 'edEditWrap', 'edEditWordRight', 'edEditWordLeft', 'edEditUpLine', 'edEditUndo',
+ 'edEditToggleIns', 'edEditTab', 'edEditStartSelection', 'edEditSetColumnBlock', 'edEditSetBookmark', 'edEditSelectAll', 'edEditRight',
+ 'edEditRedo', 'edEditPaste', 'edEditPageUp', 'edEditPageDown', 'edEditLeft', 'edEditInsertString', 'edEditGoToLine', 'edEditGoToColumn',
+ 'edEditGoToBookmark', 'edEditGetCurrentWord', 'edEditEndSelection', 'edEditEndOfLine', 'edEditEndOfFile', 'edEditDownline', 'edEditDelete',
+ 'edEditCutline', 'edEditCut', 'edEditCopyline', 'edEditCopy', 'edEditClearSelection', 'edEditBeginningOfLine', 'edEditBeginningOfFile',
+ 'edEditBackTab', 'edEditBackspace', 'edDeleteButton', 'edAddButton'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '[', ']', '{', '}', '!', '+', '-', '~', '$', '^', '?', '@', '%', '#', '&', '*', '|', '/', '<', '>'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => false,
+ 5 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #800080;',
+ 2 => 'color: #0080FF; font-weight: bold;',
+ 3 => 'color: #0000FF;',
+ 4 => 'color: #FF00FF;',
+ 5 => 'color: #008000;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #008000; font-style: italic;',
+ 2 => 'color: #FF1010; font-weight: bold;',
+ 'MULTI' => 'color: #808080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ 0 => 'color: #006600;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #0000ff;'
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => '',
+ 5 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(),
+ 'REGEXPS' => array(//Variable names
+ 0 => "[\\$]{1,2}[a-zA-Z_][a-zA-Z0-9_]*"
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_MAYBE,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/xml.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/xml.php
new file mode 100644
index 000000000..1222e9fb9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/xml.php
@@ -0,0 +1,157 @@
+<?php
+/*************************************************************************************
+ * xml.php
+ * -------
+ * Author: Nigel McNie (nigel@geshi.org)
+ * Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2004/09/01
+ *
+ * XML language file for GeSHi. Based on the idea/file by Christian Weiske
+ *
+ * CHANGES
+ * -------
+ * 2008/05/23 (1.0.7.22)
+ * - Added description of extra language features (SF#1970248)
+ * 2005/12/28 (1.0.2)
+ * - Removed escape character for strings
+ * 2004/11/27 (1.0.1)
+ * - Added support for multiple object splitters
+ * 2004/10/27 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2004/11/27)
+ * -------------------------
+ * * Check regexps work and correctly highlight XML stuff and nothing else
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'XML',
+ 'COMMENT_SINGLE' => array(),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ ),
+ 'SYMBOLS' => array(
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ ),
+ 'COMMENTS' => array(
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'SCRIPT' => array(
+ -1 => 'color: #808080; font-style: italic;', // comments
+ 0 => 'color: #00bbdd;',
+ 1 => 'color: #ddbb00;',
+ 2 => 'color: #339933;',
+ 3 => 'color: #009900;'
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #000066;',
+ 1 => 'color: #000000; font-weight: bold;',
+ 2 => 'color: #000000; font-weight: bold;'
+ )
+ ),
+ 'URLS' => array(
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ 0 => array(//attribute names
+ GESHI_SEARCH => '([a-z_:][\w\-\.:]*)(=)',
+ GESHI_REPLACE => '\\1',
+ GESHI_MODIFIERS => 'i',
+ GESHI_BEFORE => '',
+ GESHI_AFTER => '\\2'
+ ),
+ 1 => array(//Initial header line
+ GESHI_SEARCH => '(&lt;[\/?|(\?xml)]?[a-z_:][\w\-\.:]*(\??&gt;)?)',
+ GESHI_REPLACE => '\\1',
+ GESHI_MODIFIERS => 'i',
+ GESHI_BEFORE => '',
+ GESHI_AFTER => ''
+ ),
+ 2 => array(//Tag end markers
+ GESHI_SEARCH => '(([\/|\?])?&gt;)',
+ GESHI_REPLACE => '\\1',
+ GESHI_MODIFIERS => 'i',
+ GESHI_BEFORE => '',
+ GESHI_AFTER => ''
+ ),
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_ALWAYS,
+ 'SCRIPT_DELIMITERS' => array(
+ -1 => array(
+ '<!--' => '-->'
+ ),
+ 0 => array(
+ '<!DOCTYPE' => '>'
+ ),
+ 1 => array(
+ '&' => ';'
+ ),
+ 2 => array(
+ '<![CDATA[' => ']]>'
+ ),
+ 3 => array(
+ '<' => '>'
+ )
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ -1 => false,
+ 0 => false,
+ 1 => false,
+ 2 => false,
+ 3 => true
+ ),
+ 'TAB_WIDTH' => 2,
+ 'PARSER_CONTROL' => array(
+ 'ENABLE_FLAGS' => array(
+ 'NUMBERS' => GESHI_NEVER
+ )
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/xorg_conf.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/xorg_conf.php
new file mode 100644
index 000000000..c32c460b3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/xorg_conf.php
@@ -0,0 +1,124 @@
+<?php
+/*************************************************************************************
+ * xorg_conf.php
+ * ----------
+ * Author: Milian Wolff (mail@milianw.de)
+ * Copyright: (c) 2008 Milian Wolff (http://milianw.de)
+ * Release Version: 1.0.8.4
+ * Date Started: 2008/06/18
+ *
+ * xorg.conf language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2008/06/18 (1.0.8)
+ * - Initial import
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'Xorg configuration',
+ 'COMMENT_SINGLE' => array(1 => '#'),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ // sections
+ 1 => array(
+ 'Section', 'EndSection', 'SubSection', 'EndSubSection'
+ ),
+ 2 => array(
+ // see http://www.x.org/archive/X11R6.9.0/doc/html/xorg.conf.5.html
+ 'BiosBase', 'Black', 'Boardname', 'BusID', 'ChipID', 'ChipRev',
+ 'Chipset', 'ClockChip', 'Clocks', 'DacSpeed',
+ 'DefaultDepth', 'DefaultFbBpp', 'Depth', 'Device',
+ 'DisplaySize', 'Driver', 'FbBpp', 'Gamma',
+ 'HorizSync', 'IOBase', 'Identifier', 'InputDevice',
+ 'Load', 'MemBase', 'Mode', 'Modeline', 'Modelname',
+ 'Modes', 'Monitor', 'Option', 'Ramdac', 'RgbPath',
+ 'Screen', 'TextClockFreq', 'UseModes', 'VendorName',
+ 'VertRefresh', 'VideoAdaptor', 'VideoRam',
+ 'ViewPort', 'Virtual', 'Visual', 'Weight', 'White'
+ ),
+ 3 => array(
+ // some sub-keywords
+ // screen position
+ 'Above', 'Absolute', 'Below', 'LeftOf', 'Relative', 'RightOf',
+ // modes
+ 'DotClock', 'Flags', 'HSkew', 'HTimings', 'VScan', 'VTimings'
+ ),
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SYMBOLS' => array(
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #b1b100;',
+ 2 => 'color: #990000;',
+ 3 => 'color: #550000;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #adadad; font-style: italic;',
+ ),
+ 'ESCAPE_CHAR' => array(
+ ),
+ 'BRACKETS' => array(
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #0000ff;',
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'TAB_WIDTH' => 4
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/xpp.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/xpp.php
new file mode 100644
index 000000000..db0019dd0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/xpp.php
@@ -0,0 +1,436 @@
+<?php
+/*************************************************************************************
+ * xpp.php
+ * -------
+ * Author: Simon Butcher (simon@butcher.name)
+ * Copyright: (c) 2007 Simon Butcher (http://simon.butcher.name/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2007/02/27
+ *
+ * Axapta/Dynamics Ax X++ language file for GeSHi.
+ * For details, see <http://msdn.microsoft.com/en-us/library/aa867122.aspx>
+ *
+ * CHANGES
+ * -------
+ * 2007/02/28 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2007/02/27)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'X++',
+ 'COMMENT_SINGLE' => array(1 => '//'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array( // Primitive types
+ 'void',
+ 'str',
+ 'real',
+ 'int64',
+ 'int',
+ 'date',
+ 'container',
+ 'boolean',
+ 'anytype'
+ ),
+ 2 => array( // Keywords
+ 'window',
+ 'while',
+ 'try',
+ 'true',
+ 'throw',
+ 'switch',
+ 'super',
+ 'static',
+ 'server',
+ 'right',
+ 'return',
+ 'retry',
+ 'public',
+ 'protected',
+ 'private',
+ 'print',
+ 'pause',
+ 'null',
+ 'new',
+ 'mod',
+ 'left',
+ 'interface',
+ 'implements',
+ 'if',
+ 'for',
+ 'final',
+ 'false',
+ 'extends',
+ 'else',
+ 'edit',
+ 'do',
+ 'div',
+ 'display',
+ 'default',
+ 'continue',
+ 'client',
+ 'class',
+ 'changeCompany',
+ 'case',
+ 'breakpoint',
+ 'break',
+ 'at',
+ 'abstract'
+ ),
+ 3 => array( // Functions within the Axapta kernel
+ 'year',
+ 'wkofyr',
+ 'webwebpartstr',
+ 'webstaticfilestr',
+ 'websitetempstr',
+ 'websitedefstr',
+ 'webreportstr',
+ 'webpagedefstr',
+ 'weboutputcontentitemstr',
+ 'webmenustr',
+ 'webletitemstr',
+ 'webformstr',
+ 'webdisplaycontentitemstr',
+ 'webactionitemstr',
+ 'varstr',
+ 'utilmoyr',
+ 'uint2str',
+ 'typeof',
+ 'typeid',
+ 'trunc',
+ 'today',
+ 'timenow',
+ 'time2str',
+ 'term',
+ 'tanh',
+ 'tan',
+ 'tablestr',
+ 'tablestaticmethodstr',
+ 'tablepname',
+ 'tablenum',
+ 'tablename2id',
+ 'tablemethodstr',
+ 'tableid2pname',
+ 'tableid2name',
+ 'tablefieldgroupstr',
+ 'tablecollectionstr',
+ 'systemdateset',
+ 'systemdateget',
+ 'syd',
+ 'substr',
+ 'strupr',
+ 'strscan',
+ 'strrtrim',
+ 'strrep',
+ 'strrem',
+ 'strprompt',
+ 'strpoke',
+ 'strnfind',
+ 'strlwr',
+ 'strltrim',
+ 'strline',
+ 'strlen',
+ 'strkeep',
+ 'strins',
+ 'strfmt',
+ 'strfind',
+ 'strdel',
+ 'strcolseq',
+ 'strcmp',
+ 'stralpha',
+ 'str2time',
+ 'str2num',
+ 'str2int64',
+ 'str2int',
+ 'str2guid',
+ 'str2enum',
+ 'str2date',
+ 'staticmethodstr',
+ 'sln',
+ 'sleep',
+ 'sinh',
+ 'sin',
+ 'setprefix',
+ 'sessionid',
+ 'securitykeystr',
+ 'securitykeynum',
+ 'runbuf',
+ 'runas',
+ 'round',
+ 'resourcestr',
+ 'reportstr',
+ 'refprintall',
+ 'rate',
+ 'querystr',
+ 'pv',
+ 'pt',
+ 'prmisdefault',
+ 'primoyr',
+ 'prevyr',
+ 'prevqtr',
+ 'prevmth',
+ 'power',
+ 'pmt',
+ 'num2str',
+ 'num2date',
+ 'num2char',
+ 'nextyr',
+ 'nextqtr',
+ 'nextmth',
+ 'newguid',
+ 'mthofyr',
+ 'mthname',
+ 'mkdate',
+ 'minint',
+ 'min',
+ 'methodstr',
+ 'menustr',
+ 'menuitemoutputstr',
+ 'menuitemdisplaystr',
+ 'menuitemactionstr',
+ 'maxint',
+ 'maxdate',
+ 'max',
+ 'match',
+ 'logn',
+ 'log10',
+ 'literalstr',
+ 'licensecodestr',
+ 'licensecodenum',
+ 'intvnorm',
+ 'intvno',
+ 'intvname',
+ 'intvmax',
+ 'int64str',
+ 'indexstr',
+ 'indexnum',
+ 'indexname2id',
+ 'indexid2name',
+ 'idg',
+ 'identifierstr',
+ 'helpfilestr',
+ 'helpdevstr',
+ 'helpapplstr',
+ 'guid2str',
+ 'getprefix',
+ 'getCurrentUTCTime',
+ 'fv',
+ 'funcname',
+ 'frac',
+ 'formstr',
+ 'fieldstr',
+ 'fieldpname',
+ 'fieldnum',
+ 'fieldname2id',
+ 'fieldid2pname',
+ 'fieldid2name',
+ 'extendedTypeStr',
+ 'extendedTypeNum',
+ 'exp10',
+ 'exp',
+ 'evalbuf',
+ 'enumstr',
+ 'enumnum',
+ 'enumcnt',
+ 'enum2str',
+ 'endmth',
+ 'dimof',
+ 'dg',
+ 'decround',
+ 'ddb',
+ 'dayofyr',
+ 'dayofwk',
+ 'dayofmth',
+ 'dayname',
+ 'date2str',
+ 'date2num',
+ 'curuserid',
+ 'curext',
+ 'cterm',
+ 'cosh',
+ 'cos',
+ 'corrflagset',
+ 'corrflagget',
+ 'convertUTCTimeToLocalTime',
+ 'convertUTCDateToLocalDate',
+ 'conpoke',
+ 'conpeek',
+ 'connull',
+ 'conlen',
+ 'conins',
+ 'confind',
+ 'configurationkeystr',
+ 'configurationkeynum',
+ 'condel',
+ 'classstr',
+ 'classnum',
+ 'classidget',
+ 'char2num',
+ 'beep',
+ 'atan',
+ 'asin',
+ 'ascii2ansi',
+ 'any2str',
+ 'any2real',
+ 'any2int64',
+ 'any2int',
+ 'any2guid',
+ 'any2enum',
+ 'any2date',
+ 'ansi2ascii',
+ 'acos',
+ 'abs'
+ ),
+ 4 => array( // X++ SQL stuff
+ 'where',
+ 'update_recordset',
+ 'ttsCommit',
+ 'ttsBegin',
+ 'ttsAbort',
+ 'sum',
+ 'setting',
+ 'select',
+ 'reverse',
+ 'pessimisticLock',
+ 'outer',
+ 'order by',
+ 'optimisticLock',
+ 'notExists',
+ 'noFetch',
+ 'next',
+ 'minof',
+ 'maxof',
+ 'like',
+ 'join',
+ 'insert_recordset',
+ 'index hint',
+ 'index',
+ 'group by',
+ 'from',
+ 'forUpdate',
+ 'forceSelectOrder',
+ 'forcePlaceholders',
+ 'forceNestedLoop',
+ 'forceLiterals',
+ 'flush',
+ 'firstOnly',
+ 'firstFast',
+ 'exists',
+ 'desc',
+ 'delete_from',
+ 'count',
+ 'avg',
+ 'asc'
+ )
+ ),
+ 'SYMBOLS' => array( // X++ symbols
+ '!',
+ '&',
+ '(',
+ ')',
+ '*',
+ '^',
+ '|',
+ '~',
+ '+',
+ ',',
+ '-',
+ '/',
+ ':',
+ '<',
+ '=',
+ '>',
+ '?',
+ '[',
+ ']',
+ '{',
+ '}'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #0000ff;',
+ 2 => 'color: #0000ff;',
+ 3 => 'color: #0000ff;',
+ 4 => 'color: #0000ff;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #007f00;',
+ 'MULTI' => 'color: #007f00; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000000;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #000000;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #000000;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #000000;',
+ 2 => 'color: #000000;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #00007f;'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.',
+ 2 => '::'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/geshi/z80.php b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/z80.php
new file mode 100644
index 000000000..2a9b14886
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/geshi/z80.php
@@ -0,0 +1,144 @@
+<?php
+/*************************************************************************************
+ * z80.php
+ * -------
+ * Author: Benny Baumann (BenBE@omorphia.de)
+ * Copyright: (c) 2007-2008 Benny Baumann (http://www.omorphia.de/)
+ * Release Version: 1.0.8.4
+ * Date Started: 2007/02/06
+ *
+ * ZiLOG Z80 Assembler language file for GeSHi.
+ * Syntax definition as commonly used with table assembler TASM32
+ * This file will contain some undocumented opcodes.
+ *
+ * CHANGES
+ * -------
+ * 2008/05/23 (1.0.7.22)
+ * - Added description of extra language features (SF#1970248)
+ * 2007/06/03 (1.0.1)
+ * - Fixed two typos in the language file
+ * 2007/02/06 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2007/02/06)
+ * -------------------------
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'ZiLOG Z80 Assembler',
+ 'COMMENT_SINGLE' => array(1 => ';'),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'", '"'),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ /*CPU*/
+ 1 => array(
+ 'adc','add','and','bit','call','ccf','cp','cpd','cpdr','cpir','cpi',
+ 'cpl','daa','dec','di','djnz','ei','ex','exx','halt','im','in',
+ 'in0','inc','ind','indr','inir','ini','jp','jr','ld','ldd','lddr',
+ 'ldir','ldi','mlt','neg','nop','or','otdm','otdmr','otdr','otim',
+ 'otimr','otir','out','out0','outd','outi','pop','push','res','ret',
+ 'reti','retn','rl','rla','rlc','rlca','rld','rr','rra','rrc','rrca',
+ 'rrd','rst','sbc','scf','set','sla','sl1','sll','slp','sra','srl',
+ 'sub','tst','tstio','xor'
+ ),
+ /*registers*/
+ 2 => array(
+ 'a','b','c','d','e','h','l',
+ 'af','bc','de','hl','ix','iy','sp',
+ 'af\'','ixh','ixl','iyh','iyl'
+ ),
+ /*Directive*/
+ 3 => array(
+ '#define','#endif','#else','#ifdef','#ifndef','#include','#undef',
+ '.db','.dd','.df','.dq','.dt','.dw','.end','.org','equ'
+ ),
+ ),
+ 'SYMBOLS' => array(
+ '[', ']', '(', ')', '?', '+', '-', '*', '/', '%', '$'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #0000ff; font-weight:bold;',
+ 2 => 'color: #0000ff;',
+ 3 => 'color: #46aa03; font-weight:bold;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #adadad; font-style: italic;',
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #0000ff;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #7f007f;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #dd22dd;'
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #008000;'
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #22bbff;',
+ 1 => 'color: #22bbff;',
+ 2 => 'color: #993333;'
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ //Hex numbers
+ 0 => '0[0-9a-fA-F]{1,32}[hH]',
+ //Binary numbers
+ 1 => '\%[01]{1,64}|[01]{1,64}[bB]?',
+ //Labels
+ 2 => '^[_a-zA-Z][_a-zA-Z0-9]?\:'
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ ),
+ 'TAB_WIDTH' => 8
+);
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/html.php b/mod/dokuwiki/vendors/dokuwiki/inc/html.php
new file mode 100644
index 000000000..0a23370ab
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/html.php
@@ -0,0 +1,1608 @@
+<?php
+/**
+ * HTML output functions
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+
+if(!defined('DOKU_INC')) die('meh.');
+if(!defined('NL')) define('NL',"\n");
+require_once(DOKU_INC.'inc/parserutils.php');
+require_once(DOKU_INC.'inc/form.php');
+
+/**
+ * Convenience function to quickly build a wikilink
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function html_wikilink($id,$name=null,$search=''){
+ static $xhtml_renderer = null;
+ if(is_null($xhtml_renderer)){
+ $xhtml_renderer = p_get_renderer('xhtml');
+ }
+
+ return $xhtml_renderer->internallink($id,$name,$search,true,'navigation');
+}
+
+/**
+ * Helps building long attribute lists
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function html_attbuild($attributes){
+ $ret = '';
+ foreach ( $attributes as $key => $value ) {
+ $ret .= $key.'="'.formText($value).'" ';
+ }
+ return trim($ret);
+}
+
+/**
+ * The loginform
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function html_login(){
+ global $lang;
+ global $conf;
+ global $ID;
+ global $auth;
+
+ print p_locale_xhtml('login');
+ print '<div class="centeralign">'.NL;
+ $form = new Doku_Form(array('id' => 'dw__login'));
+ $form->startFieldset($lang['btn_login']);
+ $form->addHidden('id', $ID);
+ $form->addHidden('do', 'login');
+ $form->addElement(form_makeTextField('u', ((!$_REQUEST['http_credentials']) ? $_REQUEST['u'] : ''), $lang['user'], 'focus__this', 'block'));
+ $form->addElement(form_makePasswordField('p', $lang['pass'], '', 'block'));
+ if($conf['rememberme']) {
+ $form->addElement(form_makeCheckboxField('r', '1', $lang['remember'], 'remember__me', 'simple'));
+ }
+ $form->addElement(form_makeButton('submit', '', $lang['btn_login']));
+ $form->endFieldset();
+
+ if($auth && $auth->canDo('addUser') && actionOK('register')){
+ $form->addElement('<p>'
+ . $lang['reghere']
+ . ': <a href="'.wl($ID,'do=register').'" rel="nofollow" class="wikilink1">'.$lang['register'].'</a>'
+ . '</p>');
+ }
+
+ if ($auth && $auth->canDo('modPass') && actionOK('resendpwd')) {
+ $form->addElement('<p>'
+ . $lang['pwdforget']
+ . ': <a href="'.wl($ID,'do=resendpwd').'" rel="nofollow" class="wikilink1">'.$lang['btn_resendpwd'].'</a>'
+ . '</p>');
+ }
+
+ html_form('login', $form);
+ print '</div>'.NL;
+}
+
+/**
+ * prints a section editing button
+ * used as a callback in html_secedit
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function html_secedit_button($matches){
+ global $ID;
+ global $INFO;
+
+ $section = $matches[2];
+ $name = $matches[1];
+
+ $secedit = '';
+ $secedit .= '<div class="secedit">';
+ $secedit .= html_btn('secedit',$ID,'',
+ array('do' => 'edit',
+ 'lines' => "$section",
+ 'rev' => $INFO['lastmod']),
+ 'post', $name);
+ $secedit .= '</div>';
+ return $secedit;
+}
+
+/**
+ * inserts section edit buttons if wanted or removes the markers
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function html_secedit($text,$show=true){
+ global $INFO;
+
+ if($INFO['writable'] && $show && !$INFO['rev']){
+ $text = preg_replace_callback('#<!-- SECTION "(.*?)" \[(\d+-\d*)\] -->#',
+ 'html_secedit_button', $text);
+ }else{
+ $text = preg_replace('#<!-- SECTION "(.*?)" \[(\d+-\d*)\] -->#','',$text);
+ }
+
+ return $text;
+}
+
+/**
+ * Just the back to top button (in its own form)
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function html_topbtn(){
+ global $lang;
+
+ $ret = '';
+ $ret = '<a class="nolink" href="#dokuwiki__top"><input type="button" class="button" value="'.$lang['btn_top'].'" onclick="window.scrollTo(0, 0)" title="'.$lang['btn_top'].'" /></a>';
+
+ return $ret;
+}
+
+/**
+ * Displays a button (using its own form)
+ * If tooltip exists, the access key tooltip is replaced.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function html_btn($name,$id,$akey,$params,$method='get',$tooltip=''){
+ global $conf;
+ global $lang;
+
+ $label = $lang['btn_'.$name];
+
+ $ret = '';
+ $tip = '';
+
+ //filter id (without urlencoding)
+ $id = idfilter($id,false);
+
+ //make nice URLs even for buttons
+ if($conf['userewrite'] == 2){
+ $script = DOKU_BASE.DOKU_SCRIPT.'/'.$id;
+ }elseif($conf['userewrite']){
+ $script = DOKU_BASE.$id;
+ }else{
+ $script = DOKU_BASE.DOKU_SCRIPT;
+ $params['id'] = $id;
+ }
+
+ $ret .= '<form class="button btn_'.$name.'" method="'.$method.'" action="'.$script.'"><div class="no">';
+
+ if(is_array($params)){
+ reset($params);
+ while (list($key, $val) = each($params)) {
+ $ret .= '<input type="hidden" name="'.$key.'" ';
+ $ret .= 'value="'.htmlspecialchars($val).'" />';
+ }
+ }
+
+ if ($tooltip!='') {
+ $tip = htmlspecialchars($tooltip);
+ }else{
+ $tip = htmlspecialchars($label);
+ }
+
+ $ret .= '<input type="submit" value="'.htmlspecialchars($label).'" class="button" ';
+ if($akey){
+ $tip .= ' ['.strtoupper($akey).']';
+ $ret .= 'accesskey="'.$akey.'" ';
+ }
+ $ret .= 'title="'.$tip.'" ';
+ $ret .= '/>';
+ $ret .= '</div></form>';
+
+ return $ret;
+}
+
+/**
+ * show a wiki page
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function html_show($txt=''){
+ global $ID;
+ global $REV;
+ global $HIGH;
+ global $INFO;
+ //disable section editing for old revisions or in preview
+ if($txt || $REV){
+ $secedit = false;
+ }else{
+ $secedit = true;
+ }
+
+ if ($txt){
+ //PreviewHeader
+ echo '<br id="scroll__here" />';
+ echo p_locale_xhtml('preview');
+ echo '<div class="preview">';
+ $html = html_secedit(p_render('xhtml',p_get_instructions($txt),$info),$secedit);
+ if($INFO['prependTOC']) $html = tpl_toc(true).$html;
+ echo $html;
+ echo '<div class="clearer"></div>';
+ echo '</div>';
+
+ }else{
+ if ($REV) print p_locale_xhtml('showrev');
+ $html = p_wiki_xhtml($ID,$REV,true);
+ $html = html_secedit($html,$secedit);
+ if($INFO['prependTOC']) $html = tpl_toc(true).$html;
+ $html = html_hilight($html,$HIGH);
+ echo $html;
+ }
+}
+
+/**
+ * ask the user about how to handle an exisiting draft
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function html_draft(){
+ global $INFO;
+ global $ID;
+ global $lang;
+ global $conf;
+ $draft = unserialize(io_readFile($INFO['draft'],false));
+ $text = cleanText(con($draft['prefix'],$draft['text'],$draft['suffix'],true));
+
+ print p_locale_xhtml('draft');
+ $form = new Doku_Form(array('id' => 'dw__editform'));
+ $form->addHidden('id', $ID);
+ $form->addHidden('date', $draft['date']);
+ $form->addElement(form_makeWikiText($text, array('readonly'=>'readonly')));
+ $form->addElement(form_makeOpenTag('div', array('id'=>'draft__status')));
+ $form->addElement($lang['draftdate'].' '. dformat(filemtime($INFO['draft'])));
+ $form->addElement(form_makeCloseTag('div'));
+ $form->addElement(form_makeButton('submit', 'recover', $lang['btn_recover'], array('tabindex'=>'1')));
+ $form->addElement(form_makeButton('submit', 'draftdel', $lang['btn_draftdel'], array('tabindex'=>'2')));
+ $form->addElement(form_makeButton('submit', 'show', $lang['btn_cancel'], array('tabindex'=>'3')));
+ html_form('draft', $form);
+}
+
+/**
+ * Highlights searchqueries in HTML code
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Harry Fuecks <hfuecks@gmail.com>
+ */
+function html_hilight($html,$phrases){
+ $phrases = array_filter((array) $phrases);
+ $regex = join('|',array_map('preg_quote_cb',$phrases));
+
+ if ($regex === '') return $html;
+ $html = preg_replace_callback("/((<[^>]*)|$regex)/ui",'html_hilight_callback',$html);
+ return $html;
+}
+
+/**
+ * Callback used by html_hilight()
+ *
+ * @author Harry Fuecks <hfuecks@gmail.com>
+ */
+function html_hilight_callback($m) {
+ $hlight = unslash($m[0]);
+ if ( !isset($m[2])) {
+ $hlight = '<span class="search_hit">'.$hlight.'</span>';
+ }
+ return $hlight;
+}
+
+/**
+ * Run a search and display the result
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function html_search(){
+ require_once(DOKU_INC.'inc/search.php');
+ require_once(DOKU_INC.'inc/fulltext.php');
+ global $conf;
+ global $QUERY;
+ global $ID;
+ global $lang;
+
+ print p_locale_xhtml('searchpage');
+ flush();
+
+ //check if search is restricted to namespace
+ if(preg_match('/@([^@]*)/',$QUERY,$match)) {
+ $id = cleanID($match[1]);
+ } else {
+ $id = cleanID($QUERY);
+ }
+
+ //show progressbar
+ print '<div class="centeralign" id="dw__loading">'.NL;
+ print '<script type="text/javascript" charset="utf-8"><!--//--><![CDATA[//><!--'.NL;
+ print 'showLoadBar();'.NL;
+ print '//--><!]]></script>'.NL;
+ print '<br /></div>'.NL;
+ flush();
+
+ //do quick pagesearch
+ $data = array();
+
+ if($id) $data = ft_pageLookup($id);
+ if(count($data)){
+ print '<div class="search_quickresult">';
+ print '<h3>'.$lang['quickhits'].':</h3>';
+ print '<ul class="search_quickhits">';
+ foreach($data as $id){
+ print '<li> ';
+ $ns = getNS($id);
+ if($ns){
+ $name = shorten(noNS($id), ' ('.$ns.')',30);
+ }else{
+ $name = $id;
+ }
+ print html_wikilink(':'.$id,$name);
+ print '</li> ';
+ }
+ print '</ul> ';
+ //clear float (see http://www.complexspiral.com/publications/containing-floats/)
+ print '<div class="clearer">&nbsp;</div>';
+ print '</div>';
+ }
+ flush();
+
+ //do fulltext search
+ $data = ft_pageSearch($QUERY,$regex);
+ if(count($data)){
+ $num = 1;
+ foreach($data as $id => $cnt){
+ print '<div class="search_result">';
+ print html_wikilink(':'.$id,useHeading('navigation')?null:$id,$regex);
+ if($cnt !== 0){
+ print ': <span class="search_cnt">'.$cnt.' '.$lang['hits'].'</span><br />';
+ if($num < 15){ // create snippets for the first number of matches only #FIXME add to conf ?
+ print '<div class="search_snippet">'.ft_snippet($id,$regex).'</div>';
+ }
+ $num++;
+ }
+ print '</div>';
+ flush();
+ }
+ }else{
+ print '<div class="nothing">'.$lang['nothingfound'].'</div>';
+ }
+
+ //hide progressbar
+ print '<script type="text/javascript" charset="utf-8"><!--//--><![CDATA[//><!--'.NL;
+ print 'hideLoadBar("dw__loading");'.NL;
+ print '//--><!]]></script>'.NL;
+ flush();
+}
+
+/**
+ * Display error on locked pages
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function html_locked(){
+ global $ID;
+ global $conf;
+ global $lang;
+ global $INFO;
+
+ $locktime = filemtime(wikiLockFN($ID));
+ $expire = dformat($locktime + $conf['locktime']);
+ $min = round(($conf['locktime'] - (time() - $locktime) )/60);
+
+ print p_locale_xhtml('locked');
+ print '<ul>';
+ print '<li><div class="li"><strong>'.$lang['lockedby'].':</strong> '.editorinfo($INFO['locked']).'</div></li>';
+ print '<li><div class="li"><strong>'.$lang['lockexpire'].':</strong> '.$expire.' ('.$min.' min)</div></li>';
+ print '</ul>';
+}
+
+/**
+ * list old revisions
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Ben Coburn <btcoburn@silicodon.net>
+ */
+function html_revisions($first=0){
+ global $ID;
+ global $INFO;
+ global $conf;
+ global $lang;
+ /* we need to get one additionally log entry to be able to
+ * decide if this is the last page or is there another one.
+ * see html_recent()
+ */
+ $revisions = getRevisions($ID, $first, $conf['recent']+1);
+ if(count($revisions)==0 && $first!=0){
+ $first=0;
+ $revisions = getRevisions($ID, $first, $conf['recent']+1);;
+ }
+ $hasNext = false;
+ if (count($revisions)>$conf['recent']) {
+ $hasNext = true;
+ array_pop($revisions); // remove extra log entry
+ }
+
+ $date = dformat($INFO['lastmod']);
+
+ print p_locale_xhtml('revisions');
+
+ $form = new Doku_Form(array('id' => 'page__revisions'));
+ $form->addElement(form_makeOpenTag('ul'));
+ if($INFO['exists'] && $first==0){
+ if (isset($INFO['meta']) && isset($INFO['meta']['last_change']) && $INFO['meta']['last_change']['type']===DOKU_CHANGE_TYPE_MINOR_EDIT)
+ $form->addElement(form_makeOpenTag('li', array('class' => 'minor')));
+ else
+ $form->addElement(form_makeOpenTag('li'));
+ $form->addElement(form_makeOpenTag('div', array('class' => 'li')));
+ $form->addElement(form_makeTag('input', array(
+ 'type' => 'checkbox',
+ 'name' => 'rev2[]',
+ 'value' => 'current')));
+
+ $form->addElement(form_makeOpenTag('span', array('class' => 'date')));
+ $form->addElement($date);
+ $form->addElement(form_makeCloseTag('span'));
+
+ $form->addElement(form_makeTag('img', array(
+ 'src' => DOKU_MEDIA.'lib/images/blank.gif',
+ 'width' => '15',
+ 'height' => '11',
+ 'alt' => '')));
+
+ $form->addElement(form_makeOpenTag('a', array(
+ 'class' => 'wikilink1',
+ 'href' => wl($ID))));
+ $form->addElement($ID);
+ $form->addElement(form_makeCloseTag('a'));
+
+ $form->addElement(form_makeOpenTag('span', array('class' => 'sum')));
+ $form->addElement(' &ndash; ');
+ $form->addElement(htmlspecialchars($INFO['sum']));
+ $form->addElement(form_makeCloseTag('span'));
+
+ $form->addElement(form_makeOpenTag('span', array('class' => 'user')));
+ $form->addElement((empty($INFO['editor']))?('('.$lang['external_edit'].')'):editorinfo($INFO['editor']));
+ $form->addElement(form_makeCloseTag('span'));
+
+ $form->addElement('('.$lang['current'].')');
+ $form->addElement(form_makeCloseTag('div'));
+ $form->addElement(form_makeCloseTag('li'));
+ }
+
+ foreach($revisions as $rev){
+ $date = dformat($rev);
+ $info = getRevisionInfo($ID,$rev,true);
+ $exists = page_exists($ID,$rev);
+
+ if ($info['type']===DOKU_CHANGE_TYPE_MINOR_EDIT)
+ $form->addElement(form_makeOpenTag('li', array('class' => 'minor')));
+ else
+ $form->addElement(form_makeOpenTag('li'));
+ $form->addElement(form_makeOpenTag('div', array('class' => 'li')));
+ if($exists){
+ $form->addElement(form_makeTag('input', array(
+ 'type' => 'checkbox',
+ 'name' => 'rev2[]',
+ 'value' => $rev)));
+ }else{
+ $form->addElement(form_makeTag('img', array(
+ 'src' => DOKU_MEDIA.'lib/images/blank.gif',
+ 'width' => 14,
+ 'height' => 11,
+ 'alt' => '')));
+ }
+
+ $form->addElement(form_makeOpenTag('span', array('class' => 'date')));
+ $form->addElement($date);
+ $form->addElement(form_makeCloseTag('span'));
+
+ if($exists){
+ $form->addElement(form_makeOpenTag('a', array('href' => wl($ID,"rev=$rev,do=diff", false, '&'), 'class' => 'diff_link')));
+ $form->addElement(form_makeTag('img', array(
+ 'src' => DOKU_MEDIA.'lib/images/diff.png',
+ 'width' => 15,
+ 'height' => 11,
+ 'title' => $lang['diff'],
+ 'alt' => $lang['diff'])));
+ $form->addElement(form_makeCloseTag('a'));
+
+ $form->addElement(form_makeOpenTag('a', array('href' => wl($ID,"rev=$rev",false,'&'), 'class' => 'wikilink1')));
+ $form->addElement($ID);
+ $form->addElement(form_makeCloseTag('a'));
+ }else{
+ $form->addElement(form_makeTag('img', array(
+ 'src' => DOKU_MEDIA.'lib/images/blank.gif',
+ 'width' => '15',
+ 'height' => '11',
+ 'alt' => '')));
+ $form->addElement($ID);
+ }
+
+ $form->addElement(form_makeOpenTag('span', array('class' => 'sum')));
+ $form->addElement(' &ndash; ');
+ $form->addElement(htmlspecialchars($info['sum']));
+ $form->addElement(form_makeCloseTag('span'));
+
+ $form->addElement(form_makeOpenTag('span', array('class' => 'user')));
+ if($info['user']){
+ $form->addElement(editorinfo($info['user']));
+ if(auth_ismanager()){
+ $form->addElement(' ('.$info['ip'].')');
+ }
+ }else{
+ $form->addElement($info['ip']);
+ }
+ $form->addElement(form_makeCloseTag('span'));
+
+ $form->addElement(form_makeCloseTag('div'));
+ $form->addElement(form_makeCloseTag('li'));
+ }
+ $form->addElement(form_makeCloseTag('ul'));
+ $form->addElement(form_makeButton('submit', 'diff', $lang['diff2']));
+ html_form('revisions', $form);
+
+ print '<div class="pagenav">';
+ $last = $first + $conf['recent'];
+ if ($first > 0) {
+ $first -= $conf['recent'];
+ if ($first < 0) $first = 0;
+ print '<div class="pagenav-prev">';
+ print html_btn('newer',$ID,"p",array('do' => 'revisions', 'first' => $first));
+ print '</div>';
+ }
+ if ($hasNext) {
+ print '<div class="pagenav-next">';
+ print html_btn('older',$ID,"n",array('do' => 'revisions', 'first' => $last));
+ print '</div>';
+ }
+ print '</div>';
+
+}
+
+/**
+ * display recent changes
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ * @author Ben Coburn <btcoburn@silicodon.net>
+ */
+function html_recent($first=0){
+ global $conf;
+ global $lang;
+ global $ID;
+ /* we need to get one additionally log entry to be able to
+ * decide if this is the last page or is there another one.
+ * This is the cheapest solution to get this information.
+ */
+ $recents = getRecents($first,$conf['recent'] + 1,getNS($ID));
+ if(count($recents) == 0 && $first != 0){
+ $first=0;
+ $recents = getRecents($first,$conf['recent'] + 1,getNS($ID));
+ }
+ $hasNext = false;
+ if (count($recents)>$conf['recent']) {
+ $hasNext = true;
+ array_pop($recents); // remove extra log entry
+ }
+
+ print p_locale_xhtml('recent');
+
+ if (getNS($ID) != '')
+ print '<div class="level1"><p>' . sprintf($lang['recent_global'], getNS($ID), wl('', 'do=recent')) . '</p></div>';
+
+ $form = new Doku_Form(array('id' => 'dw__recent', 'method' => 'GET'));
+ $form->addHidden('sectok', null);
+ $form->addHidden('do', 'recent');
+ $form->addHidden('id', $ID);
+ $form->addElement(form_makeOpenTag('ul'));
+
+ foreach($recents as $recent){
+ $date = dformat($recent['date']);
+ if ($recent['type']===DOKU_CHANGE_TYPE_MINOR_EDIT)
+ $form->addElement(form_makeOpenTag('li', array('class' => 'minor')));
+ else
+ $form->addElement(form_makeOpenTag('li'));
+
+ $form->addElement(form_makeOpenTag('div', array('class' => 'li')));
+
+ $form->addElement(form_makeOpenTag('span', array('class' => 'date')));
+ $form->addElement($date);
+ $form->addElement(form_makeCloseTag('span'));
+
+ $form->addElement(form_makeOpenTag('a', array('class' => 'diff_link', 'href' => wl($recent['id'],"do=diff", false, '&'))));
+ $form->addElement(form_makeTag('img', array(
+ 'src' => DOKU_MEDIA.'lib/images/diff.png',
+ 'width' => 15,
+ 'height'=> 11,
+ 'title' => $lang['diff'],
+ 'alt' => $lang['diff']
+ )));
+ $form->addElement(form_makeCloseTag('a'));
+
+ $form->addElement(form_makeOpenTag('a', array('class' => 'revisions_link', 'href' => wl($recent['id'],"do=revisions",false,'&'))));
+ $form->addElement(form_makeTag('img', array(
+ 'src' => DOKU_MEDIA.'lib/images/history.png',
+ 'width' => 12,
+ 'height'=> 14,
+ 'title' => $lang['btn_revs'],
+ 'alt' => $lang['btn_revs']
+ )));
+ $form->addElement(form_makeCloseTag('a'));
+
+ $form->addElement(html_wikilink(':'.$recent['id'],useHeading('navigation')?null:$recent['id']));
+
+ $form->addElement(form_makeOpenTag('span', array('class' => 'sum')));
+ $form->addElement(' &ndash; '.htmlspecialchars($recent['sum']));
+ $form->addElement(form_makeCloseTag('span'));
+
+ $form->addElement(form_makeOpenTag('span', array('class' => 'user')));
+ if($recent['user']){
+ $form->addElement(editorinfo($recent['user']));
+ if(auth_ismanager()){
+ $form->addElement(' ('.$recent['ip'].')');
+ }
+ }else{
+ $form->addElement($recent['ip']);
+ }
+ $form->addElement(form_makeCloseTag('span'));
+
+ $form->addElement(form_makeCloseTag('div'));
+ $form->addElement(form_makeCloseTag('li'));
+ }
+ $form->addElement(form_makeCloseTag('ul'));
+
+ $form->addElement(form_makeOpenTag('div', array('class' => 'pagenav')));
+ $last = $first + $conf['recent'];
+ if ($first > 0) {
+ $first -= $conf['recent'];
+ if ($first < 0) $first = 0;
+ $form->addElement(form_makeOpenTag('div', array('class' => 'pagenav-prev')));
+ $form->addElement(form_makeTag('input', array(
+ 'type' => 'submit',
+ 'name' => 'first['.$first.']',
+ 'value' => $lang['btn_newer'],
+ 'accesskey' => 'n',
+ 'title' => $lang['btn_newer'].' [N]',
+ 'class' => 'button'
+ )));
+ $form->addElement(form_makeCloseTag('div'));
+ }
+ if ($hasNext) {
+ $form->addElement(form_makeOpenTag('div', array('class' => 'pagenav-next')));
+ $form->addElement(form_makeTag('input', array(
+ 'type' => 'submit',
+ 'name' => 'first['.$last.']',
+ 'value' => $lang['btn_older'],
+ 'accesskey' => 'p',
+ 'title' => $lang['btn_older'].' [P]',
+ 'class' => 'button'
+ )));
+ $form->addElement(form_makeCloseTag('div'));
+ }
+ $form->addElement(form_makeCloseTag('div'));
+ html_form('recent', $form);
+}
+
+/**
+ * Display page index
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function html_index($ns){
+ require_once(DOKU_INC.'inc/search.php');
+ global $conf;
+ global $ID;
+ $dir = $conf['datadir'];
+ $ns = cleanID($ns);
+ #fixme use appropriate function
+ if(empty($ns)){
+ $ns = dirname(str_replace(':','/',$ID));
+ if($ns == '.') $ns ='';
+ }
+ $ns = utf8_encodeFN(str_replace(':','/',$ns));
+
+ echo p_locale_xhtml('index');
+ echo '<div id="index__tree">';
+
+ $data = array();
+ search($data,$conf['datadir'],'search_index',array('ns' => $ns));
+ echo html_buildlist($data,'idx','html_list_index','html_li_index');
+
+ echo '</div>';
+}
+
+/**
+ * Index item formatter
+ *
+ * User function for html_buildlist()
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function html_list_index($item){
+ global $ID;
+ $ret = '';
+ $base = ':'.$item['id'];
+ $base = substr($base,strrpos($base,':')+1);
+ if($item['type']=='d'){
+ $ret .= '<a href="'.wl($ID,'idx='.rawurlencode($item['id'])).'" class="idx_dir"><strong>';
+ $ret .= $base;
+ $ret .= '</strong></a>';
+ }else{
+ $ret .= html_wikilink(':'.$item['id']);
+ }
+ return $ret;
+}
+
+/**
+ * Index List item
+ *
+ * This user function is used in html_build_lidt to build the
+ * <li> tags for namespaces when displaying the page index
+ * it gives different classes to opened or closed "folders"
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function html_li_index($item){
+ if($item['type'] == "f"){
+ return '<li class="level'.$item['level'].'">';
+ }elseif($item['open']){
+ return '<li class="open">';
+ }else{
+ return '<li class="closed">';
+ }
+}
+
+/**
+ * Default List item
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function html_li_default($item){
+ return '<li class="level'.$item['level'].'">';
+}
+
+/**
+ * Build an unordered list
+ *
+ * Build an unordered list from the given $data array
+ * Each item in the array has to have a 'level' property
+ * the item itself gets printed by the given $func user
+ * function. The second and optional function is used to
+ * print the <li> tag. Both user function need to accept
+ * a single item.
+ *
+ * Both user functions can be given as array to point to
+ * a member of an object.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function html_buildlist($data,$class,$func,$lifunc='html_li_default'){
+ $level = 0;
+ $opens = 0;
+ $ret = '';
+
+ foreach ($data as $item){
+
+ if( $item['level'] > $level ){
+ //open new list
+ for($i=0; $i<($item['level'] - $level); $i++){
+ if ($i) $ret .= "<li class=\"clear\">\n";
+ $ret .= "\n<ul class=\"$class\">\n";
+ }
+ }elseif( $item['level'] < $level ){
+ //close last item
+ $ret .= "</li>\n";
+ for ($i=0; $i<($level - $item['level']); $i++){
+ //close higher lists
+ $ret .= "</ul>\n</li>\n";
+ }
+ }else{
+ //close last item
+ $ret .= "</li>\n";
+ }
+
+ //remember current level
+ $level = $item['level'];
+
+ //print item
+ $ret .= call_user_func($lifunc,$item);
+ $ret .= '<div class="li">';
+
+ $ret .= call_user_func($func,$item);
+ $ret .= '</div>';
+ }
+
+ //close remaining items and lists
+ for ($i=0; $i < $level; $i++){
+ $ret .= "</li></ul>\n";
+ }
+
+ return $ret;
+}
+
+/**
+ * display backlinks
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Michael Klier <chi@chimeric.de>
+ */
+function html_backlinks(){
+ require_once(DOKU_INC.'inc/fulltext.php');
+ global $ID;
+ global $conf;
+ global $lang;
+
+ print p_locale_xhtml('backlinks');
+
+ $data = ft_backlinks($ID);
+
+ if(!empty($data)) {
+ print '<ul class="idx">';
+ foreach($data as $blink){
+ print '<li><div class="li">';
+ print html_wikilink(':'.$blink,useHeading('navigation')?null:$blink);
+ print '</div></li>';
+ }
+ print '</ul>';
+ } else {
+ print '<div class="level1"><p>' . $lang['nothingfound'] . '</p></div>';
+ }
+}
+
+/**
+ * show diff
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function html_diff($text='',$intro=true){
+ require_once(DOKU_INC.'inc/DifferenceEngine.php');
+ global $ID;
+ global $REV;
+ global $lang;
+ global $conf;
+
+ // we're trying to be clever here, revisions to compare can be either
+ // given as rev and rev2 parameters, with rev2 being optional. Or in an
+ // array in rev2.
+ $rev1 = $REV;
+
+ if(is_array($_REQUEST['rev2'])){
+ $rev1 = (int) $_REQUEST['rev2'][0];
+ $rev2 = (int) $_REQUEST['rev2'][1];
+
+ if(!$rev1){
+ $rev1 = $rev2;
+ unset($rev2);
+ }
+ }else{
+ $rev2 = (int) $_REQUEST['rev2'];
+ }
+
+ if($text){ // compare text to the most current revision
+ $l_rev = '';
+ $l_text = rawWiki($ID,'');
+ $l_head = '<a class="wikilink1" href="'.wl($ID).'">'.
+ $ID.' '.dformat((int) @filemtime(wikiFN($ID))).'</a> '.
+ $lang['current'];
+
+ $r_rev = '';
+ $r_text = cleanText($text);
+ $r_head = $lang['yours'];
+ }else{
+ if($rev1 && $rev2){ // two specific revisions wanted
+ // make sure order is correct (older on the left)
+ if($rev1 < $rev2){
+ $l_rev = $rev1;
+ $r_rev = $rev2;
+ }else{
+ $l_rev = $rev2;
+ $r_rev = $rev1;
+ }
+ }elseif($rev1){ // single revision given, compare to current
+ $r_rev = '';
+ $l_rev = $rev1;
+ }else{ // no revision was given, compare previous to current
+ $r_rev = '';
+ $revs = getRevisions($ID, 0, 1);
+ $l_rev = $revs[0];
+ $REV = $l_rev; // store revision back in $REV
+ }
+
+ // when both revisions are empty then the page was created just now
+ if(!$l_rev && !$r_rev){
+ $l_text = '';
+ }else{
+ $l_text = rawWiki($ID,$l_rev);
+ }
+ $r_text = rawWiki($ID,$r_rev);
+
+ if(!$l_rev){
+ $l_head = '&mdash;';
+ }else{
+ $l_info = getRevisionInfo($ID,$l_rev,true);
+ if($l_info['user']){
+ $l_user = editorinfo($l_info['user']);
+ if(auth_ismanager()) $l_user .= ' ('.$l_info['ip'].')';
+ } else {
+ $l_user = $l_info['ip'];
+ }
+ $l_user = '<span class="user">'.$l_user.'</span>';
+ $l_sum = ($l_info['sum']) ? '<span class="sum">'.hsc($l_info['sum']).'</span>' : '';
+ if ($l_info['type']===DOKU_CHANGE_TYPE_MINOR_EDIT) $l_minor = 'class="minor"';
+
+ $l_head = '<a class="wikilink1" href="'.wl($ID,"rev=$l_rev").'">'.
+ $ID.' ['.dformat($l_rev).']</a>'.
+ '<br />'.$l_user.' '.$l_sum;
+ }
+
+ if($r_rev){
+ $r_info = getRevisionInfo($ID,$r_rev,true);
+ if($r_info['user']){
+ $r_user = editorinfo($r_info['user']);
+ if(auth_ismanager()) $r_user .= ' ('.$r_info['ip'].')';
+ } else {
+ $r_user = $r_info['ip'];
+ }
+ $r_user = '<span class="user">'.$r_user.'</span>';
+ $r_sum = ($r_info['sum']) ? '<span class="sum">'.hsc($r_info['sum']).'</span>' : '';
+ if ($r_info['type']===DOKU_CHANGE_TYPE_MINOR_EDIT) $r_minor = 'class="minor"';
+
+ $r_head = '<a class="wikilink1" href="'.wl($ID,"rev=$r_rev").'">'.
+ $ID.' ['.dformat($r_rev).']</a>'.
+ '<br />'.$r_user.' '.$r_sum;
+ }elseif($_rev = @filemtime(wikiFN($ID))){
+ $_info = getRevisionInfo($ID,$_rev,true);
+ if($_info['user']){
+ $_user = editorinfo($_info['user']);
+ if(auth_ismanager()) $_user .= ' ('.$_info['ip'].')';
+ } else {
+ $_user = $_info['ip'];
+ }
+ $_user = '<span class="user">'.$_user.'</span>';
+ $_sum = ($_info['sum']) ? '<span class="sum">'.hsc($_info['sum']).'</span>' : '';
+ if ($_info['type']===DOKU_CHANGE_TYPE_MINOR_EDIT) $r_minor = 'class="minor"';
+
+ $r_head = '<a class="wikilink1" href="'.wl($ID).'">'.
+ $ID.' ['.dformat($_rev).']</a> '.
+ '('.$lang['current'].')'.
+ '<br />'.$_user.' '.$_sum;
+ }else{
+ $r_head = '&mdash; ('.$lang['current'].')';
+ }
+ }
+
+ $df = new Diff(explode("\n",htmlspecialchars($l_text)),
+ explode("\n",htmlspecialchars($r_text)));
+
+ $tdf = new TableDiffFormatter();
+ if($intro) print p_locale_xhtml('diff');
+ ?>
+ <table class="diff">
+ <tr>
+ <th colspan="2" <?php echo $l_minor?>>
+ <?php echo $l_head?>
+ </th>
+ <th colspan="2" <?php echo $r_minor?>>
+ <?php echo $r_head?>
+ </th>
+ </tr>
+ <?php echo $tdf->format($df)?>
+ </table>
+ <?php
+}
+
+/**
+ * show warning on conflict detection
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function html_conflict($text,$summary){
+ global $ID;
+ global $lang;
+
+ print p_locale_xhtml('conflict');
+ $form = new Doku_Form(array('id' => 'dw__editform'));
+ $form->addHidden('id', $ID);
+ $form->addHidden('wikitext', $text);
+ $form->addHidden('summary', $summary);
+ $form->addElement(form_makeButton('submit', 'save', $lang['btn_save'], array('accesskey'=>'s')));
+ $form->addElement(form_makeButton('submit', 'cancel', $lang['btn_cancel']));
+ html_form('conflict', $form);
+ print '<br /><br /><br /><br />'.NL;
+}
+
+/**
+ * Prints the global message array
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function html_msgarea(){
+ global $MSG;
+ if(!isset($MSG)) return;
+
+ $shown = array();
+ foreach($MSG as $msg){
+ $hash = md5($msg['msg']);
+ if(isset($shown[$hash])) continue; // skip double messages
+ print '<div class="'.$msg['lvl'].'">';
+ print $msg['msg'];
+ print '</div>';
+ $shown[$hash] = 1;
+ }
+}
+
+/**
+ * Prints the registration form
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function html_register(){
+ global $lang;
+ global $conf;
+ global $ID;
+
+ print p_locale_xhtml('register');
+ print '<div class="centeralign">'.NL;
+ $form = new Doku_Form(array('id' => 'dw__register'));
+ $form->startFieldset($lang['register']);
+ $form->addHidden('do', 'register');
+ $form->addHidden('save', '1');
+ $form->addElement(form_makeTextField('login', $_POST['login'], $lang['user'], null, 'block', array('size'=>'50')));
+ if (!$conf['autopasswd']) {
+ $form->addElement(form_makePasswordField('pass', $lang['pass'], '', 'block', array('size'=>'50')));
+ $form->addElement(form_makePasswordField('passchk', $lang['passchk'], '', 'block', array('size'=>'50')));
+ }
+ $form->addElement(form_makeTextField('fullname', $_POST['fullname'], $lang['fullname'], '', 'block', array('size'=>'50')));
+ $form->addElement(form_makeTextField('email', $_POST['email'], $lang['email'], '', 'block', array('size'=>'50')));
+ $form->addElement(form_makeButton('submit', '', $lang['register']));
+ $form->endFieldset();
+ html_form('register', $form);
+
+ print '</div>'.NL;
+}
+
+/**
+ * Print the update profile form
+ *
+ * @author Christopher Smith <chris@jalakai.co.uk>
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function html_updateprofile(){
+ global $lang;
+ global $conf;
+ global $ID;
+ global $INFO;
+ global $auth;
+
+ print p_locale_xhtml('updateprofile');
+
+ if (empty($_POST['fullname'])) $_POST['fullname'] = $INFO['userinfo']['name'];
+ if (empty($_POST['email'])) $_POST['email'] = $INFO['userinfo']['mail'];
+ print '<div class="centeralign">'.NL;
+ $form = new Doku_Form(array('id' => 'dw__register'));
+ $form->startFieldset($lang['profile']);
+ $form->addHidden('do', 'profile');
+ $form->addHidden('save', '1');
+ $form->addElement(form_makeTextField('fullname', $_SERVER['REMOTE_USER'], $lang['user'], '', 'block', array('size'=>'50', 'disabled'=>'disabled')));
+ $attr = array('size'=>'50');
+ if (!$auth->canDo('modName')) $attr['disabled'] = 'disabled';
+ $form->addElement(form_makeTextField('fullname', $_POST['fullname'], $lang['fullname'], '', 'block', $attr));
+ $attr = array('size'=>'50');
+ if (!$auth->canDo('modMail')) $attr['disabled'] = 'disabled';
+ $form->addElement(form_makeTextField('email', $_POST['email'], $lang['email'], '', 'block', $attr));
+ $form->addElement(form_makeTag('br'));
+ if ($auth->canDo('modPass')) {
+ $form->addElement(form_makePasswordField('newpass', $lang['newpass'], '', 'block', array('size'=>'50')));
+ $form->addElement(form_makePasswordField('passchk', $lang['passchk'], '', 'block', array('size'=>'50')));
+ }
+ if ($conf['profileconfirm']) {
+ $form->addElement(form_makeTag('br'));
+ $form->addElement(form_makePasswordField('oldpass', $lang['oldpass'], '', 'block', array('size'=>'50')));
+ }
+ $form->addElement(form_makeButton('submit', '', $lang['btn_save']));
+ $form->addElement(form_makeButton('reset', '', $lang['btn_reset']));
+ $form->endFieldset();
+ html_form('updateprofile', $form);
+ print '</div>'.NL;
+}
+
+/**
+ * This displays the edit form (lots of logic included)
+ *
+ * @fixme this is a huge lump of code and should be modularized
+ * @triggers HTML_PAGE_FROMTEMPLATE
+ * @triggers HTML_EDITFORM_INJECTION
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function html_edit($text=null,$include='edit'){ //FIXME: include needed?
+ global $ID;
+ global $REV;
+ global $DATE;
+ global $RANGE;
+ global $PRE;
+ global $SUF;
+ global $INFO;
+ global $SUM;
+ global $lang;
+ global $conf;
+ global $license;
+
+ //set summary default
+ if(!$SUM){
+ if($REV){
+ $SUM = $lang['restored'];
+ }elseif(!$INFO['exists']){
+ $SUM = $lang['created'];
+ }
+ }
+
+ //no text? Load it!
+ if(!isset($text)){
+ $pr = false; //no preview mode
+ if($INFO['exists']){
+ if($RANGE){
+ list($PRE,$text,$SUF) = rawWikiSlices($RANGE,$ID,$REV);
+ }else{
+ $text = rawWiki($ID,$REV);
+ }
+ $check = md5($text);
+ $mod = false;
+ }else{
+ //try to load a pagetemplate
+ $data = array($ID);
+ $text = trigger_event('HTML_PAGE_FROMTEMPLATE',$data,'pageTemplate',true);
+ $check = md5('');
+ $mod = $text!=='';
+ }
+ }else{
+ $pr = true; //preview mode
+ if (isset($_REQUEST['changecheck'])) {
+ $check = $_REQUEST['changecheck'];
+ $mod = md5($text)!==$check;
+ } else {
+ // Why? Assume default text is unmodified.
+ $check = md5($text);
+ $mod = false;
+ }
+ }
+
+ $wr = $INFO['writable'] && !$INFO['locked'];
+ if($wr){
+ if ($REV) print p_locale_xhtml('editrev');
+ print p_locale_xhtml($include);
+ }else{
+ // check pseudo action 'source'
+ if(!actionOK('source')){
+ msg('Command disabled: source',-1);
+ return;
+ }
+ print p_locale_xhtml('read');
+ }
+ if(!$DATE) $DATE = $INFO['lastmod'];
+ ?>
+ <div style="width:99%;">
+
+ <div class="toolbar">
+ <div id="draft__status"><?php if(!empty($INFO['draft'])) echo $lang['draftdate'].' '.dformat();?></div>
+ <div id="tool__bar"><?php if($wr){?><a href="<?php echo DOKU_BASE?>lib/exe/mediamanager.php?ns=<?php echo $INFO['namespace']?>"
+ target="_blank"><?php echo $lang['mediaselect'] ?></a><?php }?></div>
+
+ <?php if($wr){?>
+ <script type="text/javascript" charset="utf-8"><!--//--><![CDATA[//><!--
+ <?php /* sets changed to true when previewed */?>
+ textChanged = <?php ($mod) ? print 'true' : print 'false' ?>;
+ //--><!]]></script>
+ <?php } ?>
+ </div>
+ <?php
+ $form = new Doku_Form(array('id' => 'dw__editform'));
+ $form->addHidden('id', $ID);
+ $form->addHidden('rev', $REV);
+ $form->addHidden('date', $DATE);
+ $form->addHidden('prefix', $PRE);
+ $form->addHidden('suffix', $SUF);
+ $form->addHidden('changecheck', $check);
+ $attr = array('tabindex'=>'1');
+ if (!$wr) $attr['readonly'] = 'readonly';
+ $form->addElement(form_makeWikiText($text, $attr));
+ $form->addElement(form_makeOpenTag('div', array('id'=>'wiki__editbar')));
+ $form->addElement(form_makeOpenTag('div', array('id'=>'size__ctl')));
+ $form->addElement(form_makeCloseTag('div'));
+ if ($wr) {
+ $form->addElement(form_makeOpenTag('div', array('class'=>'editButtons')));
+ $form->addElement(form_makeButton('submit', 'save', $lang['btn_save'], array('id'=>'edbtn__save', 'accesskey'=>'s', 'tabindex'=>'4')));
+ $form->addElement(form_makeButton('submit', 'preview', $lang['btn_preview'], array('id'=>'edbtn__preview', 'accesskey'=>'p', 'tabindex'=>'5')));
+ $form->addElement(form_makeButton('submit', 'draftdel', $lang['btn_cancel'], array('tabindex'=>'6')));
+ $form->addElement(form_makeCloseTag('div'));
+ $form->addElement(form_makeOpenTag('div', array('class'=>'summary')));
+ $form->addElement(form_makeTextField('summary', $SUM, $lang['summary'], 'edit__summary', 'nowrap', array('size'=>'50', 'tabindex'=>'2')));
+ $elem = html_minoredit();
+ if ($elem) $form->addElement($elem);
+ $form->addElement(form_makeCloseTag('div'));
+ }
+ $form->addElement(form_makeCloseTag('div'));
+ if($wr && $conf['license']){
+ $form->addElement(form_makeOpenTag('div', array('class'=>'license')));
+ $out = $lang['licenseok'];
+ $out .= '<a href="'.$license[$conf['license']]['url'].'" rel="license" class="urlextern"';
+ if(isset($conf['target']['external'])) $out .= ' target="'.$conf['target']['external'].'"';
+ $out .= '> '.$license[$conf['license']]['name'].'</a>';
+ $form->addElement($out);
+ $form->addElement(form_makeCloseTag('div'));
+ }
+ html_form('edit', $form);
+ print '</div>'.NL;
+}
+
+/**
+ * Adds a checkbox for minor edits for logged in users
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function html_minoredit(){
+ global $conf;
+ global $lang;
+ // minor edits are for logged in users only
+ if(!$conf['useacl'] || !$_SERVER['REMOTE_USER']){
+ return false;
+ }
+
+ $p = array();
+ $p['tabindex'] = 3;
+ if(!empty($_REQUEST['minor'])) $p['checked']='checked';
+ return form_makeCheckboxField('minor', '1', $lang['minoredit'], 'minoredit', 'nowrap', $p);
+}
+
+/**
+ * prints some debug info
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function html_debug(){
+ global $conf;
+ global $lang;
+ global $auth;
+ global $INFO;
+
+ //remove sensitive data
+ $cnf = $conf;
+ debug_guard($cnf);
+ $nfo = $INFO;
+ debug_guard($nfo);
+ $ses = $_SESSION;
+ debug_guard($ses);
+
+ print '<html><body>';
+
+ print '<p>When reporting bugs please send all the following ';
+ print 'output as a mail to andi@splitbrain.org ';
+ print 'The best way to do this is to save this page in your browser</p>';
+
+ print '<b>$INFO:</b><pre>';
+ print_r($nfo);
+ print '</pre>';
+
+ print '<b>$_SERVER:</b><pre>';
+ print_r($_SERVER);
+ print '</pre>';
+
+ print '<b>$conf:</b><pre>';
+ print_r($cnf);
+ print '</pre>';
+
+ print '<b>DOKU_BASE:</b><pre>';
+ print DOKU_BASE;
+ print '</pre>';
+
+ print '<b>abs DOKU_BASE:</b><pre>';
+ print DOKU_URL;
+ print '</pre>';
+
+ print '<b>rel DOKU_BASE:</b><pre>';
+ print dirname($_SERVER['PHP_SELF']).'/';
+ print '</pre>';
+
+ print '<b>PHP Version:</b><pre>';
+ print phpversion();
+ print '</pre>';
+
+ print '<b>locale:</b><pre>';
+ print setlocale(LC_ALL,0);
+ print '</pre>';
+
+ print '<b>encoding:</b><pre>';
+ print $lang['encoding'];
+ print '</pre>';
+
+ if($auth){
+ print '<b>Auth backend capabilities:</b><pre>';
+ print_r($auth->cando);
+ print '</pre>';
+ }
+
+ print '<b>$_SESSION:</b><pre>';
+ print_r($ses);
+ print '</pre>';
+
+ print '<b>Environment:</b><pre>';
+ print_r($_ENV);
+ print '</pre>';
+
+ print '<b>PHP settings:</b><pre>';
+ $inis = ini_get_all();
+ print_r($inis);
+ print '</pre>';
+
+ print '</body></html>';
+}
+
+/**
+ * List available Administration Tasks
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author H氓kan Sandell <hakan.sandell@home.se>
+ */
+function html_admin(){
+ global $ID;
+ global $INFO;
+ global $lang;
+ global $conf;
+ global $auth;
+
+ // build menu of admin functions from the plugins that handle them
+ $pluginlist = plugin_list('admin');
+ $menu = array();
+ foreach ($pluginlist as $p) {
+ if($obj =& plugin_load('admin',$p) === null) continue;
+
+ // check permissions
+ if($obj->forAdminOnly() && !$INFO['isadmin']) continue;
+
+ $menu[$p] = array('plugin' => $p,
+ 'prompt' => $obj->getMenuText($conf['lang']),
+ 'sort' => $obj->getMenuSort()
+ );
+ }
+
+ print p_locale_xhtml('admin');
+
+ // Admin Tasks
+ if($INFO['isadmin']){
+ ptln('<ul class="admin_tasks">');
+
+ if($menu['usermanager'] && $auth && $auth->canDo('getUsers')){
+ ptln(' <li class="admin_usermanager"><div class="li">'.
+ '<a href="'.wl($ID, array('do' => 'admin','dokupage' => 'usermanager')).'">'.
+ $menu['usermanager']['prompt'].'</a></div></li>');
+ }
+ unset($menu['usermanager']);
+
+ if($menu['acl']){
+ ptln(' <li class="admin_acl"><div class="li">'.
+ '<a href="'.wl($ID, array('do' => 'admin','dokupage' => 'acl')).'">'.
+ $menu['acl']['prompt'].'</a></div></li>');
+ }
+ unset($menu['acl']);
+
+ if($menu['plugin']){
+ ptln(' <li class="admin_plugin"><div class="li">'.
+ '<a href="'.wl($ID, array('do' => 'admin','dokupage' => 'plugin')).'">'.
+ $menu['plugin']['prompt'].'</a></div></li>');
+ }
+ unset($menu['plugin']);
+
+ if($menu['config']){
+ ptln(' <li class="admin_config"><div class="li">'.
+ '<a href="'.wl($ID, array('do' => 'admin','dokupage' => 'config')).'">'.
+ $menu['config']['prompt'].'</a></div></li>');
+ }
+ unset($menu['config']);
+ }
+ ptln('</ul>');
+
+ // Manager Tasks
+ ptln('<ul class="admin_tasks">');
+
+ if($menu['revert']){
+ ptln(' <li class="admin_revert"><div class="li">'.
+ '<a href="'.wl($ID, array('do' => 'admin','dokupage' => 'revert')).'">'.
+ $menu['revert']['prompt'].'</a></div></li>');
+ }
+ unset($menu['revert']);
+
+ if($menu['popularity']){
+ ptln(' <li class="admin_popularity"><div class="li">'.
+ '<a href="'.wl($ID, array('do' => 'admin','dokupage' => 'popularity')).'">'.
+ $menu['popularity']['prompt'].'</a></div></li>');
+ }
+ unset($menu['popularity']);
+
+ ptln('</ul>');
+
+ // print the rest as sorted list
+ if(count($menu)){
+ usort($menu, 'p_sort_modes');
+ // output the menu
+ ptln('<div class="clearer"></div>');
+ print p_locale_xhtml('adminplugins');
+ ptln('<ul>');
+ foreach ($menu as $item) {
+ if (!$item['prompt']) continue;
+ ptln(' <li><div class="li"><a href="'.wl($ID, 'do=admin&amp;dokupage='.$item['plugin']).'">'.$item['prompt'].'</a></div></li>');
+ }
+ ptln('</ul>');
+ }
+}
+
+/**
+ * Form to request a new password for an existing account
+ *
+ * @author Benoit Chesneau <benoit@bchesneau.info>
+ */
+function html_resendpwd() {
+ global $lang;
+ global $conf;
+ global $ID;
+
+ print p_locale_xhtml('resendpwd');
+ print '<div class="centeralign">'.NL;
+ $form = new Doku_Form(array('id' => 'dw__resendpwd'));
+ $form->startFieldset($lang['resendpwd']);
+ $form->addHidden('do', 'resendpwd');
+ $form->addHidden('save', '1');
+ $form->addElement(form_makeTag('br'));
+ $form->addElement(form_makeTextField('login', $_POST['login'], $lang['user'], '', 'block'));
+ $form->addElement(form_makeTag('br'));
+ $form->addElement(form_makeTag('br'));
+ $form->addElement(form_makeButton('submit', '', $lang['btn_resendpwd']));
+ $form->endFieldset();
+ html_form('resendpwd', $form);
+ print '</div>'.NL;
+}
+
+/**
+ * Return the TOC rendered to XHTML
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function html_TOC($toc){
+ if(!count($toc)) return '';
+ global $lang;
+ $out = '<!-- TOC START -->'.DOKU_LF;
+ $out .= '<div class="toc">'.DOKU_LF;
+ $out .= '<div class="tocheader toctoggle" id="toc__header">';
+ $out .= $lang['toc'];
+ $out .= '</div>'.DOKU_LF;
+ $out .= '<div id="toc__inside">'.DOKU_LF;
+ $out .= html_buildlist($toc,'toc','html_list_toc');
+ $out .= '</div>'.DOKU_LF.'</div>'.DOKU_LF;
+ $out .= '<!-- TOC END -->'.DOKU_LF;
+ return $out;
+}
+
+/**
+ * Callback for html_buildlist
+ */
+function html_list_toc($item){
+ if(isset($item['hid'])){
+ $link = '#'.$item['hid'];
+ }else{
+ $link = $item['link'];
+ }
+
+ return '<span class="li"><a href="'.$link.'" class="toc">'.
+ hsc($item['title']).'</a></span>';
+}
+
+/**
+ * Helper function to build TOC items
+ *
+ * Returns an array ready to be added to a TOC array
+ *
+ * @param string $link - where to link (if $hash set to '#' it's a local anchor)
+ * @param string $text - what to display in the TOC
+ * @param int $level - nesting level
+ * @param string $hash - is prepended to the given $link, set blank if you want full links
+ */
+function html_mktocitem($link, $text, $level, $hash='#'){
+ global $conf;
+ return array( 'link' => $hash.$link,
+ 'title' => $text,
+ 'type' => 'ul',
+ 'level' => $level);
+}
+
+/**
+ * Output a Doku_Form object.
+ * Triggers an event with the form name: HTML_{$name}FORM_OUTPUT
+ *
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function html_form($name, &$form) {
+ // Safety check in case the caller forgets.
+ $form->endFieldset();
+ trigger_event('HTML_'.strtoupper($name).'FORM_OUTPUT', $form, 'html_form_output', false);
+}
+
+/**
+ * Form print function.
+ * Just calls printForm() on the data object.
+ */
+function html_form_output($data) {
+ $data->printForm();
+}
+
+/**
+ * Embed a flash object in HTML
+ *
+ * This will create the needed HTML to embed a flash movie in a cross browser
+ * compatble way using valid XHTML
+ *
+ * The parameters $params, $flashvars and $atts need to be associative arrays.
+ * No escaping needs to be done for them. The alternative content *has* to be
+ * escaped because it is used as is. If no alternative content is given
+ * $lang['noflash'] is used.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @link http://latrine.dgx.cz/how-to-correctly-insert-a-flash-into-xhtml
+ *
+ * @param string $swf - the SWF movie to embed
+ * @param int $width - width of the flash movie in pixels
+ * @param int $height - height of the flash movie in pixels
+ * @param array $params - additional parameters (<param>)
+ * @param array $flashvars - parameters to be passed in the flashvar parameter
+ * @param array $atts - additional attributes for the <object> tag
+ * @param string $alt - alternative content (is NOT automatically escaped!)
+ * @returns string - the XHTML markup
+ */
+function html_flashobject($swf,$width,$height,$params=null,$flashvars=null,$atts=null,$alt=''){
+ global $lang;
+
+ $out = '';
+
+ // prepare the object attributes
+ if(is_null($atts)) $atts = array();
+ $atts['width'] = (int) $width;
+ $atts['height'] = (int) $height;
+ if(!$atts['width']) $atts['width'] = 425;
+ if(!$atts['height']) $atts['height'] = 350;
+
+ // add object attributes for standard compliant browsers
+ $std = $atts;
+ $std['type'] = 'application/x-shockwave-flash';
+ $std['data'] = $swf;
+
+ // add object attributes for IE
+ $ie = $atts;
+ $ie['classid'] = 'clsid:D27CDB6E-AE6D-11cf-96B8-444553540000';
+
+ // open object (with conditional comments)
+ $out .= '<!--[if !IE]> -->'.NL;
+ $out .= '<object '.buildAttributes($std).'>'.NL;
+ $out .= '<!-- <![endif]-->'.NL;
+ $out .= '<!--[if IE]>'.NL;
+ $out .= '<object '.buildAttributes($ie).'>'.NL;
+ $out .= ' <param name="movie" value="'.hsc($swf).'" />'.NL;
+ $out .= '<!--><!-- -->'.NL;
+
+ // print params
+ if(is_array($params)) foreach($params as $key => $val){
+ $out .= ' <param name="'.hsc($key).'" value="'.hsc($val).'" />'.NL;
+ }
+
+ // add flashvars
+ if(is_array($flashvars)){
+ $out .= ' <param name="FlashVars" value="'.buildURLparams($flashvars).'" />'.NL;
+ }
+
+ // alternative content
+ if($alt){
+ $out .= $alt.NL;
+ }else{
+ $out .= $lang['noflash'].NL;
+ }
+
+ // finish
+ $out .= '</object>'.NL;
+ $out .= '<!-- <![endif]-->'.NL;
+
+ return $out;
+}
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/httputils.php b/mod/dokuwiki/vendors/dokuwiki/inc/httputils.php
new file mode 100644
index 000000000..324a730b9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/httputils.php
@@ -0,0 +1,199 @@
+<?php
+/**
+ * Utilities for handling HTTP related tasks
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+
+define('HTTP_MULTIPART_BOUNDARY','D0KuW1K1B0uNDARY');
+define('HTTP_HEADER_LF',"\r\n");
+define('HTTP_CHUNK_SIZE',16*1024);
+
+/**
+ * Checks and sets HTTP headers for conditional HTTP requests
+ *
+ * @author Simon Willison <swillison@gmail.com>
+ * @link http://simon.incutio.com/archive/2003/04/23/conditionalGet
+ * @param timestamp $timestamp lastmodified time of the cache file
+ * @returns void or exits with previously header() commands executed
+ */
+function http_conditionalRequest($timestamp){
+ // A PHP implementation of conditional get, see
+ // http://fishbowl.pastiche.org/archives/001132.html
+ $last_modified = substr(gmdate('r', $timestamp), 0, -5).'GMT';
+ $etag = '"'.md5($last_modified).'"';
+ // Send the headers
+ header("Last-Modified: $last_modified");
+ header("ETag: $etag");
+ // See if the client has provided the required headers
+ if (isset($_SERVER['HTTP_IF_MODIFIED_SINCE'])){
+ $if_modified_since = stripslashes($_SERVER['HTTP_IF_MODIFIED_SINCE']);
+ }else{
+ $if_modified_since = false;
+ }
+
+ if (isset($_SERVER['HTTP_IF_NONE_MATCH'])){
+ $if_none_match = stripslashes($_SERVER['HTTP_IF_NONE_MATCH']);
+ }else{
+ $if_none_match = false;
+ }
+
+ if (!$if_modified_since && !$if_none_match){
+ return;
+ }
+
+ // At least one of the headers is there - check them
+ if ($if_none_match && $if_none_match != $etag) {
+ return; // etag is there but doesn't match
+ }
+
+ if ($if_modified_since && $if_modified_since != $last_modified) {
+ return; // if-modified-since is there but doesn't match
+ }
+
+ // Nothing has changed since their last request - serve a 304 and exit
+ header('HTTP/1.0 304 Not Modified');
+
+ // don't produce output, even if compression is on
+ @ob_end_clean();
+ exit;
+}
+
+/**
+ * Let the webserver send the given file vi x-sendfile method
+ *
+ * @author Chris Smith <chris.eureka@jalakai.co.uk>
+ * @returns void or exits with previously header() commands executed
+ */
+function http_sendfile($file) {
+ global $conf;
+
+ //use x-sendfile header to pass the delivery to compatible webservers
+ if($conf['xsendfile'] == 1){
+ header("X-LIGHTTPD-send-file: $file");
+ ob_end_clean();
+ exit;
+ }elseif($conf['xsendfile'] == 2){
+ header("X-Sendfile: $file");
+ ob_end_clean();
+ exit;
+ }elseif($conf['xsendfile'] == 3){
+ header("X-Accel-Redirect: $file");
+ ob_end_clean();
+ exit;
+ }
+
+ return false;
+}
+
+/**
+ * Send file contents supporting rangeRequests
+ *
+ * This function exits the running script
+ *
+ * @param ressource $fh - file handle for an already open file
+ * @param int $size - size of the whole file
+ * @param int $mime - MIME type of the file
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function http_rangeRequest($fh,$size,$mime){
+ $ranges = array();
+ $isrange = false;
+
+ header('Accept-Ranges: bytes');
+
+ if(!isset($_SERVER['HTTP_RANGE'])){
+ // no range requested - send the whole file
+ $ranges[] = array(0,$size,$size);
+ }else{
+ $t = explode('=', $_SERVER['HTTP_RANGE']);
+ if (!$t[0]=='bytes') {
+ // we only understand byte ranges - send the whole file
+ $ranges[] = array(0,$size,$size);
+ }else{
+ $isrange = true;
+ // handle multiple ranges
+ $r = explode(',',$t[1]);
+ foreach($r as $x){
+ $p = explode('-', $x);
+ $start = (int)$p[0];
+ $end = (int)$p[1];
+ if (!$end) $end = $size - 1;
+ if ($start > $end || $start > $size || $end > $size){
+ header('HTTP/1.1 416 Requested Range Not Satisfiable');
+ print 'Bad Range Request!';
+ exit;
+ }
+ $len = $end - $start + 1;
+ $ranges[] = array($start,$end,$len);
+ }
+ }
+ }
+ $parts = count($ranges);
+
+ // now send the type and length headers
+ if(!$isrange){
+ header("Content-Type: $mime",true);
+ }else{
+ header('HTTP/1.1 206 Partial Content');
+ if($parts == 1){
+ header("Content-Type: $mime",true);
+ }else{
+ header('Content-Type: multipart/byteranges; boundary='.HTTP_MULTIPART_BOUNDARY,true);
+ }
+ }
+
+ // send all ranges
+ for($i=0; $i<$parts; $i++){
+ list($start,$end,$len) = $ranges[$i];
+
+ // multipart or normal headers
+ if($parts > 1){
+ echo HTTP_HEADER_LF.'--'.HTTP_MULTIPART_BOUNDARY.HTTP_HEADER_LF;
+ echo "Content-Type: $mime".HTTP_HEADER_LF;
+ echo "Content-Range: bytes $start-$end/$size".HTTP_HEADER_LF;
+ echo HTTP_HEADER_LF;
+ }else{
+ header("Content-Length: $len");
+ if($isrange){
+ header("Content-Range: bytes $start-$end/$size");
+ }
+ }
+
+ // send file content
+ fseek($fh,$start); //seek to start of range
+ $chunk = ($len > HTTP_CHUNK_SIZE) ? HTTP_CHUNK_SIZE : $len;
+ while (!feof($fh) && $chunk > 0) {
+ @set_time_limit(30); // large files can take a lot of time
+ print fread($fh, $chunk);
+ flush();
+ $len -= $chunk;
+ $chunk = ($len > HTTP_CHUNK_SIZE) ? HTTP_CHUNK_SIZE : $len;
+ }
+ }
+ if($parts > 1){
+ echo HTTP_HEADER_LF.'--'.HTTP_MULTIPART_BOUNDARY.'--'.HTTP_HEADER_LF;
+ }
+
+ // everything should be done here, exit
+ exit;
+}
+
+/**
+ * Check for a gzipped version and create if necessary
+ *
+ * return true if there exists a gzip version of the uncompressed file
+ * (samepath/samefilename.sameext.gz) created after the uncompressed file
+ *
+ * @author Chris Smith <chris.eureka@jalakai.co.uk>
+ */
+function http_gzip_valid($uncompressed_file) {
+ $gzip = $uncompressed_file.'.gz';
+ if (filemtime($gzip) < filemtime($uncompressed_file)) { // filemtime returns false (0) if file doesn't exist
+ return copy($uncompressed_file, 'compress.zlib://'.$gzip);
+ }
+
+ return true;
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/indexer.php b/mod/dokuwiki/vendors/dokuwiki/inc/indexer.php
new file mode 100644
index 000000000..14af579bb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/indexer.php
@@ -0,0 +1,705 @@
+<?php
+/**
+ * Common DokuWiki functions
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+
+if(!defined('DOKU_INC')) die('meh.');
+require_once(DOKU_INC.'inc/io.php');
+require_once(DOKU_INC.'inc/utf8.php');
+require_once(DOKU_INC.'inc/parserutils.php');
+
+// set the minimum token length to use in the index (note, this doesn't apply to numeric tokens)
+if (!defined('IDX_MINWORDLENGTH')) define('IDX_MINWORDLENGTH',2);
+
+// Asian characters are handled as words. The following regexp defines the
+// Unicode-Ranges for Asian characters
+// Ranges taken from http://en.wikipedia.org/wiki/Unicode_block
+// I'm no language expert. If you think some ranges are wrongly chosen or
+// a range is missing, please contact me
+define('IDX_ASIAN1','[\x{0E00}-\x{0E7F}]'); // Thai
+define('IDX_ASIAN2','['.
+ '\x{2E80}-\x{3040}'. // CJK -> Hangul
+ '\x{309D}-\x{30A0}'.
+ '\x{30FD}-\x{31EF}\x{3200}-\x{D7AF}'.
+ '\x{F900}-\x{FAFF}'. // CJK Compatibility Ideographs
+ '\x{FE30}-\x{FE4F}'. // CJK Compatibility Forms
+ ']');
+define('IDX_ASIAN3','['. // Hiragana/Katakana (can be two characters)
+ '\x{3042}\x{3044}\x{3046}\x{3048}'.
+ '\x{304A}-\x{3062}\x{3064}-\x{3082}'.
+ '\x{3084}\x{3086}\x{3088}-\x{308D}'.
+ '\x{308F}-\x{3094}'.
+ '\x{30A2}\x{30A4}\x{30A6}\x{30A8}'.
+ '\x{30AA}-\x{30C2}\x{30C4}-\x{30E2}'.
+ '\x{30E4}\x{30E6}\x{30E8}-\x{30ED}'.
+ '\x{30EF}-\x{30F4}\x{30F7}-\x{30FA}'.
+ ']['.
+ '\x{3041}\x{3043}\x{3045}\x{3047}\x{3049}'.
+ '\x{3063}\x{3083}\x{3085}\x{3087}\x{308E}\x{3095}-\x{309C}'.
+ '\x{30A1}\x{30A3}\x{30A5}\x{30A7}\x{30A9}'.
+ '\x{30C3}\x{30E3}\x{30E5}\x{30E7}\x{30EE}\x{30F5}\x{30F6}\x{30FB}\x{30FC}'.
+ '\x{31F0}-\x{31FF}'.
+ ']?');
+define('IDX_ASIAN', '(?:'.IDX_ASIAN1.'|'.IDX_ASIAN2.'|'.IDX_ASIAN3.')');
+
+/**
+ * Measure the length of a string.
+ * Differs from strlen in handling of asian characters.
+ *
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function wordlen($w){
+ $l = strlen($w);
+ // If left alone, all chinese "words" will get put into w3.idx
+ // So the "length" of a "word" is faked
+ if(preg_match('/'.IDX_ASIAN2.'/u',$w))
+ $l += ord($w) - 0xE1; // Lead bytes from 0xE2-0xEF
+ return $l;
+}
+
+/**
+ * Write a list of strings to an index file.
+ *
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function idx_saveIndex($pre, $wlen, &$idx){
+ global $conf;
+ $fn = $conf['indexdir'].'/'.$pre.$wlen;
+ $fh = @fopen($fn.'.tmp','w');
+ if(!$fh) return false;
+ foreach ($idx as $line) {
+ fwrite($fh,$line);
+ }
+ fclose($fh);
+ if(isset($conf['fperm'])) chmod($fn.'.tmp', $conf['fperm']);
+ io_rename($fn.'.tmp', $fn.'.idx');
+ return true;
+}
+
+/**
+ * Append a given line to an index file.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function idx_appendIndex($pre, $wlen, $line){
+ global $conf;
+ $fn = $conf['indexdir'].'/'.$pre.$wlen;
+ $fh = @fopen($fn.'.idx','a');
+ if(!$fh) return false;
+ fwrite($fh,$line);
+ fclose($fh);
+ return true;
+}
+
+/**
+ * Read the list of words in an index (if it exists).
+ *
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function idx_getIndex($pre, $wlen){
+ global $conf;
+ $fn = $conf['indexdir'].'/'.$pre.$wlen.'.idx';
+ if(!@file_exists($fn)) return array();
+ return file($fn);
+}
+
+/**
+ * Create an empty index file if it doesn't exist yet.
+ *
+ * FIXME: This function isn't currently used. It will probably be removed soon.
+ *
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function idx_touchIndex($pre, $wlen){
+ global $conf;
+ $fn = $conf['indexdir'].'/'.$pre.$wlen.'.idx';
+ if(!@file_exists($fn)){
+ touch($fn);
+ if($conf['fperm']) chmod($fn, $conf['fperm']);
+ }
+}
+
+/**
+ * Read a line ending with \n.
+ * Returns false on EOF.
+ *
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function _freadline($fh) {
+ if (feof($fh)) return false;
+ $ln = '';
+ while (($buf = fgets($fh,4096)) !== false) {
+ $ln .= $buf;
+ if (substr($buf,-1) == "\n") break;
+ }
+ if ($ln === '') return false;
+ if (substr($ln,-1) != "\n") $ln .= "\n";
+ return $ln;
+}
+
+/**
+ * Write a line to an index file.
+ *
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function idx_saveIndexLine($pre, $wlen, $idx, $line){
+ global $conf;
+ if(substr($line,-1) != "\n") $line .= "\n";
+ $fn = $conf['indexdir'].'/'.$pre.$wlen;
+ $fh = @fopen($fn.'.tmp','w');
+ if(!$fh) return false;
+ $ih = @fopen($fn.'.idx','r');
+ if ($ih) {
+ $ln = -1;
+ while (($curline = _freadline($ih)) !== false) {
+ if (++$ln == $idx) {
+ fwrite($fh, $line);
+ } else {
+ fwrite($fh, $curline);
+ }
+ }
+ if ($idx > $ln) {
+ fwrite($fh,$line);
+ }
+ fclose($ih);
+ } else {
+ fwrite($fh,$line);
+ }
+ fclose($fh);
+ if($conf['fperm']) chmod($fn.'.tmp', $conf['fperm']);
+ io_rename($fn.'.tmp', $fn.'.idx');
+ return true;
+}
+
+/**
+ * Read a single line from an index (if it exists).
+ *
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function idx_getIndexLine($pre, $wlen, $idx){
+ global $conf;
+ $fn = $conf['indexdir'].'/'.$pre.$wlen.'.idx';
+ if(!@file_exists($fn)) return '';
+ $fh = @fopen($fn,'r');
+ if(!$fh) return '';
+ $ln = -1;
+ while (($line = _freadline($fh)) !== false) {
+ if (++$ln == $idx) break;
+ }
+ fclose($fh);
+ return "$line";
+}
+
+/**
+ * Split a page into words
+ *
+ * Returns an array of word counts, false if an error occurred.
+ * Array is keyed on the word length, then the word index.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Christopher Smith <chris@jalakai.co.uk>
+ */
+function idx_getPageWords($page){
+ global $conf;
+ $swfile = DOKU_INC.'inc/lang/'.$conf['lang'].'/stopwords.txt';
+ if(@file_exists($swfile)){
+ $stopwords = file($swfile);
+ }else{
+ $stopwords = array();
+ }
+
+ $body = '';
+ $data = array($page, $body);
+ $evt = new Doku_Event('INDEXER_PAGE_ADD', $data);
+ if ($evt->advise_before()) $data[1] .= rawWiki($page);
+ $evt->advise_after();
+ unset($evt);
+
+ list($page,$body) = $data;
+
+ $body = strtr($body, "\r\n\t", ' ');
+ $tokens = explode(' ', $body);
+ $tokens = array_count_values($tokens); // count the frequency of each token
+
+ // ensure the deaccented or romanised page names of internal links are added to the token array
+ // (this is necessary for the backlink function -- there maybe a better way!)
+ if ($conf['deaccent']) {
+ $links = p_get_metadata($page,'relation references');
+
+ if (!empty($links)) {
+ $tmp = join(' ',array_keys($links)); // make a single string
+ $tmp = strtr($tmp, ':', ' '); // replace namespace separator with a space
+ $link_tokens = array_unique(explode(' ', $tmp)); // break into tokens
+
+ foreach ($link_tokens as $link_token) {
+ if (isset($tokens[$link_token])) continue;
+ $tokens[$link_token] = 1;
+ }
+ }
+ }
+
+ $words = array();
+ foreach ($tokens as $word => $count) {
+ $arr = idx_tokenizer($word,$stopwords);
+ $arr = array_count_values($arr);
+ foreach ($arr as $w => $c) {
+ $l = wordlen($w);
+ if(isset($words[$l])){
+ $words[$l][$w] = $c * $count + (isset($words[$l][$w]) ? $words[$l][$w] : 0);
+ }else{
+ $words[$l] = array($w => $c * $count);
+ }
+ }
+ }
+
+ // arrive here with $words = array(wordlen => array(word => frequency))
+
+ $index = array(); //resulting index
+ foreach (array_keys($words) as $wlen){
+ $word_idx = idx_getIndex('w',$wlen);
+ foreach ($words[$wlen] as $word => $freq) {
+ $wid = array_search("$word\n",$word_idx);
+ if(!is_int($wid)){
+ $wid = count($word_idx);
+ $word_idx[] = "$word\n";
+ }
+ if(!isset($index[$wlen]))
+ $index[$wlen] = array();
+ $index[$wlen][$wid] = $freq;
+ }
+
+ // save back word index
+ if(!idx_saveIndex('w',$wlen,$word_idx)){
+ trigger_error("Failed to write word index", E_USER_ERROR);
+ return false;
+ }
+ }
+
+ return $index;
+}
+
+/**
+ * Adds/updates the search for the given page
+ *
+ * This is the core function of the indexer which does most
+ * of the work. This function needs to be called with proper
+ * locking!
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function idx_addPage($page){
+ global $conf;
+
+ // load known documents
+ $page_idx = idx_getIndex('page','');
+
+ // get page id (this is the linenumber in page.idx)
+ $pid = array_search("$page\n",$page_idx);
+ if(!is_int($pid)){
+ $pid = count($page_idx);
+ // page was new - write back
+ if (!idx_appendIndex('page','',"$page\n")){
+ trigger_error("Failed to write page index", E_USER_ERROR);
+ return false;
+ }
+ }
+ unset($page_idx); // free memory
+
+ $pagewords = array();
+ // get word usage in page
+ $words = idx_getPageWords($page);
+ if($words === false) return false;
+
+ if(!empty($words)) {
+ foreach(array_keys($words) as $wlen){
+ $index = idx_getIndex('i',$wlen);
+ foreach($words[$wlen] as $wid => $freq){
+ if($wid<count($index)){
+ $index[$wid] = idx_updateIndexLine($index[$wid],$pid,$freq);
+ }else{
+ // New words **should** have been added in increasing order
+ // starting with the first unassigned index.
+ // If someone can show how this isn't true, then I'll need to sort
+ // or do something special.
+ $index[$wid] = idx_updateIndexLine('',$pid,$freq);
+ }
+ $pagewords[] = "$wlen*$wid";
+ }
+ // save back word index
+ if(!idx_saveIndex('i',$wlen,$index)){
+ trigger_error("Failed to write index", E_USER_ERROR);
+ return false;
+ }
+ }
+ }
+
+ // Remove obsolete index entries
+ $pageword_idx = trim(idx_getIndexLine('pageword','',$pid));
+ if ($pageword_idx !== '') {
+ $oldwords = explode(':',$pageword_idx);
+ $delwords = array_diff($oldwords, $pagewords);
+ $upwords = array();
+ foreach ($delwords as $word) {
+ if($word=='') continue;
+ list($wlen,$wid) = explode('*',$word);
+ $wid = (int)$wid;
+ $upwords[$wlen][] = $wid;
+ }
+ foreach ($upwords as $wlen => $widx) {
+ $index = idx_getIndex('i',$wlen);
+ foreach ($widx as $wid) {
+ $index[$wid] = idx_updateIndexLine($index[$wid],$pid,0);
+ }
+ idx_saveIndex('i',$wlen,$index);
+ }
+ }
+ // Save the reverse index
+ $pageword_idx = join(':',$pagewords)."\n";
+ if(!idx_saveIndexLine('pageword','',$pid,$pageword_idx)){
+ trigger_error("Failed to write word index", E_USER_ERROR);
+ return false;
+ }
+
+ return true;
+}
+
+/**
+ * Write a new index line to the filehandle
+ *
+ * This function writes an line for the index file to the
+ * given filehandle. It removes the given document from
+ * the given line and readds it when $count is >0.
+ *
+ * @deprecated - see idx_updateIndexLine
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function idx_writeIndexLine($fh,$line,$pid,$count){
+ fwrite($fh,idx_updateIndexLine($line,$pid,$count));
+}
+
+/**
+ * Modify an index line with new information
+ *
+ * This returns a line of the index. It removes the
+ * given document from the line and readds it if
+ * $count is >0.
+ *
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function idx_updateIndexLine($line,$pid,$count){
+ $line = trim($line);
+ $updated = array();
+ if($line != ''){
+ $parts = explode(':',$line);
+ // remove doc from given line
+ foreach($parts as $part){
+ if($part == '') continue;
+ list($doc,$cnt) = explode('*',$part);
+ if($doc != $pid){
+ $updated[] = $part;
+ }
+ }
+ }
+
+ // add doc
+ if ($count){
+ $updated[] = "$pid*$count";
+ }
+
+ return join(':',$updated)."\n";
+}
+
+/**
+ * Get the word lengths that have been indexed.
+ *
+ * Reads the index directory and returns an array of lengths
+ * that there are indices for.
+ *
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function idx_indexLengths(&$filter){
+ global $conf;
+ $dir = @opendir($conf['indexdir']);
+ if($dir===false)
+ return array();
+ $idx = array();
+ if(is_array($filter)){
+ while (($f = readdir($dir)) !== false) {
+ if (substr($f,0,1) == 'i' && substr($f,-4) == '.idx'){
+ $i = substr($f,1,-4);
+ if (is_numeric($i) && isset($filter[(int)$i]))
+ $idx[] = (int)$i;
+ }
+ }
+ }else{
+ // Exact match first.
+ if(@file_exists($conf['indexdir']."/i$filter.idx"))
+ $idx[] = $filter;
+ while (($f = readdir($dir)) !== false) {
+ if (substr($f,0,1) == 'i' && substr($f,-4) == '.idx'){
+ $i = substr($f,1,-4);
+ if (is_numeric($i) && $i > $filter)
+ $idx[] = (int)$i;
+ }
+ }
+ }
+ closedir($dir);
+ return $idx;
+}
+
+/**
+ * Find the the index number of each search term.
+ *
+ * This will group together words that appear in the same index.
+ * So it should perform better, because it only opens each index once.
+ * Actually, it's not that great. (in my experience) Probably because of the disk cache.
+ * And the sorted function does more work, making it slightly slower in some cases.
+ *
+ * @param array $words The query terms. Words should only contain valid characters,
+ * with a '*' at either the beginning or end of the word (or both)
+ * @param arrayref $result Set to word => array("length*id" ...), use this to merge the
+ * index locations with the appropriate query term.
+ * @return array Set to length => array(id ...)
+ *
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function idx_getIndexWordsSorted($words,&$result){
+ // parse and sort tokens
+ $tokens = array();
+ $tokenlength = array();
+ $tokenwild = array();
+ foreach($words as $word){
+ $result[$word] = array();
+ $wild = 0;
+ $xword = $word;
+ $wlen = wordlen($word);
+
+ // check for wildcards
+ if(substr($xword,0,1) == '*'){
+ $xword = substr($xword,1);
+ $wild |= 1;
+ $wlen -= 1;
+ }
+ if(substr($xword,-1,1) == '*'){
+ $xword = substr($xword,0,-1);
+ $wild |= 2;
+ $wlen -= 1;
+ }
+ if ($wlen < IDX_MINWORDLENGTH && $wild == 0 && !is_numeric($xword)) continue;
+ if(!isset($tokens[$xword])){
+ $tokenlength[$wlen][] = $xword;
+ }
+ if($wild){
+ $ptn = preg_quote($xword,'/');
+ if(($wild&1) == 0) $ptn = '^'.$ptn;
+ if(($wild&2) == 0) $ptn = $ptn.'$';
+ $tokens[$xword][] = array($word, '/'.$ptn.'/');
+ if(!isset($tokenwild[$xword])) $tokenwild[$xword] = $wlen;
+ }else
+ $tokens[$xword][] = array($word, null);
+ }
+ asort($tokenwild);
+ // $tokens = array( base word => array( [ query word , grep pattern ] ... ) ... )
+ // $tokenlength = array( base word length => base word ... )
+ // $tokenwild = array( base word => base word length ... )
+
+ $length_filter = empty($tokenwild) ? $tokenlength : min(array_keys($tokenlength));
+ $indexes_known = idx_indexLengths($length_filter);
+ if(!empty($tokenwild)) sort($indexes_known);
+ // get word IDs
+ $wids = array();
+ foreach($indexes_known as $ixlen){
+ $word_idx = idx_getIndex('w',$ixlen);
+ // handle exact search
+ if(isset($tokenlength[$ixlen])){
+ foreach($tokenlength[$ixlen] as $xword){
+ $wid = array_search("$xword\n",$word_idx);
+ if(is_int($wid)){
+ $wids[$ixlen][] = $wid;
+ foreach($tokens[$xword] as $w)
+ $result[$w[0]][] = "$ixlen*$wid";
+ }
+ }
+ }
+ // handle wildcard search
+ foreach($tokenwild as $xword => $wlen){
+ if($wlen >= $ixlen) break;
+ foreach($tokens[$xword] as $w){
+ if(is_null($w[1])) continue;
+ foreach(array_keys(preg_grep($w[1],$word_idx)) as $wid){
+ $wids[$ixlen][] = $wid;
+ $result[$w[0]][] = "$ixlen*$wid";
+ }
+ }
+ }
+ }
+ return $wids;
+}
+
+/**
+ * Lookup words in index
+ *
+ * Takes an array of word and will return a list of matching
+ * documents for each one.
+ *
+ * Important: No ACL checking is done here! All results are
+ * returned, regardless of permissions
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function idx_lookup($words){
+ global $conf;
+
+ $result = array();
+
+ $wids = idx_getIndexWordsSorted($words, $result);
+ if(empty($wids)) return array();
+
+ // load known words and documents
+ $page_idx = idx_getIndex('page','');
+
+ $docs = array(); // hold docs found
+ foreach(array_keys($wids) as $wlen){
+ $wids[$wlen] = array_unique($wids[$wlen]);
+ $index = idx_getIndex('i',$wlen);
+ foreach($wids[$wlen] as $ixid){
+ if($ixid < count($index))
+ $docs["$wlen*$ixid"] = idx_parseIndexLine($page_idx,$index[$ixid]);
+ }
+ }
+
+ // merge found pages into final result array
+ $final = array();
+ foreach($result as $word => $res){
+ $final[$word] = array();
+ foreach($res as $wid){
+ $hits = &$docs[$wid];
+ foreach ($hits as $hitkey => $hitcnt) {
+ if (!isset($final[$word][$hitkey])) {
+ $final[$word][$hitkey] = $hitcnt;
+ } else {
+ $final[$word][$hitkey] += $hitcnt;
+ }
+ }
+ }
+ }
+ return $final;
+}
+
+/**
+ * Returns a list of documents and counts from a index line
+ *
+ * It omits docs with a count of 0 and pages that no longer
+ * exist.
+ *
+ * @param array $page_idx The list of known pages
+ * @param string $line A line from the main index
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function idx_parseIndexLine(&$page_idx,$line){
+ $result = array();
+
+ $line = trim($line);
+ if($line == '') return $result;
+
+ $parts = explode(':',$line);
+ foreach($parts as $part){
+ if($part == '') continue;
+ list($doc,$cnt) = explode('*',$part);
+ if(!$cnt) continue;
+ $doc = trim($page_idx[$doc]);
+ if(!$doc) continue;
+ // make sure the document still exists
+ if(!page_exists($doc,'',false)) continue;
+
+ $result[$doc] = $cnt;
+ }
+ return $result;
+}
+
+/**
+ * Tokenizes a string into an array of search words
+ *
+ * Uses the same algorithm as idx_getPageWords()
+ *
+ * @param string $string the query as given by the user
+ * @param arrayref $stopwords array of stopwords
+ * @param boolean $wc are wildcards allowed?
+ */
+function idx_tokenizer($string,&$stopwords,$wc=false){
+ $words = array();
+ $wc = ($wc) ? '' : $wc = '\*';
+
+ if(preg_match('/[^0-9A-Za-z]/u', $string)){
+ // handle asian chars as single words (may fail on older PHP version)
+ $asia = @preg_replace('/('.IDX_ASIAN.')/u',' \1 ',$string);
+ if(!is_null($asia)) $string = $asia; //recover from regexp failure
+
+ $arr = explode(' ', utf8_stripspecials($string,' ','\._\-:'.$wc));
+ foreach ($arr as $w) {
+ if (!is_numeric($w) && strlen($w) < IDX_MINWORDLENGTH) continue;
+ $w = utf8_strtolower($w);
+ if($stopwords && is_int(array_search("$w\n",$stopwords))) continue;
+ $words[] = $w;
+ }
+ }else{
+ $w = $string;
+ if (!is_numeric($w) && strlen($w) < IDX_MINWORDLENGTH) return $words;
+ $w = strtolower($w);
+ if(is_int(array_search("$w\n",$stopwords))) return $words;
+ $words[] = $w;
+ }
+
+ return $words;
+}
+
+/**
+ * Create a pagewords index from the existing index.
+ *
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ */
+function idx_upgradePageWords(){
+ global $conf;
+ $page_idx = idx_getIndex('page','');
+ if (empty($page_idx)) return;
+ $pagewords = array();
+ $len = count($page_idx);
+ for ($n=0;$n<$len;$n++){
+ $pagewords[] = array();
+ }
+ unset($page_idx);
+
+ $n=0;
+ foreach (idx_indexLengths($n) as $wlen) {
+ $lines = idx_getIndex('i',$wlen);
+ $len = count($lines);
+ for ($wid=0;$wid<$len;$wid++) {
+ $wkey = "$wlen*$wid";
+ foreach (explode(':',trim($lines[$wid])) as $part) {
+ if($part == '') continue;
+ list($doc,$cnt) = explode('*',$part);
+ $pagewords[(int)$doc][] = $wkey;
+ }
+ }
+ }
+
+ $fn = $conf['indexdir'].'/pageword';
+ $fh = @fopen($fn.'.tmp','w');
+ if (!$fh){
+ trigger_error("Failed to write word index", E_USER_ERROR);
+ return false;
+ }
+ foreach ($pagewords as $line){
+ fwrite($fh, join(':',$line)."\n");
+ }
+ fclose($fh);
+ if($conf['fperm']) chmod($fn.'.tmp', $conf['fperm']);
+ io_rename($fn.'.tmp', $fn.'.idx');
+ return true;
+}
+
+//Setup VIM: ex: et ts=4 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/infoutils.php b/mod/dokuwiki/vendors/dokuwiki/inc/infoutils.php
new file mode 100644
index 000000000..b43dd40be
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/infoutils.php
@@ -0,0 +1,385 @@
+<?php
+/**
+ * Information and debugging functions
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+if(!defined('DOKU_INC')) die('meh.');
+if(!defined('DOKU_MESSAGEURL')) define('DOKU_MESSAGEURL','http://update.dokuwiki.org/check/');
+require_once(DOKU_INC.'inc/HTTPClient.php');
+
+/**
+ * Check for new messages from upstream
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function checkUpdateMessages(){
+ global $conf;
+ global $INFO;
+ if(!$conf['updatecheck']) return;
+ if($conf['useacl'] && !$INFO['ismanager']) return;
+
+ $cf = $conf['cachedir'].'/messages.txt';
+ $lm = @filemtime($cf);
+
+ // check if new messages needs to be fetched
+ if($lm < time()-(60*60*24) || $lm < @filemtime(DOKU_CONF.'msg')){
+ $num = @file(DOKU_CONF.'msg');
+ $num = is_array($num) ? (int) $num[0] : 0;
+ $http = new DokuHTTPClient();
+ $http->timeout = 8;
+ $data = $http->get(DOKU_MESSAGEURL.$num);
+ io_saveFile($cf,$data);
+ }else{
+ $data = io_readFile($cf);
+ }
+
+ // show messages through the usual message mechanism
+ $msgs = explode("\n%\n",$data);
+ foreach($msgs as $msg){
+ if($msg) msg($msg,2);
+ }
+}
+
+
+/**
+ * Return DokuWiki's version (split up in date and type)
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function getVersionData(){
+ $version = array();
+ //import version string
+ if(@file_exists(DOKU_INC.'VERSION')){
+ //official release
+ $version['date'] = trim(io_readfile(DOKU_INC.'VERSION'));
+ $version['type'] = 'Release';
+ return $version;
+ }elseif(is_dir(DOKU_INC.'_darcs')){
+ if(is_file(DOKU_INC.'_darcs/inventory')){
+ $inventory = DOKU_INC.'_darcs/inventory';
+ }elseif(is_file(DOKU_INC.'_darcs/hashed_inventory')){
+ $inventory = DOKU_INC.'_darcs/hashed_inventory';
+ }else{
+ $version['date'] = 'unknown';
+ $version['type'] = 'Darcs';
+ return $version;
+ }
+
+ //darcs checkout - read last 2000 bytes of inventory
+ $sz = filesize($inventory);
+ $seek = max(0,$sz-2000);
+ $fh = fopen($inventory,'rb');
+ fseek($fh,$seek);
+ $chunk = fread($fh,2000);
+ fclose($fh);
+
+ preg_match_all('#\*\*(\d{4})(\d{2})(\d{2})\d{6}(?:\]|$)#m', $chunk, $matches,
+ PREG_SET_ORDER);
+ $version['date'] = implode('-', array_slice(array_pop($matches), 1));
+ $version['type'] = 'Darcs';
+ return $version;
+ }else{
+ $version['date'] = 'unknown';
+ $version['type'] = 'snapshot?';
+ return $version;
+ }
+}
+
+/**
+ * Return DokuWiki's version (as a string)
+ *
+ * @author Anika Henke <anika@selfthinker.org>
+ */
+function getVersion(){
+ $version = getVersionData();
+ return $version['type'].' '.$version['date'];
+}
+
+/**
+ * Run a few sanity checks
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function check(){
+ global $conf;
+ global $INFO;
+
+ msg('DokuWiki version: '.getVersion(),1);
+
+ if(version_compare(phpversion(),'5.1.2','<')){
+ msg('Your PHP version is too old ('.phpversion().' vs. 5.1.2+ needed)',-1);
+ }else{
+ msg('PHP version '.phpversion(),1);
+ }
+
+ $mem = (int) php_to_byte(ini_get('memory_limit'));
+ if($mem){
+ if($mem < 16777216){
+ msg('PHP is limited to less than 16MB RAM ('.$mem.' bytes). Increase memory_limit in php.ini',-1);
+ }elseif($mem < 20971520){
+ msg('PHP is limited to less than 20MB RAM ('.$mem.' bytes), you might encounter problems with bigger pages. Increase memory_limit in php.ini',-1);
+ }elseif($mem < 33554432){
+ msg('PHP is limited to less than 32MB RAM ('.$mem.' bytes), but that should be enough in most cases. If not, increase memory_limit in php.ini',0);
+ }else{
+ msg('More than 32MB RAM ('.$mem.' bytes) available.',1);
+ }
+ }
+
+ if(is_writable($conf['changelog'])){
+ msg('Changelog is writable',1);
+ }else{
+ if (@file_exists($conf['changelog'])) {
+ msg('Changelog is not writable',-1);
+ }
+ }
+
+ if (isset($conf['changelog_old']) && @file_exists($conf['changelog_old'])) {
+ msg('Old changelog exists', 0);
+ }
+
+ if (@file_exists($conf['changelog'].'_failed')) {
+ msg('Importing old changelog failed', -1);
+ } else if (@file_exists($conf['changelog'].'_importing')) {
+ msg('Importing old changelog now.', 0);
+ } else if (@file_exists($conf['changelog'].'_import_ok')) {
+ msg('Old changelog imported', 1);
+ if (!plugin_isdisabled('importoldchangelog')) {
+ msg('Importoldchangelog plugin not disabled after import', -1);
+ }
+ }
+
+ if(is_writable($conf['datadir'])){
+ msg('Datadir is writable',1);
+ }else{
+ msg('Datadir is not writable',-1);
+ }
+
+ if(is_writable($conf['olddir'])){
+ msg('Attic is writable',1);
+ }else{
+ msg('Attic is not writable',-1);
+ }
+
+ if(is_writable($conf['mediadir'])){
+ msg('Mediadir is writable',1);
+ }else{
+ msg('Mediadir is not writable',-1);
+ }
+
+ if(is_writable($conf['cachedir'])){
+ msg('Cachedir is writable',1);
+ }else{
+ msg('Cachedir is not writable',-1);
+ }
+
+ if(is_writable($conf['lockdir'])){
+ msg('Lockdir is writable',1);
+ }else{
+ msg('Lockdir is not writable',-1);
+ }
+
+ if($conf['authtype'] == 'plain'){
+ if(is_writable(DOKU_CONF.'users.auth.php')){
+ msg('conf/users.auth.php is writable',1);
+ }else{
+ msg('conf/users.auth.php is not writable',0);
+ }
+ }
+
+ if(function_exists('mb_strpos')){
+ if(defined('UTF8_NOMBSTRING')){
+ msg('mb_string extension is available but will not be used',0);
+ }else{
+ msg('mb_string extension is available and will be used',1);
+ if(ini_get('mbstring.func_overload') != 0){
+ msg('mb_string function overloading is enabled, this will cause problems and should be disabled',-1);
+ }
+ }
+ }else{
+ msg('mb_string extension not available - PHP only replacements will be used',0);
+ }
+
+ if($conf['allowdebug']){
+ msg('Debugging support is enabled. If you don\'t need it you should set $conf[\'allowdebug\'] = 0',-1);
+ }else{
+ msg('Debugging support is disabled',1);
+ }
+
+ if($INFO['userinfo']['name']){
+ msg('You are currently logged in as '.$_SERVER['REMOTE_USER'].' ('.$INFO['userinfo']['name'].')',0);
+ msg('You are part of the groups '.join($INFO['userinfo']['grps'],', '),0);
+ }else{
+ msg('You are currently not logged in',0);
+ }
+
+ msg('Your current permission for this page is '.$INFO['perm'],0);
+
+ if(is_writable($INFO['filepath'])){
+ msg('The current page is writable by the webserver',0);
+ }else{
+ msg('The current page is not writable by the webserver',0);
+ }
+
+ if($INFO['writable']){
+ msg('The current page is writable by you',0);
+ }else{
+ msg('The current page is not writable by you',0);
+ }
+
+ require_once(DOKU_INC.'inc/HTTPClient.php');
+ $check = wl('','',true).'data/_dummy';
+ $http = new DokuHTTPClient();
+ $http->timeout = 6;
+ $res = $http->get($check);
+ if(strpos($res,'data directory') !== false){
+ msg('It seems like the data directory is accessible from the web.
+ Make sure this directory is properly protected
+ (See <a href="http://www.dokuwiki.org/security">security</a>)',-1);
+ }elseif($http->status == 404 || $http->status == 403){
+ msg('The data directory seems to be properly protected',1);
+ }else{
+ msg('Failed to check if the data directory is accessible from the web.
+ Make sure this directory is properly protected
+ (See <a href="http://www.dokuwiki.org/security">security</a>)',-1);
+ }
+}
+
+/**
+ * print a message
+ *
+ * If HTTP headers were not sent yet the message is added
+ * to the global message array else it's printed directly
+ * using html_msgarea()
+ *
+ *
+ * Levels can be:
+ *
+ * -1 error
+ * 0 info
+ * 1 success
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @see html_msgarea
+ */
+function msg($message,$lvl=0,$line='',$file=''){
+ global $MSG;
+ $errors[-1] = 'error';
+ $errors[0] = 'info';
+ $errors[1] = 'success';
+ $errors[2] = 'notify';
+
+ if($line || $file) $message.=' ['.basename($file).':'.$line.']';
+
+ if(!headers_sent()){
+ if(!isset($MSG)) $MSG = array();
+ $MSG[]=array('lvl' => $errors[$lvl], 'msg' => $message);
+ }else{
+ $MSG = array();
+ $MSG[]=array('lvl' => $errors[$lvl], 'msg' => $message);
+ if(function_exists('html_msgarea')){
+ html_msgarea();
+ }else{
+ print "ERROR($lvl) $message";
+ }
+ }
+}
+
+/**
+ * print debug messages
+ *
+ * little function to print the content of a var
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function dbg($msg,$hidden=false){
+ (!$hidden) ? print '<pre class="dbg">' : print "<!--\n";
+ print_r($msg);
+ (!$hidden) ? print '</pre>' : print "\n-->";
+}
+
+/**
+ * Print info to a log file
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function dbglog($msg,$header=''){
+ global $conf;
+ if(is_object($msg) || is_array($msg)){
+ $msg = print_r($msg,true);
+ }
+
+ if($header) $msg = "$header\n$msg";
+
+ $file = $conf['cachedir'].'/debug.log';
+ $fh = fopen($file,'a');
+ if($fh){
+ fwrite($fh,date('H:i:s ').$_SERVER['REMOTE_ADDR'].': '.$msg."\n");
+ fclose($fh);
+ }
+}
+
+/**
+ * Print a reversed, prettyprinted backtrace
+ *
+ * @author Gary Owen <gary_owen@bigfoot.com>
+ */
+function dbg_backtrace(){
+ // Get backtrace
+ $backtrace = debug_backtrace();
+
+ // Unset call to debug_print_backtrace
+ array_shift($backtrace);
+
+ // Iterate backtrace
+ $calls = array();
+ $depth = count($backtrace) - 1;
+ foreach ($backtrace as $i => $call) {
+ $location = $call['file'] . ':' . $call['line'];
+ $function = (isset($call['class'])) ?
+ $call['class'] . $call['type'] . $call['function'] : $call['function'];
+
+ $params = array();
+ if (isset($call['args'])){
+ foreach($call['args'] as $arg){
+ if(is_object($arg)){
+ $params[] = '[Object '.get_class($arg).']';
+ }elseif(is_array($arg)){
+ $params[] = '[Array]';
+ }elseif(is_null($arg)){
+ $param[] = '[NULL]';
+ }else{
+ $params[] = (string) '"'.$arg.'"';
+ }
+ }
+ }
+ $params = implode(', ',$params);
+
+ $calls[$depth - $i] = sprintf('%s(%s) called at %s',
+ $function,
+ str_replace("\n", '\n', $params),
+ $location);
+ }
+ ksort($calls);
+
+ return implode("\n", $calls);
+}
+
+/**
+ * Remove all data from an array where the key seems to point to sensitive data
+ *
+ * This is used to remove passwords, mail addresses and similar data from the
+ * debug output
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function debug_guard(&$data){
+ foreach($data as $key => $value){
+ if(preg_match('/(notify|pass|auth|secret|ftp|userinfo|token|buid|mail|proxy)/i',$key)){
+ $data[$key] = '***';
+ continue;
+ }
+ if(is_array($value)) debug_guard($data[$key]);
+ }
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/init.php b/mod/dokuwiki/vendors/dokuwiki/inc/init.php
new file mode 100644
index 000000000..eca97f5f7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/init.php
@@ -0,0 +1,598 @@
+<?php
+/**
+ * Initialize some defaults needed for DokuWiki
+ */
+
+// start timing Dokuwiki execution
+function delta_time($start=0) {
+ list($usec, $sec) = explode(" ", microtime());
+ return ((float)$usec+(float)$sec)-((float)$start);
+}
+define('DOKU_START_TIME', delta_time());
+
+global $config_cascade;
+$config_cascade = '';
+
+// if available load a preload config file
+$preload = fullpath(dirname(__FILE__)).'/preload.php';
+if (@file_exists($preload)) include($preload);
+
+// define the include path
+if(!defined('DOKU_INC')) define('DOKU_INC',fullpath(dirname(__FILE__).'/../').'/');
+
+
+// define config path (packagers may want to change this to /etc/dokuwiki/)
+if(!defined('DOKU_CONF')) define('DOKU_CONF',DOKU_INC.'conf/');
+
+// check for error reporting override or set error reporting to sane values
+if (!defined('DOKU_E_LEVEL') && @file_exists(DOKU_CONF.'report_e_all')) {
+ define('DOKU_E_LEVEL', E_ALL);
+}
+if (!defined('DOKU_E_LEVEL')) {
+ if(defined('E_DEPRECATED')){ // since php 5.3
+ error_reporting(E_ALL & ~E_NOTICE & ~E_DEPRECATED);
+ }else{
+ error_reporting(E_ALL ^ E_NOTICE);
+ }
+} else {
+ error_reporting(DOKU_E_LEVEL);
+}
+
+// init memory caches
+global $cache_revinfo;
+ $cache_revinfo = array();
+global $cache_wikifn;
+ $cache_wikifn = array();
+global $cache_cleanid;
+ $cache_cleanid = array();
+global $cache_authname;
+ $cache_authname = array();
+global $cache_metadata;
+ $cache_metadata = array();
+
+//set the configuration cascade - but only if its not already been set in preload.php
+if (empty($config_cascade)) {
+ $config_cascade = array(
+ 'main' => array(
+ 'default' => array(DOKU_CONF.'dokuwiki.php'),
+ 'local' => array(DOKU_CONF.'local.php'),
+ 'protected' => array(DOKU_CONF.'local.protected.php'),
+ ),
+ 'acronyms' => array(
+ 'default' => array(DOKU_CONF.'acronyms.conf'),
+ 'local' => array(DOKU_CONF.'acronyms.local.conf'),
+ ),
+ 'entities' => array(
+ 'default' => array(DOKU_CONF.'entities.conf'),
+ 'local' => array(DOKU_CONF.'entities.local.conf'),
+ ),
+ 'interwiki' => array(
+ 'default' => array(DOKU_CONF.'interwiki.conf'),
+ 'local' => array(DOKU_CONF.'interwiki.local.conf'),
+ ),
+ 'license' => array(
+ 'default' => array(DOKU_CONF.'license.php'),
+ 'local' => array(DOKU_CONF.'license.local.php'),
+ ),
+ 'mediameta' => array(
+ 'default' => array(DOKU_CONF.'mediameta.php'),
+ 'local' => array(DOKU_CONF.'mediameta.local.php'),
+ ),
+ 'mime' => array(
+ 'default' => array(DOKU_CONF.'mime.conf'),
+ 'local' => array(DOKU_CONF.'mime.local.conf'),
+ ),
+ 'scheme' => array(
+ 'default' => array(DOKU_CONF.'scheme.conf'),
+ 'local' => array(DOKU_CONF.'scheme.local.conf'),
+ ),
+ 'smileys' => array(
+ 'default' => array(DOKU_CONF.'smileys.conf'),
+ 'local' => array(DOKU_CONF.'smileys.local.conf'),
+ ),
+ 'wordblock' => array(
+ 'default' => array(DOKU_CONF.'wordblock.conf'),
+ 'local' => array(DOKU_CONF.'wordblock.local.conf'),
+ ),
+ );
+}
+
+//prepare config array()
+global $conf;
+$conf = array();
+
+// load the global config file(s)
+foreach (array('default','local','protected') as $config_group) {
+ if (empty($config_cascade['main'][$config_group])) continue;
+ foreach ($config_cascade['main'][$config_group] as $config_file) {
+ if (@file_exists($config_file)) {
+ include($config_file);
+ }
+ }
+}
+
+//prepare language array
+global $lang;
+$lang = array();
+
+//load the language files
+require_once(DOKU_INC.'inc/lang/en/lang.php');
+if ( $conf['lang'] && $conf['lang'] != 'en' ) {
+ require_once(DOKU_INC.'inc/lang/'.$conf['lang'].'/lang.php');
+}
+
+//prepare license array()
+global $license;
+$license = array();
+
+// load the license file(s)
+foreach (array('default','local') as $config_group) {
+ if (empty($config_cascade['license'][$config_group])) continue;
+ foreach ($config_cascade['license'][$config_group] as $config_file) {
+ if(@file_exists($config_file)){
+ include($config_file);
+ }
+ }
+}
+
+// set timezone (as in pre 5.3.0 days)
+date_default_timezone_set(@date_default_timezone_get());
+
+// define baseURL
+if(!defined('DOKU_REL')) define('DOKU_REL',getBaseURL(false));
+if(!defined('DOKU_URL')) define('DOKU_URL',getBaseURL(true));
+if(!defined('DOKU_BASE')){
+ if($conf['canonical']){
+ define('DOKU_BASE',DOKU_URL);
+ }else{
+ define('DOKU_BASE',DOKU_REL);
+ }
+}
+//error_log("DOKU_INC:".DOKU_INC);
+//error_log("DOKU_REL:".DOKU_REL);
+//error_log("DOKU_URL:".DOKU_URL);
+//error_log("DOKU_BASE:".DOKU_BASE);
+
+// define whitespace
+if(!defined('DOKU_LF')) define ('DOKU_LF',"\n");
+if(!defined('DOKU_TAB')) define ('DOKU_TAB',"\t");
+
+// define cookie and session id, append server port when securecookie is configured FS#1664
+if (!defined('DOKU_COOKIE')) define('DOKU_COOKIE', 'DW'.md5(DOKU_REL.(($conf['securecookie'])?$_SERVER['SERVER_PORT']:'')));
+
+// define Plugin dir
+if(!defined('DOKU_PLUGIN')) define('DOKU_PLUGIN',DOKU_INC.'lib/plugins/');
+
+// define main script
+if(!defined('DOKU_SCRIPT')) define('DOKU_SCRIPT','doku.php');
+
+// define Template baseURL
+if(!defined('DOKU_TPL')) define('DOKU_TPL',
+ DOKU_BASE.'lib/tpl/'.$conf['template'].'/');
+
+// define real Template directory
+if(!defined('DOKU_TPLINC')) define('DOKU_TPLINC',
+ DOKU_INC.'lib/tpl/'.$conf['template'].'/');
+
+// make session rewrites XHTML compliant
+@ini_set('arg_separator.output', '&amp;');
+
+// make sure global zlib does not interfere FS#1132
+@ini_set('zlib.output_compression', 'off');
+
+// increase PCRE backtrack limit
+@ini_set('pcre.backtrack_limit', '20971520');
+
+// enable gzip compression if supported
+$conf['gzip_output'] &= (strpos($_SERVER['HTTP_ACCEPT_ENCODING'],'gzip') !== false);
+if ($conf['gzip_output'] &&
+ !defined('DOKU_DISABLE_GZIP_OUTPUT') &&
+ function_exists('ob_gzhandler')) {
+ ob_start('ob_gzhandler');
+}
+
+// init session
+if (!headers_sent() && !defined('NOSESSION')){
+ session_name("DokuWiki");
+ if (version_compare(PHP_VERSION, '5.2.0', '>')) {
+ session_set_cookie_params(0,DOKU_REL,'',($conf['securecookie'] && is_ssl()),true);
+ }else{
+ session_set_cookie_params(0,DOKU_REL,'',($conf['securecookie'] && is_ssl()));
+ }
+ session_start();
+
+ // load left over messages
+ if(isset($_SESSION[DOKU_COOKIE]['msg'])){
+ $MSG = $_SESSION[DOKU_COOKIE]['msg'];
+ unset($_SESSION[DOKU_COOKIE]['msg']);
+ }
+}
+
+// kill magic quotes
+if (get_magic_quotes_gpc() && !defined('MAGIC_QUOTES_STRIPPED')) {
+ if (!empty($_GET)) remove_magic_quotes($_GET);
+ if (!empty($_POST)) remove_magic_quotes($_POST);
+ if (!empty($_COOKIE)) remove_magic_quotes($_COOKIE);
+ if (!empty($_REQUEST)) remove_magic_quotes($_REQUEST);
+ @ini_set('magic_quotes_gpc', 0);
+ define('MAGIC_QUOTES_STRIPPED',1);
+}
+@set_magic_quotes_runtime(0);
+@ini_set('magic_quotes_sybase',0);
+
+// don't let cookies ever interfere with request vars
+$_REQUEST = array_merge($_GET,$_POST);
+
+// we don't want a purge URL to be digged
+if(isset($_REQUEST['purge']) && $_SERVER['HTTP_REFERER']) unset($_REQUEST['purge']);
+
+// disable gzip if not available
+if($conf['compression'] == 'bz2' && !function_exists('bzopen')){
+ $conf['compression'] = 'gz';
+}
+if($conf['compression'] == 'gz' && !function_exists('gzopen')){
+ $conf['compression'] = 0;
+}
+
+// fix dateformat for upgraders
+if(strpos($conf['dformat'],'%') === false){
+ $conf['dformat'] = '%Y/%m/%d %H:%M';
+}
+
+// precalculate file creation modes
+init_creationmodes();
+
+// make real paths and check them
+init_paths();
+init_files();
+
+// automatic upgrade to script versions of certain files
+scriptify(DOKU_CONF.'users.auth');
+scriptify(DOKU_CONF.'acl.auth');
+
+
+/**
+ * Checks paths from config file
+ */
+function init_paths(){
+ global $conf;
+
+ $paths = array('datadir' => 'pages',
+ 'olddir' => 'attic',
+ 'mediadir' => 'media',
+ 'metadir' => 'meta',
+ 'cachedir' => 'cache',
+ 'indexdir' => 'index',
+ 'lockdir' => 'locks',
+ 'tmpdir' => 'tmp');
+
+ foreach($paths as $c => $p){
+ if(empty($conf[$c])) $conf[$c] = $conf['savedir'].'/'.$p;
+ $conf[$c] = init_path($conf[$c]);
+ if(empty($conf[$c])) nice_die("The $c ('$p') does not exist, isn't accessible or writable.
+ You should check your config and permission settings.
+ Or maybe you want to <a href=\"install.php\">run the
+ installer</a>?");
+ }
+
+ // path to old changelog only needed for upgrading
+ $conf['changelog_old'] = init_path((isset($conf['changelog']))?($conf['changelog']):($conf['savedir'].'/changes.log'));
+ if ($conf['changelog_old']=='') { unset($conf['changelog_old']); }
+ // hardcoded changelog because it is now a cache that lives in meta
+ $conf['changelog'] = $conf['metadir'].'/_dokuwiki.changes';
+ $conf['media_changelog'] = $conf['metadir'].'/_media.changes';
+}
+
+/**
+ * Checks the existance of certain files and creates them if missing.
+ */
+function init_files(){
+ global $conf;
+
+ $files = array( $conf['indexdir'].'/page.idx');
+
+ foreach($files as $file){
+ if(!@file_exists($file)){
+ $fh = @fopen($file,'a');
+ if($fh){
+ fclose($fh);
+ if($conf['fperm']) chmod($file, $conf['fperm']);
+ }else{
+ nice_die("$file is not writable. Check your permissions settings!");
+ }
+ }
+ }
+}
+
+/**
+ * Returns absolute path
+ *
+ * This tries the given path first, then checks in DOKU_INC.
+ * Check for accessability on directories as well.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function init_path($path){
+ // check existance
+ //error_log("init_path:".$path);
+ $p = fullpath($path);
+ if(!@file_exists($p)){
+ $p = fullpath(DOKU_INC.$path);
+ if(!@file_exists($p)){
+ return '';
+ }
+ }
+
+ // check writability
+ if(!@is_writable($p)){
+ return '';
+ }
+
+ // check accessability (execute bit) for directories
+ if(@is_dir($p) && !@file_exists("$p/.")){
+ return '';
+ }
+
+ return $p;
+}
+
+/**
+ * Sets the internal config values fperm and dperm which, when set,
+ * will be used to change the permission of a newly created dir or
+ * file with chmod. Considers the influence of the system's umask
+ * setting the values only if needed.
+ */
+function init_creationmodes(){
+ global $conf;
+
+ // Legacy support for old umask/dmask scheme
+ unset($conf['dmask']);
+ unset($conf['fmask']);
+ unset($conf['umask']);
+ unset($conf['fperm']);
+ unset($conf['dperm']);
+
+ // get system umask, fallback to 0 if none available
+ $umask = @umask();
+ if(!$umask) $umask = 0000;
+
+ // check what is set automatically by the system on file creation
+ // and set the fperm param if it's not what we want
+ $auto_fmode = 0666 & ~$umask;
+ if($auto_fmode != $conf['fmode']) $conf['fperm'] = $conf['fmode'];
+
+ // check what is set automatically by the system on file creation
+ // and set the dperm param if it's not what we want
+ $auto_dmode = $conf['dmode'] & ~$umask;
+ if($auto_dmode != $conf['dmode']) $conf['dperm'] = $conf['dmode'];
+}
+
+/**
+ * remove magic quotes recursivly
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function remove_magic_quotes(&$array) {
+ foreach (array_keys($array) as $key) {
+ // handle magic quotes in keynames (breaks order)
+ $sk = stripslashes($key);
+ if($sk != $key){
+ $array[$sk] = $array[$key];
+ unset($array[$key]);
+ $key = $sk;
+ }
+
+ // do recursion if needed
+ if (is_array($array[$key])) {
+ remove_magic_quotes($array[$key]);
+ }else {
+ $array[$key] = stripslashes($array[$key]);
+ }
+ }
+}
+
+/**
+ * Returns the full absolute URL to the directory where
+ * DokuWiki is installed in (includes a trailing slash)
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function getBaseURL($abs=null){
+ global $conf;
+ //if canonical url enabled always return absolute
+ if(is_null($abs)) $abs = $conf['canonical'];
+
+ if($conf['basedir']){
+ $dir = $conf['basedir'];
+ }elseif(substr($_SERVER['SCRIPT_NAME'],-4) == '.php'){
+ $dir = dirname($_SERVER['SCRIPT_NAME']);
+ }elseif(substr($_SERVER['PHP_SELF'],-4) == '.php'){
+ $dir = dirname($_SERVER['PHP_SELF']);
+ }elseif($_SERVER['DOCUMENT_ROOT'] && $_SERVER['SCRIPT_FILENAME']){
+ $dir = preg_replace ('/^'.preg_quote($_SERVER['DOCUMENT_ROOT'],'/').'/','',
+ $_SERVER['SCRIPT_FILENAME']);
+ $dir = dirname('/'.$dir);
+ }else{
+ $dir = '.'; //probably wrong
+ }
+
+ $dir = str_replace('\\','/',$dir); // bugfix for weird WIN behaviour
+ $dir = preg_replace('#//+#','/',"/$dir/"); // ensure leading and trailing slashes
+
+ //handle script in lib/exe dir
+ $dir = preg_replace('!lib/exe/$!','',$dir);
+
+ //handle script in lib/plugins dir
+ $dir = preg_replace('!lib/plugins/.*$!','',$dir);
+
+ //finish here for relative URLs
+ if(!$abs) return $dir;
+
+ //use config option if available, trim any slash from end of baseurl to avoid multiple consecutive slashes in the path
+ if($conf['baseurl']) return rtrim($conf['baseurl'],'/').$dir;
+
+ //split hostheader into host and port
+ $addr = explode(':',$_SERVER['HTTP_HOST']);
+ $host = $addr[0];
+ $port = '';
+ if (isset($addr[1])) {
+ $port = $addr[1];
+ } elseif (isset($_SERVER['SERVER_PORT'])) {
+ $port = $_SERVER['SERVER_PORT'];
+ }
+ if(!is_ssl()){
+ $proto = 'http://';
+ if ($port == '80') {
+ $port = '';
+ }
+ }else{
+ $proto = 'https://';
+ if ($port == '443') {
+ $port = '';
+ }
+ }
+
+ if($port !== '') $port = ':'.$port;
+
+ return $proto.$host.$port.$dir;
+}
+
+/**
+ * Check if accessed via HTTPS
+ *
+ * Apache leaves ,$_SERVER['HTTPS'] empty when not available, IIS sets it to 'off'.
+ * 'false' and 'disabled' are just guessing
+ *
+ * @returns bool true when SSL is active
+ */
+function is_ssl(){
+ if (!isset($_SERVER['HTTPS']) ||
+ preg_match('/^(|off|false|disabled)$/i',$_SERVER['HTTPS'])){
+ return false;
+ }else{
+ return true;
+ }
+}
+
+/**
+ * Append a PHP extension to a given file and adds an exit call
+ *
+ * This is used to migrate some old configfiles. An added PHP extension
+ * ensures the contents are not shown to webusers even if .htaccess files
+ * do not work
+ *
+ * @author Jan Decaluwe <jan@jandecaluwe.com>
+ */
+function scriptify($file) {
+ // checks
+ if (!is_readable($file)) {
+ return;
+ }
+ $fn = $file.'.php';
+ if (@file_exists($fn)) {
+ return;
+ }
+ $fh = fopen($fn, 'w');
+ if (!$fh) {
+ nice_die($fn.' is not writable. Check your permission settings!');
+ }
+ // write php exit hack first
+ fwrite($fh, "# $fn\n");
+ fwrite($fh, '# <?php exit()?>'."\n");
+ fwrite($fh, "# Don't modify the lines above\n");
+ fwrite($fh, "#\n");
+ // copy existing lines
+ $lines = file($file);
+ foreach ($lines as $line){
+ fwrite($fh, $line);
+ }
+ fclose($fh);
+ //try to rename the old file
+ io_rename($file,"$file.old");
+}
+
+/**
+ * print a nice message even if no styles are loaded yet.
+ */
+function nice_die($msg){
+ echo<<<EOT
+<!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 4.01 Transitional//EN"
+ "http://www.w3.org/TR/html4/loose.dtd">
+<html>
+<head><title>DokuWiki Setup Error</title></head>
+<body style="font-family: Arial, sans-serif">
+ <div style="width:60%; margin: auto; background-color: #fcc;
+ border: 1px solid #faa; padding: 0.5em 1em;">
+ <h1 style="font-size: 120%">DokuWiki Setup Error</h1>
+ <p>$msg</p>
+ </div>
+</body>
+</html>
+EOT;
+ exit;
+}
+
+
+/**
+ * A realpath() replacement
+ *
+ * This function behaves similar to PHP's realpath() but does not resolve
+ * symlinks or accesses upper directories
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author <richpageau at yahoo dot co dot uk>
+ * @link http://de3.php.net/manual/en/function.realpath.php#75992
+ */
+function fullpath($path,$exists=false){
+ static $run = 0;
+ $root = '';
+ $iswin = (strtoupper(substr(PHP_OS, 0, 3)) === 'WIN' || @$GLOBALS['DOKU_UNITTEST_ASSUME_WINDOWS']);
+
+ // find the (indestructable) root of the path - keeps windows stuff intact
+ if($path{0} == '/'){
+ $root = '/';
+ }elseif($iswin){
+ // match drive letter and UNC paths
+ if(preg_match('!^([a-zA-z]:)(.*)!',$path,$match)){
+ $root = $match[1].'/';
+ $path = $match[2];
+ }else if(preg_match('!^(\\\\\\\\[^\\\\/]+\\\\[^\\\\/]+[\\\\/])(.*)!',$path,$match)){
+ $root = $match[1];
+ $path = $match[2];
+ }
+ }
+ $path = str_replace('\\','/',$path);
+
+ // if the given path wasn't absolute already, prepend the script path and retry
+ if(!$root){
+ $base = dirname($_SERVER['SCRIPT_FILENAME']);
+ $path = $base.'/'.$path;
+ if($run == 0){ // avoid endless recursion when base isn't absolute for some reason
+ $run++;
+ return fullpath($path,$exists);
+ }
+ }
+ $run = 0;
+
+ // canonicalize
+ $path=explode('/', $path);
+ $newpath=array();
+ foreach($path as $p) {
+ if ($p === '' || $p === '.') continue;
+ if ($p==='..') {
+ array_pop($newpath);
+ continue;
+ }
+ array_push($newpath, $p);
+ }
+ $finalpath = $root.implode('/', $newpath);
+
+ // check for existance when needed (except when unit testing)
+ if($exists && !defined('DOKU_UNITTEST') && !@file_exists($finalpath)) {
+ return false;
+ }
+ return $finalpath;
+}
+
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/io.php b/mod/dokuwiki/vendors/dokuwiki/inc/io.php
new file mode 100644
index 000000000..32a6f7b8e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/io.php
@@ -0,0 +1,593 @@
+<?php
+/**
+ * File IO functions
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+
+if(!defined('DOKU_INC')) die('meh.');
+require_once(DOKU_INC.'inc/common.php');
+require_once(DOKU_INC.'inc/HTTPClient.php');
+require_once(DOKU_INC.'inc/events.php');
+require_once(DOKU_INC.'inc/utf8.php');
+
+/**
+ * Removes empty directories
+ *
+ * Sends IO_NAMESPACE_DELETED events for 'pages' and 'media' namespaces.
+ * Event data:
+ * $data[0] ns: The colon separated namespace path minus the trailing page name.
+ * $data[1] ns_type: 'pages' or 'media' namespace tree.
+ *
+ * @todo use safemode hack
+ * @param string $id - a pageid, the namespace of that id will be tried to deleted
+ * @param string $basadir - the config name of the type to delete (datadir or mediadir usally)
+ * @returns bool - true if at least one namespace was deleted
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Ben Coburn <btcoburn@silicodon.net>
+ */
+function io_sweepNS($id,$basedir='datadir'){
+ global $conf;
+ $types = array ('datadir'=>'pages', 'mediadir'=>'media');
+ $ns_type = (isset($types[$basedir])?$types[$basedir]:false);
+
+ $delone = false;
+
+ //scan all namespaces
+ while(($id = getNS($id)) !== false){
+ $dir = $conf[$basedir].'/'.utf8_encodeFN(str_replace(':','/',$id));
+
+ //try to delete dir else return
+ if(@rmdir($dir)) {
+ if ($ns_type!==false) {
+ $data = array($id, $ns_type);
+ $delone = true; // we deleted at least one dir
+ trigger_event('IO_NAMESPACE_DELETED', $data);
+ }
+ } else { return $delone; }
+ }
+ return $delone;
+}
+
+/**
+ * Used to read in a DokuWiki page from file, and send IO_WIKIPAGE_READ events.
+ *
+ * Generates the action event which delegates to io_readFile().
+ * Action plugins are allowed to modify the page content in transit.
+ * The file path should not be changed.
+ *
+ * Event data:
+ * $data[0] The raw arguments for io_readFile as an array.
+ * $data[1] ns: The colon separated namespace path minus the trailing page name. (false if root ns)
+ * $data[2] page_name: The wiki page name.
+ * $data[3] rev: The page revision, false for current wiki pages.
+ *
+ * @author Ben Coburn <btcoburn@silicodon.net>
+ */
+function io_readWikiPage($file, $id, $rev=false) {
+ if (empty($rev)) { $rev = false; }
+ $data = array(array($file, false), getNS($id), noNS($id), $rev);
+ return trigger_event('IO_WIKIPAGE_READ', $data, '_io_readWikiPage_action', false);
+}
+
+/**
+ * Callback adapter for io_readFile().
+ * @author Ben Coburn <btcoburn@silicodon.net>
+ */
+function _io_readWikiPage_action($data) {
+ if (is_array($data) && is_array($data[0]) && count($data[0])===2) {
+ return call_user_func_array('io_readFile', $data[0]);
+ } else {
+ return ''; //callback error
+ }
+}
+
+/**
+ * Returns content of $file as cleaned string.
+ *
+ * Uses gzip if extension is .gz
+ *
+ * If you want to use the returned value in unserialize
+ * be sure to set $clean to false!
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function io_readFile($file,$clean=true){
+ $ret = '';
+ if(@file_exists($file)){
+ if(substr($file,-3) == '.gz'){
+ $ret = join('',gzfile($file));
+ }else if(substr($file,-4) == '.bz2'){
+ $ret = bzfile($file);
+ }else{
+ $ret = file_get_contents($file);
+ }
+ }
+ if($clean){
+ return cleanText($ret);
+ }else{
+ return $ret;
+ }
+}
+/**
+ * Returns the content of a .bz2 compressed file as string
+ * @author marcel senf <marcel@rucksackreinigung.de>
+ */
+
+function bzfile($file){
+ $bz = bzopen($file,"r");
+ while (!feof($bz)){
+ //8192 seems to be the maximum buffersize?
+ $str = $str . bzread($bz,8192);
+ }
+ bzclose($bz);
+ return $str;
+}
+
+
+/**
+ * Used to write out a DokuWiki page to file, and send IO_WIKIPAGE_WRITE events.
+ *
+ * This generates an action event and delegates to io_saveFile().
+ * Action plugins are allowed to modify the page content in transit.
+ * The file path should not be changed.
+ * (The append parameter is set to false.)
+ *
+ * Event data:
+ * $data[0] The raw arguments for io_saveFile as an array.
+ * $data[1] ns: The colon separated namespace path minus the trailing page name. (false if root ns)
+ * $data[2] page_name: The wiki page name.
+ * $data[3] rev: The page revision, false for current wiki pages.
+ *
+ * @author Ben Coburn <btcoburn@silicodon.net>
+ */
+function io_writeWikiPage($file, $content, $id, $rev=false) {
+ if (empty($rev)) { $rev = false; }
+ if ($rev===false) { io_createNamespace($id); } // create namespaces as needed
+ $data = array(array($file, $content, false), getNS($id), noNS($id), $rev);
+ return trigger_event('IO_WIKIPAGE_WRITE', $data, '_io_writeWikiPage_action', false);
+}
+
+/**
+ * Callback adapter for io_saveFile().
+ * @author Ben Coburn <btcoburn@silicodon.net>
+ */
+function _io_writeWikiPage_action($data) {
+ if (is_array($data) && is_array($data[0]) && count($data[0])===3) {
+ return call_user_func_array('io_saveFile', $data[0]);
+ } else {
+ return false; //callback error
+ }
+}
+
+/**
+ * Saves $content to $file.
+ *
+ * If the third parameter is set to true the given content
+ * will be appended.
+ *
+ * Uses gzip if extension is .gz
+ * and bz2 if extension is .bz2
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @return bool true on success
+ */
+function io_saveFile($file,$content,$append=false){
+ global $conf;
+ $mode = ($append) ? 'ab' : 'wb';
+
+ $fileexists = @file_exists($file);
+ io_makeFileDir($file);
+ io_lock($file);
+ if(substr($file,-3) == '.gz'){
+ $fh = @gzopen($file,$mode.'9');
+ if(!$fh){
+ msg("Writing $file failed",-1);
+ io_unlock($file);
+ return false;
+ }
+ gzwrite($fh, $content);
+ gzclose($fh);
+ }else if(substr($file,-4) == '.bz2'){
+ $fh = @bzopen($file,$mode{0});
+ if(!$fh){
+ msg("Writing $file failed", -1);
+ io_unlock($file);
+ return false;
+ }
+ bzwrite($fh, $content);
+ bzclose($fh);
+ }else{
+ $fh = @fopen($file,$mode);
+ if(!$fh){
+ msg("Writing $file failed",-1);
+ io_unlock($file);
+ return false;
+ }
+ fwrite($fh, $content);
+ fclose($fh);
+ }
+
+ if(!$fileexists and !empty($conf['fperm'])) chmod($file, $conf['fperm']);
+ io_unlock($file);
+ return true;
+}
+
+/**
+ * Delete exact linematch for $badline from $file.
+ *
+ * Be sure to include the trailing newline in $badline
+ *
+ * Uses gzip if extension is .gz
+ *
+ * 2005-10-14 : added regex option -- Christopher Smith <chris@jalakai.co.uk>
+ *
+ * @author Steven Danz <steven-danz@kc.rr.com>
+ * @return bool true on success
+ */
+function io_deleteFromFile($file,$badline,$regex=false){
+ if (!@file_exists($file)) return true;
+
+ io_lock($file);
+
+ // load into array
+ if(substr($file,-3) == '.gz'){
+ $lines = gzfile($file);
+ }else{
+ $lines = file($file);
+ }
+
+ // remove all matching lines
+ if ($regex) {
+ $lines = preg_grep($badline,$lines,PREG_GREP_INVERT);
+ } else {
+ $pos = array_search($badline,$lines); //return null or false if not found
+ while(is_int($pos)){
+ unset($lines[$pos]);
+ $pos = array_search($badline,$lines);
+ }
+ }
+
+ if(count($lines)){
+ $content = join('',$lines);
+ if(substr($file,-3) == '.gz'){
+ $fh = @gzopen($file,'wb9');
+ if(!$fh){
+ msg("Removing content from $file failed",-1);
+ io_unlock($file);
+ return false;
+ }
+ gzwrite($fh, $content);
+ gzclose($fh);
+ }else{
+ $fh = @fopen($file,'wb');
+ if(!$fh){
+ msg("Removing content from $file failed",-1);
+ io_unlock($file);
+ return false;
+ }
+ fwrite($fh, $content);
+ fclose($fh);
+ }
+ }else{
+ @unlink($file);
+ }
+
+ io_unlock($file);
+ return true;
+}
+
+/**
+ * Tries to lock a file
+ *
+ * Locking is only done for io_savefile and uses directories
+ * inside $conf['lockdir']
+ *
+ * It waits maximal 3 seconds for the lock, after this time
+ * the lock is assumed to be stale and the function goes on
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function io_lock($file){
+ global $conf;
+ // no locking if safemode hack
+ if($conf['safemodehack']) return;
+
+ $lockDir = $conf['lockdir'].'/'.md5($file);
+ @ignore_user_abort(1);
+
+ $timeStart = time();
+ do {
+ //waited longer than 3 seconds? -> stale lock
+ if ((time() - $timeStart) > 3) break;
+ $locked = @mkdir($lockDir, $conf['dmode']);
+ if($locked){
+ if(!empty($conf['dperm'])) chmod($lockDir, $conf['dperm']);
+ break;
+ }
+ usleep(50);
+ } while ($locked === false);
+}
+
+/**
+ * Unlocks a file
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function io_unlock($file){
+ global $conf;
+ // no locking if safemode hack
+ if($conf['safemodehack']) return;
+
+ $lockDir = $conf['lockdir'].'/'.md5($file);
+ @rmdir($lockDir);
+ @ignore_user_abort(0);
+}
+
+/**
+ * Create missing namespace directories and send the IO_NAMESPACE_CREATED events
+ * in the order of directory creation. (Parent directories first.)
+ *
+ * Event data:
+ * $data[0] ns: The colon separated namespace path minus the trailing page name.
+ * $data[1] ns_type: 'pages' or 'media' namespace tree.
+ *
+ * @author Ben Coburn <btcoburn@silicodon.net>
+ */
+function io_createNamespace($id, $ns_type='pages') {
+ // verify ns_type
+ $types = array('pages'=>'wikiFN', 'media'=>'mediaFN');
+ if (!isset($types[$ns_type])) {
+ trigger_error('Bad $ns_type parameter for io_createNamespace().');
+ return;
+ }
+ // make event list
+ $missing = array();
+ $ns_stack = explode(':', $id);
+ $ns = $id;
+ $tmp = dirname( $file = call_user_func($types[$ns_type], $ns) );
+ while (!@is_dir($tmp) && !(@file_exists($tmp) && !is_dir($tmp))) {
+ array_pop($ns_stack);
+ $ns = implode(':', $ns_stack);
+ if (strlen($ns)==0) { break; }
+ $missing[] = $ns;
+ $tmp = dirname(call_user_func($types[$ns_type], $ns));
+ }
+ // make directories
+ io_makeFileDir($file);
+ // send the events
+ $missing = array_reverse($missing); // inside out
+ foreach ($missing as $ns) {
+ $data = array($ns, $ns_type);
+ trigger_event('IO_NAMESPACE_CREATED', $data);
+ }
+}
+
+/**
+ * Create the directory needed for the given file
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function io_makeFileDir($file){
+ global $conf;
+
+ $dir = dirname($file);
+ if(!@is_dir($dir)){
+ io_mkdir_p($dir) || msg("Creating directory $dir failed",-1);
+ }
+}
+
+/**
+ * Creates a directory hierachy.
+ *
+ * @link http://www.php.net/manual/en/function.mkdir.php
+ * @author <saint@corenova.com>
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function io_mkdir_p($target){
+ global $conf;
+ if (@is_dir($target)||empty($target)) return 1; // best case check first
+ if (@file_exists($target) && !is_dir($target)) return 0;
+ //recursion
+ if (io_mkdir_p(substr($target,0,strrpos($target,'/')))){
+ if($conf['safemodehack']){
+ $dir = preg_replace('/^'.preg_quote(fullpath($conf['ftp']['root']),'/').'/','', $target);
+ return io_mkdir_ftp($dir);
+ }else{
+ $ret = @mkdir($target,$conf['dmode']); // crawl back up & create dir tree
+ if($ret && $conf['dperm']) chmod($target, $conf['dperm']);
+ return $ret;
+ }
+ }
+ return 0;
+}
+
+/**
+ * Creates a directory using FTP
+ *
+ * This is used when the safemode workaround is enabled
+ *
+ * @author <andi@splitbrain.org>
+ */
+function io_mkdir_ftp($dir){
+ global $conf;
+
+ if(!function_exists('ftp_connect')){
+ msg("FTP support not found - safemode workaround not usable",-1);
+ return false;
+ }
+
+ $conn = @ftp_connect($conf['ftp']['host'],$conf['ftp']['port'],10);
+ if(!$conn){
+ msg("FTP connection failed",-1);
+ return false;
+ }
+
+ if(!@ftp_login($conn, $conf['ftp']['user'], conf_decodeString($conf['ftp']['pass']))){
+ msg("FTP login failed",-1);
+ return false;
+ }
+
+ //create directory
+ $ok = @ftp_mkdir($conn, $dir);
+ //set permissions
+ @ftp_site($conn,sprintf("CHMOD %04o %s",$conf['dmode'],$dir));
+
+ @ftp_close($conn);
+ return $ok;
+}
+
+/**
+ * Creates a unique temporary directory and returns
+ * its path.
+ *
+ * @author Michael Klier <chi@chimeric.de>
+ */
+function io_mktmpdir() {
+ global $conf;
+
+ $base = $conf['tmpdir'];
+ $dir = md5(uniqid(mt_rand(), true));
+ $tmpdir = $base.'/'.$dir;
+
+ if(io_mkdir_p($tmpdir)) {
+ return($tmpdir);
+ } else {
+ return false;
+ }
+}
+
+/**
+ * downloads a file from the net and saves it
+ *
+ * if $useAttachment is false,
+ * - $file is the full filename to save the file, incl. path
+ * - if successful will return true, false otherwise
+ *
+ * if $useAttachment is true,
+ * - $file is the directory where the file should be saved
+ * - if successful will return the name used for the saved file, false otherwise
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Chris Smith <chris@jalakai.co.uk>
+ */
+function io_download($url,$file,$useAttachment=false,$defaultName='',$maxSize=2097152){
+ global $conf;
+ $http = new DokuHTTPClient();
+ $http->max_bodysize = $maxSize;
+ $http->timeout = 25; //max. 25 sec
+
+ $data = $http->get($url);
+ if(!$data) return false;
+
+ if ($useAttachment) {
+ $name = '';
+ if (isset($http->resp_headers['content-disposition'])) {
+ $content_disposition = $http->resp_headers['content-disposition'];
+ $match=array();
+ if (is_string($content_disposition) &&
+ preg_match('/attachment;\s*filename\s*=\s*"([^"]*)"/i', $content_disposition, $match)) {
+
+ $name = basename($match[1]);
+ }
+
+ }
+
+ if (!$name) {
+ if (!$defaultName) return false;
+ $name = $defaultName;
+ }
+
+ $file = $file.$name;
+ }
+
+ $fileexists = @file_exists($file);
+ $fp = @fopen($file,"w");
+ if(!$fp) return false;
+ fwrite($fp,$data);
+ fclose($fp);
+ if(!$fileexists and $conf['fperm']) chmod($file, $conf['fperm']);
+ if ($useAttachment) return $name;
+ return true;
+}
+
+/**
+ * Windows compatible rename
+ *
+ * rename() can not overwrite existing files on Windows
+ * this function will use copy/unlink instead
+ */
+function io_rename($from,$to){
+ global $conf;
+ if(!@rename($from,$to)){
+ if(@copy($from,$to)){
+ if($conf['fperm']) chmod($to, $conf['fperm']);
+ @unlink($from);
+ return true;
+ }
+ return false;
+ }
+ return true;
+}
+
+
+/**
+ * Runs an external command and returns it's output as string
+ *
+ * @author Harry Brueckner <harry_b@eml.cc>
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @deprecated
+ */
+function io_runcmd($cmd){
+ $fh = popen($cmd, "r");
+ if(!$fh) return false;
+ $ret = '';
+ while (!feof($fh)) {
+ $ret .= fread($fh, 8192);
+ }
+ pclose($fh);
+ return $ret;
+}
+
+/**
+ * Search a file for matching lines
+ *
+ * This is probably not faster than file()+preg_grep() but less
+ * memory intensive because not the whole file needs to be loaded
+ * at once.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @param string $file The file to search
+ * @param string $pattern PCRE pattern
+ * @param int $max How many lines to return (0 for all)
+ * @param bool $baxkref When true returns array with backreferences instead of lines
+ * @return matching lines or backref, false on error
+ */
+function io_grep($file,$pattern,$max=0,$backref=false){
+ $fh = @fopen($file,'r');
+ if(!$fh) return false;
+ $matches = array();
+
+ $cnt = 0;
+ $line = '';
+ while (!feof($fh)) {
+ $line .= fgets($fh, 4096); // read full line
+ if(substr($line,-1) != "\n") continue;
+
+ // check if line matches
+ if(preg_match($pattern,$line,$match)){
+ if($backref){
+ $matches[] = $match;
+ }else{
+ $matches[] = $line;
+ }
+ $cnt++;
+ }
+ if($max && $max == $cnt) break;
+ $line = '';
+ }
+ fclose($fh);
+ return $matches;
+}
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/af/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/af/lang.php
new file mode 100644
index 000000000..fce59d13e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/af/lang.php
@@ -0,0 +1,75 @@
+<?php
+/**
+ * af language file
+ *
+ * This file was initially built by fetching translations from other
+ * Wiki projects. See the @url lines below. Additional translations
+ * and fixes where done for DokuWiki by the people mentioned in the
+ * lines starting with @author
+ *
+ * @url http://svn.wikimedia.org/viewvc/mediawiki/trunk/phase3/languages/messages/MessagesAf.php?view=co
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['btn_edit'] = 'Wysig hierdie bladsy';
+$lang['btn_source'] = 'Bekyk bronteks';
+$lang['btn_search'] = 'Soek';
+$lang['btn_preview'] = 'Voorskou';
+$lang['btn_revs'] = 'geskiedenis';
+$lang['btn_recent'] = 'Onlangse wysigings';
+$lang['btn_cancel'] = 'Kanselleer';
+$lang['btn_secedit'] = 'Wysig';
+$lang['btn_login'] = 'Teken in';
+$lang['btn_logout'] = 'Teken uit';
+$lang['btn_back'] = 'Terug';
+$lang['btn_backlink'] = 'Wat skakel hierheen';
+$lang['btn_subscribe'] = 'Hou bladsy dop';
+$lang['btn_unsubscribe'] = 'Verwyder van bladsy dophoulys';
+$lang['btn_resendpwd'] = 'E-pos nuwe wagwoord';
+$lang['loggedinas'] = 'Ingeteken as';
+$lang['user'] = 'Gebruikernaam';
+$lang['pass'] = 'Wagwoord';
+$lang['newpass'] = 'Nuive wagwoord';
+$lang['oldpass'] = 'Ou wagwoord';
+$lang['passchk'] = 'Herhaal wagwoord';
+$lang['remember'] = 'Onthou my wagwoord oor sessies';
+$lang['fullname'] = 'Regte naam';
+$lang['email'] = 'E-pos';
+$lang['register'] = 'Skep gerus \'n rekening';
+$lang['badlogin'] = 'Intekenfout';
+$lang['minoredit'] = 'Klein wysiging';
+$lang['reguexists'] = 'Die gebruikersnaam wat jy gebruik het, is alreeds gebruik. Kies asseblief \'n ander gebruikersnaam.';
+$lang['regsuccess2'] = 'Rekening geskep';
+$lang['regbadpass'] = 'Die ingetikte wagwoorde is nie dieselfde nie.';
+$lang['regpwmail'] = 'Jo DokuWiki wagwoord';
+$lang['profnoempty'] = 'Jy moet \'n name en a e-posadres in sit';
+$lang['resendpwd'] = 'Stuir vir a niwe wagwoord';
+$lang['resendpwdmissing'] = 'Jammer, jy moet ales in fil';
+$lang['resendpwdconfirm'] = '\'n Bevestigingpos is gestuur na die gekose e-posadres.';
+$lang['resendpwdsuccess'] = 'Jou nuive wagwoord was deur e-pos gesteur';
+$lang['fileupload'] = 'Laai l锚er';
+$lang['uploadsucc'] = 'Laai suksesvol';
+$lang['uploadfail'] = 'Laai fout';
+$lang['js']['hidedetails'] = 'Steek weg';
+$lang['mediaroot'] = 'root';
+$lang['toc'] = 'Inhoud';
+$lang['current'] = 'huidige';
+$lang['line'] = 'Streak';
+$lang['youarehere'] = 'Jy is hier';
+$lang['by'] = 'by';
+$lang['restored'] = 'Het terug gegaan na vroe毛re weergawe';
+$lang['summary'] = 'Voorskou';
+$lang['qb_bold'] = 'Vetdruk';
+$lang['qb_italic'] = 'Skuinsdruk';
+$lang['qb_link'] = 'Interne skakel';
+$lang['qb_extlink'] = 'Eksterne skakel';
+$lang['qb_hr'] = 'Horisontale streep';
+$lang['qb_sig'] = 'Handtekening met datum';
+$lang['admin_register'] = 'Skep gerus \'n rekening';
+$lang['img_backto'] = 'Terug na';
+$lang['img_date'] = 'Datem';
+$lang['img_camera'] = 'Camera';
+$lang['i_wikiname'] = 'Wiki Naam';
+$lang['i_funcna'] = 'PHP funksie <code>%s</code> is nie beskibaar nie. Miskien is dit af gehaal.';
+$lang['mu_toobig'] = 'te groet';
+$lang['mu_done'] = 'klaar';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/admin.txt
new file mode 100644
index 000000000..7edee8a2b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/admin.txt
@@ -0,0 +1,3 @@
+====== 丕賱兀丿丕乇丞 ======
+
+賯丕卅賲丞 亘丕賱賲賴丕賲 丕賱廿丿丕乇賷丞 丕賱賲鬲丕丨丞 賮賶 丿賵賰賷 賵賷賰賷. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/backlinks.txt
new file mode 100644
index 000000000..f6d24f413
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/backlinks.txt
@@ -0,0 +1,3 @@
+====== 廿乇鬲亘丕胤丕鬲 ======
+
+賴匕賴 賯丕卅賲丞 亘丕賱氐賮丨丕鬲 丕賱賲乇鬲亘胤丞 亘丕賱氐賮丨丞 丕賱丨丕賱賷丞. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/conflict.txt
new file mode 100644
index 000000000..4d7c4e8e7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/conflict.txt
@@ -0,0 +1,5 @@
+====== 賷賵噩丿 賳爻禺丞 兀丨丿孬 ======
+
+賷賵噩丿 賳爻禺丞 兀丨丿孬 賲賳 賴匕賴 丕賱氐賮丨丞. 賷丨丿孬 賴匕丕 毓賳丿賲丕 賷丨乇乇 賲卮鬲乇賰 丌禺乇 丕賱氐賮丨丞 兀孬賳丕亍 鬲毓丿賷賱賰 賱賴丕.
+
+丕賮丨氐 丕賱丕禺鬲賱丕賮丕鬲 噩賷丿丕賸貙 孬賲 丨丿丿 兀賷丞 賳爻禺丞 鬲丨賮馗. 亘丕賱囟睾胤 毓賱賶 "丨賮馗" 爻鬲丨賮馗 賳爻禺鬲賰. 兀賲丕 亘丕賱囟睾胤 毓賱賶 "廿賱睾丕亍" 賮爻鬲丨丕賮馗 毓賱賶 丕賱賳爻禺丞 丕賱丨丕賱賷丞. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/denied.txt
new file mode 100644
index 000000000..0703697b6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/denied.txt
@@ -0,0 +1,3 @@
+====== 賲賲賳賵毓 ======
+
+毓匕乇丕貙 賱賷爻 賲氐乇丨 賱賰 丕賱丕爻鬲賲乇丕乇貙 賱毓賱賰 賳爻賷鬲 鬲爻噩賷賱 丕賱丿禺賵賱 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/diff.txt
new file mode 100644
index 000000000..ed1937c2f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/diff.txt
@@ -0,0 +1,3 @@
+====== 丕禺鬲賱丕賮丕鬲 ======
+
+毓乇囟 丕賱丕禺鬲賱丕賮丕鬲 亘賷賳 丕賱賳爻禺丞 丕賱賲禺鬲丕乇丞 賵 丕賱賳爻禺丞 丕賱丨丕賱賷丞 賲賳 丕賱氐賮丨丞. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/draft.txt
new file mode 100644
index 000000000..a6221b0df
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/draft.txt
@@ -0,0 +1,5 @@
+====== 賵噩丿鬲 賲爻賵賾丿丞 ======
+
+廿賳 鬲毓丿賷賱賰 賱賴匕賴 丕賱氐賮丨丞 賮賷 丕賱賲乇丞 丕賱賲丕囟賷丞 賱賲 賷鬲賲 亘卮賰賱 氐丨賷丨貙 丨賮馗鬲 丿賵賰賷 賵賷賰賷 丌賱賷丕賸 賲爻賵賾丿丞 賲賳 毓賲賱賰 丕賱兀禺賷乇 丕賱匕賷 賷賲賰賳賰 丕爻鬲禺丿丕賲賴 丕賱丌賳 賱賲鬲丕亘毓丞 丕賱鬲毓丿賷賱. 賮賷賲丕 賷賱賷 丕賱亘賷丕賳丕鬲 丕賱鬲賷 丨賮馗鬲 賲賳 丕賱賲乇丞 丕賱賲丕囟賷丞.
+
+賷乇噩賶 兀賳 鬲賯乇乇 廿賳 賰賳鬲 鬲乇賷丿 //丕爻鬲毓丕丿丞// 毓賲賱賰 丕賱爻丕亘賯 兀賵 //丨匕賮// 丕賱賲爻賵賾丿丞 兀賵 //廿賱睾丕亍// 毓賲賱賷丞 丕賱鬲丨乇賷乇.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/edit.txt
new file mode 100644
index 000000000..d4e1eb43b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/edit.txt
@@ -0,0 +1 @@
+丨乇乇 賴匕賴 丕賱氐賮丨丞 孬賲 丕囟睾胤 毓賱賶 "丨賮馗". 丕賳馗乇 [[wiki:syntax|丿賱賷賱 丕賱氐賷丕睾丞]] 賱賲毓乇賮丞 氐賷睾丞 丕賱賵賷賰賷. 賷乇噩賶 鬲毓丿賷賱 丕賱氐賮丨丞 賮賯胤 廿匕丕 賰賳鬲 爻鬲丨爻賳賴丕. 廿匕丕 乇睾亘鬲 賮賶 丕禺鬲亘丕乇 卮賷亍 賲丕貙 鬲毓賱賲 丕賱禺胤賵丕鬲 丕賱兀賵賱賶 賮賶 [[playground:playground|丕賱賲賱毓亘]]. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/editrev.txt
new file mode 100644
index 000000000..a51fe9436
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/editrev.txt
@@ -0,0 +1,2 @@
+**賱賯丿 丨賲賱鬲 賳爻禺丞 賯丿賷賲丞 賲賳 丕賱氐賮丨丞!** 廿匕丕 丨賮馗鬲賴丕貙 爻賷鬲賲 廿賳卮丕亍 賳爻禺丞 噩丿賷丿丞 亘賴匕賴 丕賱賲毓賱賵賲丕鬲.
+---- \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/index.txt
new file mode 100644
index 000000000..43840ec26
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/index.txt
@@ -0,0 +1,3 @@
+====== 賮賴乇爻 ======
+
+賴匕丕 賮賴乇爻 賱噩賲賷毓 丕賱氐賮丨丕鬲 賲乇鬲亘丞 丨爻亘 [[doku>namespaces|namespaces]].
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/lang.php
new file mode 100644
index 000000000..2ba1f7e9f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/lang.php
@@ -0,0 +1,223 @@
+<?php
+/**
+ * Arabic language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Mostafa Hussein <mostafa@gmail.com>
+ * @author Yaman Hokan <always.smile.yh@hotmail.com>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'rtl';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '貨';
+$lang['btn_edit'] = '鬲丨乇賷乇 賴匕賴 丕賱氐賮丨丞';
+$lang['btn_source'] = '毓乇囟 賲氐丿乇 丕賱氐賮丨丞';
+$lang['btn_show'] = '毓乇囟 丕賱氐賮丨丞';
+$lang['btn_create'] = '廿賳卮丕亍 賴匕賴 丕賱氐賮丨丞';
+$lang['btn_search'] = '亘丨孬';
+$lang['btn_save'] = '丨賮馗';
+$lang['btn_preview'] = '丕爻鬲毓乇丕囟';
+$lang['btn_top'] = '賱兀毓賱賶';
+$lang['btn_newer'] = '<< 兀丨丿孬';
+$lang['btn_older'] = '兀賯丿賲 >>';
+$lang['btn_revs'] = '賳爻禺 賯丿賷賲丞';
+$lang['btn_recent'] = '兀丨丿孬 丕賱鬲毓丿賷賱丕鬲';
+$lang['btn_upload'] = '鬲丨賲賷賱';
+$lang['btn_cancel'] = '廿賱睾丕亍';
+$lang['btn_index'] = '賮賴乇爻';
+$lang['btn_secedit'] = '鬲丨乇賷乇';
+$lang['btn_login'] = '丿禺賵賱';
+$lang['btn_logout'] = '禺乇賵噩';
+$lang['btn_admin'] = '丕賱賲丿賷乇';
+$lang['btn_update'] = '鬲丨丿賷孬';
+$lang['btn_delete'] = '丨匕賮';
+$lang['btn_back'] = '乇噩賵毓';
+$lang['btn_backlink'] = '丕乇鬲亘丕胤丕鬲';
+$lang['btn_backtomedia'] = '乇噩賵毓 廿賱賶 丕禺鬲賷丕乇 賲賱賮 丕賱賵爻丕卅胤';
+$lang['btn_subscribe'] = '丕卮鬲乇賰 賮賷 丕賱鬲毓丿賷賱丕鬲';
+$lang['btn_unsubscribe'] = '廿賱睾丕亍 丕賱丕卮鬲乇丕賰 賮賷 丕賱鬲毓丿賷賱丕鬲';
+$lang['btn_profile'] = '鬲丨丿賷孬 丕賱賲賱賮 丕賱卮禺氐賷';
+$lang['btn_reset'] = '鬲賮乇賷睾';
+$lang['btn_resendpwd'] = '廿乇爻丕賱 賰賱賲丞 賲乇賵乇 噩丿賷丿丞';
+$lang['btn_draft'] = '鬲丨乇賷乇 丕賱賲爻賵賾丿丞';
+$lang['btn_recover'] = '丕爻鬲毓丕丿丞 丕賱賲爻賵賾丿丞';
+$lang['btn_draftdel'] = '丨匕賮 丕賱賲爻賵賾丿丞';
+$lang['btn_revert'] = '廿毓丕丿丞';
+$lang['loggedinas'] = '丿禺賵賱 亘丕爻賲';
+$lang['user'] = '丕爻賲 丕賱賲卮鬲乇賰';
+$lang['pass'] = '賰賱賲丞 丕賱賲乇賵乇';
+$lang['newpass'] = '賰賱賲丞 賲乇賵乇 噩丿賷丿丞';
+$lang['oldpass'] = '鬲兀賰賷丿 賰賱賲丞 丕賱賲乇賵乇 丕賱丨丕賱賷丞';
+$lang['passchk'] = '賲乇丞 兀禺乇賶';
+$lang['remember'] = '鬲匕賰乇賳賷';
+$lang['fullname'] = '丕賱丕爻賲 丕賱賰丕賲賱';
+$lang['email'] = '丕賱亘乇賷丿 丕賱廿賱賰鬲乇賵賳賷';
+$lang['register'] = '鬲爻噩賷賱';
+$lang['profile'] = '丕賱賲賱賮 丕賱卮禺氐賷';
+$lang['badlogin'] = '毓匕乇丕貙 丕爻賲 丕賱賲卮鬲乇賰 兀賵 賰賱賲丞 丕賱賲乇賵乇 睾賷乇 氐丨賷丨丞';
+$lang['minoredit'] = '鬲毓丿賷賱丕鬲 胤賮賷賮丞';
+$lang['draftdate'] = '鬲卮睾賷賱 丨賮馗 丕賱賲爻賵賾丿丞 丌賱賷丕賸';
+$lang['regmissing'] = '毓匕乇丕貙 賷噩亘 賲賱亍 噩賲賷毓 丕賱禺丕賳丕鬲';
+$lang['reguexists'] = '毓匕乇丕貙 賷賵噩丿 賲卮鬲乇賰 亘賳賮爻 丕賱丕爻賲';
+$lang['regsuccess'] = '鬲賲 鬲爻噩賷賱 丕賱賲卮鬲乇賰 賵 兀乇爻賱鬲 賰賱賲丞 丕賱賲乇賵乇 毓亘乇 丕賱亘乇賷丿 丕賱廿賱賰鬲乇賵賳賷';
+$lang['regsuccess2'] = '鬲賲 廿賳卮丕亍 丕賱賲卮鬲乇賰';
+$lang['regmailfail'] = '丨丿孬 禺胤兀 賮賶 廿乇爻丕賱 乇爻丕賱丞 賰賱賲丞 丕賱賲乇賵乇. 賷乇噩賶 賲乇丕爻賱丞 丕賱賲丿賷乇';
+$lang['regbadmail'] = '丕賱亘乇賷丿 丕賱廿賱賰鬲乇賵賳賷 丕賱賲毓胤賶 睾賷乇 氐丨賷丨貙 廿賳 賰賳鬲 鬲馗賳 丕賱禺胤兀 賲賳 丕賱賲賵賯毓 乇丕爻賱 丕賱賲丿賷乇';
+$lang['regbadpass'] = '賰賱賲鬲賶 丕賱賲乇賵乇 睾賷乇 賲鬲胤丕亘賯鬲賷賳貙 丨丕賵賱 賲乇丞 兀禺乇賶';
+$lang['regpwmail'] = '賰賱賲丞 賲乇賵乇賰 廿賱賶 丿賵賰賷 賵賷賰賷';
+$lang['reghere'] = '賱爻鬲 賲卮鬲乇賰丕賸責 鬲賮囟賱 丕卮鬲乇賰';
+$lang['profna'] = '賴匕賴 丕賱賵賷賰賷 賱丕 鬲丿毓賲 鬲毓丿賷賱 丕賱賲賱賮 丕賱卮禺氐賷';
+$lang['profnochange'] = '賱丕 鬲睾賷賷乇丕鬲貙 賱丕 卮賷亍 賲胤賱賵亘 毓賲賱賴';
+$lang['profnoempty'] = '賱賷爻 賲爻賲賵丨丕賸 鬲乇賰 丕賱丕爻賲 兀賵 丕賱亘乇賷丿 丕賱廿賱賰鬲乇賵賳賷 賮丕乇睾丕賸';
+$lang['profchanged'] = '鬲賲 鬲丨丿賷孬 丕賱賲賱賮 丕賱卮禺氐賷 亘賳噩丕丨';
+$lang['pwdforget'] = '賳爻賷鬲 賰賱賲丞 丕賱賲乇賵乇責 丕丨氐賱 毓賱賶 賵丕丨丿丞 噩丿賷丿丞';
+$lang['resendna'] = '賴匕賴 丕賱賵賷賰賷 賱丕 鬲丿毓賲 廿毓丕丿丞 廿乇爻丕賱 賰賱賲丞 丕賱賲乇賵乇';
+$lang['resendpwd'] = '廿乇爻丕賱 賰賱賲丞 丕賱賲乇賵乇 廿賱賶';
+$lang['resendpwdmissing'] = '毓匕乇丕賾貙 賷噩亘 兀賳 鬲賲賱兀 噩賲賷毓 丕賱禺丕賳丕鬲';
+$lang['resendpwdnouser'] = '毓匕乇丕賸貙 賱丿賷賳丕 賴匕丕 丕賱賲卮鬲乇賰 賮賷 賯丕毓丿丞 亘賷丕賳丕鬲賳丕';
+$lang['resendpwdbadauth'] = '毓匕乇丕賸貙 乇賲夭 丕賱鬲賮毓賷賱 賴匕丕 睾賷乇 氐丨賷丨. 賳兀賰丿 兀賳賰 丕爻鬲禺丿賲鬲 賰丕賲賱 賵氐賱丞 丕賱鬲兀賰賷丿';
+$lang['resendpwdconfirm'] = '鬲賲 廿乇爻丕賱 賵氐賱丞 鬲兀賰賷丿 廿賱賶 亘乇賷丿賰 丕賱廿賱賰鬲乇賵賳賷';
+$lang['resendpwdsuccess'] = '賰賱賲丞 賲乇賵乇賰 丕賱噩丿賷丿丞 鬲賲 廿乇爻丕賱賴丕 毓亘乇 丕賱亘乇賷丿 丕賱廿賱賰鬲乇賵賳賷';
+$lang['searchmedia'] = '丕賱亘丨孬 毓賳 丕爻賲 丕賱賲賱賮 : ';
+$lang['txt_upload'] = '丕禺鬲乇 賲賱賮丕賸 賱賱鬲丨賲賷賱';
+$lang['txt_filename'] = '鬲丨賲賷賱 亘丕爻賲 - 丕禺鬲賷丕乇賷';
+$lang['txt_overwrt'] = '丕賱賰鬲丕亘丞 毓賱賶 賲賱賮 賲賵噩賵丿 亘賳賮爻 丕賱丕爻賲 賲爻賲賵丨丞';
+$lang['lockedby'] = '丨丕賱賷丕賸 賲賯賮賵賱 亘賵丕爻胤丞';
+$lang['lockexpire'] = '爻賷賳鬲賴賷 丕賱賯賮賱 賮賷';
+$lang['willexpire'] = '爻賷賳鬲賴賷 賯賮賱 鬲丨乇賷乇 賴匕賴 丕賱氐賮丨賴 禺賱丕賱 丿賯賷賯丞. 賱鬲噩賳亘 丕賱鬲毓丕乇囟 丕爻鬲禺丿賲 夭乇 丕爻鬲毓乇丕囟 賱亘丿兀 丕賱賯賮賱 賲賳 噩丿賷丿';
+$lang['notsavedyet'] = '丕賱鬲毓丿賷賱丕鬲 丕賱鬲賷 賱賲 鬲丨賮馗 爻鬲賮賯丿. 兀賲賵丕賮賯 責';
+$lang['rssfailed'] = '禺胤兀 賲丕 丨丿孬 兀孬賳丕亍 噩賱亘 賲賱賮 丕賱鬲睾匕賷丞:';
+$lang['nothingfound'] = '賱丕 賷賵噩丿 卮賷亍';
+$lang['mediaselect'] = '賲賱賮丕鬲 丕賱賵爻丕卅胤 丕賱賲鬲毓丿丿丞';
+$lang['fileupload'] = '鬲丨賲賷賱 賲賱賮 賵爻丕卅胤 賲鬲毓丿丿丞';
+$lang['uploadsucc'] = '鬲賲 丕賱鬲丨賲賷賱 亘賳噩丕丨';
+$lang['uploadfail'] = '賮卮賱 丕賱鬲丨賲賷賱貙 賯丿 賷賰賵賳 丕賱禺胤兀 賮賶 丕賱鬲乇丕禺賷氐責';
+$lang['uploadwrong'] = '丕賱鬲丨賲賷賱 賲賲賳賵毓貙 賳賵毓 丕賱賲賱賮 賲乇賮賵囟!';
+$lang['uploadexist'] = '丕賱賲賱賮 賲賵噩賵丿 兀氐賱丕賸. 賱賲 賷丨丿孬 卮賷亍';
+$lang['uploadbadcontent'] = '丕賱賲丨鬲賵賶 丕賱賲丨賲賾賱 賱賲 賷鬲胤丕亘賯 賲毓 賳賵毓 丕賱賲賱賮 %s';
+$lang['uploadspam'] = '丕賱鬲丨賲賷賱 賲丨噩賵亘 亘賵丕爻胤丞 丕賱賯丕卅賲丞 丕賱爻賵丿丕亍 賱亘乇賳丕賲噩 鬲賯賮賷 丕賱鬲胤賮賱';
+$lang['uploadxss'] = '丕賱鬲丨賲賷賱 賲丨噩賵亘 賱賲賳毓 丕賱賲丨鬲賵賷丕鬲 丕賱禺亘賷孬丞';
+$lang['uploadsize'] = '丕賱賲賱賮 丕賱匕賷 鬲賲 乇賮毓賴 賰亘賷乇 噩丿丕 . ( 丕賱丨丿 丕賱兀賯氐賶 %s )';
+$lang['deletesucc'] = '鬲賲 丨匕賮 丕賱賲賱賮 "%s"';
+$lang['deletefail'] = '賱丕 賷賲賰賳 丨匕賮 "%s"貙 鬲兀賰丿 賲賳 鬲乇丕禺賷氐賰';
+$lang['mediainuse'] = '賱賲 賷丨匕賮 丕賱賲賱賮 "%s"貙 賲丕夭丕賱 賲賵噩賵丿丕賸';
+$lang['namespaces'] = '賮囟丕亍 丕賱鬲爻賲賷丞';
+$lang['mediafiles'] = '賲賱賮丕鬲 賲賵噩賵丿丞 賮賷';
+$lang['js']['searchmedia'] = '丕賱亘丨孬 毓賳 丕賱賲賱賮丕鬲';
+$lang['js']['keepopen'] = '兀亘賯賷 丕賱賳丕賮匕丞 賲賮鬲賵丨丞 兀孬賳丕亍 丕賱丕禺鬲賷丕乇';
+$lang['js']['hidedetails'] = '廿禺賮丕亍 丕賱鬲賮丕氐賷賱';
+$lang['js']['nosmblinks'] = '丕賱乇賵丕亘胤 賱賲噩賱丿丕鬲 賵賷賳丿賵夭 丕賱賲卮丕乇賰丞 鬲毓賲賱 賮賯胤 賲毓 賲鬲氐賮丨 賲丕賷賰乇賵爻賮鬲 Internet Explorer. 賲丕 夭丕賱 亘廿賲賰丕賳賰 賯氐 賵 賱氐賯 丕賱乇丕亘胤.';
+$lang['js']['linkto'] = '丕賱乇丕亘胤 廿賱賶 :';
+$lang['js']['del_confirm'] = '賴賱 丨賯丕賸 鬲乇賷丿 丨匕賮 丕賱亘賳賵丿 丕賱賲禺鬲丕乇丞責';
+$lang['js']['mu_btn'] = '乇賮毓 毓丿丞 賲賱賮丕鬲 賮賷 賵賯鬲 賵丕丨丿';
+$lang['mediausage'] = '丕爻鬲禺丿賲 賴匕賴 丕賱氐賷丕睾丞 賱賱丿賱丕賱丞 毓賱賶 賴匕丕 丕賱賲賱賮:';
+$lang['mediaview'] = '毓乇囟 丕賱賲賱賮 丕賱兀氐賱賷';
+$lang['mediaroot'] = '丕賱噩匕乇';
+$lang['mediaupload'] = '鬲丨賲賷賱 賲賱賮 廿賱賶 賮囟丕亍 丕賱鬲爻賲賷丞 賴賳丕. 賱廿賳卮丕亍 賮囟丕亍丕鬲 鬲爻賲賷丞 賮乇毓賷丞貙 兀囟賮賴丕 廿賱賶 亘丿丕賷丞 禺丕賳丞 鬲丨賲賷賱 亘丕爻賲 賵丕賮氐賱 亘賷賳賴丕 亘丕爻鬲禺丿丕賲 丕賱賮賵丕氐賱';
+$lang['mediaextchange'] = '鬲賲 鬲睾賷賷乇 賳賵毓 丕賱賲賱賮 賲賳 .%s 廿賱賶 .%s!';
+$lang['reference'] = '賲乇丕噩毓 賱賭';
+$lang['ref_inuse'] = '賱丕 賷賲賰賳 丨匕賮 丕賱賲賱賮貙 賱兀賳賴 賲爻鬲禺丿賲 賲賳 賯亘賱 丕賱氐賮丨丕鬲 丕賱鬲丕賱賷丞:';
+$lang['ref_hidden'] = '亘毓囟 丕賱賲乇丕噩毓 賱氐賮丕丨丕鬲 賱丕 鬲賲賱賰 鬲乇禺賷氐 亘乇丐賷鬲賴丕';
+$lang['hits'] = '夭賵丕乇';
+$lang['quickhits'] = '氐賮丨丕鬲 亘賴匕丕 丕賱丕爻賲';
+$lang['toc'] = '噩丿賵賱 丕賱賲丨鬲賵賷丕鬲';
+$lang['current'] = '丨丕賱賷';
+$lang['yours'] = '賳爻禺鬲賰';
+$lang['diff'] = '賲賯丕乇賳丞 亘丕賱賳爻禺丞 丕賱丨丕賱賷丞';
+$lang['diff2'] = '賲賯丕乇賳丞 亘賷賳 丕賱賳爻禺 丕賱賲禺鬲丕乇丞';
+$lang['line'] = '爻胤乇';
+$lang['breadcrumb'] = '兀孬乇';
+$lang['youarehere'] = '兀賳鬲 賴賳丕';
+$lang['lastmod'] = '丌禺乇 鬲毓丿賷賱';
+$lang['by'] = '亘賵丕爻胤丞';
+$lang['deleted'] = '鬲賲 丨匕賮';
+$lang['created'] = '鬲賲 廿賳卮丕亍';
+$lang['restored'] = '毓賵丿丞 賱賳爻禺丞 賯丿賷賲丞';
+$lang['external_edit'] = '鬲丨乇賷乇 禺丕乇噩賷';
+$lang['summary'] = '賲賱禺氐 丕賱鬲丨乇賷乇';
+$lang['mail_newpage'] = '廿囟丕賮丞 氐賮丨丞:';
+$lang['mail_changed'] = '鬲毓丿賷賱 氐賮丨丞:';
+$lang['mail_new_user'] = '賲卮鬲乇賰 噩丿賷丿';
+$lang['mail_upload'] = '鬲丨賲賷賱 賲賱賮:';
+$lang['qb_bold'] = '賳氐 毓乇賷囟';
+$lang['qb_italic'] = '賳氐 賲丕卅賱';
+$lang['qb_underl'] = '賳氐 賲爻胤乇';
+$lang['qb_code'] = '賳氐 亘乇賲噩賷';
+$lang['qb_strike'] = '賳氐 賲卮胤賵亘';
+$lang['qb_h1'] = '毓賳賵丕賳 賲爻鬲賵賶 兀賵賱';
+$lang['qb_h2'] = '毓賳賵丕賳 賲爻鬲賵賶 孬丕賳賷';
+$lang['qb_h3'] = '毓賳賵丕賳 賲爻鬲賵賶 孬丕賱孬';
+$lang['qb_h4'] = '毓賳賵丕賳 賲爻鬲賵賶 乇丕亘毓';
+$lang['qb_h5'] = '毓賳賵丕賳 賲爻鬲賵賶 禺丕賲爻';
+$lang['qb_link'] = '乇丕亘胤 丿丕禺賱賷';
+$lang['qb_extlink'] = '乇丕亘胤 禺丕乇噩賷';
+$lang['qb_hr'] = '爻胤乇 兀賮賯賷';
+$lang['qb_ol'] = '亘賳丿 賮賶 賯丕卅賲丞 賲乇鬲亘丞';
+$lang['qb_ul'] = '亘賳丿 賮賶 賯丕卅賲丞 睾賷乇 賲乇鬲亘丞';
+$lang['qb_media'] = '廿囟丕賮丞 氐賵乇 賵 賲賱賮丕鬲 兀禺乇賶';
+$lang['qb_sig'] = '兀囟賮 鬲賵賯賷毓賰';
+$lang['qb_smileys'] = '丕賱丕亘鬲爻丕賲丕鬲';
+$lang['qb_chars'] = '賲丨丕乇賮 禺丕氐丞';
+$lang['admin_register'] = '廿囟丕賮丞 賲卮鬲乇賰 噩丿賷丿';
+$lang['metaedit'] = '鬲丨乇賷乇 丕賱亘賷丕賳丕鬲 丕賱卮賲賵賱賷丞 ';
+$lang['metasaveerr'] = '賮卮賱鬲 毓賲賱賷丞 賰鬲丕亘丞 丕賱亘賷丕賳丕鬲 丕賱卮賲賵賱賷丞';
+$lang['metasaveok'] = '鬲賲 丨賮馗 丕賱亘賷丕賳鬲 丕賱卮賲賵賱賷丞';
+$lang['img_backto'] = '丕賱毓賵丿丞 廿賱賶';
+$lang['img_title'] = '丕賱毓賳賵丕賳';
+$lang['img_caption'] = '鬲賳賵賷賴 丕賱氐賵乇丞';
+$lang['img_date'] = '丕賱鬲丕乇賷禺';
+$lang['img_fname'] = '丕爻賲 丕賱賲賱賮';
+$lang['img_fsize'] = '丕賱丨噩賲';
+$lang['img_artist'] = '丕賱賲氐賵乇';
+$lang['img_copyr'] = '丨賯賵賯 丕賱賳爻禺';
+$lang['img_format'] = '氐賷睾 乇爻賵賲賷丞';
+$lang['img_camera'] = '丌賱丞 丕賱鬲氐賵賷乇';
+$lang['img_keywords'] = '賰賱賲丕鬲 賲賮鬲丕丨賷丞';
+$lang['subscribe_success'] = '鬲賲 廿囟丕賮丞 %s 賱賯丕卅賲丞 丕賱丕卮鬲乇丕賰丕鬲 %s';
+$lang['subscribe_error'] = '丨丿孬 禺胤兀 賮賶 廿囟丕賮丞 %s 賱賯丕卅賲丞 丕賱丕卮鬲乇丕賰丕鬲 %s';
+$lang['subscribe_noaddress'] = '賱丕 賷賵噩丿 毓賳賵丕賳 賲乇賮賯 賲毓 亘賷丕賳丕鬲 鬲爻噩賷賱賰貙 賱丕 賷賲賰賳 廿囟丕賮鬲賰 廿賱賶 賯丕卅賲丞 丕賱丕卮鬲乇丕賰丕鬲';
+$lang['unsubscribe_success'] = '鬲賲 丨匕賮%s 賲賳 賯丕卅賲丞 丕賱丕卮鬲乇丕賰丕鬲 %s';
+$lang['unsubscribe_error'] = '丨丿孬 禺胤兀 賮賶 丨匕賮 %s 賲賳 賯丕卅賲丞 丕賱丕卮鬲乇丕賰丕鬲 %s';
+$lang['authmodfailed'] = '廿毓丿丕丿丕鬲 鬲氐乇賷丨 賮丕爻丿丞貙 賷乇噩賶 賲乇丕爻賱丞 丕賱賲丿賷乇.';
+$lang['authtempfail'] = '鬲氐乇賷丨 丕賱賲卮鬲乇賰 睾賷乇 賲鬲賵賮乇 賲丐賯鬲丕賸貙 廿賳 丕爻鬲賲乇鬲 賴匕賴 丕賱丨丕賱丞 賷乇噩賶 賲乇丕爻賱丞 丕賱賲丿賷乇';
+$lang['i_chooselang'] = '丕禺鬲乇 賱睾鬲賰';
+$lang['i_installer'] = '亘乇賳丕賲噩 鬲賳氐賷亘 丿賵賰賷 賵賷賰賷';
+$lang['i_wikiname'] = '丕爻賲 丕賱賵賷賰賷';
+$lang['i_enableacl'] = '鬲賮毓賷賱 ACL - 賲賮囟賱';
+$lang['i_superuser'] = '賲卮乇賮';
+$lang['i_problems'] = '賵噩丿 亘乇賳丕賲噩 丕賱鬲賳氐賷亘 丕賱賲卮丕賰賱 丕賱鬲丕賱賷丞貙 賱丕 賷賲賰賳賰 丕賱賲鬲丕亘毓丞 賯亘賱 丨賱賴丕.';
+$lang['i_modified'] = '賱兀爻亘丕亘 兀賲賳賷丞 賴匕丕 丕賱亘乇賳丕賲噩 爻賷毓賲賱 賮賯胤 賲毓 鬲賳氐賷亘 丿賵賰賷 賵賷賰賷 噩丿賷丿 賵 睾賷乇 賲毓丿賾賱.
+賷噩亘 兀賳 鬲毓賷丿 賮賰 囟睾胤 丕賱賲賱賮丕鬲 賲乇丞 兀禺乇賶 賲賳 丕賱賲賰鬲亘丞 丕賱賲囟睾賵胤丞貙 兀賵 乇丕噩毓 <a href="http://dokuwiki.org/install"> 鬲毓賱賷賲丕鬲 鬲賳氐賷亘 丿賵賰賷 賵賷賰賷 </a> ';
+$lang['i_funcna'] = '丿丕賱丞 PHP 丕賱鬲丕賱賷丞 睾賷乇 賲鬲賵賮乇丞.
+<code>%s</code>
+賯丿 賷賰賵賳 賲夭賵丿 禺丿賲丞 丕賱丕爻鬲賮丕丿丞 賯丿 丨噩亘賴丕 賱爻亘亘 賲丕.';
+$lang['i_phpver'] = '賳爻禺丞 PHP 丕賱鬲賷 賱丿賷賰 賴賷
+<code>%s</code>
+賵賴賷 兀賯賱 賲賳 丕賱賳爻禺丞 丕賱賲胤賱賵亘丞
+<code>%s</code>
+毓賱賷賰 鬲丨丿賷孬 賳爻禺丞 PHP';
+$lang['i_permfail'] = '廿賳 <code>%s</code> 睾賷乇 賯丕亘賱 賱賱賰鬲丕亘丞 亘賵丕爻胤丞 丿賵賰賷 賵賷賰賷貙 毓賱賷賰 鬲毓丿賷賱 廿毓丿丕丿丕鬲 丕賱氐賱丕丨賷丕鬲 賱賴匕丕 丕賱賲噩賱丿!';
+$lang['i_confexists'] = '廿賳 <code>%s</code> 賲賵噩賵丿 兀氐賱丕賸';
+$lang['i_writeerr'] = '賱丕 賷賲賰賳 廿賳卮丕亍 <code>%s</code>貙 毓賱賷賰 丕賱鬲兀賰丿 賲賳 氐賱丕丨賷丕鬲 丕賱賲賱賮 兀賵 丕賱賲噩賱丿 賵廿賳卮丕亍 丕賱賲賱賮 賷丿賵賷丕賸.';
+$lang['i_badhash'] = '丕賱賲賱賮 dokuwiki.php 睾賷乇 賲氐賳賮 兀賵 賯丿 鬲賲 鬲毓丿賷賱賴
+(hash=<code>%s</code>)';
+$lang['i_badval'] = '丕賱賯賷賲丞 <code>%s</code> 睾賷乇 卮乇毓賷丞 兀賵 賮丕乇睾丞';
+$lang['i_success'] = '丕賱廿毓丿丕丿丕鬲 鬲賲鬲 亘賳噩丕丨貙 賷乇噩賶 丨匕賮 丕賱賲賱賮 install.php 丕賱丌賳.
+孬賲 鬲丕亘毓 廿賱賶 <a href="doku.php"> 丿賵賰賷 賵賷賰賷 丕賱噩丿賷丿丞</a>';
+$lang['i_failure'] = '亘毓囟 丕賱兀禺胤丕亍 丨丿孬鬲 兀孬賳丕 賰鬲丕亘丞 賲賱賮丕鬲 丕賱廿毓丿丕丿丕鬲貙 毓賱賷賰 鬲毓丿賷賱賴丕 賷丿賵賷丕賸 賯亘賱 兀賳 鬲爻鬲胤賷毓 丕爻鬲禺丿丕賲 <a href="doku.php"> 丿賵賰賷 賵賷賰賷 丕賱噩丿賷丿丞</a>';
+$lang['i_policy'] = '鬲氐乇賷丨 ACL 賲亘丿卅賷';
+$lang['i_pol0'] = '賵賷賰賷 賲賮鬲賵丨丞貨 兀賷 丕賱賯乇丕亍丞 賵丕賱賰鬲丕亘丞 賵丕賱鬲丨賲賷賱 賲爻賲賵丨丞 賱賱噩賲賷毓';
+$lang['i_pol1'] = '賵賷賰賷 毓丕賲丞貨 兀賷 丕賱賯乇丕亍丞 賱賱噩賲賷毓 賵賱賰賳 丕賱賰鬲丕亘丞 賵丕賱鬲丨賲賷賱 賱賱賲卮鬲乇賰賷賳 丕賱賲爻噩賱賷賳 賮賯胤';
+$lang['i_pol2'] = '賵賷賰賷 賲睾賱賯丞貨 兀賷 丕賱賯乇丕亍丞 賵丕賱賰鬲丕亘丞 賵丕賱鬲丨賲賷賱 賱賱賲卮鬲乇賰賷賳 丕賱賲爻噩賱賷賳 賮賯胤';
+$lang['i_retry'] = '廿毓丕丿丞 丕賱賲丨丕賵賱丞';
+$lang['mu_gridname'] = '丕爻賲 丕賱賲賱賮';
+$lang['mu_gridsize'] = '丕賱丨噩賲';
+$lang['mu_gridstat'] = '丕賱丨丕賱丞';
+$lang['mu_toobig'] = '賰亘賷乇 噩丿丕';
+$lang['mu_ready'] = '噩丕賴夭 賱賱乇賮毓';
+$lang['mu_done'] = '丕賰鬲賲賱';
+$lang['mu_fail'] = '賮卮賱';
+$lang['mu_info'] = '鬲賲 乇賮毓 丕賱賲賱賮丕鬲';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/locked.txt
new file mode 100644
index 000000000..72e9be535
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/locked.txt
@@ -0,0 +1,3 @@
+====== 丕賱氐賮丨丞 賲賯賮賱丞 ======
+
+賴匕賴 丕賱氐賮丨丞 賲賯賮賱丞 賱賱鬲丨乇賷乇 亘賵丕爻胤丞 賲爻鬲禺丿賲 兀禺乇. 毓賱賷賰 兀賳 鬲賳鬲馗乇 丨鬲賶 賷賳鬲賴賶 賲賳 鬲毓丿賷賱丕鬲丞 兀賵 鬲鬲賳鬲賴賶 賲丿丞 丕賱賯賮賱. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/login.txt
new file mode 100644
index 000000000..00ffccdd0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/login.txt
@@ -0,0 +1,3 @@
+====== 丿禺賵賱 ======
+
+兀賳鬲 賱爻鬲 賲爻噩賱 丿禺賵賱賰. 兀丿禺賱 亘賷丕賳丕鬲 鬲爻噩賷賱賰 賱賱丿禺賵賱. 賷噩亘 兀賳 賷賰賵賳 賲爻賲賵丨 賱賱賲鬲氐賮丨 亘兀爻鬲禺丿丕賲 丕賱賰賵賰賷.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/mailtext.txt
new file mode 100644
index 000000000..541d28da2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/mailtext.txt
@@ -0,0 +1,17 @@
+鬲賲 鬲睾賷賷乇 兀賵 兀囟丕賮丞 氐賮丨丞 賮賶 丿賵賰賷賵賷賰賷. 丕賱賷賰 丕賱鬲賮丕氐賷賱:
+
+丕賱鬲丕乇賷禺 : @DATE@
+丕賱賲鬲氐賮丨 : @BROWSER@
+毓賳賵丕賳 丕賱賭IP : @IPADDRESS@
+兀爻賲 丕賱噩賴丕夭 : @HOSTNAME@
+丕賱賳爻禺丞 丕賱賯丿賷賲丞: @OLDPAGE@
+丕賱賳爻禺丞 丕賱噩丿賷丿丞: @NEWPAGE@
+賲賱禺氐 丕賱鬲丨乇賷乇: @SUMMARY@
+賲爻鬲禺丿賲 : @USER@
+
+@DIFF@
+
+
+--
+鬲賲 兀乇爻丕賱 賴匕賴 丕賱乇爻丕賱丞 賲賳 丕賱丿賵賰賷賵賷賰賷 丕賱賲鬲賵噩丿丞 賮賶
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/newpage.txt
new file mode 100644
index 000000000..ecaa7fa38
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/newpage.txt
@@ -0,0 +1,3 @@
+====== 賱丕 賷賵噩丿 賴匕丕 丕賱賲賵囟賵毓 亘毓丿 ======
+
+賱賯丿 鬲丕亘毓鬲 乇丕亘胤 賱賲賵囟賵毓 睾賷乇 賲鬲賵丕噩丿 亘毓丿. 賷賲賰賳賰 廿賳卮丕卅丞 亘丕賱囟毓胤 毓賱賶 夭乇 "丕賳卮賷亍 賴匕賴 丕賱氐賮丨丞".
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/norev.txt
new file mode 100644
index 000000000..2aa2330e1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/norev.txt
@@ -0,0 +1,3 @@
+====== 賱丕 鬲賵噩丿 鬲賱賰 丕賱賳爻禺丞 ======
+
+丕賱賳爻禺丞 丕賱賲禺鬲丕乇丞 賱賷爻鬲 賲賵噩賵丿丞. 兀爻亘禺丿賲 夭乇 "賳爻禺 賯丿賷賲丞" 賱毓乇囟 賯丕卅賲丞 亘丕賱賳爻禺 丕賱賯丿賷賲丞 賲賳 賴匕賴 丕賱氐賮丨丞.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/preview.txt
new file mode 100644
index 000000000..c537e6b26
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/preview.txt
@@ -0,0 +1,3 @@
+====== 毓乇囟 丕賱鬲毓丿賷賱丕鬲 ======
+
+賴匕丕 毓乇囟 賱賲丕 爻賷氐亘丨 毓賱賷丞 賳氐 丕賱氐賮丨丞. 鬲匕賰乇 兀賳 丕賱鬲毓丿賷賱丕鬲 **賱賲 鬲丨賮馗** 亘毓丿!
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/pwconfirm.txt
new file mode 100644
index 000000000..6b735e291
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/pwconfirm.txt
@@ -0,0 +1,8 @@
+賲乇丨亘丕 @ 丕賱丕爻賲 丕賱賰丕賲賱 @
+
+卮禺氐 賲丕 胤賱亘 賰賱賲丞 爻乇 噩丿賷丿丞 賱賭丨爻丕亘賰 @ 丕賱賲毓乇賮 @ 賮賷 @ DOKUWIURL @
+廿匕丕 賱賲 鬲賰賳 賯丿 胤賱亘鬲 賰賱賲丞 爻乇 噩丿賷丿丞 乇噩丕亍 賯賲 亘鬲噩丕賴賱 賴匕賴 丕賱乇爻丕賱丞 .
+賱鬲兀賰賷丿 兀賳賰 兀賳鬲 賯賲鬲 亘胤賱亘 賰賱賲丞 丕賱爻乇 丕賱噩丿賷丿丞 . 賳乇噩賵 賲賳賰 丕賱囟睾胤 毓賱賶 丕賱乇丕亘胤 賮賷 丕賱兀爻賮賱 .
+@ 丕賱鬲兀賰賷丿 @
+ --
+賱賯丿 鬲賲 毓賲賱 賴匕賴 丕賱乇爻丕賱丞 賲賳 賯亘賱 DokuWiki .. 賮賷 @ DOKUWIKIURL @ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/read.txt
new file mode 100644
index 000000000..3e6c504ff
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/read.txt
@@ -0,0 +1 @@
+賴匕賴 丕賱氐賮丨丞 賱賱賯乇丕亍丞 賮賯胤. 賷賲賰賳賰 鬲氐賮丨 賲氐丿乇賴丕貙 賵賱賰賳 賱丕 賷賲賰賳賰 鬲毓丿賷賱賴丕. 廿賳 賰賳鬲 鬲鬲毓鬲賮丿 兀賳 賴賳丕賰 禺胤兀 賲丕 禺丕胤亘 丕賱賲丿賷乇. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/recent.txt
new file mode 100644
index 000000000..94d68401e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/recent.txt
@@ -0,0 +1,3 @@
+====== 丕丨丿孬 丕賱鬲睾賷賷乇丕鬲 ======
+
+鬲賲 鬲毓丿賷賱 丕賱氐賮丨丕鬲 丕賱鬲丕賱賷丞 丨丿賷孬丕. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/register.txt
new file mode 100644
index 000000000..57406ddd4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/register.txt
@@ -0,0 +1,3 @@
+====== 爻噩賱 賰賲爻鬲禺丿賲 噩丿賷丿 ======
+
+兀賲賱卅 丕賱亘賷丕賳丕鬲 丕賱鬲丕賱賷丞 賱鬲爻噩賷賱 丨爻丕亘 噩丿賷丿 毓賱賶 丕賱賵賷賰賷. 鬲兀賰丿 賲賳 賰鬲丕亘丞 **亘乇賷丿 廿賱賰鬲乇賵賳賶 氐丨賷丨** - 爻鬲乇爻賱 丕賱賷賰 賰賱賲丞 爻乇 噩丿賷丿丞. 兀爻賲 丕賱丿禺賵賱 賷噩亘 兀賳 賷賰賵賳 [[doku>pagename|兀爻賲 氐賮丨丞]] 氐丨賷丨.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/resendpwd.txt
new file mode 100644
index 000000000..e9f6690ae
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/resendpwd.txt
@@ -0,0 +1,3 @@
+==== 廿乇爻丕賱 乇賯賲 爻乇賷 噩丿賷丿 ====
+
+乇噩丕亍 丕賰鬲亘 丕爻賲 丕賱賲爻鬲禺丿賲 賮賷 丕賱丕爻鬲賲丕乇丞 丕賱賲賵噩賵丿丞 賮賷 丕賱兀爻賮賱 賱賷鬲賲 胤賱亘 乇賯賲 爻乇賷 噩丿賷丿 賱丨爻丕亘賰 賮賷 賴匕丕 丕賱賵賷賰賷 . 爻賷鬲賲 廿乇爻丕賱 乇丕亘胤 賱鬲兀賰賷丿 胤賱亘賰 廿賱賶 亘乇賷丿賰 丕賱廿賱賰鬲乇賵賳賷 丕賱賲爻噩賱 . \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/revisions.txt
new file mode 100644
index 000000000..930a4ef5c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/revisions.txt
@@ -0,0 +1,2 @@
+====== 丕賱賳爻禺 丕賱賯丿賷賲丞 ======
+丕賱賳爻禺 丕賱賯丿賷賲丞 賱賱氐賮丨丞 丕賱丨丕賱賷丞. 賱廿爻鬲毓丕丿丞 賳爻禺丞 賯丿賷賲丞: 兀禺鬲乇賴丕 賲賳 丕賱賲毓乇賵囟貙 孬賲 廿囟睾胤 毓賱賶 夭乇 "毓丿賱 賴匕賴 丕賱氐賮丨丞" 賵 兀丨賮馗賴丕. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/searchpage.txt
new file mode 100644
index 000000000..62c05f5e4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/searchpage.txt
@@ -0,0 +1,5 @@
+====== 亘丨孬 ======
+
+賳鬲丕卅噩 丕賱亘丨孬 . 廿賳 賱賲 鬲噩丿 賲丕 鬲亘丨孬 毓賳賴貙 賷賲賰賳賰 廿賳卮丕亍 氐賮丨丞 噩丿賷丿丞 亘毓賳賵丕賳 賲丕 鬲亘丨孬 毓賳丞 亘丕賱囟睾胤 毓賱賶 夭乇 "丨乇乇 賴匕賴 丕賱氐賮丨丞".
+
+===== 賳鬲丕卅噩 丕賱亘丨孬 ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/showrev.txt
new file mode 100644
index 000000000..30129071c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/showrev.txt
@@ -0,0 +1,2 @@
+**賴匕賴 賳爻禺丞 賯丿賷賲丞 賲賳 丕賱氐賮丨丞!**
+---- \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/stopwords.txt
new file mode 100644
index 000000000..bc6eb48ae
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/stopwords.txt
@@ -0,0 +1,29 @@
+# This is a list of words the indexer ignores, one word per line
+# When you edit this file be sure to use UNIX line endings (single newline)
+# No need to include words shorter than 3 chars - these are ignored anyway
+# This list is based upon the ones found at http://www.ranks.nl/stopwords/
+about
+are
+and
+you
+your
+them
+their
+com
+for
+from
+into
+how
+that
+the
+this
+was
+what
+when
+where
+who
+will
+with
+und
+the
+www
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/subscribermail.txt
new file mode 100644
index 000000000..c9894570e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/subscribermail.txt
@@ -0,0 +1,17 @@
+兀賴賱丕賸!
+
+丕賱氐賮丨丞 @PAGE@ 賮賶 @TITLE@ 賵賷賰賷 鬲賲 鬲毓丿賷賱賴丕.
+賴丕 賴賶 丕賱鬲毓丿賷賱丕鬲:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+賱廿賱睾丕亍 廿卮鬲乇丕賰賰 賮賶 鬲賱賰 丕賱氐賮丨丞 兀丿禺賱 毓賱賶 丕賱賵賷賰賷 毓賱賶 丕賱毓賳賵丕賳
+@DOKUWIKIURL@ 孬賲 兀匕賴亘 丕賱賶
+@NEWPAGE@
+賵 兀禺鬲丕乇 '廿賱睾丕亍 兀卮鬲乇丕賰賰'.
+
+--
+鬲賲 丕乇爻丕賱 賴匕賴 丕賱乇爻丕賱丞 賲賳 丿賵賰賷賵賷賰賷
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/updateprofile.txt
new file mode 100644
index 000000000..04a5a09d5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ar/updateprofile.txt
@@ -0,0 +1,3 @@
+==== 鬲丨丿賷孬 亘賷丕賳丕鬲 丨爻丕亘賰 ====
+
+毓賱賷賰 賮賯胤 兀賳 鬲賰賲賱 賰鬲丕亘丞 丕賱丨賯賵賱 丕賱鬲賷 鬲乇賷丿 兀賳 鬲睾賷乇賴丕 . 賱丕 鬲爻鬲胤賷毓 鬲睾賷賷乇 丕爻賲 丕賱賲爻鬲禺丿賲 . \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/admin.txt
new file mode 100644
index 000000000..8d16f68aa
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/admin.txt
@@ -0,0 +1,3 @@
+====== 袗写屑懈薪懈褋褌褉邪褑懈褟 ======
+
+袛芯谢褍 屑芯卸械 写邪 薪邪屑械褉懈褌械 褋锌懈褋褗泻 褋 邪写屑懈薪懈褋褌褉邪褌芯褉褋泻懈 蟹邪写邪褔懈 胁 DokuWiki. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/adminplugins.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/adminplugins.txt
new file mode 100644
index 000000000..2b0268ed4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/adminplugins.txt
@@ -0,0 +1 @@
+===== 袛芯锌褗谢薪懈褌械谢薪懈 Plugins ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/backlinks.txt
new file mode 100644
index 000000000..28801a8ee
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/backlinks.txt
@@ -0,0 +1,3 @@
+====== 袟邪写薪懈 胁褉褗蟹泻懈 ======
+
+孝芯胁邪 械 褋锌懈褋褗泻 薪邪 褋褌褉邪薪懈褑懈, 泻芯懈褌芯 懈蟹谐谢械卸写邪 锌褉械锌褉邪褖邪褌 芯斜褉邪褌薪芯 泻褗屑 褌械泻褍褖邪褌邪 褋褌褉邪薪懈褑邪.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/conflict.txt
new file mode 100644
index 000000000..51ec4b706
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/conflict.txt
@@ -0,0 +1,6 @@
+====== 袩芯-薪芯胁邪 胁械褉褋懈褟 褋褗褕械褋褌胁褍胁邪 ======
+
+袩芯-薪芯胁邪 胁械褉褋懈褟 薪邪 写芯泻褍屑械薪褌邪 泻芯泄褌芯 褋褌械 褉械写邪泻褌懈褉邪谢懈 褋褗褖械褋褌胁褍胁邪. 孝芯胁邪 褋械 褋谢褍褔胁邪 泻芯谐邪褌芯 写褉褍谐 锌芯褌褉械斜懈褌械谢 械 锌褉芯屑械薪懈谢 写芯泻褍屑械薪褌邪 写芯泻邪褌芯 褋褌械 谐芯 褉械写邪泻褌懈褉邪谢懈.
+
+袪邪蟹谐谢械写邪泄褌械 胁薪懈屑邪褌械谢薪芯 褉邪蟹谐谢械写邪泄褌械 褉邪蟹谢懈泻懈褌械 锌芯泻邪蟹邪薪懈 写芯谢褍, 褋谢械写 褌芯胁邪 褉械褕械褌械 泻芯褟 胁械褉褋懈褟 写邪 蟹邪锌邪蟹懈褌械. 袗泻芯 懈蟹斜械褉械褌械 ''袟邪锌懈褋'', 胁械褉褋懈褟 袙懈 褖械 斜褗写械 蟹邪锌邪蟹械薪邪. 袠蟹斜械褉械褌械 ''袨褌泻邪蟹'', 蟹邪 写邪 蟹邪锌邪蟹懈褌械 褌械泻褍褖邪褌邪 胁械褉褋懈褟.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/denied.txt
new file mode 100644
index 000000000..7b1d5788e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/denied.txt
@@ -0,0 +1,4 @@
+====== 袨褌泻邪蟹邪薪 写芯褋褌褗锌 ======
+
+袧褟屑邪褌械 写芯褋褌邪褌褗褔薪芯 锌褉邪胁邪 写邪 锌褉芯写褗谢卸懈褌械. 袦芯卸械 斜懈 褋褌械 蟹邪斜褉邪胁懈谢懈 写邪 胁谢械蟹械褌械?
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/diff.txt
new file mode 100644
index 000000000..2bd8262c6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/diff.txt
@@ -0,0 +1,4 @@
+====== 袪邪蟹谢懈泻懈 ======
+
+孝褍泻 褋邪 锌芯泻邪蟹邪薪懈 褉邪蟹谢懈泻懈褌械 屑械卸写褍 懈蟹斜褉邪薪邪褌邪 胁械褉褋懈褟 薪邪 褋褌褉邪薪懈褑邪褌邪 懈 褌械泻褍褖邪褌邪.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/draft.txt
new file mode 100644
index 000000000..1938e7d9c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/draft.txt
@@ -0,0 +1,6 @@
+====== 袧邪屑械褉械薪邪 褔械褉薪芯胁邪 ======
+
+袩芯褋谢械写薪邪褌邪 褉械写邪泻褑懈芯薪薪邪 褋械褋懈褟 薪邪 褌邪蟹懈 褋褌褉邪薪懈褑邪 薪械 械 蟹邪胁褗褉褕械薪邪 锌褉邪胁懈谢薪芯. Dokuwiki 邪胁褌芯屑邪褌懈褔薪芯 蟹邪锌邪蟹胁邪 褔械褉薪芯胁邪 锌芯 胁褉械屑械 薪邪 褉邪斜芯褌邪, 泻芯褟褌芯 屑芯卸械 褋械谐邪 写邪 懈蟹锌芯谢蟹胁邪褌械, 蟹邪 写邪 锌褉芯写褗谢卸懈褌械 褉械写邪泻褌懈褉邪薪械褌芯 褋懈. 袛芯谢褍 屑芯卸械 写邪 胁懈写懈褌械 写邪薪薪懈褌械, 泻芯懈褌芯 斜褟褏邪 蟹邪锌邪蟹械薪懈 芯褌 锌芯褋谢械写薪邪褌邪 褋械褋懈褟.
+
+袦芯谢褟 褉械褕械褌械, 写邪谢懈 懈褋泻邪褌械 写邪 //recover// 锌芯褋谢械写薪邪褌邪 褋懈 褉械写邪泻褑懈芯薪薪邪 褋械褋懈褟, 写邪 //delete// 邪胁褌芯屑邪褌懈褔薪芯 蟹邪锌邪蟹械薪邪褌邪 褔械褉薪芯胁邪 懈谢懈 写邪 //cancel// 褉械写邪泻褑懈褟褌邪.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/edit.txt
new file mode 100644
index 000000000..90d376dbc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/edit.txt
@@ -0,0 +1,2 @@
+袪械写邪泻褌懈褉邪泄褌械 褋褌褉邪薪懈褑邪褌邪 懈 薪邪褌懈褋薪械褌械 ''袟邪锌懈褋''. 袩芯谐谢械写薪械褌械 [[wiki:syntax]] 蟹邪 Wiki 褋懈薪褌邪泻褋懈褋. 袦芯谢褟 褉械写邪泻褌懈褉邪泄褌械 褋褌褉邪薪懈褑邪褌邪, 褋邪屑芯 邪泻芯 屑芯卸械 写邪 褟 **锌芯写芯斜褉懈褌械**. 袗泻芯 懈褋泻邪褌械 写邪 锌褉芯斜胁邪褌械 褉邪蟹薪懈 薪械褖邪, 薪邪褍褔械褌械 褋械 写邪 锌褉邪胁懈褌械 锌褗褉胁懈褌械 褋懈 褋褌褗锌泻懈 胁 [[playground:playground|锌褟褋褗褔薪懈泻邪]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/editrev.txt
new file mode 100644
index 000000000..87e7b26a8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/editrev.txt
@@ -0,0 +1,2 @@
+**袟邪褉械写懈谢懈 褋褌械 褋褌邪褉邪 胁械褉褋懈褟 薪邪 写芯泻褍屑械薪褌邪!** 袗泻芯 褟 蟹邪锌邪蟹懈褌械, 褖械 褋褗蟹写邪写械褌械 薪芯胁邪 褉械写邪泻褑懈褟 褋 褌械泻褍褖懈褌械 写邪薪薪懈.
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/index.txt
new file mode 100644
index 000000000..2ebf5128a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/index.txt
@@ -0,0 +1,4 @@
+====== 袠薪写械泻褋 ======
+
+孝芯胁邪 械 褋锌懈褋褗泻 薪邪 胁褋懈褔泻懈 写芯褋褌褗锌薪懈 褋褌褉邪薪懈褑懈 锌芯写褉械写械薪懈 锌芯 [[doku>namespaces|懈屑械薪薪懈 锌褉芯褋褌褉邪薪褋褌胁邪]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/install.html
new file mode 100644
index 000000000..0d7fd5232
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/install.html
@@ -0,0 +1,25 @@
+<p>孝邪蟹懈 褋褌褉邪薪懈褑邪 锌芯屑邪谐邪 锌褉懈 锌褗褉胁芯薪邪褔邪谢薪邪 懈薪褋褌邪谢邪褑懈褟 懈 薪邪褋褌褉芯泄泻邪 薪邪
+<a href="http://dokuwiki.org">Dokuwiki</a>. 袩芯胁械褔械 懈薪褎芯褉屑邪褑懈褟
+蟹邪 褌芯蟹懈 懈薪褋褌邪谢邪褌芯褉 械 写芯褋褌褗锌薪邪 胁 薪械谐芯胁邪褌邪 褋芯斜褋褌胁械薪邪
+<a href="http://dokuwiki.org/installer">写芯泻褍屑械薪褌邪褑懈褟</a>.</p>
+
+<p>Dokuwiki 懈蟹锌芯谢蟹胁邪 芯斜懈泻薪芯胁械薪懈 褎邪泄谢芯胁械 蟹邪 褏褉邪薪懈谢懈褖械 薪邪 褍懈泻懈 褋褌褉邪薪懈褑懈褌械 懈 写褉褍谐邪
+懈薪褎芯褉屑邪褑懈褟 褋胁褗褉蟹邪薪邪 褋 褌械蟹懈 褋褌褉邪薪懈褑懈(锌褉懈屑械褉薪芯 泻邪褉褌懈薪泻懈, 褌褗褉褋械薪械, 褋褌邪褉懈 胁械褉褋懈懈, 褌.薪.).
+袟邪 写邪 懈蟹锌芯谢蟹胁邪褌械 褍褋锌械褕薪芯 DokuWiki
+<strong>褌褉褟斜胁邪</strong> 写邪 懈屑邪褌械 写芯褋褌褗锌 蟹邪 锌懈褋邪薪械 胁 写懈褉械泻褌芯褉懈懈褌械 泻芯懈褌芯 褋褗写褗褉卸邪褌 褌械蟹懈
+褎邪泄谢芯胁械. 孝芯蟹懈 懈薪褋褌邪谢邪褌芯褉 薪褟屑邪 胁褗蟹屑芯卸薪芯褋褌懈 写邪 薪邪褋褌褉芯泄胁邪 锌褉邪胁邪褌邪 薪邪 写懈褉械泻褌芯褉懈懈褌械.
+孝芯胁邪 芯斜懈泻薪芯胁械薪芯 褌褉褟斜胁邪 写邪 斜褗写械 薪邪锌褉邪胁械薪芯 写懈褉械泻褌薪芯 芯褌 泻芯屑邪薪写薪懈褟褌 褉械写 懈谢懈 邪泻芯
+懈蟹锌芯谢蟹胁邪褌械 褏芯褋褌懈薪谐 - 锌褉械蟹 FTP 懈谢懈 泻芯薪褌褉芯谢 锌邪薪械谢邪 薪邪 褏芯褋褌邪(锌褉懈屑械褉薪芯 cPanel).</p>
+
+<p>孝芯蟹懈 懈薪褋褌邪谢邪褌芯褉 褖械 薪邪褋褌褉芯懈 胁邪褕邪褌邪 DokuWiki 泻芯薪褎懈谐褍褉邪褑懈褟 蟹邪
+<acronym title="access control list">ACL</acronym>, 泻芯械褌芯 薪邪
+褋胁芯泄 褉械写 褖械 锌芯蟹胁芯谢懈 薪邪 邪写屑懈薪懈褋褌褉邪褌芯褉邪 写邪 胁谢械蟹械 懈 写邪 懈屑邪 写芯褋褌褗锌
+写芯 邪写屑懈薪懈褋褌褉邪褌芯褉褋泻芯褌芯 屑械薪褞 胁 DokuWiki 蟹邪 懈薪褋褌邪谢懈褉邪薪械 薪邪 锌谢褗谐懈薪懈, 泻芯薪褌褉芯谢
+薪邪 锌芯褌褉械斜懈褌械谢懈, 褍锌褉邪胁谢械薪懈械 写邪 写芯褋褌褗锌 写芯 褍懈泻懈 褋褌褉邪薪懈褑懈 懈 锌褉芯屑褟薪邪 薪邪 薪邪褋褌褉芯泄泻懈褌械
+孝芯胁邪 薪械 械 薪械芯斜褏芯写懈屑芯 薪邪 DokuWiki 写邪 褉邪斜芯褌懈, 薪芯 褖械 薪邪锌褉邪胁懈 DokuWiki 锌芯-谢械褋薪芯 蟹邪
+邪写屑懈薪懈褋褌褉懈褉邪薪械.</p>
+
+<p>袨锌懈褌薪懈褌械 锌芯褌褉械斜懈褌械谢懈 懈谢懈 锌芯褌褉械斜懈褌械谢懈褌械 褋褗褋 褋锌械褑懈邪谢薪懈 懈蟹懈褋泻胁邪薪懈褟
+泻褗屑 薪邪褋褌褉芯泄泻懈褌械 屑芯卸械 写邪 懈蟹锌芯谢蟹胁邪褌 褌械蟹懈 胁褉褗蟹泻懈 蟹邪 写械褌邪泄谢懈 褋胁褗褉蟹邪薪懈 褋
+<a href="http://dokuwiki.org/install">懈薪褋褌褉褍泻褑懈懈 蟹邪 懈薪褋褌邪谢邪褑懈褟</a>
+懈 <a href="http://dokuwiki.org/config">薪邪褋褌褉芯泄泻邪</a>.</p>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/lang.php
new file mode 100644
index 000000000..2c306095d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/lang.php
@@ -0,0 +1,247 @@
+<?php
+/**
+ * Bulgarian language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Nikolay Vladimirov <nikolay@vladimiroff.com>
+ * @author Viktor Usunov <usun0v@mail.bg>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '鈥';
+$lang['btn_edit'] = '袪械写邪泻褌懈褉邪薪械';
+$lang['btn_source'] = '袩芯泻邪蟹胁邪薪械 薪邪 泻芯写邪 薪邪 褋褌褉邪薪懈褑邪褌邪';
+$lang['btn_show'] = '袩芯泻邪蟹胁邪薪械 薪邪 褋褌褉邪薪懈褑邪';
+$lang['btn_create'] = '小褗蟹写邪胁邪薪械 薪邪 褋褌褉邪薪懈褑邪';
+$lang['btn_search'] = '孝褗褉褋械薪械';
+$lang['btn_save'] = '袟邪锌懈褋';
+$lang['btn_preview'] = '袩褉械谐谢械写';
+$lang['btn_top'] = '袣褗屑 薪邪褔邪谢芯褌芯';
+$lang['btn_newer'] = '<< 锌芯-薪芯胁懈';
+$lang['btn_older'] = '锌芯-褋褌邪褉懈 >>';
+$lang['btn_revs'] = '袠褋褌芯褉懈褟';
+$lang['btn_recent'] = '袩芯褋谢械写薪懈 锌褉芯屑械薪懈';
+$lang['btn_upload'] = '袣邪褔胁邪薪械';
+$lang['btn_cancel'] = '袨褌泻邪蟹';
+$lang['btn_index'] = '袠薪写械泻褋';
+$lang['btn_secedit'] = '袪械写邪泻褌懈褉邪薪械';
+$lang['btn_login'] = '袙褏芯写';
+$lang['btn_logout'] = '袠蟹褏芯写';
+$lang['btn_admin'] = '袧邪褋褌褉芯泄泻懈';
+$lang['btn_update'] = '袨斜薪芯胁褟胁邪薪械';
+$lang['btn_delete'] = '袠蟹褌褉懈胁邪薪械';
+$lang['btn_back'] = '袧邪蟹邪写';
+$lang['btn_backlink'] = '袨斜褉邪褌薪懈 胁褉褗蟹泻懈';
+$lang['btn_backtomedia'] = '袧邪蟹邪写 泻褗屑 懈蟹斜芯褉 薪邪 屑械写懈械薪 褎邪泄谢';
+$lang['btn_subscribe'] = '袗斜芯薪懈褉邪薪械 蟹邪 袩褉芯屑械薪懈';
+$lang['btn_unsubscribe'] = '袨褌锌懈褋胁邪薪械 芯褌 袩褉芯屑械薪懈';
+$lang['btn_subscribens'] = '袗斜芯薪懈褉邪薪械 蟹邪 袩褉芯屑械薪懈 薪邪 懈屑械薪薪芯 锌褉芯褋褌褉邪薪褋褌胁芯';
+$lang['btn_unsubscribens'] = '袨褌锌懈褋胁邪薪械 芯褌 袩褉芯屑械薪懈 薪邪 懈屑械薪薪芯 锌褉芯褋褌褉邪薪褋褌胁芯';
+$lang['btn_profile'] = '袗泻褌褍邪谢懈蟹懈褉邪泄 袩褉芯褎懈谢邪';
+$lang['btn_reset'] = '袠蟹褔懈褋褌胁邪薪械';
+$lang['btn_resendpwd'] = '袩褉邪褖邪薪械 薪邪 薪芯胁邪 锌邪褉芯谢邪';
+$lang['btn_draft'] = '袪械写邪泻褌懈褉邪薪械 薪邪 褔械褉薪芯胁邪';
+$lang['btn_recover'] = '袙褗蟹褋褌邪薪芯胁褟胁邪薪械 薪邪 褔械褉薪芯胁邪';
+$lang['btn_draftdel'] = '袠蟹褌褉懈胁邪薪械 薪邪 褔械褉薪芯胁邪';
+$lang['btn_revert'] = '袙褗蟹褋褌邪薪芯胁褟胁邪薪械';
+$lang['loggedinas'] = '袙谢械蟹谢懈 褋褌械 泻邪褌芯';
+$lang['user'] = '袩芯褌褉械斜懈褌械谢';
+$lang['pass'] = '袩邪褉芯谢邪';
+$lang['newpass'] = '袧芯胁邪 锌邪褉芯谢邪';
+$lang['oldpass'] = '袩芯褌胁褗褉卸写械薪懈械 薪邪 褌械泻褍褖邪 锌邪褉芯谢邪';
+$lang['passchk'] = 'o褌薪芯胁芯';
+$lang['remember'] = '袟邪锌芯屑薪懈 屑械';
+$lang['fullname'] = '袩褗谢薪芯 懈屑械';
+$lang['email'] = '袝谢械泻褌褉芯薪薪邪 锌芯褖邪';
+$lang['register'] = '袪械谐懈褋褌褉邪褑懈褟';
+$lang['profile'] = '袩芯褌褉械斜懈褌械谢褋泻懈 锌褉芯褎懈谢';
+$lang['badlogin'] = '袩芯褌褉械斜懈褌械谢褋泻芯褌芯 懈屑械 懈谢懈 锌邪褉芯谢邪褌邪 褋邪 谐褉械褕薪懈';
+$lang['minoredit'] = '袧械蟹薪邪褔懈褌械谢薪懈 锌褉芯屑械薪懈';
+$lang['draftdate'] = '效械褉薪芯胁邪褌邪 斜械 邪胁褌芯屑邪褌懈褔薪芯 蟹邪锌懈褋邪薪邪 薪邪';
+$lang['nosecedit'] = '小褌褉邪薪懈褑邪褌邪 斜械 屑械卸写褍胁褉械屑械薪薪芯 锌褉芯屑械薪械薪邪, 锌褉械蟹邪褉械卸写邪薪械 薪邪 褋褌褉邪薪懈褑邪褌邪 锌芯褉邪写懈 薪械邪泻褌褍邪谢薪邪 懈薪褎芯褉屑邪褑懈褟.';
+$lang['regmissing'] = '袦芯谢褟, 锌芯锌褗谢薪械褌械 胁褋懈褔泻懈 锌芯谢械褌邪.';
+$lang['reguexists'] = '袩芯褌褉械斜懈褌械谢 褋 褌邪泻芯胁邪 懈屑械 胁械褔械 褋褗褖械褋褌胁褍胁邪.';
+$lang['regsuccess'] = '袩芯褌褉械斜懈褌械谢褟褌 斜械 褋褗蟹写邪写械薪 懈 锌邪褉芯谢邪褌邪 斜械 锌褉邪褌械薪邪 薪邪 械谢械泻褌褉芯薪薪邪褌邪 锌芯褖邪.';
+$lang['regsuccess2'] = '袩芯褌褉械斜懈褌械谢褟褌 斜械 褋褗蟹写邪写械薪.';
+$lang['regmailfail'] = '袠蟹谐谢械卸写邪, 褔械 懈屑邪 锌褉芯斜谢械屑 褋 锌褉邪褖邪薪械褌芯 薪邪 锌懈褋屑芯褌芯 褋 锌邪褉芯谢邪褌邪. 袦芯谢褟, 褋胁褗褉卸械褌械 褋械 褋 邪写屑懈薪懈褋褌褉邪褌芯褉邪.';
+$lang['regbadmail'] = '袙褗胁械写械薪懈褟褌 邪写褉械褋 懈蟹谐谢械卸写邪 薪械胁邪谢懈写械薪 - 邪泻芯 屑懈褋谢懈褌械, 褔械 褌芯胁邪 械 谐褉械褕泻邪, 褋胁褗褉卸械褌械 褋械 褋 邪写屑懈薪懈褋褌褉邪褌芯褉邪.';
+$lang['regbadpass'] = '袛胁械褌械 胁褗胁械写械薪懈 锌邪褉芯谢懈 薪械 褋褗胁锌邪写邪褌, 屑芯谢褟 芯锌懈褌邪泄褌械 芯褌薪芯胁芯';
+$lang['regpwmail'] = '袩邪褉芯谢邪 蟹邪 DokuWiki';
+$lang['reghere'] = '袧褟屑邪褌械 锌褉芯褎懈谢 胁褋械 芯褖械? 袧邪锌褉邪胁械褌械 褋懈!';
+$lang['profna'] = '孝芯胁邪 Wiki 薪械 锌芯写写褗褉卸邪 锌褉芯屑褟薪邪 薪邪 锌褉芯褎懈谢邪';
+$lang['profnochange'] = '袧褟屑邪 锌褉芯屑械薪懈.';
+$lang['profnoempty'] = '袧械胁褗胁械写械薪芯 懈屑械 懈谢懈 械谢械泻褌褉芯薪薪邪 锌芯褖邪 薪械 褋邪 锌芯蟹胁芯谢械薪懈.';
+$lang['profchanged'] = '袩芯褌褉械斜懈褌械谢褋泻懈褟褌 锌褉芯褎懈谢 斜械 褍褋锌械褕薪芯 芯斜薪芯胁械薪.';
+$lang['pwdforget'] = '袟邪斜褉邪胁懈谢懈 褋褌械 褋懈 锌邪褉芯谢邪褌邪? 袙褗胁械写械褌械 薪芯胁邪.';
+$lang['resendna'] = '孝芯胁邪 Wiki 薪械 锌芯写写褗褉卸邪 锌芯胁褌芯褉薪芯 锌褉邪褖邪薪械 薪邪 锌邪褉芯谢邪';
+$lang['resendpwd'] = '袠蟹锌褉邪褖邪薪械 薪邪 薪芯胁邪 锌邪褉芯谢邪 蟹邪';
+$lang['resendpwdmissing'] = '袦芯谢褟, 锌芯锌褗谢薪械褌械 胁褋懈褔泻懈 锌芯谢械褌邪.';
+$lang['resendpwdnouser'] = '袩芯褌褉械斜懈褌械谢褟褌 薪械 斜械 薪邪屑械褉械薪 胁 斜邪蟹邪褌邪 写邪薪薪懈.';
+$lang['resendpwdbadauth'] = '孝芯蟹懈 泻芯写 蟹邪 锌芯褌胁褗褉卸写械薪懈械 械 薪械胁邪谢懈写械薪. 袩褉芯胁械褉械褌械 写邪谢懈 褋褌械 懈蟹锌芯谢蟹胁邪谢懈 褑械谢懈褟褌 谢懈薪泻 蟹邪 锌芯褌胁褗褉卸写械薪懈械.';
+$lang['resendpwdconfirm'] = '袗写褉械褋褗褌 蟹邪 锌芯褌胁褗褉卸写械薪懈械 斜械 锌褉邪褌械薪 锌芯 械谢械泻褌褉芯薪薪邪褌邪 锌芯褖邪.';
+$lang['resendpwdsuccess'] = '袩邪褉芯谢邪褌邪 胁懈 斜械 懈蟹锌褉邪褌械薪邪 薪邪 械谢械泻褌褉芯薪薪邪褌邪 锌芯褖邪.';
+$lang['license'] = '袨褋胁械薪 邪泻芯 薪械 械 锌芯褋芯褔械薪芯 写褉褍谐芯, 褋褗写褗褉卸邪薪懈械褌芯 薪邪 褌芯胁邪 Wiki 械 谢懈褑械薪蟹懈褉邪薪芯 锌芯写 褋谢械写薪懈褟 谢懈褑械薪蟹:';
+$lang['licenseok'] = '袠屑邪泄褌械 锌褉械写胁懈写, 褔械 褔褉械蟹 褉械写邪泻褌懈褉邪薪械褌芯 薪邪 褌邪蟹懈 褋褌褉邪薪懈褑邪, 袙懈械 褋械 褋褗谐谢邪褋褟胁邪褌械 褋褗写褗褉卸邪薪懈械褌芯 泄 写邪 斜褗写械 谢懈褑械薪蟹懈褉邪薪芯 锌芯写 褋谢械写薪懈褟 谢懈褑械薪蟹:';
+$lang['searchmedia'] = '孝褗褉褋械薪械 薪邪 褎邪泄谢: ';
+$lang['searchmedia_in'] = '孝褗褉褋械薪械 胁 %s';
+$lang['txt_upload'] = '袠蟹斜械褉械褌械 褎邪泄谢 蟹邪 泻邪褔胁邪薪械 ';
+$lang['txt_filename'] = '袣邪褔胁邪薪械 泻邪褌芯 (锌芯 懈蟹斜芯褉)';
+$lang['txt_overwrt'] = '袟邪锌懈褋 胁褗褉褏褍 褋褗褖械褋褌胁褍胁邪褖 褎邪泄谢';
+$lang['lockedby'] = '袙 屑芯屑械薪褌邪 械 蟹邪泻谢褞褔械薪芯 芯褌';
+$lang['lockexpire'] = '袟邪褌胁邪褉褟薪械褌芯 懈蟹褌懈褔邪 胁';
+$lang['willexpire'] = '袟邪褌胁邪褉褟薪械褌芯 薪邪 褋褌褉邪薪懈褑邪褌邪 蟹邪 褉械写邪泻褌懈褉邪薪械 懈蟹褌懈褔邪 褋谢械写 屑懈薪褍褌邪.\n袟邪 写邪 懈蟹斜械谐薪械褌械 锌褉芯褌懈胁芯褉械褔懈褟, 懈蟹锌芯谢蟹胁邪泄褌械 斜褍褌芯薪邪, 蟹邪 写邪 褉械褋褌邪褉褌懈褉邪褌械 斜褉芯褟褔褗褌 蟹邪 蟹邪褌胁邪褉褟薪械.';
+$lang['notsavedyet'] = '袧械蟹邪锌邪蟹械薪懈褌械 锌褉芯屑械薪懈 褖械 斜褗写邪褌 蟹邪谐褍斜械薪懈.\n袠褋泻邪褌械 谢懈 写邪 锌褉芯写褗谢卸懈褌械?';
+$lang['rssfailed'] = '袙褗蟹薪懈泻薪邪 谐褉械褕泻邪 锌褉懈 胁蟹械屑邪薪械褌芯 薪邪 褌芯蟹懈 feed: ';
+$lang['nothingfound'] = '袧懈褖芯 薪械 斜械 薪邪屑械褉械薪芯.';
+$lang['mediaselect'] = '袦械写懈泄薪懈 褎邪泄谢芯胁械';
+$lang['fileupload'] = '袣邪褔胁邪薪械 薪邪 屑械写懈泄薪懈 褎邪泄谢芯胁械';
+$lang['uploadsucc'] = '袣邪褔胁邪薪械褌芯 斜械 褍褋锌械褕薪芯';
+$lang['uploadfail'] = '袣邪褔胁邪薪械褌芯 斜械 薪械褍褋锌械褕薪芯. 袦芯卸械 斜懈 锌芯褉邪写懈 谐褉械褕薪懈 锌褉邪胁邪?';
+$lang['uploadwrong'] = '袣邪褔胁邪薪械褌芯 斜械 芯褌泻邪蟹邪薪芯. 孝芯胁邪 褎邪泄谢芯胁芯 褉邪蟹褕懈褉械薪懈械 械 蟹邪斜褉邪薪械薪芯!';
+$lang['uploadexist'] = '肖邪泄谢褗褌 胁械褔械 褋褗褖械褋褌胁褍胁邪. 袧懈褖芯 薪械 斜械 薪邪锌褉邪胁械薪芯.';
+$lang['uploadbadcontent'] = '袣邪褔械薪芯褌芯 褋褗写褗褉卸邪薪懈械 薪邪 褋褗芯褌胁械褌褋褌胁邪 薪邪 褎邪泄谢芯胁芯 褉邪蟹褕懈褉械薪懈械 %s .';
+$lang['uploadspam'] = '袣邪褔胁邪薪械褌芯 斜械 斜谢芯泻懈褉邪薪芯 芯褌 褋锌邪屑 褋锌懈褋褗泻邪.';
+$lang['uploadxss'] = '袣邪褔胁邪薪械褌芯 斜械 斜谢芯泻懈褉邪薪芯, 蟹邪褉邪写懈 胁褗蟹屑芯卸薪芯 芯斜懈写薪芯 褋褗写褗褉卸邪薪懈械.';
+$lang['uploadsize'] = '肖邪泄谢褜褌 蟹邪 泻邪褔胁邪薪械 斜械 锌褉械泻邪谢械薪芯 谐芯谢褟屑. (屑邪泻褋. %s)';
+$lang['deletesucc'] = '肖邪泄谢褗褌 "%s" 斜械 懈蟹褌褉懈褌.';
+$lang['deletefail'] = '"%s" 薪械 斜械 懈蟹褌褉懈褌, 锌褉芯胁械褉械褌械 锌褉邪胁邪褌邪';
+$lang['mediainuse'] = '肖邪泄谢褗褌 "%s" 薪械 斜械 懈蟹褌褉懈褌 - 胁褋械 芯褖械 褋械 锌芯谢蟹胁邪.';
+$lang['namespaces'] = '袠屑械薪薪懈 锌褉芯褋褌褉邪薪褋褌胁邪';
+$lang['mediafiles'] = '袛芯褋褌褗锌薪懈 褎邪泄谢芯胁械 胁';
+$lang['js']['searchmedia'] = '孝褗褉褋懈 褎邪泄谢芯胁械';
+$lang['js']['keepopen'] = '袟邪写褗褉卸邪薪械 薪邪 锌褉芯蟹芯褉械褑邪 芯褌胁芯褉械薪 锌褉懈 懈蟹斜芯褉';
+$lang['js']['hidedetails'] = '小泻褉懈泄 写械褌邪泄谢懈褌械';
+$lang['js']['nosmblinks'] = '袙褉褗蟹泻懈褌械 泻褗屑 Windows shares 褉邪斜芯褌褟褌 褋邪屑芯 锌芯写 Internet Explorer.
+袦芯卸械褌械 写邪 泻芯锌懈褉邪褌械 懈 锌芯褋褌邪胁懈褌械 胁褉褗蟹泻邪褌邪.';
+$lang['js']['linkwiz'] = '袥懈薪泻 褋褗胁械褌薪懈泻';
+$lang['js']['linkto'] = '袥懈薪泻 泻褗屑: ';
+$lang['js']['del_confirm'] = '袛邪 斜褗写邪褌 谢懈 懈蟹褌褉懈褌懈 懈蟹斜褉邪薪懈褌械 械谢械屑械薪褌懈?';
+$lang['js']['mu_btn'] = '袣邪褔胁邪薪械 薪邪 薪褟泻芯谢泻芯 褎邪泄谢邪 薪邪胁械写薪褗卸';
+$lang['mediausage'] = '袠蟹锌芯谢蟹胁邪泄褌械 褋谢械写薪懈褟褌 褋懈薪褌邪泻褋, 蟹邪 写邪 褍锌芯屑械薪械褌械 褎邪泄谢邪:';
+$lang['mediaview'] = '袩褉械谐谢械写 薪邪 芯褉懈谐懈薪邪谢薪懈褟 褎邪泄谢';
+$lang['mediaroot'] = 'root';
+$lang['mediaupload'] = '袣邪褔械褌械 褎邪泄谢 胁 褌械泻褍褖芯褌芯 懈屑械薪薪芯锌褉芯褋褌褉邪薪褋褌胁芯 褌褍泻. 袟邪 写邪 褋褗蟹写邪写械褌械 锌芯写懈屑械薪薪懈 锌褉芯褋褌褉邪薪褋褌胁邪, 写芯斜邪胁械褌械 谐懈 胁 薪邪褔邪谢芯褌芯 薪邪 "袣邪褔懈 泻邪褌芯" 懈屑械 薪邪 褎邪泄谢, 褉邪蟹写械谢械薪懈 褋 写胁芯械褌芯褔懈械.';
+$lang['mediaextchange'] = '袪邪蟹褕懈褉械薪懈械褌芯 薪邪 褎邪泄谢邪 斜械 褋屑械薪械薪芯 芯褌 .%s 薪邪 .%s!';
+$lang['reference'] = '袪械褎械褉械薪褑懈懈 蟹邪';
+$lang['ref_inuse'] = '肖邪泄谢褗褌 薪械 屑芯卸械 写邪 斜褗写械 懈蟹褌褉懈褌, 蟹邪褖芯褌芯 胁褋械 芯褖械 褋械 锌芯谢蟹胁邪 芯褌 褋谢械写薪懈褌械 褋褌褉邪薪懈褑懈:';
+$lang['ref_hidden'] = '袧褟泻芯懈 锌褉械锌褉邪褌泻懈 褋邪 泻褗屑 褋褌褉邪薪懈褑懈, 泻芯懈褌芯 薪褟屑邪褌械 锌褉邪胁邪 写邪 褔械褌械褌械';
+$lang['hits'] = '小褗胁锌邪写械薪懈褟';
+$lang['quickhits'] = '小褗胁锌邪写邪褖懈 懈屑械薪邪 薪邪 褋褌褉邪薪懈褑懈';
+$lang['toc'] = '小褗写褗褉卸邪薪懈械';
+$lang['current'] = '褌械泻褍褖芯';
+$lang['yours'] = '袙邪褕邪褌邪 胁械褉褋懈褟';
+$lang['diff'] = '袩褉械谐谢械写 薪邪 褉邪蟹谢懈泻懈褌械 褋 褌械泻褍褖邪褌邪 胁械褉褋懈褟';
+$lang['diff2'] = '袩芯泻邪蟹胁邪薪械 薪邪 褉邪蟹谢懈泻懈褌械 屑械卸写褍 懈蟹斜褉邪薪懈 锌褉械褉邪斜芯褌泻懈';
+$lang['line'] = '袪械写';
+$lang['breadcrumb'] = '小谢械写邪';
+$lang['youarehere'] = '袧邪屑懈褉邪褌械 褋械 胁';
+$lang['lastmod'] = '袩芯褋谢械写薪邪 锌褉芯屑褟薪邪';
+$lang['by'] = '芯褌';
+$lang['deleted'] = '懈蟹褌褉懈胁邪薪械';
+$lang['created'] = '褋褗蟹写邪胁邪薪械';
+$lang['restored'] = '胁褗蟹褋褌邪薪芯胁械薪邪 锌褉械写懈褕薪邪 胁械褉褋懈褟';
+$lang['external_edit'] = '胁褗薪褕薪邪 褉械写邪泻褑懈褟';
+$lang['summary'] = '袨斜芯斜褖械薪懈械';
+$lang['noflash'] = '<a href="http://www.adobe.com/products/flashplayer/">Adobe Flash Plugin</a> 械 薪械芯斜褏芯写懈屑 蟹邪 锌芯泻邪蟹胁邪薪械 薪邪 褋褗写褗褉卸邪薪懈械褌芯.';
+$lang['download'] = '袠蟹褌械谐谢褟薪械 薪邪 褎褉邪谐屑械薪褌';
+$lang['mail_newpage'] = '写芯斜邪胁械薪邪 褋褌褉邪薪懈褑邪:';
+$lang['mail_changed'] = '锌褉芯屑械薪械薪邪 褋褌褉邪薪懈褑邪:';
+$lang['mail_new_user'] = '薪芯胁 锌芯褌褉械斜懈褌械谢:';
+$lang['mail_upload'] = '泻邪褔械薪 褎邪泄谢: ';
+$lang['qb_bold'] = '校写械斜械谢械薪 褌械泻褋褌';
+$lang['qb_italic'] = '袣褍褉褋懈胁 褌械泻褋褌';
+$lang['qb_underl'] = '袩芯写褔械褉褌邪薪 褌械泻褋褌';
+$lang['qb_code'] = '袣芯写';
+$lang['qb_strike'] = '袟邪褔械褉泻薪邪褌 褌械泻褋褌';
+$lang['qb_h1'] = '袟邪谐谢邪胁懈械 薪懈胁芯 1';
+$lang['qb_h2'] = '袟邪谐谢邪胁懈械 薪懈胁芯 2';
+$lang['qb_h3'] = '袟邪谐谢邪胁懈械 薪懈胁芯 3';
+$lang['qb_h4'] = '袟邪谐谢邪胁懈械 薪懈胁芯 4';
+$lang['qb_h5'] = '袟邪谐谢邪胁懈械 薪懈胁芯 5';
+$lang['qb_h'] = '袟邪谐谢邪胁懈械';
+$lang['qb_hs'] = '袠蟹斜械褉懈 蟹邪谐谢邪胁懈械';
+$lang['qb_hplus'] = '袨褋薪芯胁薪芯 蟹邪谐谢邪胁懈械';
+$lang['qb_hminus'] = '袩芯写蟹邪谐谢邪胁懈械';
+$lang['qb_hequal'] = '袟邪谐谢邪胁懈械 薪邪 褋褗褖芯褌芯 薪懈胁芯';
+$lang['qb_link'] = '袙褗褌褉械褕薪邪 锌褉械锌褉邪褌泻邪';
+$lang['qb_extlink'] = '袙褗薪褕薪邪 锌褉械锌褉邪褌泻邪';
+$lang['qb_hr'] = '啸芯褉懈蟹芯薪褌邪谢薪邪 谢懈薪懈褟';
+$lang['qb_ol'] = '袩芯写褉械写械薪 褋锌懈褋褗泻';
+$lang['qb_ul'] = '袧械锌芯写褉械写械薪 褋锌懈褋褗泻';
+$lang['qb_media'] = '袛芯斜邪胁褟薪械 薪邪 懈蟹芯斜褉邪卸械薪懈褟 懈 写褉褍谐懈 褎邪泄谢芯胁械';
+$lang['qb_sig'] = '袙屑褗泻胁邪薪械 薪邪 锌芯写锌懈褋';
+$lang['qb_smileys'] = '校褋屑懈胁褔懈褑懈';
+$lang['qb_chars'] = '小锌械褑懈邪谢薪懈 蟹薪邪褑懈';
+$lang['upperns'] = '袣褗屑 褋胁褜褉蟹邪薪芯 懈屑械薪薪芯 锌褉芯褋褌褉邪薪褋褌胁芯';
+$lang['admin_register'] = '袛芯斜邪斜褟薪械 薪邪 薪芯胁 锌芯褌褉械斜懈褌械谢';
+$lang['metaedit'] = '袪械写邪泻褌懈褉邪薪械 薪邪 屑械褌邪写邪薪薪懈';
+$lang['metasaveerr'] = '袟邪锌邪蟹胁邪薪械褌芯 薪邪 屑械褌邪写邪薪薪懈褌械 斜械 薪械褍褋锌械褕薪芯';
+$lang['metasaveok'] = '袦械褌邪写邪薪薪懈褌械 斜褟褏邪 蟹邪锌邪蟹械薪懈';
+$lang['img_backto'] = '袧邪蟹邪写 泻褗屑';
+$lang['img_title'] = '袟邪谐谢邪胁懈械';
+$lang['img_caption'] = '袧邪写锌懈褋';
+$lang['img_date'] = '袛邪褌邪';
+$lang['img_fname'] = '袠屑械 薪邪 褎邪泄谢';
+$lang['img_fsize'] = '袪邪蟹屑械褉';
+$lang['img_artist'] = '袟邪褋薪械褌 芯褌';
+$lang['img_copyr'] = '袗胁褌芯褉褋泻芯 锌褉邪胁芯';
+$lang['img_format'] = '肖芯褉屑邪褌';
+$lang['img_camera'] = '肖芯褌芯邪锌邪褉邪褌';
+$lang['img_keywords'] = '袣谢褞褔芯胁懈 写褍屑懈';
+$lang['subscribe_success'] = '%s 斜械 写芯斜邪胁械薪 泻褗屑 邪斜芯薪邪屑械薪褌邪 蟹邪 %s';
+$lang['subscribe_error'] = '袠屑邪褕械 谐褉械褕泻邪 锌褉懈 写芯斜邪胁褟薪械褌芯 薪邪 邪斜芯薪邪屑械薪褌邪 薪邪 %s 蟹邪 %s';
+$lang['subscribe_noaddress'] = '袧褟屑邪 邪写褉械褋 褋胁褗褉蟹邪薪 褋 锌芯褌褉械斜懈褌械谢褟, 薪械 屑芯卸械 写邪 褋械 邪斜芯薪懈褉邪褌械';
+$lang['unsubscribe_success'] = '袗斜芯薪邪屑械薪褌褗褌 %s 斜械 锌褉械屑邪褏薪邪褌 芯褌 褋锌懈褋褗泻邪 蟹邪 %s';
+$lang['unsubscribe_error'] = '袠屑邪褕械 谐褉械褕泻邪 锌褉懈 锌褉械屑邪褏胁邪薪械褌芯 薪邪 邪斜芯薪邪屑械薪褌邪 薪邪 %s 芯褌 褋锌懈褋褗泻邪 %s';
+$lang['authmodfailed'] = '袥芯褕邪 薪邪褋褌褉芯泄泻邪 蟹邪 褍写芯褋褌芯胁械褉褟胁邪薪械 薪邪 锌芯褌褉械斜懈褌械谢褟. 袦芯谢褟, 褍胁械写芯屑械褌械 邪写屑懈薪懈褋褌褉邪褌芯褉邪.';
+$lang['authtempfail'] = '校写芯褋褌芯胁械褉褟胁邪薪械褌芯 薪邪 锌芯褌褉械斜懈褌械谢懈褌械 械 胁褉械屑械薪薪芯 薪械写芯褋褌褗锌薪芯. 袗泻芯 褌芯胁邪 锌褉芯写褗谢卸懈 写褗谢谐芯, 屑芯谢褟 褍胁械写芯屑械褌械 邪写屑懈薪懈褋褌褉邪褌芯褉邪.';
+$lang['i_chooselang'] = '袠蟹斜芯褉 薪邪 械蟹懈泻';
+$lang['i_installer'] = '袠薪褋褌邪谢邪褌芯褉 薪邪 DokuWiki';
+$lang['i_wikiname'] = '袠屑械 薪邪 Wiki';
+$lang['i_enableacl'] = '袙泻谢褞褔胁邪薪械 薪邪 褋锌懈褋褗褑懈 蟹邪 写芯褋褌褗锌 ACL (锌褉械锌芯褉褗褔懈褌械谢薪芯)';
+$lang['i_superuser'] = '小褍锌械褉 锌芯褌褉械斜懈褌械谢';
+$lang['i_problems'] = '袠薪褋褌邪谢邪褌芯褉邪 薪邪屑械褉懈 锌褉芯斜谢械屑懈 褍泻邪蟹邪薪懈 锌芯-写芯谢褍. 袧械 屑芯卸械 写邪 锌褉芯写褗谢卸懈褌械, 写芯泻邪褌芯 薪械 谐懈 芯褌褋褌褉邪薪懈褌械.';
+$lang['i_modified'] = '袩芯褉邪写懈 屑械褉泻懈 蟹邪 褋懈谐褍褉薪芯褋褌 褌芯蟹懈 褋泻褉懈锌褌 褖械 褉邪斜芯褌懈 褋邪屑芯 褋 薪芯胁邪 懈 薪械锌褉芯屑械薪械薪邪 Dokuwiki 懈薪褋褌邪谢邪褑懈褟. 孝褉褟斜胁邪 写邪 褉邪蟹邪褉褏懈胁懈褉邪褌械 芯褌薪芯胁芯 褎邪泄谢芯胁械褌械 芯褌 写褉褗锌薪邪褌懈褟 锌邪泻械褌 懈谢懈 写邪 褋械 锌芯褋褗胁械褌胁邪褌械 褋 锌褗谢薪懈褌械 <a href="http://dokuwiki.org/install">袠薪褋褌褉褍泻褑懈懈 蟹邪 懈薪褋褌邪谢邪褑懈褟 薪邪 Dokuwiki</a>.';
+$lang['i_funcna'] = 'PHP 褎褍薪泻褑懈褟褌邪 <code>%s</code> 薪械 械 写芯褋褌褗锌薪邪. 袦芯卸械 斜懈 械 蟹邪斜褉邪薪械薪邪 芯褌 写芯褋褌邪胁褔懈泻邪 薪邪 褏芯褋褌懈薪谐.';
+$lang['i_phpver'] = '袙邪褕邪褌邪 PHP 胁械褉褋懈褟 <code>%s</code> 械 锌芯-褋褌邪褉邪 芯褌 薪械芯斜褏芯写懈屑邪褌邪 <code>%s</code>. 袨斜薪芯胁械褌械 PHP 懈薪褋褌邪谢邪褑懈褟褌邪 褋懈.';
+$lang['i_permfail'] = '<code>%s</code> 薪械 械 写芯褋褌褗锌薪邪 蟹邪 锌懈褋邪薪械 芯褌 DokuWiki. 孝褉褟斜胁邪 写邪 锌褉芯屑械薪懈褌械 薪邪褋褌褉芯泄泻懈褌械 蟹邪 写芯褋褌褗锌 写芯 写懈褉械泻褌芯褉懈褟褌邪!';
+$lang['i_confexists'] = '<code>%s</code> 胁械褔械 褋褗褖械褋褌胁褍胁邪';
+$lang['i_writeerr'] = '<code>%s</code> 薪械 屑芯卸邪 写邪 斜褗写械 褋褗蟹写邪写械薪. 孝褉褟斜胁邪 写邪 锌褉芯胁械褉懈褌械 锌褉邪胁邪褌邪 薪邪 写懈褉械泻褌芯褉懈褟褌邪/褎邪泄谢邪 蟹邪 写芯褋褌褗锌 懈 写邪 褋褗蟹写邪写械褌械 褎邪泄谢 褉褗褔薪芯.';
+$lang['i_badhash'] = '薪械褉邪蟹锌芯蟹薪邪褌 懈谢懈 锌褉芯屑械薪械薪 dokuwiki.php (hash=<code>%s</code>)';
+$lang['i_badval'] = '<code>%s</code> - 薪械锌芯蟹胁芯谢械薪邪 懈谢懈 锌褉邪蟹薪邪 褋褌芯泄薪芯褋褌';
+$lang['i_success'] = '袧邪褋褌褉芯泄泻邪褌邪 锌褉懈泻谢褞褔懈 褍褋锌械褕薪芯. 袦芯卸械 写邪 褋褗蟹写邪写械褌械 褎邪泄谢褗褌 install.php 褋械谐邪. 袩褉芯写褗谢卸械褌械 泻褗屑
+ <a href="doku.php">袧芯胁芯 袙懈 DokuWiki</a>.';
+$lang['i_failure'] = '袠屑邪褕械 谐褉械褕泻懈 锌褉懈 蟹邪锌懈褋邪 薪邪 褎邪泄谢芯胁械褌械 褋 薪邪褋褌褉芯泄泻懈. 袦芯卸械 写邪 褌褉褟斜胁邪 写邪 谐懈 褉械写邪泻褌懈褉邪褌械 褉褗褔薪芯. 袩芯谢蟹胁邪泄褌械 <a href="doku.php">袧芯胁芯 袙懈 DokuWiki</a>.';
+$lang['i_policy'] = '袩褗褉胁芯薪邪褔邪谢薪邪 锌芯谢懈褌懈泻邪 蟹邪 写芯褋褌褗锌 ACL';
+$lang['i_pol0'] = '袨褌胁芯褉械薪芯 Wiki (褔械褌械薪械, 锌懈褋邪薪械, 泻邪褔胁邪薪械 芯褌 胁褋懈褔泻懈)';
+$lang['i_pol1'] = '袩褍斜谢懈褔薪芯 Wiki (褔械褌械薪械 芯褌 胁褋懈褔泻懈, 锌懈褋邪薪械 懈 泻邪褔胁邪薪械 芯褌 褉械谐懈褋褌褉懈褉邪薪懈 锌芯褌褉械斜懈褌械谢懈)';
+$lang['i_pol2'] = '袟邪褌胁芯褉械薪芯 Wiki (褔械褌械薪械, 锌懈褋邪薪械, 泻邪褔胁邪薪械 褋邪屑芯 芯褌 褉械谐懈褋褌褉懈褉邪薪懈 锌芯褌褉械斜懈褌械谢懈)';
+$lang['i_retry'] = '袩芯胁褌芯褉械薪 芯锌懈褌';
+$lang['mu_intro'] = '孝褍泻 屑芯卸械褌械 写邪 泻邪褔懈褌械 薪褟泻芯谢泻芯 褎邪泄谢邪 薪邪胁械写薪褗卸. 袛芯斜邪胁械褌械 谐懈 泻褗屑 褋褗芯褌胁械褌薪懈褌械 锌芯谢械褌邪 懈 薪邪褌懈褋薪械褌械 斜褍褌芯薪邪 蟹邪 泻邪褔胁邪薪械.
+';
+$lang['mu_gridname'] = '袠屑械 薪邪 褎邪泄谢';
+$lang['mu_gridsize'] = '袚芯谢械屑懈薪邪';
+$lang['mu_gridstat'] = '小褗褋褌芯褟薪懈械';
+$lang['mu_namespace'] = '袠屑械薪薪芯 锌褉芯褋褌褉邪薪褋褌胁芯';
+$lang['mu_browse'] = '袠蟹斜械褉懈';
+$lang['mu_toobig'] = '锌褉械泻邪谢械薪芯 谐芯谢褟屑';
+$lang['mu_ready'] = '谐芯褌芯胁 蟹邪 泻邪褔胁邪薪械';
+$lang['mu_done'] = '锌褉懈泻谢褞褔械薪';
+$lang['mu_fail'] = '薪械褍褋锌械褕械薪';
+$lang['mu_authfail'] = '褋械褋懈褟褌邪 懈蟹褌械褔械';
+$lang['mu_progress'] = '@PCT@% 泻邪褔械薪';
+$lang['mu_filetypes'] = '袩芯蟹胁芯谢械薪懈 褎邪泄谢芯胁懈 褉邪蟹褕懈褉械薪懈褟';
+$lang['mu_info'] = '泻邪褔械薪懈 褎邪泄谢芯胁械';
+$lang['mu_lasterr'] = '袩芯褋谢械写薪邪 谐褉械褕泻邪:';
+$lang['recent_global'] = '袙 屑芯屑械薪褌邪 锌褉械谐谢械卸写邪褌械 锌褉芯屑械薪懈褌械 胁 <b>%s</b> 懈屑械薪薪芯 锌褉芯褋褌褉邪薪褋褌胁芯. 袦芯卸械 写邪 锌褉械谐谢械写邪褌械 懈 <a href="%s">锌褉芯屑械薪懈褌械 薪邪 褑褟谢芯褌芯 Wiki</a>.';
+$lang['years'] = '锌褉械写懈 %d 谐芯写懈薪懈';
+$lang['months'] = '锌褉械写懈 %d 屑械褋械褑懈';
+$lang['weeks'] = '锌褉械写懈 %d 褋械写屑懈褑懈';
+$lang['days'] = '锌褉械写懈 %d 写薪懈';
+$lang['hours'] = '锌褉械写懈 %d 褔邪褋邪';
+$lang['minutes'] = '锌褉械写懈 %d 屑懈薪褍褌懈';
+$lang['seconds'] = '锌褉械写懈 %d 褋械泻褍薪写懈';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/locked.txt
new file mode 100644
index 000000000..0eecc6729
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/locked.txt
@@ -0,0 +1,3 @@
+====== 小褌褉邪薪懈褑邪褌邪 械 蟹邪褌胁芯褉械薪邪 ======
+
+袙 屑芯屑械薪褌邪 褋褌褉邪薪懈褑邪褌邪 械 蟹邪褌胁芯褉械薪邪 蟹邪 褉械写邪泻褑懈褟 芯褌 写褉褍谐 锌芯褌褉械斜懈褌械谢. 孝褉褟斜胁邪 写邪 懈蟹褔邪泻邪褌邪 写芯泻邪褌芯 褌芯蟹懈 锌芯褌褉械斜懈褌械谢 锌褉懈泻谢褞褔懈 懈谢懈 蟹邪褌胁邪褉褟薪械褌芯 懈蟹褌械褔械.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/login.txt
new file mode 100644
index 000000000..b525f08cf
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/login.txt
@@ -0,0 +1,3 @@
+====== 袙褏芯写 ======
+
+袙 屑芯屑械薪褌邪 薪械 褋褌械 胁谢械蟹谢懈! 袙褗胁械写械褌械 写邪薪薪懈褌械 褋懈 写芯谢褍, 蟹邪 写邪 谐芯 薪邪锌褉邪胁懈褌械. 袘懈褋泻胁懈褌泻懈褌械 (cookies) 褌褉褟斜胁邪 写邪 褋邪 胁泻谢褞褔械薪懈.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/mailtext.txt
new file mode 100644
index 000000000..8c18767e5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/mailtext.txt
@@ -0,0 +1,16 @@
+小褌褉邪薪懈褑邪 胁褗胁 DokuWiki 斜械 写芯斜邪胁械薪邪 懈谢懈 锌褉芯屑械薪械薪邪. 袝褌芯 写械褌邪泄谢懈褌械:
+
+袛邪褌邪 : @DATE@
+袘褉邪褍蟹褗褉 : @BROWSER@
+IP-邪写褉械褋 : @IPADDRESS@
+袠屑械 薪邪 褏芯褋褌 : @HOSTNAME@
+小褌邪褉邪 胁械褉褋懈褟: @OLDPAGE@
+袧芯胁邪 胁械褉褋懈褟 : @NEWPAGE@
+袨斜芯斜褖械薪懈械 : @SUMMARY@
+袩芯褌褉械斜懈褌械谢 : @USER@
+
+@DIFF@
+
+
+--
+孝芯胁邪 锌懈褋屑芯 械 谐械薪械褉懈褉邪薪芯 芯褌 DokuWiki 薪邪 邪写褉械褋 @DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/newpage.txt
new file mode 100644
index 000000000..fcc1c6257
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/newpage.txt
@@ -0,0 +1,4 @@
+====== 袧械褋褗褖械褋褌胁褍胁邪褖邪 褌械屑邪 ======
+
+袩芯褋谢械写胁邪谢懈 褋褌械 胁褉褗蟹泻邪 泻褗屑 褌械屑邪, 泻芯褟褌芯 胁褋械 芯褖械 薪械 褋褗褖械褋褌胁褍胁邪. 袗泻芯 锌褉邪胁邪褌邪 袙懈 锌芯蟹胁芯谢褟胁邪褌, 屑芯卸械 写邪 褟 褋褗蟹写邪写械褌械 泻邪褌芯 懈蟹锌芯谢蟹胁邪褌械 斜褍褌芯薪邪 ''小褗蟹写邪胁邪薪械 薪邪 褋褌褉邪薪懈褑邪褌邪''
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/norev.txt
new file mode 100644
index 000000000..0262aef60
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/norev.txt
@@ -0,0 +1,4 @@
+====== 袧褟屑邪 褌邪泻邪胁邪 胁械褉褋懈褟 ======
+
+袠蟹斜褉邪薪邪褌邪 胁械褉褋懈褟 薪械 褋褗褖械褋褌胁褍胁邪. 袠蟹锌芯谢蟹胁邪泄褌械 斜褍褌芯薪邪 ''袪械写邪泻褑懈懈'' 蟹邪 褋锌懈褋褗泻 薪邪 褋褌邪褉懈 胁械褉褋懈懈 薪邪 写芯泻褍屑械薪褌邪.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/preview.txt
new file mode 100644
index 000000000..442f16de2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/preview.txt
@@ -0,0 +1,3 @@
+====== 袩褉械谐谢械写 ======
+
+袝褌芯 泻邪泻 褖械 懈蟹谐谢械卸写邪 褌械泻褋褌邪. 孝芯泄 芯斜邪褔械 胁褋械 芯褖械 **薪械 械 蟹邪锌邪蟹械薪** !
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/pwconfirm.txt
new file mode 100644
index 000000000..1cd64b151
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/pwconfirm.txt
@@ -0,0 +1,14 @@
+袟写褉邪胁械泄褌械 @FULLNAME@!
+
+袧褟泻芯泄 械 锌芯懈褋泻邪谢 薪芯胁邪 锌邪褉芯谢邪 蟹邪 锌芯褌褉械斜懈褌械谢褟 @TITLE@
+薪邪 @DOKUWIKIURL@
+
+袗泻芯 薪械 褋褌械 锌芯懈褋泻邪谢懈 薪芯胁邪 锌邪褉芯谢邪, 褌芯胁邪胁邪 锌褉芯褋褌芯 懈谐薪芯褉懈褉邪泄褌械 褌芯胁邪 锌懈褋屑芯.
+
+袟邪 写邪 锌芯褌胁褗褉写懈褌械, 褔械 懈褋泻邪薪械褌芯 械 薪邪懈褋褌懈薪邪 锌褉邪褌械薪芯 芯褌 胁邪褋, 屑芯谢褟 懈蟹锌芯谢蟹胁邪泄褌械
+褋谢械写薪懈褟 邪写褉械褋.
+
+@CONFIRM@
+
+--
+孝芯胁邪 锌懈褋屑芯 械 谐械薪械褉懈褉邪薪芯 芯褌 DokuWiki 薪邪 邪写褉械褋 @DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/read.txt
new file mode 100644
index 000000000..89e9a9d70
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/read.txt
@@ -0,0 +1,2 @@
+孝邪蟹懈 褋褌褉邪薪懈褑邪 械 锌芯蟹胁芯谢械薪邪 褋邪屑芯 蟹邪 褔械褌械薪械. 袦芯卸械 写邪 褉邪蟹谐谢械写邪褌械 泻芯写邪, 薪芯 薪械 懈 写邪 谐芯 锌褉芯屑械薪褟褌邪. 袨斜褗褉薪械褌械 褋械 褋褗屑 邪写屑懈薪懈褋褌褉邪褌芯褉邪 褋懈, 邪泻芯 屑懈褋谢懈褌械, 褔械 褌芯胁邪 械 谐褉械褕薪芯.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/recent.txt
new file mode 100644
index 000000000..262979e34
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/recent.txt
@@ -0,0 +1,4 @@
+====== 袩芯褋谢械写薪懈 锌褉芯屑械薪懈 ======
+
+小谢械写薪懈褌械 褋褌褉邪薪懈褑懈 褋邪 斜懈谢懈 锌褉芯屑械薪械薪懈 薪邪褋泻芯褉芯.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/register.txt
new file mode 100644
index 000000000..74a07cd90
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/register.txt
@@ -0,0 +1,4 @@
+====== 袪械谐懈褋褌褉懈褉邪泄褌械 褋械 泻邪褌芯 薪芯胁 锌芯褌褉械斜懈褌械谢 ======
+
+袦芯谢褟, 锌芯锌褗谢薪械褌械 胁褋懈褔泻邪褌邪 懈薪褎芯褉屑邪褑懈褟 写芯谢褍, 蟹邪 写邪 褋褗蟹写邪写械褌械 薪芯胁 锌褉芯褎懈谢 胁 褌芯胁邪 褍懈泻懈. 袘褗写械褌械 褋懈谐褍褉薪懈, 褔械 锌芯写邪胁邪褌械 **胁邪谢懈写械薪 邪写褉械褋 薪邪 械谢械泻褌褉芯薪薪邪 锌芯褖邪** - 邪泻芯 薪械 褋械 锌懈褌邪 蟹邪 锌邪褉芯谢邪 褌褍泻, 薪芯胁邪 褖械 斜褗写械 锌褉邪褌械薪邪 薪邪 褌芯蟹懈 邪写褉械褋. 袩芯褌褉械斜懈褌械谢褋泻芯褌芯 懈屑械 褌褉褟斜胁邪 写邪 斜褗写械 胁邪谢懈写薪芯 [[doku>pagename|懈屑械 薪邪 褋褉邪薪懈褑邪]]
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/registermail.txt
new file mode 100644
index 000000000..7839b0910
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/registermail.txt
@@ -0,0 +1,13 @@
+袧芯胁 锌芯褌褉械斜懈褌械谢 斜械褕械 褉械谐懈褋褌褉懈褉邪薪. 袝褌芯 写械褌邪泄谢懈褌械:
+
+袩芯褌褉械斜懈褌械谢 : @NEWUSER@
+袩褗谢薪芯 懈屑械 : @NEWNAME@
+E-锌芯褖邪 : @NEWEMAIL@
+
+袛邪褌邪 : @DATE@
+袘褉邪褍蟹褗褉 : @BROWSER@
+IP-邪写褉械褋 : @IPADDRESS@
+袠屑械 薪邪 褏芯褋褌邪: @HOSTNAME@
+
+--
+孝芯胁邪 锌懈褋屑芯 械 谐械薪械褉懈褉邪薪芯 芯褌 DokuWiki 薪邪 邪写褉械褋 @DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/resendpwd.txt
new file mode 100644
index 000000000..7b9b9a027
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/resendpwd.txt
@@ -0,0 +1,3 @@
+====== 袩褉邪褖邪薪械 薪邪 薪芯胁邪 锌邪褉芯谢邪 ======
+
+袦芯谢褟, 胁褗胁械写械褌械 锌芯褌褉械斜懈褌械谢褋泻芯褌芯 褋懈 懈屑械 胁褗胁 褎芯褉屑褍谢褟褉邪 写芯谢褍, 蟹邪 写邪 锌芯懈褋泻邪褌械 薪芯胁邪 锌邪褉芯谢邪 蟹邪 胁邪褕懈褟褌 锌褉芯褎懈谢 胁 褌芯胁邪 Wiki. 袙褉褗蟹泻邪 蟹邪 锌芯褌胁褗褉卸写械薪懈械 褖械 胁懈 斜褗写械 锌褉邪褌械薪邪 薪邪 褉械谐懈褋褌褉懈褉邪薪懈褟褌 胁 褌芯胁邪 Wiki 邪写褉械褋 薪邪 械谢械泻褌褉芯薪薪邪 锌芯褖邪.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/revisions.txt
new file mode 100644
index 000000000..295f5f6cc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/revisions.txt
@@ -0,0 +1,4 @@
+====== 小褌邪褉懈 褉械写邪泻褑懈懈 ======
+
+孝芯胁邪 褋邪 褋褌邪褉懈 褉械写邪泻褑懈懈 薪邪 褌芯蟹懈 写芯泻褍屑械薪褌. 袟邪 写邪 胁褗蟹褋褌邪薪芯胁懈褌械 褋褌邪褉邪 胁械褉褋懈褟, 懈蟹斜械褉械褌械 褟 写芯谢褍, 薪邪褌懈褋薪械褌械 ''袪械写邪泻褌懈褉邪薪械'' 懈 褟 蟹邪锌邪蟹械褌械.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/searchpage.txt
new file mode 100644
index 000000000..03e019985
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/searchpage.txt
@@ -0,0 +1,5 @@
+====== 孝褗褉褋械薪械 ======
+
+袦芯卸械 写邪 薪邪屑械褉懈褌械 褉械蟹褍谢褌邪褌懈褌械 薪邪 褌褗褉褋械薪械褌芯 写芯谢褍. 袗泻芯 薪械 褋褌械 薪邪屑械褉懈谢懈 泻邪泻胁芯褌芯 褋褌械 褌褗褉褋懈谢懈, 屑芯卸械 写邪 褋褗蟹写邪写械褌械 懈谢懈 褉械写邪泻褌懈褉邪褌械 褋褌褉邪薪懈褑邪 泻褉褗褋褌械薪邪 锌芯 胁邪褕械褌邪 蟹邪褟胁泻邪 蟹邪 褌褗褉褋械薪械 褋褗褋 褋褗芯褌胁械褌薪懈褟褌 斜褍褌芯薪
+
+===== 袪械蟹褍谢褌邪褌懈 =====
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/showrev.txt
new file mode 100644
index 000000000..c0b1709fe
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/showrev.txt
@@ -0,0 +1,2 @@
+**孝芯胁邪 械 褋褌邪褉邪 褉械写邪泻褑懈褟 薪邪 写芯泻褍屑械薪褌邪**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/stopwords.txt
new file mode 100644
index 000000000..369f4d789
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/stopwords.txt
@@ -0,0 +1,29 @@
+# 孝芯胁邪 械 褋锌懈褋褗泻 薪邪 写褍屑懈 蟹邪 懈谐薪芯褉懈褉邪薪械, 褋 械写薪邪 写褍屑邪 薪邪 褉械写
+# 袣芯谐邪褌芯 褉械写邪泻褌懈褉邪褌械 褌芯蟹懈 褎邪泄谢, 薪械 蟹邪斜褉邪胁褟泄褌械 写邪 懈蟹锌芯谢蟹胁邪褌械 UNIX 褋懈屑胁芯谢 蟹邪 薪芯胁 褉械写
+# 袧械 械 薪褍卸薪芯 写邪 胁泻谢褞褔胁邪褌械 写褍屑懈 锌芯-泻褉邪褌泻懈 芯褌 3 褋懈屑胁芯谢邪 - 褌械 褋邪 懈谐薪芯褉懈褉邪薪懈 褌邪泻邪 懈谢懈 懈薪邪褔械
+# 孝芯蟹懈 褋锌懈褋褗泻 褋械 芯褋薪芯胁邪胁邪 薪邪 写褍屑懈 薪邪屑械褉械薪懈 薪邪 http://www.ranks.nl/stopwords/
+about
+are
+and
+you
+your
+them
+their
+com
+for
+from
+into
+how
+that
+the
+this
+was
+what
+when
+where
+who
+will
+with
+und
+the
+www
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/subscribermail.txt
new file mode 100644
index 000000000..51d4f005a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/subscribermail.txt
@@ -0,0 +1,16 @@
+袩褉懈胁械褌!
+
+小褌褉邪薪懈褑邪褌邪 @PAGE@ 胁 @TITLE@ 褍懈泻懈褌械 斜械 锌褉芯屑械薪械薪邪.
+袝褌芯 锌褉芯屑械薪懈褌械:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+袟邪 写邪 褋械 芯褌锌懈褕械褌械 芯褌 褌邪蟹懈 褋褌褉邪薪懈褑邪, 胁谢械蟹褌械 胁 褍懈泻懈褌芯 薪邪
+@DOKUWIKIURL@ 褌芯谐邪胁邪 芯褌懈写械褌械 薪邪
+@NEWPAGE@
+懈 懈蟹斜械褉械褌械 '袨褌锌懈褋胁邪薪械 芯褌 锌褉芯屑械薪懈褌械'.
+
+--
+孝芯胁邪 锌懈褋屑芯 械 谐械薪械褉懈褉邪薪芯 芯褌 DokuWiki 薪邪 邪写褉械褋 @DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/updateprofile.txt
new file mode 100644
index 000000000..0a6f15297
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/updateprofile.txt
@@ -0,0 +1,3 @@
+====== 袨斜薪芯胁械褌械 锌褉芯褎懈谢邪 褋懈 ======
+
+孝褉褟斜胁邪 褋邪屑芯 写邪 写芯锌褗谢薪懈褌械 锌芯谢械褌邪褌邪, 泻芯懈褌芯 懈褋泻邪褌械 写邪 锌褉芯屑械薪懈褌械. 袧械 屑芯卸械 写邪 褋屑械薪褟褌械 锌芯褌褉械斜懈褌械谢褋泻芯褌芯 褋懈 懈屑械.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/uploadmail.txt
new file mode 100644
index 000000000..74f0cdc3e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/uploadmail.txt
@@ -0,0 +1,13 @@
+袘械 泻邪褔械薪 褎邪泄谢 薪邪 胁邪褕械褌芯 DokuWiki. 袝褌芯 写械褌邪泄谢懈褌械
+
+肖邪泄谢 : @MEDIA@
+袛邪褌邪 : @DATE@
+袘褉邪褍蟹e褉 : @BROWSER@
+IP-袗写褉械褋 : @IPADDRESS@
+袠屑械 薪邪 褏芯褋褌 : @HOSTNAME@
+袪邪蟹屑械褉 : @SIZE@
+MIME 孝懈锌 : @MIME@
+袩芯褌褉械斜懈褌械谢 : @USER@
+
+--
+T芯胁邪 锌懈褋屑芯 械 谐械薪械褉懈褉邪薪芯 芯褌 DokuWiki 薪邪 邪写褉械褋 @DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/wordblock.txt
new file mode 100644
index 000000000..1afbae21d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/bg/wordblock.txt
@@ -0,0 +1,3 @@
+====== 袘谢芯泻懈褉邪薪 小袩袗袦 ======
+
+袩褉芯屑械薪懈褌械 胁懈 **薪械** 斜褟褏邪 蟹邪锌邪蟹械薪懈, 蟹邪褖芯褌芯 褋褗写褗褉卸邪褌 械写薪邪 懈谢懈 锌芯胁械褔械 蟹邪斜褉邪薪械薪懈 写褍屑懈. 袗泻芯 褋褌械 褋械 芯锌懈褌邪谢懈 写邪 锌褍褋薪械褌械 褋锌邪屑 胁 褍懈泻懈褌芯 懈 褌邪蟹 褏褍斜邪胁邪! 袗泻芯 褋屑褟褌邪褌械, 褔械 褌芯胁邪 械 谐褉械褕泻邪, 褋胁褗褉卸械褌械 褋械 褋 邪写屑懈薪懈褋褌褉邪褌芯褉邪 薪邪 褌芯胁邪 Wiki.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/admin.txt
new file mode 100644
index 000000000..628948e86
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/admin.txt
@@ -0,0 +1,4 @@
+====== Administraci贸 ======
+
+Avall pot trobar una llista de tasques administratives disponibles en DokuWiki.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/adminplugins.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/adminplugins.txt
new file mode 100644
index 000000000..6c5c4f90d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/adminplugins.txt
@@ -0,0 +1 @@
+===== Pl煤gins adicionals ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/backlinks.txt
new file mode 100644
index 000000000..06a1106f6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/backlinks.txt
@@ -0,0 +1,3 @@
+====== V铆nculs remitents ======
+
+Una llista de p脿gines que pareixen vincular a la p脿gina actual. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/conflict.txt
new file mode 100644
index 000000000..67319612d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/conflict.txt
@@ -0,0 +1,6 @@
+====== Ya existix una versi贸 m茅s nova ======
+
+Existix una versi贸 m茅s nova del document que ha editat. A莽貌 ha passat perque un atre usuari ha modificat el document mentres vost茅 estava editant-lo.
+
+Estudie be les difer猫ncies mostrades avall i decidixca quina versi贸 vol guardar. Si pulsa ''Guardar'' es guardar脿 la versi贸 que est脿 editant. Pulse ''Cancelar'' per a conservar la versi贸 modificada per l'atre usuari..
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/denied.txt
new file mode 100644
index 000000000..39c45d946
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/denied.txt
@@ -0,0 +1,4 @@
+====== Perm铆s denegat ======
+
+Disculpe, pero no t茅 perm铆s per a continuar. 驴Haur脿 oblidat iniciar sessi贸?
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/diff.txt
new file mode 100644
index 000000000..2b5c60e1c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/diff.txt
@@ -0,0 +1,4 @@
+====== Difer猫ncies ======
+
+Ac铆 es mostren les difer猫ncies entre dos versions de la p脿gina.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/draft.txt
new file mode 100644
index 000000000..e7e814a69
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/draft.txt
@@ -0,0 +1,6 @@
+====== Borrador trobat ======
+
+L'煤ltima edici贸 d'esta p脿gina no es complet脿 correctament. DokuWiki guarda autom脿ticament un borrador que ara pot recuperar per a continuar editant. Avall pot vore la data en que es guard脿 l'煤ltim borrador.
+
+Per favor, decidixca si vol //recuperar// la sessi贸 que pergu茅, //borrar// el borrador o //cancelar// esta edici贸.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/edit.txt
new file mode 100644
index 000000000..e1ca6bf23
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/edit.txt
@@ -0,0 +1,2 @@
+Edite la p脿gina i pulse 'Guardar". Consulte la [[wiki:syntax|Sintaxis]] del Wiki. Per favor, edite la p脿gina nom茅s **si pot millorar-la**. Si vol fer proves, deprenga a utilisar el Wiki en el [[playground:playground|espai de proves]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/editrev.txt
new file mode 100644
index 000000000..99188a0e9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/editrev.txt
@@ -0,0 +1,2 @@
+**隆Ha carregat una versi贸 antiga del document!** Si la guarda crear脿 una nova versi贸 en el contingut d'esta.
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/index.txt
new file mode 100644
index 000000000..5e57c1680
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/index.txt
@@ -0,0 +1,4 @@
+====== 脥ndex ======
+
+Un 铆ndex de totes les p脿gines disponibles ordenades per [[doku>namespaces|espais de noms]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/install.html
new file mode 100644
index 000000000..eb77cd648
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/install.html
@@ -0,0 +1,11 @@
+<p>Esta p脿gina l'ajudar脿 en la primera instalaci贸 i configuraci贸 de <a href="http://dokuwiki.org">Dokuwiki</a>. N'hi ha m茅s informaci贸 de l'instalador disponible en la
+<a href="http://dokuwiki.org/installer">p脿gina de documentaci贸</a>.</p>
+
+<p>DokuWiki utilisa archius corrents per a l'almagasenament de les p脿gines del wiki i atra informaci贸 associada ad estes p脿gines (p. e. im脿gens, 铆ndexs de busca, versions antigues, etc.). Per a que DokuWiki funcione correctament
+<strong>deu</strong> tindre acc茅s d'escritura als directoris que contenen estos archius. Est instalador no pot ajustar els permissos del directori. Normalment haur脿 de fer-ho directament en una consola de del sistema o, si utilisa un hostage, per FTP o en el panel de control (p. e. cPanel).</p>
+
+<p>Est instalador configurar脿 <acronym title="access control list">ACL</acronym> en el seu DokuWiki, que al mateix temps permet l'acc茅s de l'administrador i l'acc茅s al men煤 d'administraci贸 de DokuWiki per a instalar pl煤gins, gestionar usuaris, gestionar els accessos a les p脿gines del wiki i la modificaci贸 dels ajusts de configuraci贸. No 茅s necessari per a que DokuWiki funcione, pero far脿 m茅s f脿cil la seua administraci贸.</p>
+
+<p>Els usuaris experimentats o en necessitats especials de configuraci贸 deuen utilisar estos v铆nculs per a informaci贸 referent a
+<a href="http://dokuwiki.org/install">instruccions d'instalaci贸</a>
+i <a href="http://dokuwiki.org/config">ajusts de configuraci贸</a>.</p>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/lang.php
new file mode 100644
index 000000000..d85cc1c06
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/lang.php
@@ -0,0 +1,250 @@
+<?php
+/**
+ * valencian language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Bernat Arlandis i Ma帽贸 <berarma@ya.com>
+ * @author Bernat Arlandis <berarma@ya.com>
+ * @author Bernat Arlandis <berarma@llenguaitecnologia.com>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '鈥';
+$lang['btn_edit'] = 'Editar esta p脿gina';
+$lang['btn_source'] = 'Mostrar font';
+$lang['btn_show'] = 'Mostrar p脿gina';
+$lang['btn_create'] = 'Crear esta p脿gina';
+$lang['btn_search'] = 'Buscar';
+$lang['btn_save'] = 'Guardar';
+$lang['btn_preview'] = 'Vista pr猫via';
+$lang['btn_top'] = 'Tornar dalt';
+$lang['btn_newer'] = '<< m茅s recents';
+$lang['btn_older'] = 'manco recents >>';
+$lang['btn_revs'] = 'Versions antigues';
+$lang['btn_recent'] = 'Canvis recents';
+$lang['btn_upload'] = 'Pujar';
+$lang['btn_cancel'] = 'Cancelar';
+$lang['btn_index'] = '脥ndex';
+$lang['btn_secedit'] = 'Editar';
+$lang['btn_login'] = 'Iniciar sessi贸';
+$lang['btn_logout'] = 'Tancar sessi贸';
+$lang['btn_admin'] = 'Administrar';
+$lang['btn_update'] = 'Actualisar';
+$lang['btn_delete'] = 'Borrar';
+$lang['btn_back'] = 'Arrere';
+$lang['btn_backlink'] = 'V铆nculs remitents';
+$lang['btn_backtomedia'] = 'Tornar a la selecci贸 d\'archius de mijos';
+$lang['btn_subscribe'] = 'Subscriure\'s a la p脿gina';
+$lang['btn_unsubscribe'] = 'Desubscriure\'s de la p脿gina';
+$lang['btn_subscribens'] = 'Subscriure\'s a l\'espai de noms';
+$lang['btn_unsubscribens'] = 'Desubscriure\'s de l\'espai de noms';
+$lang['btn_profile'] = 'Actualisar perfil';
+$lang['btn_reset'] = 'Reiniciar';
+$lang['btn_resendpwd'] = 'Enviar contrasenya nova';
+$lang['btn_draft'] = 'Editar borrador';
+$lang['btn_recover'] = 'Recuperar borrador';
+$lang['btn_draftdel'] = 'Borrar borrador';
+$lang['btn_revert'] = 'Recuperar';
+$lang['loggedinas'] = 'Sessi贸 de';
+$lang['user'] = 'Nom d\'usuari';
+$lang['pass'] = 'Contrasenya';
+$lang['newpass'] = 'Contrasenya nova';
+$lang['oldpass'] = 'Confirmar la contrasenya actual';
+$lang['passchk'] = 'una atra volta';
+$lang['remember'] = 'Recorda\'m';
+$lang['fullname'] = 'Nom complet';
+$lang['email'] = 'Correu electr貌nic';
+$lang['register'] = 'Registrar-se';
+$lang['profile'] = 'Perfil d\'usuari';
+$lang['badlogin'] = 'Disculpe, pero el nom d\'usuari o la contrasenya s贸n incorrectes.';
+$lang['minoredit'] = 'Canvis menors';
+$lang['draftdate'] = 'Borrador gravat el';
+$lang['nosecedit'] = 'La p脿gina ha canviat mentres tant, l\'informaci贸 de la secci贸 no estava al dia, s\'ha carregat la p脿gina sancera.';
+$lang['regmissing'] = 'Disculpe, pero deu omplir tots els camps.';
+$lang['reguexists'] = 'Disculpe, pero ya existix un usuari en este nom.';
+$lang['regsuccess'] = 'S\'ha creat l\'usuari i se li ha enviat la contrasenya per correu electr貌nic.';
+$lang['regsuccess2'] = 'S\'ha creat l\'usuari.';
+$lang['regmailfail'] = 'Pareix que ha hagut un erro enviant el correu en la contrasenya. 隆Per favor, contacte en l\'administrador!';
+$lang['regbadmail'] = 'La direcci贸 de correu no pareix v脿lida - contacte en l\'administrador si pensa que 茅s deu a un erro nostre';
+$lang['regbadpass'] = 'Les dos contrasenyes que ha donat no s贸n id猫ntiques, per favor, torne a intentar-ho.';
+$lang['regpwmail'] = 'La seua contrasenya de DokuWiki';
+$lang['reghere'] = '驴Encara no t茅 un conte? Cree-se\'n un';
+$lang['profna'] = 'Este wiki no li permet modificar el perfil';
+$lang['profnochange'] = 'Sense canvis, no hi ha res que fer.';
+$lang['profnoempty'] = 'No es permet deixar el nom o la direcci贸 de correu buits.';
+$lang['profchanged'] = 'Perfil de l\'usuari actualisat.';
+$lang['pwdforget'] = '驴Ha oblidat la contrasenya? Demane\'n una nova';
+$lang['resendna'] = 'Este wiki no permet reenviar la contrasenya.';
+$lang['resendpwd'] = 'Enviar contrasenya nova per a';
+$lang['resendpwdmissing'] = 'Disculpe, pero deu omplir tots els camps.';
+$lang['resendpwdnouser'] = 'Disculpe, pero no trobem ad est usuari en la base de senyes.';
+$lang['resendpwdbadauth'] = 'Disculpe, pero este c貌dic d\'autenticaci贸 no es v脿lit. Verifique que haja utilisat el v铆ncul de confirmaci贸 sancer.';
+$lang['resendpwdconfirm'] = 'Li hem enviat un v铆ncul de confirmaci贸 al correu.';
+$lang['resendpwdsuccess'] = 'Se li ha enviat una nova contrasenya per correu electr貌nic.';
+$lang['license'] = 'Excepte quan s\'indique una atra cosa, el contingut d\'este wiki est脿 llicenciat baix la seg眉ent llic猫ncia:';
+$lang['licenseok'] = 'Nota: a l\'editar esta p脿gina accepta llicenciar el seu contingut baix la seg眉ent llic猫ncia:';
+$lang['searchmedia'] = 'Buscar nom d\'archiu:';
+$lang['searchmedia_in'] = 'Buscar en %s';
+$lang['txt_upload'] = 'Seleccione l\'archiu que vol pujar';
+$lang['txt_filename'] = 'Enviar com (opcional)';
+$lang['txt_overwrt'] = 'Sobreescriure archius existents';
+$lang['lockedby'] = 'Actualment bloquejat per';
+$lang['lockexpire'] = 'El bloqueig ven莽 a les';
+$lang['willexpire'] = 'El seu bloqueig per a editar esta p脿gina vencer脿 en un minut.\nPer a evitar conflictes utilise el bot贸 de vista pr猫via i reiniciar脿 el contador.';
+$lang['notsavedyet'] = 'Els canvis no guardats es perdran.\n驴Segur que vol continuar?';
+$lang['rssfailed'] = 'Ha ocorregut un erro al solicitar este canal: ';
+$lang['nothingfound'] = 'No s\'ha trobat res.';
+$lang['mediaselect'] = 'Archius de mijos';
+$lang['fileupload'] = 'Enviar archius de mijos';
+$lang['uploadsucc'] = 'Enviament correcte';
+$lang['uploadfail'] = 'Enviament fallit. 驴Potser no tinga els permissos necessaris?';
+$lang['uploadwrong'] = 'Enviament denegat. 隆Esta extensi贸 d\'archiu est脿 prohibida!';
+$lang['uploadexist'] = 'L\'archiu ya existix. No s\'ha fet res.';
+$lang['uploadbadcontent'] = 'El contingut enviat no coincidix en l\'extensi贸 de l\'archiu %s';
+$lang['uploadspam'] = 'L\'enviament ha segut bloquejat per la llista anti-spam.';
+$lang['uploadxss'] = 'L\'enviament ha segut bloquejat per ser possiblement perill贸s.';
+$lang['uploadsize'] = 'L\'archiu enviat 茅s massa gran. (m脿x. %s)';
+$lang['deletesucc'] = 'S\'ha borrat l\'archiu "%s".';
+$lang['deletefail'] = 'No s\'ha pogut borrar "%s" - comprove els permissos.';
+$lang['mediainuse'] = 'L\'archiu "%s" no s\'ha borrat - encara s\'est脿 utilisant.';
+$lang['namespaces'] = 'Espais de noms';
+$lang['mediafiles'] = 'Archius disponibles en';
+$lang['js']['searchmedia'] = 'Buscar archius';
+$lang['js']['keepopen'] = 'Mantindre la finestra oberta al seleccionar';
+$lang['js']['hidedetails'] = 'Ocultar detalls';
+$lang['js']['nosmblinks'] = 'Els v铆nculs a recursos compartits de Windows nom茅s funcionen en Microsoft Internet Explorer. No obstant, es poden copiar i apegar.';
+$lang['js']['linkwiz'] = 'Assistent de v铆nculs';
+$lang['js']['linkto'] = 'Vincular a:';
+$lang['js']['del_confirm'] = '驴Realment vol borrar el(s) 铆tem(s) seleccionat(s)?';
+$lang['js']['mu_btn'] = 'Enviar diversos archius d\'una';
+$lang['mediausage'] = 'Utilise la seg眉ent sintaxis per a referenciar est archiu:';
+$lang['mediaview'] = 'Vore l\'archiu original';
+$lang['mediaroot'] = 'base';
+$lang['mediaupload'] = 'Enviar un archiu a l\'espai de noms actual. Per a crear sub-espais, afigga\'ls separats per dos punts davant del nom de l\'archiu que pose en "Enviar com".';
+$lang['mediaextchange'] = '隆Extensi贸 de l\'archiu canviada de .%s a .%s!';
+$lang['reference'] = 'Refer猫ncies per a';
+$lang['ref_inuse'] = 'No es pot borrar l\'archiu perque encara s\'utilisa en les seg眉ents p脿gines:';
+$lang['ref_hidden'] = 'Algunes refer猫ncies estan en p脿gines que no t茅 permissos per a vore';
+$lang['hits'] = 'Encerts';
+$lang['quickhits'] = 'Noms de p脿gines coincidents';
+$lang['toc'] = 'Taula de continguts';
+$lang['current'] = 'Actual';
+$lang['yours'] = 'La seua versi贸';
+$lang['diff'] = 'Mostrar difer猫ncies en la versi贸 actual';
+$lang['diff2'] = 'Mostrar difer猫ncies entre versions';
+$lang['line'] = 'Ll铆nea';
+$lang['breadcrumb'] = 'Tra莽a';
+$lang['youarehere'] = 'Vost茅 est脿 ac铆';
+$lang['lastmod'] = '脷ltima modificaci贸 el';
+$lang['by'] = 'per';
+$lang['deleted'] = 'borrat';
+$lang['created'] = 'creat';
+$lang['restored'] = 'restaurada l\'煤ltima versi贸';
+$lang['external_edit'] = 'edici贸 externa';
+$lang['summary'] = 'Editar sumari';
+$lang['noflash'] = 'Necessita el <a href="http://www.adobe.com/products/flashplayer/">pl煤gin d\'Adobe Flash</a> per a vore este contingut.';
+$lang['download'] = 'Descarregar un tros';
+$lang['mail_newpage'] = 'p脿gina afegida:';
+$lang['mail_changed'] = 'p脿gina canviada:';
+$lang['mail_new_user'] = 'Usuari nou:';
+$lang['mail_upload'] = 'archiu enviat:';
+$lang['qb_bold'] = 'Negreta';
+$lang['qb_italic'] = 'It脿lica';
+$lang['qb_underl'] = 'Subrallat';
+$lang['qb_code'] = 'C貌dic';
+$lang['qb_strike'] = 'Tachat';
+$lang['qb_h1'] = 'Titular de nivell 1';
+$lang['qb_h2'] = 'Titular de nivell 2';
+$lang['qb_h3'] = 'Titular de nivell 3';
+$lang['qb_h4'] = 'Titular de nivell 4';
+$lang['qb_h5'] = 'Titular de nivell 5';
+$lang['qb_h'] = 'Titular';
+$lang['qb_hs'] = 'Triar titular';
+$lang['qb_hplus'] = 'Titular superior';
+$lang['qb_hminus'] = 'Titular inferior';
+$lang['qb_hequal'] = 'Titular al mateix nivell';
+$lang['qb_link'] = 'V铆ncul intern';
+$lang['qb_extlink'] = 'V铆ncul extern';
+$lang['qb_hr'] = 'Ll铆nea horisontal';
+$lang['qb_ol'] = 'Llista numerada';
+$lang['qb_ul'] = 'Llista ';
+$lang['qb_media'] = 'Afegir im脿gens i atres archius';
+$lang['qb_sig'] = 'Afegir firma';
+$lang['qb_smileys'] = 'Smileys';
+$lang['qb_chars'] = 'Car脿cters especials';
+$lang['upperns'] = 'anar a l\'espai de noms superior';
+$lang['admin_register'] = 'Afegir nou usuari';
+$lang['metaedit'] = 'Editar meta-senyes';
+$lang['metasaveerr'] = 'Erro escrivint meta-senyes';
+$lang['metasaveok'] = 'Meta-senyes guardades';
+$lang['img_backto'] = 'Tornar a';
+$lang['img_title'] = 'T铆tul';
+$lang['img_caption'] = 'Subt铆tul';
+$lang['img_date'] = 'Data';
+$lang['img_fname'] = 'Nom de l\'archiu';
+$lang['img_fsize'] = 'Tamany';
+$lang['img_artist'] = 'Fot贸graf';
+$lang['img_copyr'] = 'Copyright';
+$lang['img_format'] = 'Format';
+$lang['img_camera'] = 'C脿mara';
+$lang['img_keywords'] = 'Paraules clau';
+$lang['subscribe_success'] = '%s afegit a la llista de subscripci贸 per a %s';
+$lang['subscribe_error'] = 'Erro afegint a %s a la llista de subscripci贸 per a %s';
+$lang['subscribe_noaddress'] = 'No hi ha cap direcci贸 associada a la sessi贸, no es pot subscriure';
+$lang['unsubscribe_success'] = '%s borrat de la llista de subscripci贸 per a %s';
+$lang['unsubscribe_error'] = 'Erro borrant a %s de la llista de subscripci贸 per a %s';
+$lang['authmodfailed'] = 'Mala configuraci贸 de l\'autenticaci贸 d\'usuari. Per favor, informe a l\'administrador del Wiki.';
+$lang['authtempfail'] = 'L\'autenticaci贸 d\'usuaris est脿 desactivada temporalment. Si la situaci贸 persistix, per favor, informe a l\'administrador del Wiki.';
+$lang['i_chooselang'] = 'Trie l\'idioma';
+$lang['i_installer'] = 'Instalador de DokuWiki';
+$lang['i_wikiname'] = 'Nom del Wiki';
+$lang['i_enableacl'] = 'Activar ACL (recomanat)';
+$lang['i_superuser'] = 'Super-usuari';
+$lang['i_problems'] = 'L\'instalador ha trobat els problemes mostrats m茅s avall. No pot continuar fins que no els arregle.';
+$lang['i_modified'] = 'Per raons de seguritat, este proc茅s nom茅s funcionar脿 en una instalaci贸 nova i verge de DokuWiki.
+Deuria tornar a extraure els archius del paquet que ha descarregat o consultar les
+<a href="http://dokuwiki.org/install">instruccions d\'instalaci贸 de Dokuwiki</a> completes';
+$lang['i_funcna'] = 'La funci贸 de PHP <code>%s</code> no est脿 disponible. 驴Pot ser que el seu prove茂dor d\'hostage l\'haja desactivada per algun motiu?';
+$lang['i_phpver'] = 'La versi贸 de PHP <code>%s</code> 茅s menor que
+la <code>%s</code> que es necessita. Necessita actualisar PHP.';
+$lang['i_permfail'] = 'DokuWiki no pot escriure en <code>%s</code>. 隆Necessita arreglar els permissos d\'este directori!';
+$lang['i_confexists'] = '<code>%s</code> ya existix';
+$lang['i_writeerr'] = 'No es pot crear <code>%s</code>. Haur脿 de comprovar els permissos del directori/archiu i crear manualment l\'archiu.';
+$lang['i_badhash'] = 'dokuwiki.php substitu茂t o modificat (hash=<code>%s</code>)';
+$lang['i_badval'] = '<code>%s</code> - valor illegal o buit';
+$lang['i_success'] = 'La configuraci贸 ha finalisat correctament. Ya pot borrar l\'archiu install.php. Passe al
+<a href="doku.php">nou DokuWiki</a>.';
+$lang['i_failure'] = 'Han aparegut alguns erros escrivint els archius de configuraci贸. Deur脿 arreglar-los manualment abans de que
+puga utilisar el <a href="doku.php">nou DokuWiki</a>.';
+$lang['i_policy'] = 'Pol铆tica inicial ACL';
+$lang['i_pol0'] = 'Wiki obert (llegir, escriure i enviar tots)';
+$lang['i_pol1'] = 'Wiki p煤blic (llegir tots, escriure i enviar nom茅s usuaris registrats)';
+$lang['i_pol2'] = 'Wiki tancat (llegir, escriure i enviar nom茅s usuaris registrats)';
+$lang['i_retry'] = 'Reintentar';
+$lang['mu_intro'] = 'Des d\'ac铆 pot enviar diversos archius d\'una volta. Pulse el bot贸 d\'examinar per a afegir-los a la coa. Pulse enviar quan ho tinga.';
+$lang['mu_gridname'] = 'Nom d\'archiu';
+$lang['mu_gridsize'] = 'Tamany';
+$lang['mu_gridstat'] = 'Estat';
+$lang['mu_namespace'] = 'Espai de noms';
+$lang['mu_browse'] = 'Examinar';
+$lang['mu_toobig'] = 'massa gran';
+$lang['mu_ready'] = 'preparat per a enviar';
+$lang['mu_done'] = 'complet';
+$lang['mu_fail'] = 'fallit';
+$lang['mu_authfail'] = 'la sessi贸 ha ven莽ut';
+$lang['mu_progress'] = '@PCT@% enviat';
+$lang['mu_filetypes'] = 'Classes d\'archiu permeses';
+$lang['mu_info'] = 'archius enviats.';
+$lang['mu_lasterr'] = '脷ltim erro:';
+$lang['recent_global'] = 'Est脿 veent els canvis dins de l\'espai de noms <b>%s</b>. Tamb茅 pot <a href="%s">vore els canvis recents en el wiki sancer</a>.';
+$lang['years'] = 'fa %d anys';
+$lang['months'] = 'fa %d mesos';
+$lang['weeks'] = 'fa %s semanes';
+$lang['days'] = 'fa %d dies';
+$lang['hours'] = 'fa %d hores';
+$lang['minutes'] = 'fa %d minuts';
+$lang['seconds'] = 'fa %d segons';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/locked.txt
new file mode 100644
index 000000000..bdb2bdf0e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/locked.txt
@@ -0,0 +1,3 @@
+====== P脿gina bloquejada ======
+
+Esta p脿gina est脿 actualment bloquejada mentres l'edita un atre usuari. Ha d'esperar fins que l'usuari acabe d'editar la p脿gina o ven莽a el bloqueig.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/login.txt
new file mode 100644
index 000000000..b550c6440
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/login.txt
@@ -0,0 +1,4 @@
+====== Inici de sessi贸 ======
+
+隆Encara no ha iniciat sessi贸! Introdu茂xca les seues credencials d'autenticaci贸 per a iniciar-la. Necessita tindre les galletes del navegador activades.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/mailtext.txt
new file mode 100644
index 000000000..e8da6f8de
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/mailtext.txt
@@ -0,0 +1,17 @@
+S'ha afegit o modificat una p脿gina en el seu DokuWiki. Les senyes s贸n:
+
+Data: @DATE@
+Navegador: @BROWSER@
+Direcci贸 IP: @IPADDRESS@
+Nom de la m脿quina: @HOSTNAME@
+Revisi贸 anterior: @OLDPAGE@
+Nova revisi贸: @NEWPAGE@
+Resum: @SUMMARY@
+Usuari: @USER@
+
+@DIFF@
+
+
+--
+Este correu l'ha generat DokuWiki en
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/newpage.txt
new file mode 100644
index 000000000..93b154425
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/newpage.txt
@@ -0,0 +1,3 @@
+====== Este tema encara no existix ======
+
+Ha seguit un v铆ncul a una p脿gina que encara no existix. Si t茅 els permissos necessaris pot crear-la utilisant el bot贸 ''Crear esta p脿gina''.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/norev.txt
new file mode 100644
index 000000000..434e62dfa
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/norev.txt
@@ -0,0 +1,3 @@
+====== No existix la versi贸 ======
+
+La versi贸 especificada no existix. Utilise el bot贸 ''Versions antigues'' per a vore una llista de versions antigues d'este document. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/preview.txt
new file mode 100644
index 000000000..0997f5953
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/preview.txt
@@ -0,0 +1,4 @@
+====== Previsualisaci贸 ======
+
+A莽貌 es una previsualisaci贸 per a vore c贸m quedar脿 la p脿gina. 隆Recorde que encara no est脿 guardada!
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/pwconfirm.txt
new file mode 100644
index 000000000..919c3d89d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/pwconfirm.txt
@@ -0,0 +1,15 @@
+隆Hola @FULLNAME@!
+
+Alg煤 ha solicitat una nova contrasenya per a entrar com a
+@TITLE en @DOKUWIKIURL@
+
+Si no ha segut vost茅 qui ha solicitat la nova contrasenya ignore este correu.
+
+Per a confirmar que la petici贸 ha segut feta realment per vost茅
+utilise el seg眉ent v铆ncul.
+
+@CONFIRM@
+
+--
+Este correu l'ha generat DokuWiki en
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/read.txt
new file mode 100644
index 000000000..80d96cd45
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/read.txt
@@ -0,0 +1,2 @@
+Esta p脿gina 茅s nom茅s de llectura. Pot vore el c貌dic font, pero no pot canviar-lo. Pregunte a l'administrador si creu que 茅s un erro.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/recent.txt
new file mode 100644
index 000000000..ca1f5c5ed
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/recent.txt
@@ -0,0 +1,5 @@
+====== Canvis recents ======
+
+Les seg眉ents p脿gines han canviat recentment.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/register.txt
new file mode 100644
index 000000000..7515be6a9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/register.txt
@@ -0,0 +1,5 @@
+====== Registrar-se com a usuari nou ======
+
+Escriga tota la informaci贸 que se li demana avall per a crear un nou conte en este wiki. Assegure's de donar una **direcci贸 de correu electr貌nic v脿lida** - si no se li demana una contrasenya ac铆 se li enviar脿 a eixa direcci贸. El nom d'usuari deuria ser un
+[[doku>pagename|nom de p脿gina]] v脿lit.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/registermail.txt
new file mode 100644
index 000000000..47b9318bd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/registermail.txt
@@ -0,0 +1,14 @@
+S'ha registrat un usuari nou. Estes s贸n les senyes:
+
+Nom d'usuari : @NEWUSER@
+Nom complet : @NEWNAME@
+Correu electr貌nic : @NEWEMAIL@
+
+Data : @DATE@
+Navegador : @BROWSER@
+Direcci贸 IP : @IPADDRESS@
+Nom de la m脿quina : @HOSTNAME@
+
+--
+Este correu l'ha generat DokuWiki en
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/resendpwd.txt
new file mode 100644
index 000000000..2feac0966
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/resendpwd.txt
@@ -0,0 +1,4 @@
+====== Enviar contrasenya nova ======
+
+Per favor, introdu茂xca el nom d'usuari en el formulari per a demanar una nova contrasenya per al seu conte en este wiki. Se li enviar脿 un v铆ncul de confirmaci贸 a la direcci贸 de correu en que estiga registrat.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/revisions.txt
new file mode 100644
index 000000000..08e7e043c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/revisions.txt
@@ -0,0 +1,4 @@
+====== Versions antigues ======
+
+Versions antigues del document actual. Per a recuperar una versi贸 anterior de la p脿gina, trie-la ac铆 avall, pulse ''Editar esta p脿gina'' i guarde-la.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/searchpage.txt
new file mode 100644
index 000000000..80f7e9119
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/searchpage.txt
@@ -0,0 +1,5 @@
+====== Buscar ======
+
+Pot vore els resultats de la busca ac铆 avall. Si no ha trobat lo que buscava pot crear o editar una p脿gina en el mateix nom que el text que ha buscat utilisant el bot贸 corresponent.
+
+===== Resultats =====
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/showrev.txt
new file mode 100644
index 000000000..86f282292
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/showrev.txt
@@ -0,0 +1,2 @@
+**隆A莽貌 茅s una versi贸 antiga del document!**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/stopwords.txt
new file mode 100644
index 000000000..1b4decbe5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/stopwords.txt
@@ -0,0 +1,76 @@
+# This is a list of words the indexer ignores, one word per line
+# When you edit this file be sure to use UNIX line endings (single newline)
+# No need to include words shorter than 3 chars - these are ignored anyway
+ell
+ella
+nosatres
+nosatros
+mosatros
+vosatres
+vosatros
+ells
+els
+los
+dels
+les
+una
+uns
+unes
+seu
+seua
+seus
+seues
+meu
+meua
+meus
+meues
+teu
+teua
+teus
+teues
+nostre
+nostres
+vostre
+vostres
+nos
+vos
+#eix
+eixe
+eixa
+aquell
+aquella
+aquells
+aquelles
+#est
+este
+esta
+estos
+estes
+est脿
+aix貌
+a莽貌
+all貌
+des
+soc
+eres
+som
+sou
+s贸n
+fon
+per
+com
+c贸m
+qui
+que
+qu茅
+quan
+quant
+quants
+quanta
+quantes
+mentres
+pero
+atre
+atra
+atres
+tamb茅
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/subscribermail.txt
new file mode 100644
index 000000000..43299b598
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/subscribermail.txt
@@ -0,0 +1,23 @@
+隆Hola!
+
+La p脿gina @PAGE@ del wiki @TITLE@ ha canviat.
+Estos s贸n els canvis:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+Data: @DATE@
+Usuari: @USER@
+Resum: @SUMMARY@
+Revisi贸 anterior: @OLDPAGE@
+Nova revisi贸: @NEWPAGE@
+
+Per a cancelar les notificacions de p脿gina, inicie sessi贸 en el wiki en
+@DOKUWIKIURL@, visite
+@NEWPAGE@
+i desubscriga's dels canvis de la p脿gina o de l'espai de noms.
+
+--
+Este correu l'ha generat DokuWiki en
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/updateprofile.txt
new file mode 100644
index 000000000..9116fedf0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/updateprofile.txt
@@ -0,0 +1,5 @@
+====== Actualise el seu perfil ======
+
+Nom茅s deu completar els camps que vol canviar. No es pot canviar el nom d'usuari.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/uploadmail.txt
new file mode 100644
index 000000000..c5a330274
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/uploadmail.txt
@@ -0,0 +1,14 @@
+S'ha enviat un archiu al seu DokuWiki. Les senyes:
+
+Archiu: @MEDIA@
+Data: @DATE@
+Navegador: @BROWSER@
+Direcci贸 IP: @IPADDRESS@
+Nom de la m脿quina: @HOSTNAME@
+Tamany: @SIZE@
+Tipo MIME: @MIME@
+Usuari: @USER@
+
+--
+Este correu ha segut generat per DokuWiki en
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/wordblock.txt
new file mode 100644
index 000000000..718bfe883
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca-valencia/wordblock.txt
@@ -0,0 +1,4 @@
+====== Bloqueig de SPAM ======
+
+Els seus canvis *no* s'han guardat perque contenen una o m茅s paraules prohibides. Si ha intentat posar spam en el wiki.. 隆malament! Si pensa que a莽貌 茅s un erro, contacte en l'administrador d'este wiki.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/admin.txt
new file mode 100644
index 000000000..5c0a6d00a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/admin.txt
@@ -0,0 +1,4 @@
+====== Administraci贸 ======
+
+Heus ac铆 una llista de les tasques administratives disponibles en DokuWiki.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/adminplugins.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/adminplugins.txt
new file mode 100644
index 000000000..9ea165c1f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/adminplugins.txt
@@ -0,0 +1 @@
+===== Connectors addicionals ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/backlinks.txt
new file mode 100644
index 000000000..e2ecaf4f9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/backlinks.txt
@@ -0,0 +1,4 @@
+====== Enlla莽os ======
+
+Heus ac铆 una llista de p脿gines enlla莽ades amb la p脿gina actual.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/conflict.txt
new file mode 100644
index 000000000..53183f0b7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/conflict.txt
@@ -0,0 +1,6 @@
+====== Hi ha una versi贸 m茅s recent ======
+
+Existeix una versi贸 m茅s recent del document que heu editat. Aix貌 passa quan un altre usuari canvia el document mentre l'est脿veu editant.
+
+Examineu detingudament les difer猫ncies que es mostren m茅s avall i despr茅s decidiu quina versi贸 voleu mantenir. Si trieu ''desa'', es desar脿 la vostra versi贸. Si trieu ''cancel路la'' es mantindr脿 la versi贸 actual.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/denied.txt
new file mode 100644
index 000000000..e6125e83b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/denied.txt
@@ -0,0 +1,4 @@
+====== Perm铆s denegat ======
+
+No teniu prou drets per continuar. Potser us heu descuidat d'entrar?
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/diff.txt
new file mode 100644
index 000000000..83ca86782
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/diff.txt
@@ -0,0 +1,4 @@
+====== Difer猫ncies ======
+
+Ac铆 es mostren les difer猫ncies entre la revisi贸 seleccionada i la versi贸 actual de la p脿gina.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/draft.txt
new file mode 100644
index 000000000..68593c2fd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/draft.txt
@@ -0,0 +1,5 @@
+====== S'ha trobat un esborrany ======
+
+La darrera sessi贸 vostra d'edici贸 d'aquesta p脿gina no es va completar correctament. DokuWiki en va desar autom脿ticament un esborrany mentre treball脿veu, el qual podeu utilitzar ara per continuar l'edici贸. M茅s avall podeu veure la data i hora en qu猫 es va desar durant la vostra darrera sessi贸.
+
+Decidiu si voleu //recuperar// la vostra darrera sessi贸 d'edici贸, //suprimir// l'esborrany que es va desar autom脿ticament o //cancel路lar// el proc茅s d'edici贸. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/edit.txt
new file mode 100644
index 000000000..743b0ff55
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/edit.txt
@@ -0,0 +1,2 @@
+Editeu la p脿gina i premeu ''Desa''. Per a m茅s informaci贸 sobre la sintaxi Wiki vegeu [[wiki:syntax|sintaxi]]. Si us plau, editeu la p脿gina nom茅s si podeu **millorar-la**. Si voleu fer proves, aprengueu a donar les primeres passes al [[playground:playground|pati]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/editrev.txt
new file mode 100644
index 000000000..b2f304cbb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/editrev.txt
@@ -0,0 +1,2 @@
+**Heu penjat una revisi贸 anterior del document.** Si la deseu, creareu una nova versi贸 amb aquestes dades.
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/index.txt
new file mode 100644
index 000000000..6ba71fd8f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/index.txt
@@ -0,0 +1,4 @@
+====== 脥ndex ======
+
+Heus ac铆 un 铆ndex de totes les p脿gines disponibles, ordenades per [[doku>namespaces|espais]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/install.html
new file mode 100644
index 000000000..e7c8f0b57
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/install.html
@@ -0,0 +1,8 @@
+<p>Aquesta p脿gina us ajuda a fer la primera instal路laci贸 i la configuraci贸 de <a href="http://dokuwiki.org">Dokuwiki</a>. Hi ha m茅s informaci贸 sobre aquest instal路lador en la seva <a href="http://dokuwiki.org/installer">p脿gina de documentaci贸</a>.</p>
+
+<p>DokuWiki utilitza fitxers normals per a emmagatzemar les p脿gines wiki i la informaci贸 associada a aquestes p脿gines (p. ex. imatges, 铆ndexs de cerca, revisions anteriors, etc.). Per tal de funcionar correctament DokuWiki <strong>necessita</strong> tenir acc茅s d'escriptura als directoris que contenen aquests fitxers. Aquest instal路lador no pot configurar els permisos del directori. Normalment aix貌 cal fer-ho directament en la l铆nia d'ordres o, si esteu utilitzant un hostatge, mitjan莽ant FTP o el tauler de control del vostre hostatge (p. ex. cPanel).</p>
+
+<p>Aquest instal路lador configurar脿 el vostre DokuWiki per a <acronym title="access control list">ACL</acronym>, cosa que, al seu torn, permet l'acc茅s de l'administrador al men煤 d'administraci贸, on pot instal路lar connectors, gestionar usuaris, gestionar l'acc茅s a les p脿gines wiki i modificar els par脿metres de configuraci贸. No 茅s un requisit per al funcionament de DokuWiki, per貌 el fa m茅s f脿cil d'administrar.</p>
+
+<p>Els usuaris experts o els que tinguin requeriments espec铆fics poden utilitzar els enlla莽os seg眉ents per a obtenir m茅s detalls sobre <a href="http://dokuwiki.org/install">instruccions d'instal路laci贸</a>
+i <a href="http://dokuwiki.org/config">par脿metres de configuraci贸</a>.</p> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/lang.php
new file mode 100644
index 000000000..778123445
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/lang.php
@@ -0,0 +1,247 @@
+<?php
+/**
+ * catalan language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Carles Bellver <carles.bellver@cent.uji.es>
+ * @author Carles Bellver <carles.bellver@gmail.com>
+ * @author carles.bellver@gmail.com
+ * @author carles.bellver@cent.uji.es
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '鈥';
+$lang['btn_edit'] = 'Edita aquesta p脿gina';
+$lang['btn_source'] = 'Mostra codi font';
+$lang['btn_show'] = 'Mostra p脿gina';
+$lang['btn_create'] = 'Crea aquesta p脿gina';
+$lang['btn_search'] = 'Cerca';
+$lang['btn_save'] = 'Desa';
+$lang['btn_preview'] = 'Previsualitza';
+$lang['btn_top'] = 'Torna dalt';
+$lang['btn_newer'] = '<< m茅s recent';
+$lang['btn_older'] = 'menys recent >>';
+$lang['btn_revs'] = 'Revisions anteriors';
+$lang['btn_recent'] = 'Canvis recents';
+$lang['btn_upload'] = 'Penja';
+$lang['btn_cancel'] = 'Cancel路la';
+$lang['btn_index'] = '脥ndex';
+$lang['btn_secedit'] = 'Edita';
+$lang['btn_login'] = 'Entra';
+$lang['btn_logout'] = 'Surt';
+$lang['btn_admin'] = 'Admin';
+$lang['btn_update'] = 'Actualitza';
+$lang['btn_delete'] = 'Suprimeix';
+$lang['btn_back'] = 'Enrere';
+$lang['btn_backlink'] = 'Qu猫 hi enlla莽a';
+$lang['btn_backtomedia'] = 'Torna a la selecci贸 de fitxers';
+$lang['btn_subscribe'] = 'Subscripci贸 a canvis d\'aquesta p脿gina';
+$lang['btn_unsubscribe'] = 'Cancel路la subscripci贸 a p脿gina';
+$lang['btn_subscribens'] = 'Subscripci贸 a canvis d\'aquest espai';
+$lang['btn_unsubscribens'] = 'Cancel路la subscripci贸 a espai';
+$lang['btn_profile'] = 'Actualitzaci贸 del perfil';
+$lang['btn_reset'] = 'Reinicia';
+$lang['btn_resendpwd'] = 'Envia nova contrasenya';
+$lang['btn_draft'] = 'Edita esborrany';
+$lang['btn_recover'] = 'Recupera esborrany';
+$lang['btn_draftdel'] = 'Suprimeix esborrany';
+$lang['btn_revert'] = 'Restaura';
+$lang['loggedinas'] = 'Heu entrat com';
+$lang['user'] = 'Nom d\'usuari';
+$lang['pass'] = 'Contrasenya';
+$lang['newpass'] = 'Nova contrasenya';
+$lang['oldpass'] = 'Confirmeu la contrasenya actual';
+$lang['passchk'] = 'una altra vegada';
+$lang['remember'] = 'Recorda\'m';
+$lang['fullname'] = 'Nom complet';
+$lang['email'] = 'Correu electr貌nic';
+$lang['register'] = 'Registra\'m';
+$lang['profile'] = 'Perfil d\'usuari';
+$lang['badlogin'] = 'Nom d\'usuari o contrasenya incorrectes.';
+$lang['minoredit'] = 'Canvis menors';
+$lang['draftdate'] = 'L\'esborrany s\'ha desat autom脿ticament';
+$lang['nosecedit'] = 'Mentrestant la p脿gina ha estat modificada. La informaci贸 de seccions estava obsoleta i ha calgut carregar la p脿gina sencera.';
+$lang['regmissing'] = 'Heu d\'omplir tots els camps.';
+$lang['reguexists'] = 'Ja existeix un altre usuari amb aquest nom.';
+$lang['regsuccess'] = 'S\'ha creat l\'usuari. La contrasenya s\'ha enviat per correu.';
+$lang['regsuccess2'] = 'S\'ha creat l\'usuari.';
+$lang['regmailfail'] = 'Sembla que un error ha impedit enviar la contrasenya per correu. Contacteu amb l\'administrador.';
+$lang['regbadmail'] = 'L\'adre莽a de correu que heu donat no sembla v脿lida. Si creieu que aix貌 茅s un error, contacu amb l\'administrador.';
+$lang['regbadpass'] = 'Les dues contrasenyes no s贸n iguals. Torneu a intentar-ho.';
+$lang['regpwmail'] = 'La vostra contrasenya per al Wiki';
+$lang['reghere'] = 'Si no teniu un compte, aqu铆 en podeu obtenir un';
+$lang['profna'] = 'Aquest wiki no permet modificar el perfil';
+$lang['profnochange'] = 'No heu introdu茂t cap canvi.';
+$lang['profnoempty'] = 'No es pot deixar en blanc el nom o l\'adre莽a de correu.';
+$lang['profchanged'] = 'El perfil d\'usuari s\'ha actualitzat correctament.';
+$lang['pwdforget'] = 'Heu oblidat la contrasenya? Podeu obtenir-ne una de nova.';
+$lang['resendna'] = 'Aquest wiki no permet tornar a enviar la contrasenya.';
+$lang['resendpwd'] = 'Enviament d\'una nova contrasenya per a';
+$lang['resendpwdmissing'] = 'Heu d\'emplenar tots els camps.';
+$lang['resendpwdnouser'] = 'No s\'ha pogut trobar aquest usuari a la base de dades.';
+$lang['resendpwdbadauth'] = 'Aquest codi d\'autenticaci贸 no 茅s v脿lid. Assegureu-vos d\'utilitzar l\'enlla莽 de confirmaci贸 complet.';
+$lang['resendpwdconfirm'] = 'Se us ha enviat per correu electr貌nic un enlla莽 de confirmaci贸.';
+$lang['resendpwdsuccess'] = 'Se us ha enviat la nova contrasenya per correu electr貌nic.';
+$lang['license'] = 'Excepte on es digui una altra cosa, el contingut d\'aquest wiki est脿 subjecte a la llic猫ncia seg眉ent:';
+$lang['licenseok'] = 'Nota. En editar aquesta p脿gina esteu acceptant que el vostre contingut estigui subjecte a la llic猫ncia seg眉ent:';
+$lang['searchmedia'] = 'Cerca pel nom de fitxer';
+$lang['searchmedia_in'] = 'Cerca en: %s';
+$lang['txt_upload'] = 'Trieu el fitxer que voleu penjar';
+$lang['txt_filename'] = 'Introdu茂u el nom wiki (opcional)';
+$lang['txt_overwrt'] = 'Sobreescriu el fitxer actual';
+$lang['lockedby'] = 'Actualment blocat per:';
+$lang['lockexpire'] = 'Venciment del blocatge:';
+$lang['willexpire'] = 'El blocatge per a editar aquesta p脿gina ven莽 d\'aqu铆 a un minut.\nUtilitzeu la visualitzaci贸 pr猫via per reiniciar el rellotge i evitar conflictes.';
+$lang['notsavedyet'] = 'Heu fet canvis que es perdran si no els deseu.\nVoleu continuar?';
+$lang['rssfailed'] = 'S\'ha produ茂t un error en recollir aquesta alimentaci贸: ';
+$lang['nothingfound'] = 'No s\'ha trobat res.';
+$lang['mediaselect'] = 'Selecci贸 de fitxers';
+$lang['fileupload'] = 'C脿rrega de fitxers';
+$lang['uploadsucc'] = 'S\'ha penjat el fitxer';
+$lang['uploadfail'] = 'No es pot penjar el fitxer. Potser no teniu prou permisos?';
+$lang['uploadwrong'] = 'No es pot penjar el fitxer. Aquesta extensi贸 est脿 prohibida.';
+$lang['uploadexist'] = 'El fitxer ja existeix. No s\'ha penjat.';
+$lang['uploadbadcontent'] = 'El contingut que heu penjat coincideix amb l\'extensi贸 de fitxer %s.';
+$lang['uploadspam'] = 'La c脿rrega ha estat blocada per la llista negra de brossa.';
+$lang['uploadxss'] = 'La c脿rrega ha estat blocada perqu猫 podria ser un contingut maligne.';
+$lang['uploadsize'] = 'El fitxer que voleu penjar 茅s massa gran (m脿xim %s)';
+$lang['deletesucc'] = 'S\'ha suprimit el fitxer "%s".';
+$lang['deletefail'] = 'No s\'ha pogut suprimir el fitxer "%s". Comproveu els permisos.';
+$lang['mediainuse'] = 'No s\'ha pogut suprimir el fitxer "%s". Encara s\'est脿 utilitzant.';
+$lang['namespaces'] = 'Espais';
+$lang['mediafiles'] = 'Fitxers disponibles en';
+$lang['js']['searchmedia'] = 'Cerca fitxers';
+$lang['js']['keepopen'] = 'Mant茅 la finestra oberta';
+$lang['js']['hidedetails'] = 'Oculta detalls';
+$lang['js']['nosmblinks'] = 'Els enlla莽os amb recursos compartits de Windows nom茅s funcionen amb el Microsoft Internet Explorer.
+Si voleu podeu copiar i enganxar l\'enlla莽.';
+$lang['js']['linkwiz'] = 'Auxiliar d\'enlla莽os';
+$lang['js']['linkto'] = 'Enlla莽a a:';
+$lang['js']['del_confirm'] = 'Suprimiu aquesta entrada?';
+$lang['js']['mu_btn'] = 'Penja m煤ltiples fitxers';
+$lang['mediausage'] = 'Utilitzeu la sintaxi seg眉ent per referir-vos a aquest enlla莽:';
+$lang['mediaview'] = 'Mostra el fitxer original';
+$lang['mediaroot'] = 'arrel';
+$lang['mediaupload'] = 'Pengeu aqu铆 un fitxer dins de l\'espai actual. Per a crear un nou subespai, poseu-ne el nom davant del nom de fitxer i separeu-los amb el signe de dos punts.';
+$lang['mediaextchange'] = 'S\'ha canviat l\'extensi贸 del fitxer de .%s a .%s';
+$lang['reference'] = 'Refer猫ncies per a';
+$lang['ref_inuse'] = 'El fitxer no es pot suprimir perqu猫 l\'estan utilitzant les p脿gines seg眉ents:';
+$lang['ref_hidden'] = 'Algunes refer猫ncies apareixen en p脿gines per a les quals no teniu perm铆s de lectura';
+$lang['hits'] = 'Resultats';
+$lang['quickhits'] = 'Noms de p脿gina coincidents';
+$lang['toc'] = 'Taula de continguts';
+$lang['current'] = 'actual';
+$lang['yours'] = 'La vostra versi贸';
+$lang['diff'] = 'Mostra difer猫ncies amb la versi贸 actual';
+$lang['diff2'] = 'Mostra difer猫ncies entre les revisions seleccionades';
+$lang['line'] = 'L铆nia';
+$lang['breadcrumb'] = 'Cam铆';
+$lang['youarehere'] = 'Sou aqu铆';
+$lang['lastmod'] = 'Darrera modificaci贸';
+$lang['by'] = 'per';
+$lang['deleted'] = 'suprimit';
+$lang['created'] = 'creat';
+$lang['restored'] = 's\'ha restaurat una versi贸 anterior';
+$lang['external_edit'] = 'edici贸 externa';
+$lang['summary'] = 'Resum d\'edici贸';
+$lang['noflash'] = 'Per a visualitzar aquest contingut necessiteu el <a href="http://www.adobe.com/products/flashplayer/">connector d\'Adobe Flash</a>.';
+$lang['download'] = 'Baixa el fragment';
+$lang['mail_newpage'] = 'p脿gina afegida:';
+$lang['mail_changed'] = 'p脿gina modificada:';
+$lang['mail_new_user'] = 'nou usuari:';
+$lang['mail_upload'] = 'fitxer penjat:';
+$lang['qb_bold'] = 'Negreta';
+$lang['qb_italic'] = 'Cursiva';
+$lang['qb_underl'] = 'Subratllat';
+$lang['qb_code'] = 'Codi';
+$lang['qb_strike'] = 'Text barrat';
+$lang['qb_h1'] = 'Encap莽alament nivell 1';
+$lang['qb_h2'] = 'Encap莽alament nivell 2';
+$lang['qb_h3'] = 'Encap莽alament nivell 3';
+$lang['qb_h4'] = 'Encap莽alament nivell 4';
+$lang['qb_h5'] = 'Encap莽alament nivell 5';
+$lang['qb_h'] = 'Encap莽alament';
+$lang['qb_hs'] = 'Selcciona l\'encap莽alament';
+$lang['qb_hplus'] = 'Encap莽alament m茅s alt';
+$lang['qb_hminus'] = 'Encap莽alament m茅s baix';
+$lang['qb_hequal'] = 'Encap莽alament del mateix nivell';
+$lang['qb_link'] = 'Enlla莽 intern';
+$lang['qb_extlink'] = 'Enlla莽 extern';
+$lang['qb_hr'] = 'Ratlla horitzontal';
+$lang['qb_ol'] = 'Element de llista numerada';
+$lang['qb_ul'] = 'Element de llista de pics';
+$lang['qb_media'] = 'Afegeix imatges o altres fitxers';
+$lang['qb_sig'] = 'Insereix signatura';
+$lang['qb_smileys'] = 'Emoticones';
+$lang['qb_chars'] = 'Car脿cters especials';
+$lang['upperns'] = 'Salta a l\'espai superior';
+$lang['admin_register'] = 'Afegeix nou usuari';
+$lang['metaedit'] = 'Edita metadades';
+$lang['metasaveerr'] = 'No s\'han pogut escriure les metadades';
+$lang['metasaveok'] = 'S\'han desat les metadades';
+$lang['img_backto'] = 'Torna a';
+$lang['img_title'] = 'T铆tol';
+$lang['img_caption'] = 'Peu d\'imatge';
+$lang['img_date'] = 'Data';
+$lang['img_fname'] = 'Nom de fitxer';
+$lang['img_fsize'] = 'Mida';
+$lang['img_artist'] = 'Fot貌graf';
+$lang['img_copyr'] = 'Copyright';
+$lang['img_format'] = 'Format';
+$lang['img_camera'] = 'C脿mera';
+$lang['img_keywords'] = 'Paraules clau';
+$lang['subscribe_success'] = 'S\'ha afegit %s a la llista de subscripcions de %s';
+$lang['subscribe_error'] = 'S\'ha produ茂t un error en afegir %s a la llista de subscripcions de %s';
+$lang['subscribe_noaddress'] = 'No hi ha cap adre莽a de correu associada al vostre nom d\'usuari. No se us ha pogut afegir a la llista de subscripcions.';
+$lang['unsubscribe_success'] = '%s ha estat suprimit de la llista de subscripcions de %s';
+$lang['unsubscribe_error'] = 'S\'ha produ茂t un error en suprimir %s de la llista de subscripcions de %s';
+$lang['authmodfailed'] = 'La configuraci贸 de l\'autenticaci贸 d\'usuaris 茅s err貌nia. Informeu els administradors del wiki.';
+$lang['authtempfail'] = 'L\'autenticaci贸 d\'usuaris no est脿 disponible temporalment. Si aquesta situaci贸 persisteix, si us plau informeu els administradors del wiki.';
+$lang['i_chooselang'] = 'Trieu l\'idioma';
+$lang['i_installer'] = 'Instal路lador de DokuWiki';
+$lang['i_wikiname'] = 'Nom del wiki';
+$lang['i_enableacl'] = 'Habilita ACL (recomanat)';
+$lang['i_superuser'] = 'Superusuari';
+$lang['i_problems'] = 'L\'instal路lador ha trobat alguns problemes, que s\'indiquen m茅s avall. No podeu continuar fins que no els h脿giu solucionat.';
+$lang['i_modified'] = 'Per raons de seguretat aquesta seq眉猫ncia nom茅s funciona amb una instal路laci贸 nova i no modificada de Dokuwiki. Haur铆eu de tornar a baixar el paquet i/o descomprimir-lo o consultar les <a href="http://dokuwiki.org/install">instruccions d\'instal路laci贸 de Dokuwiki</a> completes';
+$lang['i_funcna'] = 'La funci贸 PHP <code>%s</code> no est脿 disponible. Potser el vostre prove茂dor de serveis l\'ha inhabilitada per alguna ra贸';
+$lang['i_phpver'] = 'La vostra versi贸 de PHP <code>%s</code> 茅s inferior a la requerida <code>%s</code>. Necessiteu actualitzar la vostra instal路laci贸 de PHP.';
+$lang['i_permfail'] = 'DokuWiki no pot escriure <code>%s</code>. Heu d\'arreglar els permisos d\'aquest directori';
+$lang['i_confexists'] = '<code>%s</code> ja existeix';
+$lang['i_writeerr'] = 'No es pot crear <code>%s</code>. Comproveu els permisos del directori i/o del fitxer i creeu el fitxer manualment.';
+$lang['i_badhash'] = 'dokuwiki.php no reconegut o modificat (hash=<code>%s</code>)';
+$lang['i_badval'] = '<code>%s</code> - valor il路legal o buit';
+$lang['i_success'] = 'La configuraci贸 s\'ha acabat amb 猫xit. Ara podeu suprimir el fitxer install.php. Aneu al vostre nou <a href="doku.php">DokuWiki</a>.';
+$lang['i_failure'] = 'S\'han produ茂t alguns errors en escriure els fitxers de configuraci贸. Potser caldr脿 que els arregleu manualment abans d\'utilitzar el vostre nou <a href="doku.php">DokuWiki</a>.';
+$lang['i_policy'] = 'Pol铆tica ACL inicial';
+$lang['i_pol0'] = 'Wiki obert (tothom pot llegir, escriure i penjar fitxers)';
+$lang['i_pol1'] = 'Wiki p煤blic (tothom pot llegir, els usuaris registrats poden escriure i penjar fitxers)';
+$lang['i_pol2'] = 'Wiki tancat (nom茅s els usuaris registrats poden llegir, escriure i penjar fitxers)';
+$lang['i_retry'] = 'Reintenta';
+$lang['mu_intro'] = 'Aqu铆 podeu penjar m煤ltiples fitxers d\'una vegada. Feu clic en el bot贸 Explora per afegir els fitxers a la cua. Despr茅s, premeu Penja.';
+$lang['mu_gridname'] = 'Nom del fitxer';
+$lang['mu_gridsize'] = 'Mida';
+$lang['mu_gridstat'] = 'Estat';
+$lang['mu_namespace'] = 'Espai';
+$lang['mu_browse'] = 'Explora';
+$lang['mu_toobig'] = 'massa gran';
+$lang['mu_ready'] = 'llest per a penjar';
+$lang['mu_done'] = 'complet';
+$lang['mu_fail'] = 'error';
+$lang['mu_authfail'] = 'la sessi贸 ha ven莽ut';
+$lang['mu_progress'] = 'c脿rrega @PCT@%';
+$lang['mu_filetypes'] = 'Tipus de fitxer permesos';
+$lang['mu_info'] = 'fitxers penjats.';
+$lang['mu_lasterr'] = 'Darrer error:';
+$lang['recent_global'] = 'Esteu veient els canvis recents de l\'espai <strong>%s</strong>. Tamb茅 podeu veure els <a href="%s">canvis recents de tot el wiki</a>.';
+$lang['years'] = 'fa %d anys';
+$lang['months'] = 'fa %d mesos';
+$lang['weeks'] = 'fa %d setmanes';
+$lang['days'] = 'fa %d dies';
+$lang['hours'] = 'fa %d hores';
+$lang['minutes'] = 'fa %d minuts';
+$lang['seconds'] = 'fa %d segons';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/locked.txt
new file mode 100644
index 000000000..93487c268
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/locked.txt
@@ -0,0 +1,3 @@
+====== P脿gina blocada ======
+
+Aquesta p脿gina actualment est脿 blocada per a edici贸 per un altre usuari. Haureu d'esperar fins que aquest usuari acabe d'editar-la o fins que venci el blocatge.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/login.txt
new file mode 100644
index 000000000..37ca4d582
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/login.txt
@@ -0,0 +1,4 @@
+====== Entrada ======
+
+No heu entrat. Introdu茂u les vostres credencials d'autenticaci贸 en aquest formulari. A partir d'aquest moment heu de tenir les galetes habilitades en el vostre navegador.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/mailtext.txt
new file mode 100644
index 000000000..eda330954
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/mailtext.txt
@@ -0,0 +1,16 @@
+S'ha afegit o modificat una p脿gina en el vostre wiki. Ac铆 teniu m茅s detalls:
+
+Data : @DATE@
+Navegador : @BROWSER@
+IP : @IPADDRESS@
+Rev. anterior : @OLDPAGE@
+Rev. actual : @NEWPAGE@
+Resum d'edici贸 : @SUMMARY@
+Usuari : @USER@
+
+@DIFF@
+
+
+--
+Missatge generat per DokuWiki en
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/newpage.txt
new file mode 100644
index 000000000..d0a2db92a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/newpage.txt
@@ -0,0 +1,3 @@
+====== Aquest tema encara no existeix ======
+
+Heu seguit un enlla莽 a un tema que encara no existeix. Podeu crear-lo per mitj脿 del bot贸 ''Crea aquesta p脿gina''.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/norev.txt
new file mode 100644
index 000000000..b5089c5eb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/norev.txt
@@ -0,0 +1,5 @@
+====== No existeix aquesta revisi贸 ======
+
+
+La revisi贸 especificada no existeix. Utilitzeu el bot贸 ''Revisions anteriors'' per obtenir una llista de revisions d'aquest document.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/preview.txt
new file mode 100644
index 000000000..fa2f98ca5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/preview.txt
@@ -0,0 +1,4 @@
+====== Previsualitzaci贸 ======
+
+Heus ac铆 una previsualitzaci贸 del vostre text. Recordeu que encara **no l'heu desat!**
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/pwconfirm.txt
new file mode 100644
index 000000000..119c4292d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/pwconfirm.txt
@@ -0,0 +1,15 @@
+@FULLNAME@,
+
+Alg煤 ha sol路licitat una nova contrasenya per al vostre compte d'usuari en @TITLE@
+@DOKUWIKIURL@
+
+Si no heu fet aquesta sol路licitud, simplement no feu cas de la resta del missatge.
+
+Per confirmar que realment heu sol路licitat una nova contrasenya, utilitzeu
+l'enlla莽 seg眉ent:
+
+@CONFIRM@
+
+--
+Missatge generat per DokuWiki en
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/read.txt
new file mode 100644
index 000000000..e173ad2dc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/read.txt
@@ -0,0 +1,2 @@
+Aquesta p脿gina 茅s nom茅s de lectura. Podeu veure'n el codi font, per貌 no podeu canviar-la. Consulteu el vostre administrador si penseu que aix貌 茅s degut a algun error.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/recent.txt
new file mode 100644
index 000000000..cea2f5cff
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/recent.txt
@@ -0,0 +1,5 @@
+====== Canvis recents ======
+
+Les p脿gines seg眉ents s'han modificat recentment.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/register.txt
new file mode 100644
index 000000000..a91e6df31
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/register.txt
@@ -0,0 +1,4 @@
+====== Registre d'un usuari nou ======
+
+Empleneu tota la informaci贸 que se us demana per crear un compte nou en aquest wiki. Assegureu-vos que doneu una **adre莽a de correu v脿lida**, on se us enviar脿 la vostra contrasenya. El nom d'usuari o usu脿ria ha de ser v脿lid com a [[doku>pagename|nom de p脿gina]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/registermail.txt
new file mode 100644
index 000000000..84bf5f1a4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/registermail.txt
@@ -0,0 +1,14 @@
+S'ha registrat un nou usuari. Heus ac铆 els detalls:
+
+Nom d'usuari: @NEWUSER@
+Nom complet: @NEWNAME@
+E-mail: @NEWEMAIL@
+
+Data: @DATE@
+Navegador: @BROWSER@
+Adre莽a IP: @IPADDRESS@
+Ordinador: @HOSTNAME@
+
+--
+Missatge generat per DokuWiki en
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/resendpwd.txt
new file mode 100644
index 000000000..cd59f899d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/resendpwd.txt
@@ -0,0 +1,3 @@
+====== Nova contrasenya ======
+
+Per sol路licitar una nova contrasenya, introdu茂u el vostre nom d'usuari en el formulari seg眉ent. Se us enviar脿 un enlla莽 de confirmaci贸 a l'adre莽a de correu amb qu猫 us vau registrar. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/revisions.txt
new file mode 100644
index 000000000..5c044d8e6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/revisions.txt
@@ -0,0 +1,4 @@
+====== Revisions anteriors ======
+
+Heus ac铆 les revisions anteriors del document actual. Per restaurar una revisi贸 anterior, seleccioneu-la de la llista, feu clic en ''Edita aquesta p脿gina'' i deseu-la.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/searchpage.txt
new file mode 100644
index 000000000..bf69aef15
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/searchpage.txt
@@ -0,0 +1,5 @@
+====== Cerca ======
+
+Heus ac铆 els resultats de la cerca. Si no trobeu all貌 que busc脿veu, podeu crear una p脿gina nova per mitj脿 del bot贸 ''Edita aquesta p脿gina''.
+
+===== Resultats ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/showrev.txt
new file mode 100644
index 000000000..b1411824f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/showrev.txt
@@ -0,0 +1,2 @@
+**Aquesta 茅s una revisi贸 antiga del document**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/stopwords.txt
new file mode 100644
index 000000000..03be425ed
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/stopwords.txt
@@ -0,0 +1,106 @@
+# Aix貌 茅s una llista de paraules que seran omeses per l'indexador, una paraula per l铆nia
+# Utilitzeu finals de l铆nia UNIX
+# No cal incloure paraules de menys de 3 car脿cters: s'ometran igualment
+# Llista basada en http://www.ranks.nl/stopwords/
+abans
+algun
+alguna
+alguns
+algunes
+altre
+altra
+altres
+amb
+ambd贸s
+anar
+ans
+aquell
+aquella
+aquelles
+aquells
+aqu铆
+bastant
+cada
+com
+dalt
+des
+dins
+ell
+ella
+elles
+ells
+els
+ens
+entre
+era
+erem
+eren
+eres
+estan
+estat
+estava
+estem
+esteu
+estic
+est脿
+ets
+faig
+fan
+fas
+fem
+fer
+feu
+haver
+incl貌s
+llarg
+llavors
+mentre
+meu
+mode
+molt
+molts
+nosaltres
+per
+per que
+perqu猫
+per貌
+podem
+poden
+poder
+podeu
+potser
+primer
+puc
+quan
+quant
+qui
+sabem
+saben
+saber
+sabeu
+sap
+saps
+sense
+ser
+seu
+seus
+s贸c
+solament
+sols
+som
+sota
+tamb茅
+tene
+tenim
+tenir
+teniu
+teu
+tinc
+tot
+una
+uns
+unes
+uns
+vaig
+van
+vosaltres
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/subscribermail.txt
new file mode 100644
index 000000000..5558d2ff5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/subscribermail.txt
@@ -0,0 +1,15 @@
+La p脿gina @PAGE@ del wiki @TITLE@ ha estat modificada.
+Heus ac铆 els canvis:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+Per cancel路lar la subscripci贸 a aquesta p脿gina, entre al wiki en
+@DOKUWIKIURL@, aneu a
+@NEWPAGE@
+i trieu 'Cancel路la subscripci贸'.
+
+--
+Missatge generat per DokuWiki en
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/updateprofile.txt
new file mode 100644
index 000000000..0ba022600
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/updateprofile.txt
@@ -0,0 +1,3 @@
+====== Actualitzaci贸 del perfil d'usuari ======
+
+Nom茅s cal que completeu els camps que vulgueu canviar. El nom d'usuari no es pot canviar. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/uploadmail.txt
new file mode 100644
index 000000000..c282f1f37
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/uploadmail.txt
@@ -0,0 +1,14 @@
+S'ha penjat un fitxer al vostre DokuWiki. Heus ac铆 els detalls:
+
+Fitxer: @MEDIA@
+Data: @DATE@
+Navegador: @BROWSER@
+Adre莽a IP: @IPADDRESS@
+Ordinador: @HOSTNAME@
+Mida: @SIZE@
+Tipus MIME: @MIME@
+Usuari: @USER@
+
+--
+Missatge generat per DokuWiki en
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/wordblock.txt
new file mode 100644
index 000000000..1b5f5fb36
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ca/wordblock.txt
@@ -0,0 +1,4 @@
+====== Brossa blocada ======
+
+Els canvis **no** s'han desat perqu猫 contenen una o m茅s paraules blocades. Vol铆eu inundar el wiki amb brossa? Molt mal fet! Si penseu que aix貌 茅s un error, contacteu amb l'administrador d'aquest Wiki.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/admin.txt
new file mode 100644
index 000000000..ccfbc4455
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/admin.txt
@@ -0,0 +1,3 @@
+====== Spr谩va ======
+
+N铆啪e je mo啪no spravovat va拧i DokuWiki.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/adminplugins.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/adminplugins.txt
new file mode 100644
index 000000000..005f8f2df
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/adminplugins.txt
@@ -0,0 +1 @@
+===== Dal拧铆 pluginy ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/backlinks.txt
new file mode 100644
index 000000000..59430eeae
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/backlinks.txt
@@ -0,0 +1,3 @@
+====== Zp臎tn茅 odkazy ======
+
+Zde je seznam str谩nek, kter茅 pravd臎podobn臎 odkazuj铆 na aktu谩ln铆 str谩nku.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/conflict.txt
new file mode 100644
index 000000000..941118db3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/conflict.txt
@@ -0,0 +1,5 @@
+====== Existuje nov臎j拧铆 verze ======
+
+Existuje nov臎j拧铆 verze pr谩v臎 upravovan茅ho dokumentu. To se st谩v谩, pokud n臎kdo jin媒 zm臎nil dokument, kter媒 pr谩v臎 upravujete.
+
+Prohl茅dn臎te si n铆啪e uveden茅 rozd铆ly, p艡铆padn臎 rozd铆ly z obou verz铆 ru膷n臎 spojte dohromady a rozhodn臎te se, kterou verzi uchovat. Pokud zvol铆te ''Ulo啪it'', bude ulo啪ena va拧e verze. Jinak stiskn臎te ''Storno'' pro uchov谩n铆 p暖vodn铆 verze.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/denied.txt
new file mode 100644
index 000000000..00a8811de
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/denied.txt
@@ -0,0 +1,3 @@
+====== Nepovolen谩 akce ======
+
+Promi艌te, ale nem谩te dostate膷n谩 opr谩vn臎n铆 k t茅to 膷innosti. Mo啪n谩 jste se zapomn臎li p艡ihl谩sit?
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/diff.txt
new file mode 100644
index 000000000..d49e56993
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/diff.txt
@@ -0,0 +1,4 @@
+====== Rozd铆ly ======
+
+Zde m暖啪ete vid臎t rozd铆ly mezi vybranou verz铆 a aktu谩ln铆 verz铆 dan茅 str谩nky.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/draft.txt
new file mode 100644
index 000000000..ebdfb8d9d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/draft.txt
@@ -0,0 +1,5 @@
+====== Nalezen koncept ======
+
+Va拧e minul谩 editace t茅to str谩nky nebyla korektn臎 dokon膷ena. DokuWiki b臎hem editace automaticky ulo啪ila koncept, kter媒 nyn铆 m暖啪ete pou啪铆t a pokra膷ovat v editaci. N铆啪e je vid臎t text ulo啪en媒 b臎hem minul茅 editace.
+
+Pros铆m rozhodn臎te se, jestli chcete automaticky ulo啪en媒 koncept //obnovit// a pokra膷ovat v editaci, nebo jej chcete //vymazat//, nebo 煤pln臎 //zru拧it// cel媒 proces editace.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/edit.txt
new file mode 100644
index 000000000..1a135aee3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/edit.txt
@@ -0,0 +1 @@
+Upravte str谩nku a stiskn臎te ''Ulo啪it''. Na str谩nce [[wiki:syntax]] se m暖啪ete dozv臎d臎t v铆ce o wiki syntaxi. Pros铆m upravujte str谩nky pouze, pokud je m暖啪ete **vylep拧it**. V p艡铆pad臎, 啪e si chcete n臎co pouze vyzkou拧et, pou啪ijte rad臎ji [[playground:playground|p铆skovi拧t臎]].
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/editrev.txt
new file mode 100644
index 000000000..44f0bc67d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/editrev.txt
@@ -0,0 +1,2 @@
+**M谩te na膷tenou star拧铆 verzi dokumentu!** Pokud ji ulo啪铆te, vytvo艡铆te t铆m novou aktu谩ln铆 verzi.
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/index.txt
new file mode 100644
index 000000000..d19626f6f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/index.txt
@@ -0,0 +1,3 @@
+====== Index ======
+
+Zde je k dispozici index v拧ech dostupn媒ch str谩nek se艡azen媒 podle [[doku>namespaces|jmenn媒ch prostor暖]].
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/install.html
new file mode 100644
index 000000000..726d7c31f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/install.html
@@ -0,0 +1,23 @@
+<p>Tato str谩nka v谩m pom暖啪e p艡i prvn铆 instalaci a konfiguraci
+<a href="http://dokuwiki.org">Dokuwiki</a>. V铆ce
+informac铆 o tomto instal谩toru naleznete v jeho vlastn铆 <a
+href="http://dokuwiki.org/installer">dokumentaci</a>.</p>
+
+<p>DokuWiki pou啪铆v谩 oby膷ejn茅 soubory pro ulo啪en铆 wiki str谩nek a dal拧铆ch informac铆
+spojen媒ch s nimi (nap艡. obr谩zk暖, vyhled谩vac铆ch index暖, star拧铆ch verz铆). Aby DokuWiki
+spr谩vn臎 fungovala <strong>mus铆</strong> m铆t p艡铆stup k adres谩艡暖m, kde jsou ulo啪eny
+tyto soubory. Tento instal谩tor nen铆 schopen s谩m nastavit p艡铆stupov谩 pr谩va k soubor暖m
+a adres谩艡暖m. To se oby膷ejn臎 d臎l谩 p艡铆mo v shellu nebo, pou啪铆v谩te-li hosting, p艡es
+FTP nebo ovl谩dac铆 panel va拧eho hostingu (nap艡. cPanel).</p>
+
+<p>Tento instal谩tor nastav铆 <acronym title="access control list">ACL</acronym>
+(p艡铆stupov谩 pr谩va u啪ivatel暖) pro va拧i DokuWiki, co啪 umo啪n铆 spr谩vci p艡ihl谩sit
+se do administra膷n铆 膷谩sti DokuWiki a tam instalovat pluginy, spravovat u啪ivatele,
+nastavovat p艡铆stup k wiki str谩nk谩m a m臎nit dal拧铆 nastaven铆 wiki. Nen铆 to
+nutn茅, ale zp艡铆jemn铆 to spr谩vu DokuWiki.</p>
+
+<p>Zku拧en铆 u啪ivatel茅 nebo u啪ivatel茅 se speci谩ln铆mi po啪adavky by se
+m臎li pod铆vat na n谩sleduj铆c铆 str谩nky pro dal拧铆 informace ohledn臎
+<a href="http://dokuwiki.org/install">instalace</a> a
+<a href="http://dokuwiki.org/config">nastaven铆</a> DokuWiki.</p>
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/lang.php
new file mode 100644
index 000000000..ffc2a05d7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/lang.php
@@ -0,0 +1,247 @@
+<?php
+/**
+ * Czech language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Bohumir Zamecnik <bohumir@zamecnik.org>
+ * @author Tomas Valenta <t.valenta@sh.cvut.cz>
+ * @author Zbynek Krivka <zbynek.krivka@seznam.cz>
+ * @author tomas@valenta.cz
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '\'';
+$lang['btn_edit'] = 'Upravit str谩nku';
+$lang['btn_source'] = 'Zdrojov媒 k贸d str谩nky';
+$lang['btn_show'] = 'Zobrazit str谩nku';
+$lang['btn_create'] = 'Vytvo艡it str谩nku';
+$lang['btn_search'] = 'Hledat';
+$lang['btn_save'] = 'Ulo啪it';
+$lang['btn_preview'] = 'N谩hled';
+$lang['btn_top'] = 'Nahoru';
+$lang['btn_newer'] = '<< nov臎j拧铆';
+$lang['btn_older'] = 'star拧铆 >>';
+$lang['btn_revs'] = 'Star拧铆 verze';
+$lang['btn_recent'] = 'Posledn铆 煤pravy';
+$lang['btn_upload'] = 'Na膷铆st';
+$lang['btn_cancel'] = 'Storno';
+$lang['btn_index'] = 'Index';
+$lang['btn_secedit'] = 'Upravit';
+$lang['btn_login'] = 'P艡ihl谩sit se';
+$lang['btn_logout'] = 'Odhl谩sit se';
+$lang['btn_admin'] = 'Spr谩va';
+$lang['btn_update'] = 'Aktualizovat';
+$lang['btn_delete'] = 'Vymazat';
+$lang['btn_back'] = 'Zp臎t';
+$lang['btn_backlink'] = 'Zp臎tn茅 odkazy';
+$lang['btn_backtomedia'] = 'Zp臎t do V媒b臎ru dokumentu';
+$lang['btn_subscribe'] = 'Odeb铆rat mailem zm臎ny str谩nky';
+$lang['btn_unsubscribe'] = 'Neodeb铆rat mailem zm臎ny str谩nky';
+$lang['btn_subscribens'] = 'Odeb铆rat mailem zm臎ny ve jmenn茅m prostoru';
+$lang['btn_unsubscribens'] = 'Neodeb铆rat mailem zm臎ny ve jmenn茅m prostoru';
+$lang['btn_profile'] = 'Upravit profil';
+$lang['btn_reset'] = 'Reset';
+$lang['btn_resendpwd'] = 'Zaslat nov茅 heslo';
+$lang['btn_draft'] = 'Upravit koncept';
+$lang['btn_recover'] = 'Obnovit koncept';
+$lang['btn_draftdel'] = 'Vymazat koncept';
+$lang['btn_revert'] = 'Vr谩tit zp臎t';
+$lang['loggedinas'] = 'P艡ihl谩拧en(a) jako';
+$lang['user'] = 'U啪ivatelsk茅 jm茅no';
+$lang['pass'] = 'Heslo';
+$lang['newpass'] = 'Nov茅 heslo';
+$lang['oldpass'] = 'Sou膷asn茅 heslo';
+$lang['passchk'] = 'je拧t臎 jednou';
+$lang['remember'] = 'P艡ihl谩sit se nast谩lo';
+$lang['fullname'] = 'Cel茅 jm茅no';
+$lang['email'] = 'E-mail';
+$lang['register'] = 'Registrovat';
+$lang['profile'] = 'U啪ivatelsk媒 profil';
+$lang['badlogin'] = 'Zadan茅 u啪ivatelsk茅 jm茅no a heslo nen铆 spr谩vn臎.';
+$lang['minoredit'] = 'Drobn茅 zm臎ny';
+$lang['draftdate'] = 'Koncept automaticky ulo啪en v';
+$lang['nosecedit'] = 'Str谩nka byla v mezi膷ase zm臎n臎na. Informace o sekci ji啪 nebylo platn茅, byla na膷tena cel谩 str谩nka.';
+$lang['regmissing'] = 'Mus铆te vyplnit v拧echny 煤daje.';
+$lang['reguexists'] = 'U啪ivatel se stejn媒m jm茅nem u啪 je zaregistrov谩n.';
+$lang['regsuccess'] = 'U啪ivatelsk媒 煤膷et byl vytvo艡en a heslo zasl谩no mailem.';
+$lang['regsuccess2'] = 'U啪ivatelsk媒 煤膷et byl vytvo艡en.';
+$lang['regmailfail'] = 'Zd谩 se, 啪e nastala chyba p艡i pos铆l谩n铆 mailu s heslem. Zkuste kontaktovat spr谩vce.';
+$lang['regbadmail'] = 'Zadan谩 mailov谩 adresa nen铆 platn谩. Pokud si mysl铆te, 啪e to je 拧patn臎, zkuste kontaktovat spr谩vce.';
+$lang['regbadpass'] = 'Heslo nebylo zad谩no dvakr谩t stejn臎, zkuste to pros铆m znovu.';
+$lang['regpwmail'] = 'Va拧e heslo do syst茅mu DokuWiki';
+$lang['reghere'] = 'Nem谩te u啪ivatelsk媒 煤膷et? Z艡i膹te si ho';
+$lang['profna'] = 'Tato wiki neumo啪艌uje zm臎nu profilu';
+$lang['profnochange'] = '沤谩dn茅 zm臎ny nebyly provedeny.';
+$lang['profnoempty'] = 'Nelze zadat pr谩zdn茅 jm茅no nebo mailov谩 adresa.';
+$lang['profchanged'] = 'U啪ivatelsk媒 profil zm臎n臎n.';
+$lang['pwdforget'] = 'Zapomn臎li jste heslo? Nechte si zaslat nov茅';
+$lang['resendna'] = 'Tato wiki neumo啪艌uje zas铆l谩n铆 nov媒ch hesel.';
+$lang['resendpwd'] = 'Odeslat nov茅 heslo pro u啪ivatele';
+$lang['resendpwdmissing'] = 'Mus铆te vyplnit v拧echny polo啪ky.';
+$lang['resendpwdnouser'] = 'Bohu啪el takov媒 u啪ivatel v syst茅mu nen铆.';
+$lang['resendpwdbadauth'] = 'Autoriza膷n铆 k贸d nen铆 platn媒. Zadali jste opravdu cel媒 odkaz na potvrzovac铆 str谩nku?';
+$lang['resendpwdconfirm'] = 'Odkaz na potvrzovac铆 str谩nku byl odesl谩n mailem.';
+$lang['resendpwdsuccess'] = 'Va拧e nov茅 heslo bylo odesl谩no emailem.';
+$lang['license'] = 'Krom臎 m铆st, kde je explicitn臎 uvedeno jinak, je obsah t茅to wiki licencov谩n pod n谩sleduj铆c铆 licenc铆:';
+$lang['licenseok'] = 'Pozn谩mka: T铆m, 啪e editujete tuto str谩nku, souhlas铆te, aby v谩拧 obsah byl licencov谩n pod n谩sleduj铆c铆 licenc铆:';
+$lang['searchmedia'] = 'Hledat jm茅no souboru:';
+$lang['searchmedia_in'] = 'Hledat v %s';
+$lang['txt_upload'] = 'Vyberte soubor jako p艡铆lohu';
+$lang['txt_filename'] = 'Wiki jm茅no (voliteln茅)';
+$lang['txt_overwrt'] = 'P艡epsat existuj铆c铆 soubor';
+$lang['lockedby'] = 'Pr谩v臎 zamknuto:';
+$lang['lockexpire'] = 'Z谩mek vypr拧铆:';
+$lang['willexpire'] = 'V谩拧 z谩mek pro editaci za chv铆li vypr拧铆.\nAbyste p艡ede拧li konflikt暖m, stiskn臎te tla膷铆tko N谩hled a z谩mek se prodlou啪铆.';
+$lang['notsavedyet'] = 'Jsou tu neulo啪en茅 zm臎ny, kter茅 budou ztraceny.\nChcete opravdu pokra膷ovat?';
+$lang['rssfailed'] = 'Nastala chyba p艡i vytv谩艡en铆 tohoto RSS: ';
+$lang['nothingfound'] = 'Nic nenalezeno.';
+$lang['mediaselect'] = 'V媒b臎r dokumentu';
+$lang['fileupload'] = 'Na膷ten铆 dokumentu';
+$lang['uploadsucc'] = 'P艡enos prob臎hl v po艡谩dku';
+$lang['uploadfail'] = 'Chyba p艡i na膷铆t谩n铆. Mo啪n谩 kv暖li 拧patn臎 nastaven媒m pr谩v暖m?';
+$lang['uploadwrong'] = 'Na膷ten铆 souboru s takovouto p艡铆ponou nen铆 dovoleno.';
+$lang['uploadexist'] = 'Soubor u啪 existuje, nech谩me ho b媒t.';
+$lang['uploadbadcontent'] = 'Obsah na膷ten茅ho dokumentu %s neodpov铆d谩 jeho p艡铆pon臎.';
+$lang['uploadspam'] = 'Na膷ten媒 dokument byl odm铆tnut, je na spamov茅m blacklistu.';
+$lang['uploadxss'] = 'Na膷ten媒 dokument byl odm铆tnut. Zd谩 se, 啪e obsahuje 拧kodliv茅 v臎ci.';
+$lang['uploadsize'] = 'Nahran媒 soubor byl p艡铆li拧 velk媒 (max. %s)';
+$lang['deletesucc'] = 'Soubor "%s" byl vymaz谩n.';
+$lang['deletefail'] = 'Soubor "%s" nelze vymazat - zkontrolujte opr谩vn臎n铆.';
+$lang['mediainuse'] = 'Soubor "%s" nebyl vymaz谩n - st谩le se pou啪铆v谩.';
+$lang['namespaces'] = 'Jmenn茅 prostory';
+$lang['mediafiles'] = 'Dostupn茅 soubory';
+$lang['js']['searchmedia'] = 'Hledat soubory';
+$lang['js']['keepopen'] = 'Po vybr谩n铆 souboru nechat okno otev艡en茅';
+$lang['js']['hidedetails'] = 'Skr媒t detaily';
+$lang['js']['nosmblinks'] = 'Odkazov谩n铆 na sd铆len茅 prost艡edky Windows funguje jen v Internet Exploreru.
+P艡esto tento odkaz m暖啪ete zkop铆rovat a vlo啪it jinde.';
+$lang['js']['linkwiz'] = 'Pr暖vodce odkazy';
+$lang['js']['linkto'] = 'Odkaz na:';
+$lang['js']['del_confirm'] = 'Vymazat tuto polo啪ku?';
+$lang['js']['mu_btn'] = 'Na膷铆st v铆ce soubor暖 najednou';
+$lang['mediausage'] = 'K odk谩z谩n铆 se na tento soubor pou啪ijte n谩sleduj铆c铆 syntax:';
+$lang['mediaview'] = 'Zobrazit p暖vodn铆 soubor';
+$lang['mediaroot'] = 'root';
+$lang['mediaupload'] = 'Na膷铆st soubor do aktu谩ln铆ho jmenn茅ho prostoru. K vytvo艡en铆 nov媒ch jmenn媒ch prostor暖, p艡idejte jejich n谩zvy na za膷谩tek wiki jm茅na (odd臎lte dvojte膷kou).';
+$lang['mediaextchange'] = 'P艡铆pona souboru byla zm臎n臎na z .%s na .%s!';
+$lang['reference'] = 'Odkazy na';
+$lang['ref_inuse'] = 'Soubor nelze vymazat, jeliko啪 ho vyu啪铆vaj铆 n谩sleduj铆c铆 str谩nky:';
+$lang['ref_hidden'] = 'N臎kter茅 odkazy jsou na str谩nk谩ch, kam nem谩te pr谩vo p艡铆stupu';
+$lang['hits'] = '- po膷et v媒skyt暖';
+$lang['quickhits'] = 'Odpov铆daj铆c铆 str谩nky';
+$lang['toc'] = 'Obsah';
+$lang['current'] = 'aktu谩ln铆';
+$lang['yours'] = 'Va拧e verze';
+$lang['diff'] = 'zobrazit rozd铆ly v暖膷i aktu谩ln铆 verzi';
+$lang['diff2'] = 'zobrazit rozd铆ly mezi vybran媒mi verzemi';
+$lang['line'] = '艠谩dek';
+$lang['breadcrumb'] = 'Historie';
+$lang['youarehere'] = 'Um铆st臎n铆';
+$lang['lastmod'] = 'Posledn铆 煤prava';
+$lang['by'] = 'autor:';
+$lang['deleted'] = 'odstran臎no';
+$lang['created'] = 'vytvo艡eno';
+$lang['restored'] = 'star谩 verze byla obnovena';
+$lang['external_edit'] = 'upraveno mimo DokuWiki';
+$lang['summary'] = 'Koment谩艡 k 煤prav谩m';
+$lang['noflash'] = 'Pro p艡ehr谩n铆 obsahu pot艡ebujete <a href="http://www.adobe.com/products/flashplayer/">Adobe Flash Plugin</a>.';
+$lang['download'] = 'St谩hnout snippet';
+$lang['mail_newpage'] = 'nov谩 str谩nka:';
+$lang['mail_changed'] = 'zm臎na str谩nky:';
+$lang['mail_new_user'] = 'nov媒 u啪ivatel:';
+$lang['mail_upload'] = 'na膷ten媒 dokument:';
+$lang['qb_bold'] = 'Tu膷n臎';
+$lang['qb_italic'] = 'Kurz铆va';
+$lang['qb_underl'] = 'Podtr啪en铆';
+$lang['qb_code'] = 'Neform谩tovat (zdrojov媒 k贸d)';
+$lang['qb_strike'] = 'P艡e拧krtnut媒 text';
+$lang['qb_h1'] = 'Nadpis 1. 煤rovn臎';
+$lang['qb_h2'] = 'Nadpis 2. 煤rovn臎';
+$lang['qb_h3'] = 'Nadpis 3. 煤rovn臎';
+$lang['qb_h4'] = 'Nadpis 4. 煤rovn臎';
+$lang['qb_h5'] = 'Nadpis 5. 煤rovn臎';
+$lang['qb_h'] = 'Nadpis';
+$lang['qb_hs'] = 'Vybrat nadpis';
+$lang['qb_hplus'] = 'Nadpis vy拧拧铆 煤rovn臎';
+$lang['qb_hminus'] = 'Nadpis ni啪拧铆 煤rovn臎';
+$lang['qb_hequal'] = 'Nadpis stejn茅 煤rovn臎';
+$lang['qb_link'] = 'Intern铆 odkaz';
+$lang['qb_extlink'] = 'Extern铆 odkaz';
+$lang['qb_hr'] = 'Vodorovn谩 膷谩ra';
+$lang['qb_ol'] = '膶铆slovan媒 seznam';
+$lang['qb_ul'] = 'Ne膷铆slovan媒 seznam';
+$lang['qb_media'] = 'Vlo啪it obr谩zky nebo jin茅 soubory';
+$lang['qb_sig'] = 'Vlo啪it podpis';
+$lang['qb_smileys'] = 'Emotikony';
+$lang['qb_chars'] = 'Speci谩ln铆 znaky';
+$lang['upperns'] = 'sko膷it do nad艡azen茅ho jmenn茅ho prostoru';
+$lang['admin_register'] = 'P艡idat nov茅ho u啪ivatele';
+$lang['metaedit'] = 'Upravit Metadata';
+$lang['metasaveerr'] = 'Chyba p艡i z谩pisu metadat';
+$lang['metasaveok'] = 'Metadata ulo啪ena';
+$lang['img_backto'] = 'Zp臎t na';
+$lang['img_title'] = 'Titulek';
+$lang['img_caption'] = 'Popis';
+$lang['img_date'] = 'Datum';
+$lang['img_fname'] = 'Jm茅no souboru';
+$lang['img_fsize'] = 'Velikost';
+$lang['img_artist'] = 'Autor fotografie';
+$lang['img_copyr'] = 'Copyright';
+$lang['img_format'] = 'Form谩t';
+$lang['img_camera'] = 'Typ fotoapar谩tu';
+$lang['img_keywords'] = 'Kl铆膷ov谩 slova';
+$lang['subscribe_success'] = 'U啪ivatel %s je nyn铆 p艡ihl谩拧en k odb臎ru zm臎n ve str谩nce %s';
+$lang['subscribe_error'] = 'Chyba p艡i za艡azov谩n铆 u啪ivatele %s do seznamu pro odb臎r zm臎n ve str谩nce %s';
+$lang['subscribe_noaddress'] = 'K va拧emu u啪ivatelsk茅mu profilu chyb铆 mailov谩 adresa, tak啪e v谩s nelze za艡adit do seznamu pro odb臎r zm臎n';
+$lang['unsubscribe_success'] = 'U啪ivatel %s byl odebr谩n ze seznamu pro odb臎r zm臎n ve str谩nce %s';
+$lang['unsubscribe_error'] = 'Chyba p艡i odstra艌ov谩n铆 u啪ivatele %s ze seznamu pro odb臎ru zm臎n ve str谩nce %s';
+$lang['authmodfailed'] = 'Autentizace u啪ivatel暖 je 拧patn臎 nastavena. Informujte pros铆m spr谩vce t茅to wiki.';
+$lang['authtempfail'] = 'Autentizace u啪ivatel暖 je do膷asn臎 nedostupn谩. Pokud tento probl茅m p艡etrv谩v谩, informujte pros铆m spr谩vce t茅to wiki.';
+$lang['i_chooselang'] = 'Vyberte si jazyk';
+$lang['i_installer'] = 'Instalace DokuWiki';
+$lang['i_wikiname'] = 'N谩zev wiki';
+$lang['i_enableacl'] = 'Zapnout ACL (doporu膷eno)';
+$lang['i_superuser'] = 'Spr谩vce';
+$lang['i_problems'] = 'Instal谩tor narazil na n铆啪e popsan茅 probl茅my. Nelze pokra膷ovat v instalaci, dokud je neoprav铆te.';
+$lang['i_modified'] = 'Instal谩tor bude z bezpe膷nostn铆ch d暖vod暖 pracovat pouze s 膷istou a je拧t臎 neupravenou instalac铆 DokuWiki. Bu膹 znovu rozbalte souboru z instala膷n铆ho bal铆膷ku nebo se zkuste poradit s <a href="http://dokuwiki.org/install">instrukcemi pro instalci DokuWiki</a>.';
+$lang['i_funcna'] = 'PHP funkce <code>%s</code> nen铆 dostupn谩. V谩拧 webhosting ji mo啪n谩 z n臎jak茅ho d暖vodu vypnul.';
+$lang['i_phpver'] = 'Verze va拧铆 instalace PHP <code>%s</code> je ni啪拧铆 ne啪 po啪adovan谩 <code>%s</code>. Budete muset aktualizovat svou instalaci PHP.';
+$lang['i_permfail'] = 'DokuWiki nem暖啪e zapisovat do <code>%s</code>. Budete muset opravit pr谩va k tomuto adres谩艡i.';
+$lang['i_confexists'] = '<code>%s</code> ji啪 existuje';
+$lang['i_writeerr'] = 'Nelze vytvo艡it <code>%s</code>. Budete muset zkontrolovat pr谩va k soubor暖m 膷i adres谩艡暖m a vytvo艡it tento soubor ru膷n臎.';
+$lang['i_badhash'] = 'soubor dokuwiki.php (hash=<code>%s</code>) nebyl rozpozn谩n nebo byl upraven';
+$lang['i_badval'] = '<code>%s</code> - neplatn谩 nebo pr谩zdn谩 hodnota';
+$lang['i_success'] = 'Konfigurace byla 煤sp臎拧n臎 dokon膷ena. Nyn铆 m暖啪ete smazat soubor install.php. Pokra膷ujte do <a href="doku.php">sv茅 nov茅 DokuWiki</a>.';
+$lang['i_failure'] = 'Vyskytly se n臎jak茅 chyby p艡i z谩pisu do konfigura膷n铆ch soubor暖. Budete je nejsp铆拧 muset upravit ru膷n臎 p艡ed pou啪it铆m <a href="doku.php">sv茅 nov茅 DokuWiki</a>.';
+$lang['i_policy'] = '脷vodn铆 politika ACL';
+$lang['i_pol0'] = 'Otev艡en谩 wiki (膷ten铆, z谩pis a upload pro v拧echny)';
+$lang['i_pol1'] = 'Ve艡ejn谩 wiki (膷ten铆 pro v拧echny, z谩pis a upload pro registrovan茅 u啪ivatele)';
+$lang['i_pol2'] = 'Uzav艡en谩 wiki (膷ten铆, z谩pis a upload pouze pro registrovan茅 u啪ivatele)';
+$lang['i_retry'] = 'Zkusit znovu';
+$lang['mu_intro'] = 'Zde m暖啪ete na膷铆st v铆ce soubor暖 najednou. Pro p艡id谩n铆 soubor暖 do fronty stiskn臎te tla膷铆tko "Proch谩zet". A啪 budete hotovi, stiskn臎te "Na膷铆st".';
+$lang['mu_gridname'] = 'N谩zev souboru';
+$lang['mu_gridsize'] = 'Velikost';
+$lang['mu_gridstat'] = 'Stav';
+$lang['mu_namespace'] = 'Jmenn媒 prostor';
+$lang['mu_browse'] = 'Proch谩zet';
+$lang['mu_toobig'] = 'p艡铆li拧 velk茅';
+$lang['mu_ready'] = 'p艡ipraveno k na膷ten铆';
+$lang['mu_done'] = 'hotovo';
+$lang['mu_fail'] = 'selhalo';
+$lang['mu_authfail'] = 'vypr拧la session';
+$lang['mu_progress'] = '@PCT@% na膷ten';
+$lang['mu_filetypes'] = 'Povolen茅 typy soubor暖';
+$lang['mu_info'] = 'soubory na膷teny.';
+$lang['mu_lasterr'] = 'Posledn铆 chyba:';
+$lang['recent_global'] = 'Pr谩v臎 si prohl铆啪铆te zm臎ny ve jmenn茅m prostoru <b>%s</b>. Tak茅 si m暖啪ete <a href="%s">zobrazit zm臎ny v cel茅 wiki</a>.';
+$lang['years'] = 'p艡ed % roky';
+$lang['months'] = 'p艡ed % m臎s铆ci';
+$lang['weeks'] = 'p艡ed % t媒dny';
+$lang['days'] = 'p艡ed % dny';
+$lang['hours'] = 'p艡ed % hodinami';
+$lang['minutes'] = 'p艡ed % minutami';
+$lang['seconds'] = 'p艡ed % sekundami';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/locked.txt
new file mode 100644
index 000000000..23fd943a1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/locked.txt
@@ -0,0 +1,3 @@
+====== Str谩nka je zamknut谩 ======
+
+Tato str谩nka je pr谩v臎 zamknut谩 pro 煤pravy jin媒m u啪ivatelem. Mus铆te po膷kat, ne啪 onen u啪ivatel dokon膷铆 sv茅 煤pravy nebo ne啪 tento z谩mek vypr拧铆.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/login.txt
new file mode 100644
index 000000000..a44ae599a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/login.txt
@@ -0,0 +1,3 @@
+====== P艡ihl谩拧en铆 ======
+
+Moment谩ln臎 nejste p艡ihl谩拧en(a)! Pros铆m vlo啪te sv茅 identifika膷n铆 煤daje n铆啪e. Pro p艡ihl谩拧en铆 mus铆te m铆t zapnut茅 cookies.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/mailtext.txt
new file mode 100644
index 000000000..9fc68cdce
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/mailtext.txt
@@ -0,0 +1,17 @@
+Str谩nka ve va拧铆 DokuWiki byla zm臎n臎na. Zde jsou podrobnosti:
+
+Datum : @DATE@
+Prohl铆啪e膷 : @BROWSER@
+IP adresa : @IPADDRESS@
+Hostitel : @HOSTNAME@
+Star谩 verze : @OLDPAGE@
+Nov谩 verze : @NEWPAGE@
+Koment谩艡 : @SUMMARY@
+U啪ivatel : @USER@
+
+@DIFF@
+
+
+--
+Tato zpr谩va byla vygenerov谩na syst茅mem DokuWiki
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/newpage.txt
new file mode 100644
index 000000000..091250af7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/newpage.txt
@@ -0,0 +1,3 @@
+====== Str谩nka s t铆mto n谩zvem je拧t臎 neexistuje ======
+
+Odkaz v谩s zavedl na str谩nku, kter谩 je拧t臎 neexistuje. M暖啪ete ji vytvo艡it stisknut铆m tla膷铆tka ''Vytvo艡it str谩nku''.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/norev.txt
new file mode 100644
index 000000000..f601f5899
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/norev.txt
@@ -0,0 +1,3 @@
+====== Takov谩 verze neexistuje ======
+
+Zadan谩 verze neexistuje. Stiskn臎te tla膷铆tko ''Star拧铆 verze'' pro seznam star拧铆ch verz铆 tohoto dokumentu.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/preview.txt
new file mode 100644
index 000000000..079eda4e7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/preview.txt
@@ -0,0 +1,3 @@
+====== N谩hled ======
+
+Zde je n谩hled, jak bude dokument vypadat. Pozor: Soubor zat铆m **nen铆 ulo啪en**!
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/pwconfirm.txt
new file mode 100644
index 000000000..1199150e2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/pwconfirm.txt
@@ -0,0 +1,13 @@
+Dobr媒 den,
+
+N臎kdo po啪谩dal o nov茅 heslo k va拧emu u啪ivatelsk茅mu 煤膷tu na wiki @TITLE@ (@DOKUWIKIURL@)
+
+Pokud jste o nov茅 heslo ne啪谩dali, ignorujte pros铆m tento mail.
+
+Pro potvrzen铆, 啪e jste tento po啪adavek poslali opravdu vy, pros铆m otev艡ete n谩sleduj铆c铆 odkaz.
+
+@CONFIRM@
+
+--
+Tento mail byl automaticky vygenerov谩n syst茅mem DokuWiki
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/read.txt
new file mode 100644
index 000000000..d5b2d73cb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/read.txt
@@ -0,0 +1 @@
+Tato str谩nka je pouze pro 膷ten铆. M暖啪ete si pouze prohl茅dnout zdrojov媒 k贸d, ale ne ho m臎nit. Zeptejte se spr谩vce, pokud si mysl铆te, 啪e n臎co nen铆 v po艡谩dku.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/recent.txt
new file mode 100644
index 000000000..e4ca5e9bc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/recent.txt
@@ -0,0 +1,3 @@
+====== Posledn铆 煤pravy ======
+
+N谩sleduj铆c铆 str谩nky byly ned谩vno zm臎n臎ny.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/register.txt
new file mode 100644
index 000000000..b0d6bb1ff
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/register.txt
@@ -0,0 +1,3 @@
+====== Zaregistrujte se jako nov媒 u啪ivatel ======
+
+Abyste z铆skali u啪ivatelsk媒 煤膷et, vypl艌te pros铆m v拧echny informace v n谩sleduj铆c铆m formul谩艡i. Zadejte **platnou** mailovou adresu, na n铆啪 bude zasl谩no heslo. U啪ivatelsk茅 jm茅no mus铆 b媒t v platn茅m [[doku>pagename|form谩tu]] (kter媒 je stejn媒 jako form谩t n谩zvu str谩nky).
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/registermail.txt
new file mode 100644
index 000000000..e51ee6bb2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/registermail.txt
@@ -0,0 +1,14 @@
+Zaregistroval se nov媒 u啪ivatel. Zde jsou detaily:
+
+U啪ivatelsk茅 jm茅no : @NEWUSER@
+Cel茅 jm茅no : @NEWNAME@
+E-mail : @NEWEMAIL@
+
+Datum : @DATE@
+Prohl铆啪e膷 : @BROWSER@
+IP adresa : @IPADDRESS@
+Hostitel : @HOSTNAME
+
+--
+Tato zpr谩va byla vygenerov谩na syst茅mem DokuWiki
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/resendpwd.txt
new file mode 100644
index 000000000..1d2aa0d97
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/resendpwd.txt
@@ -0,0 +1,3 @@
+====== Zaslat nov茅 heslo ======
+
+Abyste z铆skali nov茅 heslo k va拧emu u膷tu v t茅to wiki, vypl艌te v拧echny n铆啪e uveden茅 informace . Va拧e nov茅 heslo bude zasl谩no na emailovou adresu, kterou jste zadali p艡i registraci. U啪ivatelsk茅 jm茅no by m臎lo b媒t stejn茅 jako va拧e u啪ivatelsk茅 jm茅no, s n铆m啪 se p艡ihla拧ujete do t茅to wiki.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/revisions.txt
new file mode 100644
index 000000000..e3744b76f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/revisions.txt
@@ -0,0 +1,3 @@
+====== Star拧铆 verze ======
+
+Zde jsou star拧铆 verze dan茅ho dokumentu. Pro n谩vrat ke star拧铆 verzi si ji zvolte ze seznamu n铆啪e, stiskn臎te tla膷铆tko ''Upravit str谩nku'' a ulo啪te ji.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/searchpage.txt
new file mode 100644
index 000000000..ac045e100
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/searchpage.txt
@@ -0,0 +1,5 @@
+====== Vyhled谩v谩n铆 ======
+
+V媒sledky hled谩n铆 m暖啪ete vid臎t n铆啪e. Pokud jste nena拧li, co hled谩te, zkuste po啪adovanou str谩nku sami vytvo艡it stisknut铆m tla膷铆tka ''Vytvo艡it str谩nku''.
+
+===== V媒sledky =====
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/showrev.txt
new file mode 100644
index 000000000..48be467b2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/showrev.txt
@@ -0,0 +1,3 @@
+**Toto je star拧铆 verze dokumentu!**
+----
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/stopwords.txt
new file mode 100644
index 000000000..26d874143
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/stopwords.txt
@@ -0,0 +1,944 @@
+# Stopwords for Czech - generated from ispell-cs (license: GNU GPL)
+aby
+ako
+akor谩t
+ale
+and
+ani
+ano
+apod
+asi
+atd
+b臎hem
+bez
+beze
+bl铆zko
+bohud铆k
+bohu啪el
+bokem
+bu膹
+bude
+budem
+budeme
+bude拧
+budete
+budi啪
+budou
+budu
+b暖hv铆co
+b暖hv铆膷铆
+b暖hv铆jak
+b暖hv铆jak媒
+b暖hv铆kam
+b暖hv铆kde
+b暖hv铆kdo
+b暖hv铆kdy
+b暖hv铆kolik
+b暖hv铆kter媒
+b暖hv铆na膷
+b暖hv铆pro膷
+bych
+bychom
+byl
+byla
+byli
+bylo
+byly
+bysme
+b媒t
+cca
+cokoli
+cokoliv
+copak
+cosi
+co啪
+co啪e
+膷ast臎ji
+膷asto
+膷eho
+膷ehokoli
+膷ehokoliv
+膷ehosi
+膷eho啪
+膷em
+膷emkoli
+膷emkoliv
+膷emsi
+膷emu
+膷emukoli
+膷emukoliv
+膷emusi
+膷emu啪
+膷em啪
+膷ertv铆co
+膷ertv铆膷铆
+膷ertv铆jak
+膷ertv铆jak媒
+膷ertv铆kam
+膷ertv铆kde
+膷ertv铆kdo
+膷ertv铆kdy
+膷ertv铆kolik
+膷ertv铆kter媒
+膷ertv铆na膷
+膷ertv铆pro膷
+膷铆hokoli
+膷铆hosi
+膷铆chkoli
+膷铆chsi
+膷铆koli
+膷铆m
+膷铆makoli
+膷铆masi
+膷铆mikoli
+膷铆misi
+膷铆mkoli
+膷铆mkoliv
+膷铆mpak
+膷铆msi
+膷铆mukoli
+膷铆musi
+膷铆m啪
+膷铆si
+d谩l
+d谩le
+daleko
+dal拧铆
+d谩m
+dle
+dnem
+dnes
+dneska
+dobr谩
+dobr茅
+dobr媒
+dob艡e
+docela
+dokonce
+doposavad
+doposud
+doprost艡ed
+dosavad
+dospod
+dospodu
+dost
+dosti
+dosud
+dovnit艡
+e拧t臎
+formou
+ho
+hodinou
+hodn臎
+hor拧铆
+h暖艡
+h暖艡e
+chce拧
+chci
+cht臎l
+jac铆koli
+jac铆koliv
+jac铆pak
+jac铆si
+jak
+jak谩koli
+jak谩koliv
+jak谩pak
+jak谩si
+jak茅
+jak茅hokoli
+jak茅hokoliv
+jak茅hopak
+jak茅hosi
+jak茅koli
+jak茅koliv
+jak茅mkoli
+jak茅mkoliv
+jak茅mpak
+jak茅msi
+jak茅mukoli
+jak茅mukoliv
+jak茅mupak
+jak茅musi
+jak茅pak
+jak茅si
+jakmile
+jako
+jakou
+jakoukoli
+jakoukoliv
+jakoupak
+jakousi
+jako啪
+jakpak
+jak媒
+jak媒chkoli
+jak媒chkoliv
+jak媒chpak
+jak媒chsi
+jak媒koli
+jak媒koliv
+jak媒makoli
+jak媒makoliv
+jak媒mapak
+jak媒masi
+jak媒mikoli
+jak媒mikoliv
+jak媒mipak
+jak媒misi
+jak媒mkoli
+jak媒mkoliv
+jak媒mpak
+jak媒msi
+jak媒pak
+jak媒si
+jak啪e
+jasn茅
+jasn臎
+jde
+je
+jedin谩
+jedin茅
+jedin媒
+jeho
+jeho啪
+jej
+jej铆
+jej铆ho啪
+jejich
+jejich啪
+jej铆ch啪
+jej铆ma啪
+jej铆mi啪
+jej铆mu啪
+jej铆m啪
+jej铆啪
+jej啪
+jeliko啪
+jemu
+jemu啪
+jen
+jenom
+jen啪
+jen啪e
+jestli
+je拧t臎
+je啪
+je啪to
+ji
+j铆
+jich
+jich啪
+jim
+j铆m
+jimi
+jimi啪
+jim啪
+j铆m啪
+jin谩
+jinak
+jin茅
+jinou
+jin媒
+jin媒ch
+jin媒m
+jist茅
+jist臎
+ji啪
+j铆啪
+jm茅nem
+jsem
+jse拧
+jsi
+jsme
+jsou
+jste
+kam
+ka啪d媒
+kde
+kdeco
+kde膷铆
+kdejak媒
+kdekdo
+kdekter媒
+kdepak
+kdesi
+kdo
+kdokoli
+kdokoliv
+kdopak
+kdosi
+kdov铆jak
+kdov铆kde
+kdov铆kdo
+kdo啪
+kdy
+kdysi
+kdy啪
+kohokoli
+kohokoliv
+kohopak
+kohosi
+koho啪
+kol
+kolem
+kolik
+kolik啪e
+kolkolem
+komkoli
+komkoliv
+kompak
+komsi
+komu
+komukoli
+komukoliv
+komupak
+komusi
+komu啪
+kom啪
+koncem
+kon膷e
+kon膷铆
+kon膷铆c
+konec
+kontra
+krom臎
+kter谩
+kter谩koli
+kter谩koliv
+kter谩si
+kter谩啪to
+kter茅
+kter茅hokoli
+kter茅hokoliv
+kter茅hosi
+kter茅ho啪
+kter茅koli
+kter茅koliv
+kter茅m
+kter茅mkoli
+kter茅mkoliv
+kter茅msi
+kter茅mukoli
+kter茅mukoliv
+kter茅musi
+kter茅mu啪
+kter茅m啪to
+kter茅si
+kter茅啪to
+kterou
+kteroukoli
+kteroukoliv
+kterousi
+kterou啪to
+kter媒
+kter媒chkoli
+kter媒chkoliv
+kter媒chsi
+kter媒ch啪to
+kter媒koli
+kter媒koliv
+kter媒m
+kter媒makoli
+kter媒makoliv
+kter媒masi
+kter媒mikoli
+kter媒mikoliv
+kter媒misi
+kter媒mi啪
+kter媒mkoli
+kter媒mkoliv
+kter媒msi
+kter媒m啪to
+kter媒si
+kter媒啪to
+kte艡铆
+kte艡铆si
+kte艡铆啪to
+kt艡铆koli
+kt艡铆koliv
+kupodivu
+kup艡铆kladu
+kv暖li
+k媒mkoli
+k媒mkoliv
+k媒mpak
+k媒msi
+k媒m啪
+lecco
+leccos
+lec膷ems
+lecjak
+lecjak媒
+leckam
+leckams
+leckde
+leckdo
+leckdy
+leckter媒
+ledaco
+ledacos
+leda膷铆
+ledajak
+ledajak媒
+ledakdo
+ledakter媒
+ledaskam
+ledaskde
+ledaskdo
+ledaskdy
+l茅pe
+lep拧铆
+l铆p
+m谩
+maj铆
+m谩lo
+m谩loco
+m谩lokdo
+m谩lokter媒
+m谩m
+m谩me
+m谩拧
+m谩te
+max
+m茅
+m臎
+m茅ho
+m臎l
+m臎la
+m臎lo
+m茅m
+m茅mu
+mezi
+mi
+m铆
+mimo
+min
+m铆艌
+m铆sto
+m铆t
+mne
+mn臎
+mnoho
+mnou
+moc
+mohl
+mohla
+mohou
+mohu
+moje
+moji
+moj铆
+mou
+mo啪n谩
+mu
+m暖j
+musel
+muset
+mus铆
+mus铆m
+mus铆拧
+mus铆te
+m暖啪e
+m暖啪e拧
+m暖啪ete
+m暖啪u
+my
+m媒ch
+m媒m
+m媒mi
+na膷
+na膷e啪
+na膷pak
+nad
+nade
+n谩m
+n谩mi
+nam铆sto
+na艌
+naprosto
+naproti
+nap艡
+nap艡铆膷
+n谩s
+n谩拧
+na拧i
+nav铆c
+navrch
+navrchu
+navzdory
+n臎
+nebo
+nebude
+nebyl
+nebyli
+nebyly
+n臎co
+n臎膷铆
+nedaleko
+nehled铆c
+n臎ho
+n臎ho啪
+nechce拧
+nechci
+nech钮
+necht臎l
+n臎j
+n臎jak
+n臎jak谩
+n臎jak茅
+n臎jak茅ho
+n臎jakou
+n臎jak媒
+nejasn茅
+nejasn媒
+nej膷ast臎ji
+nejde
+nejen
+nejh暖艡
+nejh暖艡e
+nejl茅pe
+nejni啪拧铆
+nejsem
+nejsou
+nejvy拧拧铆
+n臎j啪
+n臎kam
+n臎kde
+n臎kdo
+n臎kdy
+n臎kolik
+nekon膷铆
+n臎kter媒
+nelze
+n臎m
+nem谩
+nemaj铆
+nem谩lo
+nem谩m
+nem谩me
+nem谩拧
+nem谩te
+nem铆t
+nemohl
+nemohla
+nemohou
+nemohu
+n臎mu
+nemusel
+nemuset
+nemus铆
+nemus铆m
+nemus铆拧
+n臎mu啪
+nem暖啪e
+nem暖啪e拧
+nem暖啪ete
+nem暖啪u
+n臎m啪
+nen铆
+nep艡esn谩
+nep艡esn茅
+nep艡esn臎
+nep艡esn媒
+nep艡铆mo
+net艡eba
+netu拧铆m
+net媒k谩
+nev铆
+nev铆m
+nev铆拧
+nevlastn铆
+nevyj铆maje
+nevyj铆maj铆c
+ne啪
+n臎啪
+ni
+n铆
+nic
+ni膷eho
+ni膷em
+ni膷emu
+ni膷铆
+ni膷铆m
+nie
+nieje
+nich
+nich啪
+nijak媒
+nikdo
+nikto
+nim
+n铆m
+nimi
+nimi啪
+nim啪
+n铆m啪
+n铆zk谩
+ni啪
+n铆啪
+ni啪谩dn媒
+n铆啪e
+ni啪拧铆
+nov媒
+nutn臎
+oba
+ob臎
+ob臎ma
+obou
+o膷
+o膷pak
+ode
+odspoda
+odspodu
+ohledn臎
+okam啪ikem
+okolo
+on
+o艌
+ona
+onen
+oni
+ono
+ony
+opravdu
+oproti
+ostatn铆
+osum
+pak
+pobl铆啪
+po膷谩tkem
+po膷铆naje
+po膷铆naj铆c
+pod
+pode
+pod茅l
+podle
+podobn臎
+pokud
+pom臎rn臎
+pomoc铆
+ponad
+po艡谩d
+posledn铆
+posl茅ze
+posud
+potom
+pra啪谩dn媒
+pro
+pro膷
+pro膷pak
+pro艌
+prost臎
+proti
+proto
+proto啪e
+p艡ed
+p艡ede
+p艡edem
+p艡es
+p艡ese
+p艡esn谩
+p艡esn茅
+p艡esn臎
+p艡esn媒
+p艡i
+p艡i膷em啪
+p艡铆mo
+p艡铆padn谩
+p艡铆padn茅
+p艡铆padn臎
+p艡铆padn媒
+p艡itom
+p暖l铆
+rad臎ji
+rokem
+s谩m
+sama
+sam谩
+sam茅
+sam茅ho
+sam茅m
+sam茅mu
+sami
+samo
+samou
+samoz艡ejm臎
+samoz艡ejm媒
+samu
+samy
+sam媒
+sam媒ch
+sam媒m
+sam媒mi
+se
+sebe
+sebou
+sem
+ses
+si
+sice
+sis
+skoro
+skrz
+skrze
+snad
+sob臎
+som
+sotva
+sotvaco
+sotvakdo
+sp铆拧
+sp铆拧e
+spodem
+spolu
+sta膷铆
+stejn臎
+stranou
+st艡edem
+sv谩
+sv茅
+sv茅ho
+sv茅m
+sv茅mu
+sv铆
+svoje
+svoji
+svoj铆
+svou
+svrchu
+sv暖j
+sv媒ch
+sv媒m
+sv媒mi
+拧patn谩
+拧patn茅
+拧patn臎
+拧patn媒
+tac铆
+tady
+tahle
+tak
+tak谩
+tak茅
+takhle
+takov谩to
+takov茅
+takov茅hoto
+takov茅mto
+takov茅muto
+takov茅to
+takov铆to
+takovouto
+takov媒
+takov媒chto
+takov媒ma
+takov媒mato
+takov媒mito
+takov媒mto
+takov媒to
+takto
+taky
+tak媒
+tak啪e
+tam
+tamten
+tat谩啪
+tato
+t谩啪
+t臎
+tebe
+tebou
+te膹
+teda
+tedy
+t茅hle
+t茅ho啪
+t臎chhle
+t臎chto
+t臎ch啪e
+t臎m
+t茅ma
+t臎mahle
+t臎mhle
+t臎mihle
+t臎mito
+t臎mto
+t臎mu
+t茅mu啪
+t茅m啪
+t茅m啪e
+ten
+tenhle
+tenhleten
+tento
+tent媒啪
+t茅to
+t茅啪e
+ti
+tihle
+t铆m
+t铆mhle
+t铆mt茅啪
+t铆mto
+tit铆啪
+tito
+t铆啪
+tob臎
+tohle
+toho
+tohohle
+tohoto
+tom
+tomhle
+tomt茅啪
+tomto
+tomu
+tomuhle
+tomuto
+tot茅啪
+toto
+touhle
+tout茅啪
+touto
+tou啪
+tou啪e
+trochu
+tro拧ku
+t艡eba
+tuhle
+tut茅啪
+tuto
+tv谩
+tv茅
+tv茅ho
+tv茅m
+tv茅mu
+tv铆
+tvoje
+tvoji
+tvoj铆
+tvou
+tv暖j
+tv媒ch
+tv媒m
+tv媒mi
+ty
+tyhle
+t媒ch啪
+t媒k谩
+t媒mi啪
+t媒m啪
+tys
+tyt茅啪
+tyto
+t媒啪
+煤derem
+upln谩
+upln茅
+煤pln臎
+煤pln媒
+uprost艡ed
+ur膷it臎
+uvnit艡
+煤vodem
+v谩m
+v谩mi
+v谩s
+v谩拧
+va拧e
+va拧i
+v膷etn臎
+vedle
+velmi
+veprost艡ed
+versus
+vespod
+vespodu
+ve拧ker媒
+vevnit艡
+v铆c
+v铆ce
+v铆m
+vinou
+v铆拧
+viz
+vlastn臎
+vlivem
+vn臎
+vnit艡ka
+vnit艡kem
+vnit艡ku
+von
+vrchem
+v拧ak
+v拧e
+v拧ecek
+v拧ecka
+v拧ecko
+v拧ecky
+v拧eho
+v拧ech
+v拧echen
+v拧echna
+v拧echno
+v拧echnu
+v拧echny
+v拧elico
+v拧elicos
+v拧eli膷ehos
+v拧eli膷ems
+v拧eli膷emus
+v拧eli膷铆
+v拧eli膷铆ms
+v拧elijak媒
+v拧elikdo
+v拧elik媒
+v拧eliskdo
+v拧em
+v拧emi
+v拧emu
+v拧i
+v拧铆
+v拧icci
+v拧ichni
+v拧铆m
+v暖bec
+v暖膷i
+vy
+vyjma
+vysok谩
+v媒拧e
+vy拧拧铆
+vzdor
+vzhledem
+v啪dy
+za
+za膷
+za膷谩tkem
+za膷pak
+za艌
+z谩sluhou
+zat铆m
+z谩v臎rem
+zboku
+zcela
+z膷谩sti
+zda
+zdaleka
+zde
+zespoda
+zespodu
+zevnit艡
+zeza
+znovu
+zp臎t
+zpod
+zponad
+zpoza
+zprost艡ed
+z艡铆dkaco
+z艡铆dkakdo
+zvnit艡ka
+zvnit艡ku
+啪谩dn媒
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/subscribermail.txt
new file mode 100644
index 000000000..6ef6411d0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/subscribermail.txt
@@ -0,0 +1,23 @@
+Dobr媒 den,
+
+Str谩nka @PAGE@ ve wiki @TITLE@ se zm臎nila.
+Tady jsou detaily:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+Datum: @DATE@
+U啪ivatel: @USER@
+Souhrn 煤pravy: @SUMMARY@
+P暖vodn铆 revize: @OLDPAGE@
+Nov谩 revize: @NEWPAGE@
+
+
+Pro odhl谩拧en铆 z odb臎ru zm臎n pro tuto str谩nku se p艡ihla拧te do wiki
+@DOKUWIKIURL@ a vstupte na str谩nku
+@NEWPAGE@, kde stisknete tla膷铆tko "Neodeb铆rat zm臎ny mailem".
+
+--
+Tato zpr谩va byla vygenerov谩na syst茅mem DokuWiki
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/updateprofile.txt
new file mode 100644
index 000000000..d5eadc66c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/updateprofile.txt
@@ -0,0 +1,5 @@
+====== Upravit profil va拧eho u膷tu ======
+
+Vypl艌te pouze pole, kter谩 chcete zm臎nit. Nem暖啪ete ale zm臎nit sv茅 u啪ivatelsk茅 jm茅no.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/uploadmail.txt
new file mode 100644
index 000000000..98f860138
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/uploadmail.txt
@@ -0,0 +1,14 @@
+Do va拧铆 DokuWiki byl nahr谩n nov媒 dokument. Tady jsou detaily:
+
+Soubor : @MEDIA@
+Datum : @DATE@
+Prohl谩啪e膷 : @BROWSER@
+IP adresa : @IPADDRESS@
+Hostitel : @HOSTNAME@
+Velikost : @SIZE@
+MIME typ : @MIME@
+U啪ivatel : @USER@
+
+--
+Tato zpr谩va byla vygenerov谩na syst茅mem DokuWiki
+@DOKUWIKIUR \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/wordblock.txt
new file mode 100644
index 000000000..75fe58e0c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/cs/wordblock.txt
@@ -0,0 +1,3 @@
+====== SPAM nebyl povolen ======
+
+Va拧e zm臎ny **nebyly ulo啪eny**, proto啪e obsahuj铆 jedno nebo v铆ce nepovolen媒ch slov. Wiki si nepotrp铆 na spam! Pokud se domn铆v谩te, 啪e jde o omyl, kontaktujte spr谩vce.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/admin.txt
new file mode 100644
index 000000000..3ac4a70df
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/admin.txt
@@ -0,0 +1,4 @@
+====== Administration ======
+
+Nedenfor kan du finde en r忙kke administrative v忙rkt酶jer.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/adminplugins.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/adminplugins.txt
new file mode 100644
index 000000000..2a3d687f1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/adminplugins.txt
@@ -0,0 +1 @@
+===== Yderligere udvidelser ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/backlinks.txt
new file mode 100644
index 000000000..6dfa3cc43
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/backlinks.txt
@@ -0,0 +1,4 @@
+====== Henvisninger bagud ======
+
+Dette er en liste over alle de dokumenter der henviser tilbage til det nuv忙rende dokument.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/conflict.txt
new file mode 100644
index 000000000..fc38cee63
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/conflict.txt
@@ -0,0 +1,5 @@
+====== Der eksisterer en nyere udgave af dokumentet ======
+
+Der eksisterer en nyere udgave af dette dokument. Det sker n氓r flere brugere 忙ndrer i dokumentet p氓 samme tid.
+
+Gennemg氓 de viste forskelle grundigt, og beslut hvilken udgave der skal bevares. Hvis du v忙lger ''Gem'', bliver din udgave af dokumentet gemt. V忙lger du ''Fortryd'' beholder du den nuv忙rende udgave.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/denied.txt
new file mode 100644
index 000000000..a4fa8b88f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/denied.txt
@@ -0,0 +1,3 @@
+====== Adgang n忙gtet! ======
+
+Du har ikke rettigheder til at forts忙tte. M氓ske er du ikke logget ind.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/diff.txt
new file mode 100644
index 000000000..f77224f2f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/diff.txt
@@ -0,0 +1,4 @@
+====== Forskelle ======
+
+Dette viser forskellene mellem den valgte og den nuv忙rende udgave af dokumentet. Gul er linjer der findes i den gamle udgave, og gr酶n er linjer der findes i den nuv忙rende.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/draft.txt
new file mode 100644
index 000000000..69c780140
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/draft.txt
@@ -0,0 +1,6 @@
+====== Kladdefil fundet ======
+
+Din sidste redigeringssession p氓 denne side blev ikke afsluttet korrekt. DokuWiki har automatisk gemt en kladde mens du arbejdede, som du kan benytte til at forts忙tte redigeringen. Forneden kan du se de data der blev gemt fra din sidste session.
+
+V忙lg venligst, om du vil //gendanne// din tabte redigering, //slette// den gemte kladde eller //afbryde// redigeringen.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/edit.txt
new file mode 100644
index 000000000..0a9ea39dc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/edit.txt
@@ -0,0 +1,2 @@
+Rediger dette dokument og tryk p氓 knappen **''[Gem]''**. Se [[wiki:syntax|Formaterings tips]] for Wiki syntaks. Ret venligst kun dette dokument hvis du kan **forbedre** det. Brug venligst [[playground:playground|sandkassen]] til at teste f酶r du retter i et rigtigt dokument. Husk ogs氓 at bruge **''[Forh氓ndsvisning]''** f酶r du gemmer dokumentet.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/editrev.txt
new file mode 100644
index 000000000..438363e5d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/editrev.txt
@@ -0,0 +1,2 @@
+**Du har hentet en gammel udgave af dette dokument!** Hvis du gemmer dokumentet vil du overskrive den nuv忙rende med den gamle udgave.
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/index.txt
new file mode 100644
index 000000000..74afb9831
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/index.txt
@@ -0,0 +1,3 @@
+====== Indeks ======
+
+Dette er en oversigt over alle tilg忙ngelige dokumenter, sorteret efter [[doku>namespaces|navnerum]].
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/install.html
new file mode 100644
index 000000000..5c53c5546
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/install.html
@@ -0,0 +1,24 @@
+<p>Denne side hj忙lper til f酶rste-gangs installation og konfiguration af
+<a href="http://dokuwiki.org">Dokuwiki</a>. Mere information om denne
+installer er tilg忙ngelig p氓 dens egen
+<a href="http://dokuwiki.org/installer">dokumentations side</a>.</p>
+
+<p>DokuWiki bruger almindelige filer til at gemme wiki sider og anden
+information relaterende til disse sider (f.eks. billeder, s酶ge indeks, gamle
+udgaver, osv). For at fungerer optimalt <strong>skal</strong> DokuWiki have
+skrive adgang til mapperne der holder disse filer. Denne installer er ikke
+istand til at ops忙tte mappe tilladelser. Det skal normalt udf酶res direkte i en
+kommando shell eller hvis du bruger hosting, gennem FTP eller dit hostings
+kontrol panel (f.eks. cPanel).</p>
+
+<p>Denne installer vil ops忙tte din DokuWiki konfiguration for
+<acronym title="access control list">ACL</acronym>, hvilket tillader
+administrator login og adgang til DokuWiki's adminstrative menu til
+installation af udvidelser, h氓ndtering af brugere, h氓ndtering af adgang til wiki
+sider og 忙ndring af konfigurations indstillinger. Det er ikke et krav for at
+DokuWiki kan fungere, men det vil g酶re DokuWiki lettere at administre.</p>
+
+<p>Erfarne brugere og brugere med specielle ops忙tningskrav burde bruge disse
+henvisninger for detaljer vedr酶rende
+<a href="http://dokuwiki.org/install">installations instruktioner</a>
+og <a href="http://dokuwiki.org/config">konfigurations indstillinger</a>.</p>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/lang.php
new file mode 100644
index 000000000..56239718b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/lang.php
@@ -0,0 +1,253 @@
+<?php
+/**
+ * danish language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author koeppe <koeppe@kazur.dk>
+ * @author Jon Bendtsen <bendtsen@diku.dk>
+ * @author Lars N忙sbye Christensen <larsnaesbye@stud.ku.dk>
+ * @author Kalle Sommer Nielsen <kalle@php.net>
+ * @author Esben Laursen <hyber@hyber.dk>
+ * @author Harith <haj@berlingske.dk>
+ * @author Daniel Ejsing-Duun <dokuwiki@zilvador.dk>
+ * @author Erik Bj酶rn Pedersen <erik.pedersen@shaw.ca>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '鈥';
+$lang['btn_edit'] = 'Rediger dette dokument';
+$lang['btn_source'] = 'Vis kildekode';
+$lang['btn_show'] = 'Vis dokument';
+$lang['btn_create'] = 'Opret dette dokument';
+$lang['btn_search'] = 'S酶g';
+$lang['btn_save'] = 'Gem';
+$lang['btn_preview'] = 'Forh氓ndsvisning';
+$lang['btn_top'] = 'Tilbage til toppen';
+$lang['btn_newer'] = '<< forrige side';
+$lang['btn_older'] = 'n忙ste side >>';
+$lang['btn_revs'] = 'Gamle udgaver';
+$lang['btn_recent'] = 'Nye 忙ndringer';
+$lang['btn_upload'] = 'Overf酶re';
+$lang['btn_cancel'] = 'Fortryd';
+$lang['btn_index'] = 'Indeks';
+$lang['btn_secedit'] = 'Rediger';
+$lang['btn_login'] = 'Log ind';
+$lang['btn_logout'] = 'Log ud';
+$lang['btn_admin'] = 'Admin';
+$lang['btn_update'] = 'Opdater';
+$lang['btn_delete'] = 'Slet';
+$lang['btn_back'] = 'Tilbage';
+$lang['btn_backlink'] = 'Henvisninger bagud';
+$lang['btn_backtomedia'] = 'Tilbage til valg af mediefil';
+$lang['btn_subscribe'] = 'Abonner p氓 忙ndringer';
+$lang['btn_unsubscribe'] = 'Fjern abonnement p氓 忙ndringer';
+$lang['btn_subscribens'] = 'Abonner p氓 namespace 忙ndringer';
+$lang['btn_unsubscribens'] = 'Fjern abonnement p氓 namespace 忙ndringer';
+$lang['btn_profile'] = 'Opdater profil';
+$lang['btn_reset'] = 'Nulstil';
+$lang['btn_resendpwd'] = 'Send nyt adgangskode';
+$lang['btn_draft'] = 'Rediger kladde';
+$lang['btn_recover'] = 'Gendan kladde';
+$lang['btn_draftdel'] = 'Slet kladde';
+$lang['btn_revert'] = 'Reetablere';
+$lang['loggedinas'] = 'Logget p氓 som';
+$lang['user'] = 'Brugernavn';
+$lang['pass'] = 'Adgangskode';
+$lang['newpass'] = 'Nyt adgangskode';
+$lang['oldpass'] = 'Bekr忙ft gammelt adgangskode';
+$lang['passchk'] = 'Gentag nyt adgangskode';
+$lang['remember'] = 'Log automatisk p氓';
+$lang['fullname'] = 'Navn';
+$lang['email'] = 'E-mail';
+$lang['register'] = 'Tilmeld';
+$lang['profile'] = 'Brugerprofil';
+$lang['badlogin'] = 'Brugernavn eller adgangskode var forkert.';
+$lang['minoredit'] = 'Mindre 忙ndringer';
+$lang['draftdate'] = 'Kladde automatisk gemt d.';
+$lang['nosecedit'] = 'Siden blev 忙ndret i mellemtiden, sektions information var for gammel, hentede hele siden i stedet.';
+$lang['regmissing'] = 'Du skal udfylde alle felter.';
+$lang['reguexists'] = 'Dette brugernavn er allerede i brug.';
+$lang['regsuccess'] = 'Du er nu oprettet som bruger. Dit adgangskode bliver sendt til dig i en e-mail.';
+$lang['regsuccess2'] = 'Du er nu oprettet som bruger.';
+$lang['regmailfail'] = 'Dit adgangskode blev ikke sendt. Kontakt venligst administratoren.';
+$lang['regbadmail'] = 'E-mail-adressen er ugyldig. Kontakt venligst administratoren, hvis du mener dette er en fejl.';
+$lang['regbadpass'] = 'De to adgangskoder er ikke ens, v忙r venlig at pr酶ve igen.';
+$lang['regpwmail'] = 'Dit DokuWiki password';
+$lang['reghere'] = 'Opret en DokuWiki-konto her';
+$lang['profna'] = 'Denne wiki underst酶tter ikke 忙ndring af profiler';
+$lang['profnochange'] = 'Ingen 忙ndringer, intet modificeret.';
+$lang['profnoempty'] = 'Tomt navn eller e-mail adresse er ikke tilladt.';
+$lang['profchanged'] = 'Brugerprofil opdateret korrekt.';
+$lang['pwdforget'] = 'Har du glemt dit adgangskode? F氓 et nyt';
+$lang['resendna'] = 'Denne wiki underst酶tter ikke udsendelse af nyt adgangskode.';
+$lang['resendpwd'] = 'Send nyt adgangskode for';
+$lang['resendpwdmissing'] = 'Du skal udfylde alle felter.';
+$lang['resendpwdnouser'] = 'Vi kan ikke finde denne bruger i vores database.';
+$lang['resendpwdbadauth'] = 'Beklager, denne autoriseringskode er ikke gyldig. Kontroller venligst at du benyttede det fulde link til bekr忙ftelse.';
+$lang['resendpwdconfirm'] = 'Et henvisning med bekr忙ftelse er blevet sendt med email.';
+$lang['resendpwdsuccess'] = 'Dit nye adgangskode er blevet sendt med e-mail.';
+$lang['license'] = 'Med mindre andet angivet, vil indhold p氓 denne wiki blive frigjort under f酶lgende licens:';
+$lang['licenseok'] = 'Note: ved at 忙ndre denne side, acceptere du at dit indhold bliver frigivet under f酶lgende licens:';
+$lang['searchmedia'] = 'S酶g filnavn';
+$lang['searchmedia_in'] = 'S酶g i %s';
+$lang['txt_upload'] = 'V忙lg den fil der skal overf酶res';
+$lang['txt_filename'] = 'Indtast wikinavn (valgfrit)';
+$lang['txt_overwrt'] = 'Overskriv eksisterende fil';
+$lang['lockedby'] = 'Midlertidig l氓st af';
+$lang['lockexpire'] = 'L氓s udl酶ber kl.';
+$lang['willexpire'] = 'Din l氓s p氓 dette dokument udl酶ber om et minut.\nTryk p氓 Forh氓ndsvisning-knappen for at undg氓 konflikter.';
+$lang['notsavedyet'] = 'Der er lavet 忙ndringer i dokumentet. Hvis du forts忙tter vil 忙ndringerne g氓 tabt.\n脴nsker du at forts忙tte?';
+$lang['rssfailed'] = 'Der opstod en fejl ved indhentning af: ';
+$lang['nothingfound'] = 'S酶gningen gav intet resultat.';
+$lang['mediaselect'] = 'V忙lg mediefil';
+$lang['fileupload'] = 'Overf酶re mediefil';
+$lang['uploadsucc'] = 'Overf酶relse var en succes';
+$lang['uploadfail'] = 'Overf酶relse fejlede. Der er muligvis problemer med rettighederne.';
+$lang['uploadwrong'] = 'Overf酶relse afvist. Filtypen er ikke tilladt.';
+$lang['uploadexist'] = 'Filen eksisterer allerede.';
+$lang['uploadbadcontent'] = 'Overf酶ret indhold tilsvaret ikke til %s fil-endelsen.';
+$lang['uploadspam'] = 'Overf酶relsen blev blokeret af spam sortlisten.';
+$lang['uploadxss'] = 'Overf酶relsen blev blokeret p氓 grund af mulig skadeligt indhold.';
+$lang['uploadsize'] = 'Den overf酶ret fil var for stor (max. %s)';
+$lang['deletesucc'] = 'Filen "%s" er blevet slettet.';
+$lang['deletefail'] = '"%s" kunne ikke slettes - check rettighederne.';
+$lang['mediainuse'] = 'Filen "%s" er ikke slettet - den er stadig i brug.';
+$lang['namespaces'] = 'Navnerum';
+$lang['mediafiles'] = 'Tilg忙ngelige filer i';
+$lang['js']['searchmedia'] = 'S酶g for filer';
+$lang['js']['keepopen'] = 'Hold vindue 氓bent ved valg';
+$lang['js']['hidedetails'] = 'Skjul detaljer';
+$lang['js']['nosmblinks'] = 'Henvisninger til Windows shares virker kun i Microsoft Internet Explorer.
+Du kan stadig kopiere og inds忙tte linket.';
+$lang['js']['linkwiz'] = 'guiden til henvisninger';
+$lang['js']['linkto'] = 'Henvise til:';
+$lang['js']['del_confirm'] = 'Slet valgte post(er)?';
+$lang['js']['mu_btn'] = 'Overf酶re flere filer p氓 en gang';
+$lang['mediausage'] = 'Brug den f酶lgende syntaks til at henvise til denne fil:';
+$lang['mediaview'] = 'Vis oprindelig fil';
+$lang['mediaroot'] = 'rod';
+$lang['mediaupload'] = 'Overf酶re en fil til det nuv忙rende navnerum her. For at oprette under-navnerum, tilf酶j dem til "Overf酶re som" filnavnet, adskilt af kolontegn.';
+$lang['mediaextchange'] = 'Filudvidelse 忙ndret fra .%s til .%s!';
+$lang['reference'] = 'Henvisning til';
+$lang['ref_inuse'] = 'Filen kan ikke slettes, da den stadig er i brug p氓 f酶lgende sider:';
+$lang['ref_hidden'] = 'Nogle henvisninger er i dokumenter du ikke har l忙serettigheder til';
+$lang['hits'] = 'Hits';
+$lang['quickhits'] = 'Tilsvarende dokumentnavne';
+$lang['toc'] = 'Indholdsfortegnelse';
+$lang['current'] = 'nuv忙rende';
+$lang['yours'] = 'Din version';
+$lang['diff'] = 'Vis forskelle i forhold til den nuv忙rende udgave';
+$lang['diff2'] = 'Vis forskelle i forhold til de valgte revisioner';
+$lang['line'] = 'Linje';
+$lang['breadcrumb'] = 'Sti';
+$lang['youarehere'] = 'Du er her';
+$lang['lastmod'] = 'Sidst 忙ndret';
+$lang['by'] = 'af';
+$lang['deleted'] = 'slettet';
+$lang['created'] = 'oprettet';
+$lang['restored'] = 'gammel udgave reetableret';
+$lang['external_edit'] = 'ekstern redigering';
+$lang['summary'] = 'Redigerings resum茅';
+$lang['noflash'] = 'Den <a href="http://www.adobe.com/products/flashplayer/">Adobe Flash Plugin</a> er n酶dvendig til at vise denne indehold.';
+$lang['download'] = 'Hente kodestykke';
+$lang['mail_newpage'] = 'dokument tilf酶jet:';
+$lang['mail_changed'] = 'dokument 忙ndret:';
+$lang['mail_new_user'] = 'Ny bruger';
+$lang['mail_upload'] = 'fil overf酶ret:';
+$lang['qb_bold'] = 'Fed';
+$lang['qb_italic'] = 'Kursiv';
+$lang['qb_underl'] = 'Understregning';
+$lang['qb_code'] = 'Skrivemaskine tekst';
+$lang['qb_strike'] = 'Gennemstregning';
+$lang['qb_h1'] = 'Niveau 1 overskrift';
+$lang['qb_h2'] = 'Niveau 2 overskrift';
+$lang['qb_h3'] = 'Niveau 3 overskrift';
+$lang['qb_h4'] = 'Niveau 4 overskrift';
+$lang['qb_h5'] = 'Niveau 5 overskrift';
+$lang['qb_h'] = 'Overskrift';
+$lang['qb_hs'] = 'V忙lg overskriften';
+$lang['qb_hplus'] = 'H酶jere overskriftsniveau';
+$lang['qb_hminus'] = 'Lavere overskriftsniveau';
+$lang['qb_hequal'] = 'Samme overskriftsniveau';
+$lang['qb_link'] = 'Intern henvisning';
+$lang['qb_extlink'] = 'Ekstern henvisning';
+$lang['qb_hr'] = 'Vandret linje';
+$lang['qb_ol'] = 'Nummereret liste';
+$lang['qb_ul'] = 'Unummereret liste';
+$lang['qb_media'] = 'Tilf酶j billeder og andre filer';
+$lang['qb_sig'] = 'Inds忙t signatur';
+$lang['qb_smileys'] = 'Smileys';
+$lang['qb_chars'] = 'Specialtegn';
+$lang['upperns'] = 'G氓 til overordnet navnerum';
+$lang['admin_register'] = 'Tilf酶j ny bruger';
+$lang['metaedit'] = 'Rediger metadata';
+$lang['metasaveerr'] = 'Skrivning af metadata fejlede';
+$lang['metasaveok'] = 'Metadata gemt';
+$lang['img_backto'] = 'Tilbage til';
+$lang['img_title'] = 'Titel';
+$lang['img_caption'] = 'Billedtekst';
+$lang['img_date'] = 'Dato';
+$lang['img_fname'] = 'Filnavn';
+$lang['img_fsize'] = 'St酶rrelse';
+$lang['img_artist'] = 'Fotograf';
+$lang['img_copyr'] = 'Ophavsret';
+$lang['img_format'] = 'Format';
+$lang['img_camera'] = 'Kamera';
+$lang['img_keywords'] = 'Emneord';
+$lang['subscribe_success'] = 'Tilf酶jet %s til abonnentliste for %s';
+$lang['subscribe_error'] = 'Fejl ved tilf酶jelse af %s til abonnentliste for %s';
+$lang['subscribe_noaddress'] = 'Ingen adresse knyttet til dit login, du kan ikke tilf酶jes til abonnentlisten';
+$lang['unsubscribe_success'] = 'Fjernet %s fra abonnentliste for %s';
+$lang['unsubscribe_error'] = 'Fejl ved fjernelse af %s fra abonnentliste for %s';
+$lang['authmodfailed'] = 'Fejl i brugervalideringens konfiguration. Kontakt venligst wikiens administrator.';
+$lang['authtempfail'] = 'Brugervalidering er midlertidigt ude af drift. Hvis dette er vedvarende, kontakt venligst wikiens administrator.';
+$lang['i_chooselang'] = 'V忙lg dit sprog';
+$lang['i_installer'] = 'DokuWiki Installer';
+$lang['i_wikiname'] = 'Wiki Navn';
+$lang['i_enableacl'] = 'Brug ACL (foresl氓et)';
+$lang['i_superuser'] = 'Superbruger';
+$lang['i_problems'] = 'Installeren fandt nogle problemer, vist nedenunder. Du kan ikke forts忙tte f酶r du har rettet dem.';
+$lang['i_modified'] = 'Af sikkerheds hensyn vil dette script kun virke p氓 en ny og umodificeret Dokuwiki installation.
+Du burde enten gen-udpakke filerne fra den hentede pakke eller tjekke den fuldst忙ndige
+<a href="http://dokuwiki.org/install">DokuWiki installations instruktioner</a>';
+$lang['i_funcna'] = 'PHP funtionen <code>%s</code> er ikke tilg忙ngelig. M氓ske har din udbyder sl氓et det fra af en eller anden grund?';
+$lang['i_phpver'] = 'Din PHP version <code>%s</code> er mindre en den n酶dvendige <code>%s</code>. Du er n酶d til at opgradere din PHP installation.';
+$lang['i_permfail'] = 'DokuWiki kan ikke skrive til <code>%s</code>. Du er n酶d til at rette tilladelses indstillingerne for denne mappe!';
+$lang['i_confexists'] = '<code>%s</code> eksisterer allerede';
+$lang['i_writeerr'] = 'Kunne ikke oprette <code>%s</code>. Du bliver n酶d til at tjekke mappe/fil- tilladelserne og oprette filen manuelt.';
+$lang['i_badhash'] = 'uigenkendelig eller modificeret dokuwiki.php (hash=<code>%s</code>)';
+$lang['i_badval'] = '<code>%s</code> - ulovlig eller tom v忙rdi';
+$lang['i_success'] = 'Konfigurationen fulf酶rtedes med success. Du kan nu slette install.php filen. Forts忙tte til <a href="doku.php">din nye DokuWiki</a>.';
+$lang['i_failure'] = 'Nogle fejl forekom mens konfigurations filerne skulle skrives. Du er mulighvis n酶d til at fixe dem manuelt f酶r du kan bruge <a href="doku.php">din nye DokuWiki</a>.';
+$lang['i_policy'] = 'Begyndende ACL politik';
+$lang['i_pol0'] = '脜ben Wiki (alle kan l忙se, skrive og uploade)';
+$lang['i_pol1'] = 'Offentlig Wiki (alle kan l忙se, kun registrerede brugere kan skrive og overf酶re)';
+$lang['i_pol2'] = 'Lukket Wiki (kun for registerede brugere kan l忙se, skrive og overf酶re)';
+$lang['i_retry'] = 'Fors酶g igen';
+$lang['mu_intro'] = 'Her kan du overf酶re flere filer af gangen. Klik p氓 gennemse for at tilf酶je dem til k酶en. Tryk p氓 overf酶re knappen n氓r du er klar.';
+$lang['mu_gridname'] = 'Filnavn';
+$lang['mu_gridsize'] = 'St酶rrelse';
+$lang['mu_gridstat'] = 'Status';
+$lang['mu_namespace'] = 'Navnerum';
+$lang['mu_browse'] = 'gennemse';
+$lang['mu_toobig'] = 'for stor';
+$lang['mu_ready'] = 'klar til overf酶re';
+$lang['mu_done'] = 'f忙rdig';
+$lang['mu_fail'] = 'fejlede';
+$lang['mu_authfail'] = 'session udl酶b';
+$lang['mu_progress'] = '@PCT@% upload';
+$lang['mu_filetypes'] = 'Tilladte filtyper';
+$lang['mu_info'] = 'filer var overf酶ret.';
+$lang['mu_lasterr'] = 'Sidste fejl:';
+$lang['recent_global'] = 'Du ser lige nu 忙ndringerne i <b>%s</b> navnerummet. Du kan ogs氓 <a href="%s">se de sidste 忙ndringer for hele wiki siden </a>';
+$lang['years'] = '%d 氓r siden';
+$lang['months'] = '%d m氓ned siden';
+$lang['weeks'] = '%d uge siden';
+$lang['days'] = '%d dage siden';
+$lang['hours'] = '%d timer siden';
+$lang['minutes'] = '%d minutter siden';
+$lang['seconds'] = '%d sekunder siden';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/locked.txt
new file mode 100644
index 000000000..74b677d51
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/locked.txt
@@ -0,0 +1,3 @@
+====== L氓st Dokument ======
+
+Dette dokument er midlertidigt l氓st af en anden bruger. Vent venligst til brugeren er f忙rdig med at redigere dokumentet, eller l氓sen udl酶ber.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/login.txt
new file mode 100644
index 000000000..039bb0a7a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/login.txt
@@ -0,0 +1,3 @@
+====== Login ======
+
+Du er ikke logget ind! Indtast brugernavn og adgangskode. Din browser skal have tilladt cookies for at du kan logge ind.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/mailtext.txt
new file mode 100644
index 000000000..948a265fb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/mailtext.txt
@@ -0,0 +1,17 @@
+Et dokument i din DokuWiki blev 忙ndret eller tilf酶jet. Her er detajlerne:
+
+Dato : @DATE@
+Browser : @BROWSER@
+IP-adresse : @IPADDRESS@
+Hostnavn : @HOSTNAME@
+Gammel udgave : @OLDPAGE@
+Ny udgave : @NEWPAGE@
+Redigerings resum茅 : @SUMMARY@
+Bruger : @USER@
+
+@DIFF@
+
+
+--
+Denne e-mail blev genereret af DokuWiki p氓:
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/newpage.txt
new file mode 100644
index 000000000..1d602c02c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/newpage.txt
@@ -0,0 +1,3 @@
+====== Dette dokument eksisterer ikke (endnu) ======
+
+Du har fulgt en henvisning til et dokument der ikke eksisterer (endnu). Du kan oprette dokumentet ved at trykke p氓 knappen **''[Opret dette dokument]''**.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/norev.txt
new file mode 100644
index 000000000..aa6896237
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/norev.txt
@@ -0,0 +1,4 @@
+====== Den valgte udgave findes ikke ======
+
+Den valgte udgave af dokumentet findes ikke! Tryk p氓 knappen **''[Gamle udgaver]''** for at se en liste af gamle udgaver af dette dokument.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/preview.txt
new file mode 100644
index 000000000..23e65e89d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/preview.txt
@@ -0,0 +1,4 @@
+====== Forh氓ndsvisning ======
+
+Dette er en forh氓ndsvisning af hvordan dokumentet vil se ud. Husk: Det er //**IKKE**// gemt endnu! Hvis det ser godt ud, s氓 tryk p氓 knappen **''[Gem]''**
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/pwconfirm.txt
new file mode 100644
index 000000000..3df556e68
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/pwconfirm.txt
@@ -0,0 +1,14 @@
+Hej @FULLNAME@!
+
+Nogen har bedt om et nyt password til dit @TITLE@
+login p氓 @DOKUWIKIURL@
+
+Hvis du ikke bad om dette, s氓 ignorer venligst denne email.
+
+For at bekr忙fte at det var dig der bad om dette, benyt venligst det f酶lgende henvisning.
+
+@CONFIRM@
+
+--
+Denne e-mail blev genereret af DokuWiki p氓
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/read.txt
new file mode 100644
index 000000000..49f65831b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/read.txt
@@ -0,0 +1,2 @@
+Dette dokument kan kun l忙ses. Du kan se kildekoden, men ikke gemme 忙ndringer i det. Hvis du mener at dette er en fejl, s氓 skriv det venligst p氓 [[wiki:fejl-oversigt]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/recent.txt
new file mode 100644
index 000000000..c44fa368e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/recent.txt
@@ -0,0 +1,5 @@
+====== Nye 忙ndringer ======
+
+F酶lgende dokumenter er blevet 忙ndret for nylig.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/register.txt
new file mode 100644
index 000000000..4ff2ed1eb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/register.txt
@@ -0,0 +1,4 @@
+====== Opret en wiki-konto ======
+
+Udfyld nedenst氓nde skema for at oprette en konto i denne wiki. S酶rg for at bruge en **gyldig e-mail-adresse** - dit adgangskode bliver sendt til dig. Dit brugernavn skal v忙re et gyldigt [[doku>pagename|dokumentnavn]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/registermail.txt
new file mode 100644
index 000000000..d431f7591
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/registermail.txt
@@ -0,0 +1,14 @@
+En ny bruger har registreret. Her er detaljerne:
+
+Brugernavn : @NEWUSER@
+Navn : @NEWNAME@
+E-mail : @NEWEMAIL@
+
+Dato : @DATE@
+Browser : @BROWSER@
+IP-adresse : @IPADDRESS@
+V忙rtsnavn : @HOSTNAME@
+
+--
+Denne mail blev genereret af DokuWiki p氓
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/resendpwd.txt
new file mode 100644
index 000000000..e96861ed2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/resendpwd.txt
@@ -0,0 +1,3 @@
+====== Send nyt password ======
+
+Udfyld alle nedenst氓ende felter for at f氓 tilsendt et nyt password til denne wiki. Dit nye password vil blive sendt til den opgivne e-mail-adresse. Brugernavnet b酶r v忙re dit wiki brugernavn.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/revisions.txt
new file mode 100644
index 000000000..08f6f2073
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/revisions.txt
@@ -0,0 +1,3 @@
+====== Gamle udgaver ======
+
+Her er de gamle udgaver af dette dokument. Du kan vende tilbage til en tidligere udgave af dokumentet ved at v忙lge det nedenfor, trykke p氓 knappen **''[Rediger dette dokument]''**, og til sidst gemme dokumentet.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/searchpage.txt
new file mode 100644
index 000000000..eca1b589c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/searchpage.txt
@@ -0,0 +1,5 @@
+====== S酶gning ======
+
+Du kan se resultaterne af din s酶gning nedenunder. Hvis resultaterne ikke indeholder det du s酶gte efter kan du oprette et nyt dokument med samme navn som s酶gningen ved at trykke p氓 knappen **''[Opret dette dokument]''**.
+
+===== S酶geresultater =====
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/showrev.txt
new file mode 100644
index 000000000..3d4890397
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/showrev.txt
@@ -0,0 +1,2 @@
+**Dette er en gammel udgave af dokumentet!**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/stopwords.txt
new file mode 100644
index 000000000..0fb926720
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/stopwords.txt
@@ -0,0 +1,87 @@
+# This is a list of words the indexer ignores, one word per line
+# When you edit this file be sure to use UNIX line endings (single newline)
+# No need to include words shorter than 3 chars - these are ignored anyway
+# This list is based upon the ones found at http://www.ranks.nl/stopwords/
+alle
+andet
+andre
+begge
+den
+denne
+der
+deres
+det
+dette
+dig
+din
+dog
+eller
+end
+ene
+eneste
+enhver
+fem
+fire
+flere
+fleste
+for
+fordi
+forrige
+fra
+f酶r
+god
+han
+hans
+har
+hendes
+her
+hun
+hvad
+hvem
+hver
+hvilken
+hvis
+hvor
+hvordan
+hvorfor
+hvorn氓r
+ikke
+ind
+ingen
+intet
+jeg
+jeres
+kan
+kom
+kommer
+lav
+lidt
+lille
+man
+mand
+mange
+med
+meget
+men
+mens
+mere
+mig
+ned
+nogen
+noget
+nyt
+n忙r
+n忙ste
+n忙sten
+otte
+over
+seks
+ses
+som
+stor
+store
+syv
+til
+tre
+var
+www \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/subscribermail.txt
new file mode 100644
index 000000000..e89dd3c46
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/subscribermail.txt
@@ -0,0 +1,17 @@
+Hej!
+
+Siden @PAGE@ i @TITLE@ wikien er blevet 忙ndret.
+Her er detaljerne:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+For at afmelde abonnement p氓 denne side log ind p氓 wikien p氓
+@DOKUWIKIURL@ , bes酶g
+@NEWPAGE@
+og v忙lg 'Fjern abonnement p氓 忙ndringer'.
+
+--
+Denne e-mail blev genereret af DokuWiki p氓
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/updateprofile.txt
new file mode 100644
index 000000000..2c6ce3f16
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/updateprofile.txt
@@ -0,0 +1,3 @@
+====== Opdater din kontos profil ======
+
+Du beh酶ver kun at udfylde de felter du 酶nsker at 忙ndre. Du kan ikke 忙ndre dit brugernavn.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/uploadmail.txt
new file mode 100644
index 000000000..316463fee
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/uploadmail.txt
@@ -0,0 +1,14 @@
+En fil blev overf酶ret til din DokuWiki. Her er detaljerne:
+
+Fil : @MEDIA@
+Dato : @DATE@
+Browser : @BROWSER@
+IP-adresse : @IPADDRESS@
+V忙rtsnavn : @HOSTNAME@
+St酶rrelse : @SIZE@
+MIME Type : @MIME@
+Bruger : @USER@
+
+--
+Denne e-mail blev genereret af DokuWiki p氓
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/wordblock.txt
new file mode 100644
index 000000000..5a1c0d754
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/da/wordblock.txt
@@ -0,0 +1,3 @@
+====== SPAM blokeret ======
+
+Dine 忙ndringer blev **ikke** gemt da de indeholder et eller flere u酶nskede ord. Kontakt venligst admin, hvis du mener dette er en fejl.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/admin.txt
new file mode 100644
index 000000000..c52f343ea
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/admin.txt
@@ -0,0 +1,4 @@
+====== Administration ======
+
+Folgende administrative Aufgaben stehen in DokuWiki zur Verf眉gung.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/adminplugins.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/adminplugins.txt
new file mode 100644
index 000000000..a0ae21faf
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/adminplugins.txt
@@ -0,0 +1 @@
+===== Zus盲tzliche Plugins ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/backlinks.txt
new file mode 100644
index 000000000..aae4c5582
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/backlinks.txt
@@ -0,0 +1,5 @@
+====== Backlinks ======
+
+Dies ist eine Liste der Seiten, die zur眉ck zur momentanen Seite linken.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/conflict.txt
new file mode 100644
index 000000000..a5ae425be
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/conflict.txt
@@ -0,0 +1,6 @@
+====== Eine neuere Version existiert ======
+
+Eine neuere Version des aktuell in Bearbeitung befindlichen Dokuments existiert. Das hei脽t, jemand hat parallel an der selben Seite gearbeitet und zuerst gespeichert.
+
+Die unten aufgef眉hrten Unterschiede k枚nnen bei der Entscheidung helfen, welchem Dokument Vorrang gew盲hrt wird. W盲hle **''[Speichern]''** zum Sichern deiner Version oder **''[Abbrechen]''**, um deine Version zu verwerfen und die zuerst gespeicherte Seite zu behalten.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/denied.txt
new file mode 100644
index 000000000..ff3bdf9a1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/denied.txt
@@ -0,0 +1,4 @@
+====== Zugang verweigert ======
+
+Du hast nicht die erforderlichen Rechte, um diese Aktion durchzuf眉hren. Eventuell bist du nicht beim Wiki angemeldet?
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/diff.txt
new file mode 100644
index 000000000..82fbbc252
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/diff.txt
@@ -0,0 +1,5 @@
+====== Unterschiede ======
+
+Hier werden die Unterschiede zwischen zwei Versionen gezeigt.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/draft.txt
new file mode 100644
index 000000000..704c6d1da
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/draft.txt
@@ -0,0 +1,6 @@
+====== Entwurf gefunden ======
+
+Deine letzte Bearbeitungssitzung wurde nicht ordnungsgem盲脽 abgeschlossen. DokuWiki hat w盲hrend deiner Arbeit automatisch einen Zwischenentwurf gespeichert, den du jetzt nutzen kannst, um deine Arbeit fortzusetzen. Unten siehst du die Daten, die bei deiner letzten Sitzung gespeichert wurden.
+
+Bitte entscheide dich, ob du den Entwurf //wieder herstellen// oder //l枚schen// willst oder ob du die Bearbeitung abbrechen m枚chtest.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/edit.txt
new file mode 100644
index 000000000..15e02c61a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/edit.txt
@@ -0,0 +1,4 @@
+Bitte nur editieren, falls das Dokument **verbessert** werden kann.
+
+Nach dem Bearbeiten den **''[Speichern]''**-Knopf dr眉cken. Siehe [[wiki:syntax]] zur Wiki-Syntax. Zum Testen bitte erst im [[playground:playground|Spielplatz]] 眉ben.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/editrev.txt
new file mode 100644
index 000000000..6c1f642cc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/editrev.txt
@@ -0,0 +1,2 @@
+**Eine 盲ltere Version des Dokuments wurde geladen!** Beim Speichern wird eine neue Version des Dokuments mit diesem Inhalt erstellt.
+---- \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/index.txt
new file mode 100644
index 000000000..fa8dc4663
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/index.txt
@@ -0,0 +1,4 @@
+====== 脺bersicht ======
+
+Dies ist eine 脺bersicht 眉ber alle vorhandenen Seiten und [[doku>namespaces|Namensr盲ume]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/install.html
new file mode 100644
index 000000000..b8baa5f97
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/install.html
@@ -0,0 +1,27 @@
+<p>Diese Seite hilft dir bei der Erst-Installation und Konfiguration von
+<a href="http://dokuwiki.org">Dokuwiki</a>. Zus盲tzliche Informationen zu
+diesem Installationsskript findest du auf der entsprechenden
+<a href="http://dokuwiki.org/installer">Hilfe-Seite</a> (en).</p>
+
+<p>DokuWiki verwendet normale Dateien f眉r das Speichern von Wikiseiten und
+anderen Informationen (Bilder, Suchindizes, alte Versionen, usw.).
+Um DokuWiki betreiben zu k枚nnen, <strong>muss</strong> Schreibzugriff auf die
+Verzeichnisse bestehen, in denen DokuWiki diese Dateien ablegt. Dieses
+Installationsprogramm kann diese Rechte nicht f眉r dich setzen. Du musst dies
+manuell auf einer Kommando-Shell oder, falls du DokuWiki bei einem Fremdanbieter
+hostest, 眉ber FTP oder ein entsprechendes Werkzeug (z.B. cPanel) durchf眉hren.</p>
+
+<p>Dieses Skript hilft dir beim ersten Einrichten des Zugangsschutzes
+(<acronym title="access control list">ACL</acronym>) von DokuWiki, welcher eine
+Administratoranmeldung und damit Zugang zum Administrationsmenu erm枚glicht.
+Dort kannst du dann weitere T盲tigkeiten wie das Installieren von Plugins, das
+Verwalten von Nutzern und das 脛ndern von Konfigurationseinstellungen durchf眉hren.
+Das Nutzen der Zugangskontrolle ist nicht zwingend erforderlich, es erleichtert aber
+die Administration von DokuWiki.</p>
+
+<p>Erfahrene Anwender oder Nutzer mit speziellen Konfigurationsbed眉rfnissen sollten
+die folgenden Links nutzen, um sich 眉ber
+<a href="http://dokuwiki.org/install">Installation</a>
+und <a href="http://dokuwiki.org/config">Konfiguration</a> zu
+informieren.</p>
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/lang.php
new file mode 100644
index 000000000..1747e3288
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/lang.php
@@ -0,0 +1,249 @@
+<?php
+/**
+ * german language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Christof <gagi@fin.de>
+ * @author Anika Henke <anika@selfthinker.org>
+ * @author Esther Brunner <esther@kaffeehaus.ch>
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ * @author Michael Klier <chi@chimeric.de>
+ * @author Leo Moll <leo@yeasoft.com>
+ * @author Florian Anderiasch <fa@art-core.org>
+ * @author Robin Kluth <commi1993@gmail.com>
+ * @author Arne Pelka <mail@arnepelka.de>
+ * @author Alexander Fischer <tbanus@os-forge.net>
+ * @author Juergen Schwarzer <jschwarzer@freenet.de>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '鈥';
+$lang['btn_edit'] = 'Diese Seite bearbeiten';
+$lang['btn_source'] = 'Zeige Quelltext';
+$lang['btn_show'] = 'Seite anzeigen';
+$lang['btn_create'] = 'Seite anlegen';
+$lang['btn_search'] = 'Suche';
+$lang['btn_save'] = 'Speichern';
+$lang['btn_preview'] = 'Vorschau';
+$lang['btn_top'] = 'Nach oben';
+$lang['btn_newer'] = '<< j眉ngere 脛nderungen';
+$lang['btn_older'] = '盲ltere 脛nderungen >>';
+$lang['btn_revs'] = '脛ltere Versionen';
+$lang['btn_recent'] = 'Letzte 脛nderungen';
+$lang['btn_upload'] = 'Hochladen';
+$lang['btn_cancel'] = 'Abbrechen';
+$lang['btn_index'] = '脺bersicht';
+$lang['btn_secedit'] = 'Bearbeiten';
+$lang['btn_login'] = 'Anmelden';
+$lang['btn_logout'] = 'Abmelden';
+$lang['btn_admin'] = 'Admin';
+$lang['btn_update'] = 'Updaten';
+$lang['btn_delete'] = 'L枚schen';
+$lang['btn_back'] = 'Zur眉ck';
+$lang['btn_backlink'] = 'Links hierher';
+$lang['btn_backtomedia'] = 'Zur眉ck zur Dateiauswahl';
+$lang['btn_subscribe'] = '脛nderungen abonnieren';
+$lang['btn_unsubscribe'] = '脛nderungen abbestellen';
+$lang['btn_subscribens'] = 'Namensraum盲nderungen abonnieren';
+$lang['btn_unsubscribens'] = 'Namensraum盲nderungen abbestellen';
+$lang['btn_profile'] = 'Benutzerprofil';
+$lang['btn_reset'] = 'Zur眉cksetzen';
+$lang['btn_resendpwd'] = 'Sende neues Passwort';
+$lang['btn_draft'] = 'Entwurf bearbeiten';
+$lang['btn_recover'] = 'Entwurf wieder herstellen';
+$lang['btn_draftdel'] = 'Entwurf l枚schen';
+$lang['btn_revert'] = 'Wiederherstellen';
+$lang['loggedinas'] = 'Angemeldet als';
+$lang['user'] = 'Benutzername';
+$lang['pass'] = 'Passwort';
+$lang['newpass'] = 'Neues Passwort';
+$lang['oldpass'] = 'Best盲tigen (Altes Passwort)';
+$lang['passchk'] = 'und nochmal';
+$lang['remember'] = 'Angemeldet bleiben';
+$lang['fullname'] = 'Voller Name';
+$lang['email'] = 'E-Mail';
+$lang['register'] = 'Registrieren';
+$lang['profile'] = 'Benutzerprofil';
+$lang['badlogin'] = 'Nutzername oder Passwort sind falsch.';
+$lang['minoredit'] = 'kleine 脛nderung';
+$lang['draftdate'] = 'Entwurf gespeichert am';
+$lang['nosecedit'] = 'Diese Seite wurde in der Zwischenzeit ge盲ndert, Sektionsinfo ist veraltet, lade stattdessen volle Seite.';
+$lang['regmissing'] = 'Alle Felder m眉ssen ausgef眉llt werden';
+$lang['reguexists'] = 'Der Nutzername existiert leider schon.';
+$lang['regsuccess'] = 'Der neue Nutzer wurde angelegt und das Passwort per Email versandt.';
+$lang['regsuccess2'] = 'Der neue Nutzer wurde angelegt.';
+$lang['regmailfail'] = 'Offenbar ist ein Fehler beim Versenden der Passwortmail aufgetreten. Bitte wende dich an den Wiki-Admin.';
+$lang['regbadmail'] = 'Die angegebene Mail-Adresse scheint ung眉ltig zu sein. Falls dies ein Fehler ist, wende dich bitte an den Wiki-Admin.';
+$lang['regbadpass'] = 'Die beiden eingegeben Passw枚rter stimmen nicht 眉berein. Bitte versuche es noch einmal.';
+$lang['regpwmail'] = 'Ihr DokuWiki Passwort';
+$lang['reghere'] = 'Du hast noch keinen Zugang? Hier anmelden';
+$lang['profna'] = '脛nderung des Benutzerprofils in diesem Wiki nicht m枚glich.';
+$lang['profnochange'] = 'Keine 脛nderungen, nichts zu tun.';
+$lang['profnoempty'] = 'Es muss ein Name oder eine E-Mail Adresse angegeben werden.';
+$lang['profchanged'] = 'Benuzerprofil erfolgreich ge盲ndert.';
+$lang['pwdforget'] = 'Passwort vergessen? Fordere ein neues an';
+$lang['resendna'] = 'Passw枚rter versenden ist in diesem Wiki nicht m枚glich.';
+$lang['resendpwd'] = 'Neues Passwort schicken f眉r';
+$lang['resendpwdmissing'] = 'Es tut mir Leid, aber du musst alle Felder ausf眉llen.';
+$lang['resendpwdnouser'] = 'Es tut mir Leid, aber der Benutzer existiert nicht in unserer Datenbank.';
+$lang['resendpwdbadauth'] = 'Es tut mir Leid, aber dieser Authentifizierungscode ist ung眉ltig. Stelle sicher, dass du den kompletten Best盲tigungslink verwendet haben.';
+$lang['resendpwdconfirm'] = 'Ein Best盲tigungslink wurde per E-Mail versandt.';
+$lang['resendpwdsuccess'] = 'Dein neues Passwort wurde per E-Mail versandt.';
+$lang['license'] = 'Falls nicht anders bezeichnet, ist der Inhalt dieses Wikis unter der folgenden Lizenz ver枚ffentlicht:';
+$lang['licenseok'] = 'Hinweis: Durch das Bearbeiten dieser Seite gibst du dein Einverst盲ndnis, dass dein Inhalt unter der folgenden Lizenz ver枚ffentlicht wird:';
+$lang['searchmedia'] = 'Suche Dateiname:';
+$lang['searchmedia_in'] = 'Suche in %s';
+$lang['txt_upload'] = 'Datei zum Hochladen ausw盲hlen';
+$lang['txt_filename'] = 'Hochladen als (optional)';
+$lang['txt_overwrt'] = 'Bestehende Datei 眉berschreiben';
+$lang['lockedby'] = 'Momentan gesperrt von';
+$lang['lockexpire'] = 'Sperre l盲uft ab am';
+$lang['willexpire'] = 'Die Sperre zur Bearbeitung dieser Seite l盲uft in einer Minute ab.\nUm Bearbeitungskonflikte zu vermeiden, solltest du sie durch einen Klick auf den Vorschau-Knopf verl盲ngern.';
+$lang['notsavedyet'] = 'Nicht gespeicherte 脛nderungen gehen verloren!\nWeitermachen?';
+$lang['rssfailed'] = 'Es ist ein Fehler beim Laden des Feeds aufgetreten: ';
+$lang['nothingfound'] = 'Nichts gefunden.';
+$lang['mediaselect'] = 'Dateiauswahl';
+$lang['fileupload'] = 'Datei hochladen';
+$lang['uploadsucc'] = 'Datei wurde erfolgreich hochgeladen';
+$lang['uploadfail'] = 'Hochladen fehlgeschlagen. Keine Berechtigung?';
+$lang['uploadwrong'] = 'Hochladen verweigert. Diese Dateiendung ist nicht erlaubt.';
+$lang['uploadexist'] = 'Datei existiert bereits. Keine 脛nderungen vorgenommen.';
+$lang['uploadbadcontent'] = 'Die hochgeladenen Daten stimmen nicht mit der Dateiendung % 眉berein.';
+$lang['uploadspam'] = 'Hochladen verweigert: Treffer auf der Spamliste.';
+$lang['uploadxss'] = 'Hochladen verweigert: Daten scheinen Schadcode zu enthalten.';
+$lang['uploadsize'] = 'Die hochgeladene Datei war zu gro脽. (max. %s)';
+$lang['deletesucc'] = 'Die Datei "%s" wurde gel枚scht.';
+$lang['deletefail'] = '"%s" konnte nicht gel枚scht werden - pr眉fe die Berechtigungen.';
+$lang['mediainuse'] = 'Die Datei "%s" wurde nicht gel枚scht - sie wird noch verwendet.';
+$lang['namespaces'] = 'Namensr盲ume';
+$lang['mediafiles'] = 'Vorhandene Dateien in';
+$lang['js']['searchmedia'] = 'Suche nach Dateien';
+$lang['js']['keepopen'] = 'Fenster nach Auswahl nicht schlie脽en';
+$lang['js']['hidedetails'] = 'Details ausblenden';
+$lang['js']['nosmblinks'] = 'Das Verlinken von Windows Freigaben funktioniert nur im Microsoft Internet Explorer.
+Der Link kann jedoch durch Kopieren und Einf眉gen verwendet werden.';
+$lang['js']['linkwiz'] = 'Link-Assistent';
+$lang['js']['linkto'] = 'Link zu:';
+$lang['js']['del_confirm'] = 'Die ausgew盲hlten Dateien wirklich l枚schen?';
+$lang['js']['mu_btn'] = 'Mehrere Dateien gleichzeitig hochladen';
+$lang['mediausage'] = 'Syntax zum Verwenden dieser Datei:';
+$lang['mediaview'] = 'Originaldatei 枚ffnen';
+$lang['mediaroot'] = 'Wurzel';
+$lang['mediaupload'] = 'Lade hier eine Datei in den momentanen Namensraum hoch. Um Unterordner zu erstellen, stelle diese dem Dateinamen im Feld "Hochladen als" durch Doppelpunkt getrennt voran.';
+$lang['mediaextchange'] = 'Dateiendung vom .%s nach .%s ge盲ndert!';
+$lang['reference'] = 'Verwendung von';
+$lang['ref_inuse'] = 'Diese Datei kann nicht gel枚scht werden, da sie noch von folgenden Seiten benutzt wird:';
+$lang['ref_hidden'] = 'Einige Verweise sind auf Seiten, f眉r die du keine Leseberechtigung hast.';
+$lang['hits'] = 'Treffer';
+$lang['quickhits'] = 'Passende Seitennamen';
+$lang['toc'] = 'Inhaltsverzeichnis';
+$lang['current'] = 'aktuell';
+$lang['yours'] = 'Deine Version';
+$lang['diff'] = 'Zeige Unterschiede zu aktueller Version';
+$lang['diff2'] = 'Zeige Unterschiede der ausgew盲hlten Versionen';
+$lang['line'] = 'Zeile';
+$lang['breadcrumb'] = 'Zuletzt angesehen';
+$lang['youarehere'] = 'Du befindest dich hier';
+$lang['lastmod'] = 'Zuletzt ge盲ndert';
+$lang['by'] = 'von';
+$lang['deleted'] = 'gel枚scht';
+$lang['created'] = 'angelegt';
+$lang['restored'] = 'alte Version wieder hergestellt';
+$lang['external_edit'] = 'Externe Bearbeitung';
+$lang['summary'] = 'Zusammenfassung';
+$lang['noflash'] = 'Das <a href="http://www.adobe.com/products/flashplayer/">Adobe Flash Plugin</a> wird ben枚tigt, um diesen Ihnalt anzuzeigen.';
+$lang['download'] = 'Download-Teil';
+$lang['mail_newpage'] = 'Neue Seite:';
+$lang['mail_changed'] = 'Seite geaendert:';
+$lang['mail_new_user'] = 'Neuer Benutzer:';
+$lang['mail_upload'] = 'Datei hochgeladen:';
+$lang['qb_bold'] = 'Fetter Text';
+$lang['qb_italic'] = 'Kursiver Text';
+$lang['qb_underl'] = 'Unterstrichener Text';
+$lang['qb_code'] = 'Code Text';
+$lang['qb_strike'] = 'Durchgestrichener Text';
+$lang['qb_h1'] = 'Level 1 脺berschrift';
+$lang['qb_h2'] = 'Level 2 脺berschrift';
+$lang['qb_h3'] = 'Level 3 脺berschrift';
+$lang['qb_h4'] = 'Level 4 脺berschrift';
+$lang['qb_h5'] = 'Level 5 脺berschrift';
+$lang['qb_h'] = '脺berschrift';
+$lang['qb_hs'] = 'W盲hle eine 脺berschrift';
+$lang['qb_hplus'] = '脺berschrift eine Ebene h枚her';
+$lang['qb_hminus'] = '脺berschrift eine Ebene runter';
+$lang['qb_hequal'] = '脺berschrift auf selber Ebene';
+$lang['qb_link'] = 'Interner Link';
+$lang['qb_extlink'] = 'Externer Link';
+$lang['qb_hr'] = 'Horizontale Linie';
+$lang['qb_ol'] = 'Nummerierter Listenpunkt';
+$lang['qb_ul'] = 'Listenpunkt';
+$lang['qb_media'] = 'Bilder und andere Dateien hinzuf眉gen';
+$lang['qb_sig'] = 'Unterschrift einf眉gen';
+$lang['qb_smileys'] = 'Smileys';
+$lang['qb_chars'] = 'Sonderzeichen';
+$lang['upperns'] = 'Gehe zum Ausgangsfeld';
+$lang['admin_register'] = 'Neuen Benutzer anmelden';
+$lang['metaedit'] = 'Metadaten bearbeiten';
+$lang['metasaveerr'] = 'Die Metadaten konnten nicht gesichert werden';
+$lang['metasaveok'] = 'Metadaten gesichert';
+$lang['img_backto'] = 'Zur眉ck zu';
+$lang['img_title'] = 'Titel';
+$lang['img_caption'] = 'Bildunterschrift';
+$lang['img_date'] = 'Datum';
+$lang['img_fname'] = 'Dateiname';
+$lang['img_fsize'] = 'Gr枚sse';
+$lang['img_artist'] = 'FotografIn';
+$lang['img_copyr'] = 'Copyright';
+$lang['img_format'] = 'Format';
+$lang['img_camera'] = 'Kamera';
+$lang['img_keywords'] = 'Schlagw枚rter';
+$lang['subscribe_success'] = '%s hat nun 脛nderungen der Seite %s abonniert';
+$lang['subscribe_error'] = '%s kann die 脛nderungen der Seite %s nicht abonnieren';
+$lang['subscribe_noaddress'] = 'Weil deine E-Mail-Adresse fehlt, kannst du das Thema nicht abonnieren';
+$lang['unsubscribe_success'] = 'Das Abonnement von %s f眉r die Seite %s wurde aufgel枚st';
+$lang['unsubscribe_error'] = 'Das Abonnement von %s f眉r die Seite %s konnte nicht aufgel枚st werden';
+$lang['authmodfailed'] = 'Benutzer眉berpr眉fung nicht m枚glich. Bitte wende dich an den Systembetreuer.';
+$lang['authtempfail'] = 'Benutzer眉berpr眉fung momentan nicht m枚glich. Falls das Problem andauert, wende dich an den Systembetreuer.';
+$lang['i_chooselang'] = 'W盲hle deine Sprache';
+$lang['i_installer'] = 'DokuWiki Installation';
+$lang['i_wikiname'] = 'Wiki-Name';
+$lang['i_enableacl'] = 'Zugangskontrolle (ACL) aktivieren (empfohlen)';
+$lang['i_superuser'] = 'Administrator Benutzername';
+$lang['i_problems'] = 'Das Installationsprogramm hat unten aufgef眉hrte Probleme festgestellt, die zun盲chst behoben werden m眉ssen, bevor du mit der Installation fortfahren kannst.';
+$lang['i_modified'] = 'Aus Sicherheitsgr眉nden arbeitet dieses Script nur mit einer neuen, unmodifizierten DokuWiki-Installation. Du solltest entweder alle Dateien noch einmal frisch installieren oder die <a href="http://dokuwiki.org/install">Dokuwiki-Installationsanleitung</a> konsultieren.';
+$lang['i_funcna'] = 'Die PHP Funktion <code>%s</code> ist nicht verf眉gbar. Unter Umst盲nden wurde sie von deinem Hoster deaktiviert?';
+$lang['i_phpver'] = 'Deine PHP-Version <code>%s</code> ist niedriger als die ben枚tigte Version <code>%s</code>. Bitte aktualisiere deine PHP-Installation.';
+$lang['i_permfail'] = '<code>%s</code> ist nicht durch DokuWiki beschreibbar. Du musst die Berechtigungen dieses Ordners 盲ndern!';
+$lang['i_confexists'] = '<code>%s</code> existiert bereits';
+$lang['i_writeerr'] = '<code>%s</code> konnte nicht erzeugt werden. Du solltest die Verzeichnis-/Datei-Rechte 眉berpr眉fen und die Datei manuell anlegen.';
+$lang['i_badhash'] = 'Unbekannte oder modifizierte dokuwiki.php (Hash=<code>%s</code>)';
+$lang['i_badval'] = '<code>%s</code> - unerlaubter oder leerer Wert';
+$lang['i_success'] = 'Die Konfiguration wurde erfolgreich abgeschlossen. Du kannst jetzt die install.php l枚schen. Dein
+ <a href="doku.php">neues DokuWiki</a> ist jetzt f眉r dich bereit.';
+$lang['i_failure'] = 'Es sind Fehler beim Schreiben der Konfigurationsdateien aufgetreten. Du musst diese vermutlich von Hand beheben, bevor du dein <a href="doku.php">neues DokuWiki</a> nutzen kannst.';
+$lang['i_policy'] = 'Anfangseinstellung f眉r Zugangskontrolle (ACL)';
+$lang['i_pol0'] = 'Offenes Wiki (lesen, schreiben, hochladen f眉r alle)';
+$lang['i_pol1'] = '脰ffentliches Wiki (lesen f眉r alle, schreiben und hochladen f眉r registrierte Nutzer)';
+$lang['i_pol2'] = 'Geschlossenes Wiki (lesen, schreiben, hochladen nur f眉r registrierte Nutzer)';
+$lang['i_retry'] = 'Wiederholen';
+$lang['mu_intro'] = 'In diesem Bereich kannst du mehrere Dateien gleichzeitig hochladen. Benutze die Schaltfl盲che "Durchsuchen", um sie der Warteschlange zuzuf眉gen. Bet盲tige die Schaltfl盲che "Hochladen", um die 脺bertragung zu starten.';
+$lang['mu_gridname'] = 'Dateiname';
+$lang['mu_gridsize'] = 'Gr枚脽e';
+$lang['mu_gridstat'] = 'Status';
+$lang['mu_namespace'] = 'Namensraum';
+$lang['mu_browse'] = 'Durchsuchen';
+$lang['mu_toobig'] = 'zu gro脽';
+$lang['mu_ready'] = 'bereit zum hochladen';
+$lang['mu_done'] = 'fertig';
+$lang['mu_fail'] = 'gescheitert';
+$lang['mu_authfail'] = 'Sitzung abgelaufen';
+$lang['mu_progress'] = '@PCT@% hochgeladen';
+$lang['mu_filetypes'] = 'Erlaubte Dateitypen';
+$lang['mu_info'] = 'Dateien hochgeladen.';
+$lang['mu_lasterr'] = 'Letzter Fehler:';
+$lang['recent_global'] = 'Im Moment siehst du die 脛nderungen im Namensraum <b>%s</b>. Du kannst auch <a href="%s">die 脛nderungen im gesamten Wiki sehen</a>.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/locked.txt
new file mode 100644
index 000000000..4430fc6dd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/locked.txt
@@ -0,0 +1,4 @@
+====== Seite gesperrt ======
+
+Diese Seite ist momentan von einem anderen Nutzer gesperrt. Warte, bis dieser mit dem Bearbeiten fertig ist oder die Sperre abl盲uft.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/login.txt
new file mode 100644
index 000000000..5c99c48f1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/login.txt
@@ -0,0 +1,4 @@
+====== Anmelden ======
+
+Gib deinen Benutzernamen und dein Passwort in das Formular unten ein, um dich anzumelden. Bitte beachte, dass daf眉r "Cookies" in den Sicherheitseinstellungen deines Browsers erlaubt sein m眉ssen.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/mailtext.txt
new file mode 100644
index 000000000..4fd41ad19
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/mailtext.txt
@@ -0,0 +1,17 @@
+Eine Seite in deinem Wiki wurde ge盲ndert oder neu angelegt. Hier sind die Details:
+
+Datum : @DATE@
+Browser : @BROWSER@
+IP-Adresse : @IPADDRESS@
+Hostname : @HOSTNAME@
+Alte Version : @OLDPAGE@
+Neue Version : @NEWPAGE@
+Zusammenfassung: @SUMMARY@
+Benutzer : @USER@
+
+@DIFF@
+
+
+--
+Diese Mail kommt vom DokuWiki auf
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/newpage.txt
new file mode 100644
index 000000000..5e261cc89
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/newpage.txt
@@ -0,0 +1,5 @@
+====== Dieses Thema existiert noch nicht ======
+
+Du bist einem Link zu einer Seite gefolgt, die noch nicht existiert. Du kannst die Seite mit dem Knopf **''[Seite anlegen]''** selbst anlegen und mit Inhalt f眉llen.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/norev.txt
new file mode 100644
index 000000000..c6243313d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/norev.txt
@@ -0,0 +1,4 @@
+====== Version existiert nicht ======
+
+Die angegebene Version des Dokuments wurde nicht gefunden. Benutze den **''[脛ltere Versionen]''** Knopf, um eine Liste aller verf眉gbaren Versionen dieses Dokuments zu erhalten.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/preview.txt
new file mode 100644
index 000000000..d3a578f69
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/preview.txt
@@ -0,0 +1,5 @@
+====== Vorschau ======
+
+So wird dein Text sp盲ter aussehen. Achtung: Der Text wurde noch **nicht gespeichert**!
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/pwconfirm.txt
new file mode 100644
index 000000000..538597cfa
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/pwconfirm.txt
@@ -0,0 +1,16 @@
+Hallo @FULLNAME@!
+
+Jemand hat ein neues Passwort f眉r deinen @TITLE@
+login auf @DOKUWIKIURL@ angefordert.
+
+Wenn du diese 脛nderung nicht angefordert hast, ignoriere diese
+E-Mail einfach.
+
+Um die Anforderung zu best盲tigen, folge bitte dem unten angegebenen
+Best盲tigungslink.
+
+@CONFIRM@
+
+--
+Diese Mail kommt vom DokuWiki auf
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/read.txt
new file mode 100644
index 000000000..1c5422a29
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/read.txt
@@ -0,0 +1,2 @@
+Diese Seite ist nicht editierbar. Du kannst den Quelltext sehen, jedoch nicht ver盲ndern. Kontaktiere den Administrator, wenn du glaubst, dass hier ein Fehler vorliegt.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/recent.txt
new file mode 100644
index 000000000..c05bbae12
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/recent.txt
@@ -0,0 +1,5 @@
+====== Letzte 脛nderungen ======
+
+Die folgenden Seiten wurden zuletzt ge盲ndert.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/register.txt
new file mode 100644
index 000000000..8fe4718dc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/register.txt
@@ -0,0 +1,4 @@
+====== Als neuer Nutzer registrieren ======
+
+Bitte f眉lle alle Felder aus, um einen neuen Nutzer-Account in diesem Wiki anzulegen. Stelle sicher, dass eine **g眉ltige E-Mail-Adresse** angegeben wird - das Passwort wird an diese Adresse gesendet. Der Nutzername sollte aus einem Wort ohne Umlaute, Leer- oder Sonderzeichen bestehen.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/registermail.txt
new file mode 100644
index 000000000..ed37a9505
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/registermail.txt
@@ -0,0 +1,14 @@
+Ein neuer Benutzer hat sich registriert. Hier sind die Details:
+
+Benutzername : @NEWUSER@
+Voller Name : @NEWNAME@
+E-Mail : @NEWEMAIL@
+
+Date : @DATE@
+Browser : @BROWSER@
+IP-Address : @IPADDRESS@
+Hostname : @HOSTNAME@
+
+--
+Diese Mail kommt vom DokuWiki auf
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/resendpwd.txt
new file mode 100644
index 000000000..4dcd4bb4d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/resendpwd.txt
@@ -0,0 +1,3 @@
+====== Neues Passwort anfordern ======
+
+F眉lle alle Felder unten aus, um ein neues Passwort f眉r deinen Zugang zu erhalten. Das neue Passwort wird an deine gespeicherte E-Mail-Adresse geschickt. Der Benutzername sollte dein Wiki-Benutzername sein.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/revisions.txt
new file mode 100644
index 000000000..e4a7be8f1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/revisions.txt
@@ -0,0 +1,4 @@
+====== 脛ltere Versionen ======
+
+Dies sind 盲ltere Versionen des gew盲hlten Dokuments. Um zu einer 盲lteren Version zur眉ckzukehren, w盲hle die entsprechende Version aus, klicke auf **''[Diese Seite bearbeiten]''** und speichere sie erneut ab.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/searchpage.txt
new file mode 100644
index 000000000..72c57b765
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/searchpage.txt
@@ -0,0 +1,7 @@
+====== Suche ======
+
+Unten sind die Ergebnisse deiner Suche gelistet. Falls der gesuchte Begriff nicht gefunden wurde, kannst du direkt eine neue Seite f眉r den Suchbegriff anlegen, indem du auf den Knopf **''[Seite anlegen]''** dr眉ckst.
+
+===== Ergebnisse =====
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/showrev.txt
new file mode 100644
index 000000000..65f53c9c1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/showrev.txt
@@ -0,0 +1,2 @@
+**Dies ist eine alte Version des Dokuments!**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/stopwords.txt
new file mode 100644
index 000000000..443b17723
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/stopwords.txt
@@ -0,0 +1,125 @@
+# This is a list of words the indexer ignores, one word per line
+# When you edit this file be sure to use UNIX line endings (single newline)
+# No need to include words shorter than 3 chars - these are ignored anyway
+# This list is based upon the ones found at http://www.ranks.nl/stopwords/
+aber
+als
+auch
+auf
+aus
+bei
+bin
+bis
+bist
+dadurch
+daher
+darum
+das
+da脽
+dass
+dein
+deine
+dem
+den
+der
+des
+dessen
+deshalb
+die
+dies
+dieser
+dieses
+doch
+dort
+durch
+ein
+eine
+einem
+einen
+einer
+eines
+euer
+eure
+f眉r
+hatte
+hatten
+hattest
+hattet
+hier
+hinter
+ich
+ihr
+ihre
+in
+im
+ist
+jede
+jedem
+jeden
+jeder
+jedes
+jener
+jenes
+jetzt
+kann
+kannst
+k枚nnen
+k枚nnt
+machen
+mein
+meine
+mit
+mu脽
+mu脽t
+musst
+m眉ssen
+m眉脽t
+nach
+nachdem
+nein
+nicht
+nun
+oder
+seid
+sein
+seine
+sich
+sie
+sind
+soll
+sollen
+sollst
+sollt
+sonst
+soweit
+sowie
+und
+unser
+unsere
+unter
+vom
+von
+vor
+um
+wann
+warum
+was
+weiter
+weitere
+wenn
+wer
+werde
+werden
+werdet
+weshalb
+wie
+wieder
+wieso
+wir
+wird
+wirst
+woher
+wohin
+zum
+zur
+眉ber
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/subscribermail.txt
new file mode 100644
index 000000000..952ac911e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/subscribermail.txt
@@ -0,0 +1,23 @@
+Hallo!
+
+Die Seite @PAGE@ im @TITLE@ Wiki wurde bearbeitet.
+Das sind die 脛nderungen:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+Datum : @DATE@
+Benutzer : @USER@
+脺bersicht: @SUMMARY@
+Alte Revision: @OLDPAGE@
+Neue Revision: @NEWPAGE@
+
+Um das Abonnement f眉r diese Seite aufzul枚sen, melde dich im Wiki an
+@DOKUWIKIURL@, besuche dann
+@NEWPAGE@
+und klicke auf die Taste '脛nderungen abbestellen'.
+
+--
+Diese Mail kommt vom DokuWiki auf
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/updateprofile.txt
new file mode 100644
index 000000000..66c2e8293
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/updateprofile.txt
@@ -0,0 +1,5 @@
+====== Benutzerprofil 盲ndern ======
+
+Nur die Felder, die du 盲nderst, werden aktualisiert. Alle anderen bleiben, wie sie sind. Deinen Benutzernamen kannst du jedoch nicht 盲ndern.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/uploadmail.txt
new file mode 100644
index 000000000..72e4a2a6e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/uploadmail.txt
@@ -0,0 +1,14 @@
+Eine Datei wurde in deinem Wiki hochgeladen. Hier sind die Details:
+
+Datei : @MEDIA@
+Datum : @DATE@
+Browser : @BROWSER@
+IP-Adresse : @IPADDRESS@
+Hostname : @HOSTNAME@
+Gr枚脽e : @SIZE@
+MIME-Typ : @MIME@
+Benutzer : @USER@
+
+--
+Diese Mail kommt vom DokuWiki auf
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/wordblock.txt
new file mode 100644
index 000000000..cb3e4c06a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de-informal/wordblock.txt
@@ -0,0 +1,4 @@
+====== SPAM blockiert ======
+
+Deine 脛nderungen wurden nicht gespeichert, da sie ein oder mehrere nicht erlaubte W枚rter enthielten. Falls du versucht haben solltest, das Wiki zu Spammen -- Schande 眉ber ich! Wenn du glaubst, dass der Eintrag zu Unrecht blockiert wurde, kontaktiere bitte den Administrator des Wikis.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/admin.txt
new file mode 100644
index 000000000..c52f343ea
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/admin.txt
@@ -0,0 +1,4 @@
+====== Administration ======
+
+Folgende administrative Aufgaben stehen in DokuWiki zur Verf眉gung.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/adminplugins.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/adminplugins.txt
new file mode 100644
index 000000000..d3bfd0910
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/adminplugins.txt
@@ -0,0 +1 @@
+===== Weitere Plugins ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/backlinks.txt
new file mode 100644
index 000000000..aae4c5582
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/backlinks.txt
@@ -0,0 +1,5 @@
+====== Backlinks ======
+
+Dies ist eine Liste der Seiten, die zur眉ck zur momentanen Seite linken.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/conflict.txt
new file mode 100644
index 000000000..d24e5b198
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/conflict.txt
@@ -0,0 +1,6 @@
+====== Eine neuere Version existiert ======
+
+Eine neuere Version des aktuell in Bearbeitung befindlichen Dokuments existiert. Das hei脽t, jemand hat parallel an der selben Seite gearbeitet und zuerst gespeichert.
+
+Die unten aufgef眉hrten Unterschiede k枚nnen bei der Entscheidung helfen, welchem Dokument Vorrang gew盲hrt wird. W盲hlen Sie **''[Speichern]''** zum Sichern Ihrer Version oder **''[Abbrechen]''**, um Ihre Version zu verwerfen und die zuerst gespeicherte Seite zu behalten.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/denied.txt
new file mode 100644
index 000000000..b87965067
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/denied.txt
@@ -0,0 +1,4 @@
+====== Zugang verweigert ======
+
+Sie haben nicht die erforderlichen Rechte, um diese Aktion durchzuf眉hren. Eventuell sind Sie nicht beim Wiki angemeldet?
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/diff.txt
new file mode 100644
index 000000000..82fbbc252
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/diff.txt
@@ -0,0 +1,5 @@
+====== Unterschiede ======
+
+Hier werden die Unterschiede zwischen zwei Versionen gezeigt.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/draft.txt
new file mode 100644
index 000000000..14a5e8495
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/draft.txt
@@ -0,0 +1,6 @@
+====== Entwurf gefunden ======
+
+Ihre letzte Bearbeitungssitzung wurde nicht ordnungsgem盲脽 abgeschlossen. DokuWiki hat w盲hrend Ihrer Arbeit automatisch einen Zwischenentwurf gespeichert, den Sie jetzt nutzen k枚nnen, um Ihre Arbeit fortzusetzen. Unten sehen Sie die Daten, die bei Ihrer letzten Sitzung gespeichert wurden.
+
+Bitte entscheiden Sie, ob Sie den Entwurf //wieder herstellen// oder //l枚schen// wollen oder ob Sie die Bearbeitung abbrechen m枚chten.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/edit.txt
new file mode 100644
index 000000000..15e02c61a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/edit.txt
@@ -0,0 +1,4 @@
+Bitte nur editieren, falls das Dokument **verbessert** werden kann.
+
+Nach dem Bearbeiten den **''[Speichern]''**-Knopf dr眉cken. Siehe [[wiki:syntax]] zur Wiki-Syntax. Zum Testen bitte erst im [[playground:playground|Spielplatz]] 眉ben.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/editrev.txt
new file mode 100644
index 000000000..6c1f642cc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/editrev.txt
@@ -0,0 +1,2 @@
+**Eine 盲ltere Version des Dokuments wurde geladen!** Beim Speichern wird eine neue Version des Dokuments mit diesem Inhalt erstellt.
+---- \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/index.txt
new file mode 100644
index 000000000..fa8dc4663
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/index.txt
@@ -0,0 +1,4 @@
+====== 脺bersicht ======
+
+Dies ist eine 脺bersicht 眉ber alle vorhandenen Seiten und [[doku>namespaces|Namensr盲ume]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/install.html
new file mode 100644
index 000000000..15fd1c36b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/install.html
@@ -0,0 +1,27 @@
+<p>Diese Seite hilft Ihnen bei der Erst-Installation und Konfiguration von
+<a href="http://dokuwiki.org">Dokuwiki</a>. Zus盲tzliche Informationen zu
+diesem Installationsskript finden Sie auf der entsprechenden
+<a href="http://dokuwiki.org/installer">Hilfe Seite</a> (en).</p>
+
+<p>DokuWiki verwendet normale Dateien f眉r das Speichern von Wikiseiten und
+anderen Informationen (Bilder, Suchindizes, alte Versionen, usw.).
+Um DokuWiki betreiben zu k枚nnen, <strong>muss</strong> Schreibzugriff auf die
+Verzeichnisse bestehen, in denen DokuWiki diese Dateien ablegt. Dieses
+Installationsprogramm kann diese Rechte nicht f眉r Sie setzen. Sie m眉ssen dies
+manuell auf einer Kommando-Shell oder, falls Sie DokuWiki bei einem Fremdanbieter
+hosten, 眉ber FTP oder ein entsprechendes Werkzeug (z.B. cPanel) durchf眉hren.</p>
+
+<p>Dieses Skript hilft Ihnen beim ersten Einrichten des Zugangsschutzes
+(<acronym title="access control list">ACL</acronym>) von DokuWiki, welcher eine
+Administratoranmeldung und damit Zugang zum Administrationsmenu erm枚glicht.
+Dort k枚nnen Sie dann weitere T盲tigkeiten wie das Installieren von Plugins, das
+Verwalten von Nutzern und das 脛ndern von Konfigurationseinstellungen durchf眉hren.
+Das Nutzen der Zugangskontrolle ist nicht zwingend erforderlich, es erleichtert aber
+die Administration von DokuWiki.</p>
+
+<p>Erfahrene Anwender oder Nutzer mit speziellen Konfigurationsbed眉rfnissen sollten
+die folgenden Links nutzen, um sich 眉ber
+<a href="http://dokuwiki.org/install">Installation</a>
+und <a href="http://dokuwiki.org/config">Konfiguration</a> zu
+informieren.</p>
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/lang.php
new file mode 100644
index 000000000..d0c1f8b70
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/lang.php
@@ -0,0 +1,257 @@
+<?php
+/**
+ * german language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Christof <gagi@fin.de>
+ * @author Anika Henke <anika@selfthinker.org>
+ * @author Esther Brunner <esther@kaffeehaus.ch>
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ * @author Michael Klier <chi@chimeric.de>
+ * @author Leo Moll <leo@yeasoft.com>
+ * @author Florian Anderiasch <fa@art-core.org>
+ * @author Robin Kluth <commi1993@gmail.com>
+ * @author Arne Pelka <mail@arnepelka.de>
+ * @author Dirk Einecke <dirk@dirkeinecke.de>
+ * @author Blitzi94@gmx.de
+ * @author Robert Bogenschneider <robog@GMX.de>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '鈥';
+$lang['btn_edit'] = 'Diese Seite bearbeiten';
+$lang['btn_source'] = 'Zeige Quelltext';
+$lang['btn_show'] = 'Seite anzeigen';
+$lang['btn_create'] = 'Seite anlegen';
+$lang['btn_search'] = 'Suche';
+$lang['btn_save'] = 'Speichern';
+$lang['btn_preview'] = 'Vorschau';
+$lang['btn_top'] = 'Nach oben';
+$lang['btn_newer'] = '<< j眉ngere 脛nderungen';
+$lang['btn_older'] = '盲ltere 脛nderungen >>';
+$lang['btn_revs'] = '脛ltere Versionen';
+$lang['btn_recent'] = 'Letzte 脛nderungen';
+$lang['btn_upload'] = 'Hochladen';
+$lang['btn_cancel'] = 'Abbrechen';
+$lang['btn_index'] = '脺bersicht';
+$lang['btn_secedit'] = 'Bearbeiten';
+$lang['btn_login'] = 'Anmelden';
+$lang['btn_logout'] = 'Abmelden';
+$lang['btn_admin'] = 'Admin';
+$lang['btn_update'] = 'Updaten';
+$lang['btn_delete'] = 'L枚schen';
+$lang['btn_back'] = 'Zur眉ck';
+$lang['btn_backlink'] = 'Links hierher';
+$lang['btn_backtomedia'] = 'Zur眉ck zur Dateiauswahl';
+$lang['btn_subscribe'] = '脛nderungen abonnieren';
+$lang['btn_unsubscribe'] = '脛nderungen abbestellen';
+$lang['btn_subscribens'] = 'Namensraum盲nderungen abonnieren';
+$lang['btn_unsubscribens'] = 'Namensraum盲nderungen abbestellen';
+$lang['btn_profile'] = 'Benutzerprofil';
+$lang['btn_reset'] = 'Zur眉cksetzen';
+$lang['btn_resendpwd'] = 'Sende neues Passwort';
+$lang['btn_draft'] = 'Entwurf bearbeiten';
+$lang['btn_recover'] = 'Entwurf wieder herstellen';
+$lang['btn_draftdel'] = 'Entwurf l枚schen';
+$lang['btn_revert'] = 'Wiederherstellen';
+$lang['loggedinas'] = 'Angemeldet als';
+$lang['user'] = 'Benutzername';
+$lang['pass'] = 'Passwort';
+$lang['newpass'] = 'Neues Passwort';
+$lang['oldpass'] = 'Best盲tigen (Altes Passwort)';
+$lang['passchk'] = 'und nochmal';
+$lang['remember'] = 'Angemeldet bleiben';
+$lang['fullname'] = 'Voller Name';
+$lang['email'] = 'E-Mail';
+$lang['register'] = 'Registrieren';
+$lang['profile'] = 'Benutzerprofil';
+$lang['badlogin'] = 'Nutzername oder Passwort sind falsch.';
+$lang['minoredit'] = 'kleine 脛nderung';
+$lang['draftdate'] = 'Entwurf gespeichert am';
+$lang['nosecedit'] = 'Diese Seite wurde in der Zwischenzeit ge盲ndert, Sektionsinfo ist veraltet, lade stattdessen volle Seite.';
+$lang['regmissing'] = 'Alle Felder m眉ssen ausgef眉llt werden.';
+$lang['reguexists'] = 'Der Nutzername existiert leider schon.';
+$lang['regsuccess'] = 'Der neue Nutzer wurde angelegt und das Passwort per E-Mail versandt.';
+$lang['regsuccess2'] = 'Der neue Nutzer wurde angelegt.';
+$lang['regmailfail'] = 'Offenbar ist ein Fehler beim Versenden der Passwort-E-Mail aufgetreten. Bitte wenden Sie sich an den Wiki-Admin.';
+$lang['regbadmail'] = 'Die angegebene E-Mail-Adresse scheint ung眉ltig zu sein. Falls dies ein Fehler ist, wenden Sie sich bitte an den Wiki-Admin.';
+$lang['regbadpass'] = 'Die beiden eingegeben Passw枚rter stimmen nicht 眉berein. Bitte versuchen Sie es noch einmal.';
+$lang['regpwmail'] = 'Ihr DokuWiki Passwort';
+$lang['reghere'] = 'Sie haben noch keinen Zugang? Hier anmelden';
+$lang['profna'] = '脛nderung des Benutzerprofils in diesem Wiki nicht m枚glich.';
+$lang['profnochange'] = 'Keine 脛nderungen, nichts zu tun.';
+$lang['profnoempty'] = 'Es mu脽 ein Name und eine E-Mail-Adresse angegeben werden.';
+$lang['profchanged'] = 'Benuzerprofil erfolgreich ge盲ndert.';
+$lang['pwdforget'] = 'Passwort vergessen? Fordere ein neues an';
+$lang['resendna'] = 'Passw枚rter versenden ist in diesem Wiki nicht m枚glich.';
+$lang['resendpwd'] = 'Neues Passwort schicken f眉r';
+$lang['resendpwdmissing'] = 'Es tut mir Leid, aber Sie m眉ssen alle Felder ausf眉llen.';
+$lang['resendpwdnouser'] = 'Es tut mir Leid, aber der Benutzer existiert nicht in unserer Datenbank.';
+$lang['resendpwdbadauth'] = 'Es tut mir Leid, aber dieser Authentifizierungscode ist ung眉ltig. Stellen Sie sicher, dass Sie den kompletten Best盲tigungslink verwendet haben.';
+$lang['resendpwdconfirm'] = 'Ein Best盲tigungslink wurde per E-Mail versandt.';
+$lang['resendpwdsuccess'] = 'Ihr neues Passwort wurde per E-Mail versandt.';
+$lang['license'] = 'Falls nicht anders bezeichnet, ist der Inhalt dieses Wikis unter der folgenden Lizenz ver枚ffentlicht:';
+$lang['licenseok'] = 'Hinweis: Durch das Bearbeiten dieser Seite geben Sie Ihr Einverst盲ndnis, dass Ihr Inhalt unter der folgenden Lizenz ver枚ffentlicht wird:';
+$lang['searchmedia'] = 'Suche Dateinamen:';
+$lang['searchmedia_in'] = 'Suche in %s';
+$lang['txt_upload'] = 'Datei zum Hochladen ausw盲hlen';
+$lang['txt_filename'] = 'Hochladen als (optional)';
+$lang['txt_overwrt'] = 'Bestehende Datei 眉berschreiben';
+$lang['lockedby'] = 'Momentan gesperrt von';
+$lang['lockexpire'] = 'Sperre l盲uft ab am';
+$lang['willexpire'] = 'Die Sperre zur Bearbeitung dieser Seite l盲uft in einer Minute ab.\nUm Bearbeitungskonflikte zu vermeiden, sollten Sie sie durch einen Klick auf den Vorschau-Knopf verl盲ngern.';
+$lang['notsavedyet'] = 'Nicht gespeicherte 脛nderungen gehen verloren!\nWeitermachen?';
+$lang['rssfailed'] = 'Es ist ein Fehler beim Laden des Feeds aufgetreten: ';
+$lang['nothingfound'] = 'Nichts gefunden.';
+$lang['mediaselect'] = 'Dateiauswahl';
+$lang['fileupload'] = 'Datei hochladen';
+$lang['uploadsucc'] = 'Datei wurde erfolgreich hochgeladen';
+$lang['uploadfail'] = 'Hochladen fehlgeschlagen. Keine Berechtigung?';
+$lang['uploadwrong'] = 'Hochladen verweigert. Diese Dateiendung ist nicht erlaubt.';
+$lang['uploadexist'] = 'Datei existiert bereits. Keine 脛nderungen vorgenommen.';
+$lang['uploadbadcontent'] = 'Die hochgeladenen Daten stimmen nicht mit der Dateiendung %s 眉berein.';
+$lang['uploadspam'] = 'Hochladen verweigert: Treffer auf der Spamliste.';
+$lang['uploadxss'] = 'Hochladen verweigert: Daten scheinen Schadcode zu enthalten.';
+$lang['uploadsize'] = 'Die hochgeladene Datei war zu gro脽. (max. %s)';
+$lang['deletesucc'] = 'Die Datei "%s" wurde gel枚scht.';
+$lang['deletefail'] = '"%s" konnte nicht gel枚scht werden - pr眉fen Sie die Berechtigungen.';
+$lang['mediainuse'] = 'Die Datei "%s" wurde nicht gel枚scht - sie wird noch verwendet.';
+$lang['namespaces'] = 'Namensr盲ume';
+$lang['mediafiles'] = 'Vorhandene Dateien in';
+$lang['js']['searchmedia'] = 'Suche Dateien';
+$lang['js']['keepopen'] = 'Fenster nach Auswahl nicht schlie脽en';
+$lang['js']['hidedetails'] = 'Details ausblenden';
+$lang['js']['nosmblinks'] = 'Das Verlinken von Windows-Freigaben funktioniert nur im Microsoft Internet Explorer.
+Der Link kann jedoch durch Kopieren und Einf眉gen verwendet werden.';
+$lang['js']['linkwiz'] = 'Link-Assistent';
+$lang['js']['linkto'] = 'Link nach:';
+$lang['js']['del_confirm'] = 'Eintrag wirklich l枚schen?';
+$lang['js']['mu_btn'] = 'Mehrere Dateien gleichzeitig hochladen';
+$lang['mediausage'] = 'Syntax zum Verwenden dieser Datei:';
+$lang['mediaview'] = 'Originaldatei 枚ffnen';
+$lang['mediaroot'] = 'Wurzel';
+$lang['mediaupload'] = 'Laden Sie hier eine Datei in den momentanen Namensraum hoch. Um Unterordner zu erstellen, stellen Sie diese dem Dateinamen im Feld "Hochladen als" durch Doppelpunkt getrennt voran.';
+$lang['mediaextchange'] = 'Dateiendung vom .%s nach .%s ge盲ndert!';
+$lang['reference'] = 'Verwendung von';
+$lang['ref_inuse'] = 'Diese Datei kann nicht gel枚scht werden, da sie noch von folgenden Seiten benutzt wird:';
+$lang['ref_hidden'] = 'Einige Verweise sind auf Seiten, f眉r die Sie keine Leseberechtigung haben.';
+$lang['hits'] = 'Treffer';
+$lang['quickhits'] = 'Passende Seitennamen';
+$lang['toc'] = 'Inhaltsverzeichnis';
+$lang['current'] = 'aktuell';
+$lang['yours'] = 'Ihre Version';
+$lang['diff'] = 'Zeige Unterschiede zu aktueller Version';
+$lang['diff2'] = 'Zeige Unterschiede der ausgew盲hlten Versionen';
+$lang['line'] = 'Zeile';
+$lang['breadcrumb'] = 'Zuletzt angesehen';
+$lang['youarehere'] = 'Sie befinden sich hier';
+$lang['lastmod'] = 'Zuletzt ge盲ndert';
+$lang['by'] = 'von';
+$lang['deleted'] = 'gel枚scht';
+$lang['created'] = 'angelegt';
+$lang['restored'] = 'alte Version wieder hergestellt';
+$lang['external_edit'] = 'Externe Bearbeitung';
+$lang['summary'] = 'Zusammenfassung';
+$lang['noflash'] = 'Das <a href="http://www.adobe.com/products/flashplayer/">Adobe Flash Plugin</a> wird ben枚tigt, um diesen Ihnalt anzuzeigen.';
+$lang['download'] = 'Schnipsel herunterladen';
+$lang['mail_newpage'] = 'Neue Seite:';
+$lang['mail_changed'] = 'Seite geaendert:';
+$lang['mail_new_user'] = 'Neuer Benutzer:';
+$lang['mail_upload'] = 'Datei hochgeladen:';
+$lang['qb_bold'] = 'Fetter Text';
+$lang['qb_italic'] = 'Kursiver Text';
+$lang['qb_underl'] = 'Unterstrichener Text';
+$lang['qb_code'] = 'Code Text';
+$lang['qb_strike'] = 'Durchgestrichener Text';
+$lang['qb_h1'] = 'Level 1 脺berschrift';
+$lang['qb_h2'] = 'Level 2 脺berschrift';
+$lang['qb_h3'] = 'Level 3 脺berschrift';
+$lang['qb_h4'] = 'Level 4 脺berschrift';
+$lang['qb_h5'] = 'Level 5 脺berschrift';
+$lang['qb_h'] = '脺berschrift';
+$lang['qb_hs'] = 'W盲hle die 脺berschrift';
+$lang['qb_hplus'] = 'Obere 脺berschrift';
+$lang['qb_hminus'] = 'Untere 脺berschrift';
+$lang['qb_hequal'] = 'Gleichzeilige 脺berschrift';
+$lang['qb_link'] = 'Interner Link';
+$lang['qb_extlink'] = 'Externer Link';
+$lang['qb_hr'] = 'Horizontale Linie';
+$lang['qb_ol'] = 'Nummerierter Listenpunkt';
+$lang['qb_ul'] = 'Listenpunkt';
+$lang['qb_media'] = 'Bilder und andere Dateien hinzuf眉gen';
+$lang['qb_sig'] = 'Unterschrift einf眉gen';
+$lang['qb_smileys'] = 'Smileys';
+$lang['qb_chars'] = 'Sonderzeichen';
+$lang['upperns'] = 'zum 眉bergeordneten Namensraum springen';
+$lang['admin_register'] = 'Neuen Benutzer anmelden';
+$lang['metaedit'] = 'Metadaten bearbeiten';
+$lang['metasaveerr'] = 'Die Metadaten konnten nicht gesichert werden';
+$lang['metasaveok'] = 'Metadaten gesichert';
+$lang['img_backto'] = 'Zur眉ck zu';
+$lang['img_title'] = 'Titel';
+$lang['img_caption'] = 'Bildunterschrift';
+$lang['img_date'] = 'Datum';
+$lang['img_fname'] = 'Dateiname';
+$lang['img_fsize'] = 'Gr枚sse';
+$lang['img_artist'] = 'FotografIn';
+$lang['img_copyr'] = 'Copyright';
+$lang['img_format'] = 'Format';
+$lang['img_camera'] = 'Kamera';
+$lang['img_keywords'] = 'Schlagw枚rter';
+$lang['subscribe_success'] = '%s hat nun 脛nderungen der Seite %s abonniert';
+$lang['subscribe_error'] = '%s kann die 脛nderungen der Seite %s nicht abonnieren';
+$lang['subscribe_noaddress'] = 'Weil Ihre E-Mail-Adresse fehlt, k枚nnen Sie das Thema nicht abonnieren';
+$lang['unsubscribe_success'] = 'Das Abonnement von %s f眉r die Seite %s wurde aufgel枚st';
+$lang['unsubscribe_error'] = 'Das Abonnement von %s f眉r die Seite %s konnte nicht aufgel枚st werden';
+$lang['authmodfailed'] = 'Benutzer眉berpr眉fung nicht m枚glich. Bitte wenden Sie sich an den Systembetreuer.';
+$lang['authtempfail'] = 'Benutzer眉berpr眉fung momentan nicht m枚glich. Falls das Problem andauert, wenden Sie sich an den Systembetreuer.';
+$lang['i_chooselang'] = 'W盲hlen Sie Ihre Sprache';
+$lang['i_installer'] = 'DokuWiki Installation';
+$lang['i_wikiname'] = 'Wiki-Name';
+$lang['i_enableacl'] = 'Zugangskontrolle (ACL) aktivieren (empfohlen)';
+$lang['i_superuser'] = 'Administrator Benutzername';
+$lang['i_problems'] = 'Das Installationsprogramm hat unten aufgef眉hrte Probleme festgestellt, die zun盲chst behoben werden m眉ssen bevor Sie mit der Installation fortfahren k枚nnen.';
+$lang['i_modified'] = 'Aus Sicherheitsgr眉nden arbeitet dieses Script nur mit einer neuen, unmodifizierten DokuWiki Installation. Sie sollten entweder alle Dateien noch einmal frisch installieren oder die <a href="http://dokuwiki.org/install">Dokuwiki-Installationsanleitung</a> konsultieren.';
+$lang['i_funcna'] = 'Die PHP Funktion <code>%s</code> ist nicht verf眉gbar. Unter Umst盲nden wurde sie von Ihrem Hoster deaktiviert?';
+$lang['i_phpver'] = 'Ihre PHP-Version <code>%s</code> ist niedriger als die ben枚tigte Version <code>%s</code>. Bitte aktualisieren Sie Ihre PHP-Installation.';
+$lang['i_permfail'] = '<code>%s</code> ist nicht durch DokuWiki beschreibbar. Sie m眉ssen die Berechtigungen dieses Ordners 盲ndern!';
+$lang['i_confexists'] = '<code>%s</code> existiert bereits';
+$lang['i_writeerr'] = '<code>%s</code> konnte nicht erzeugt werden. Sie sollten die Verzeichnis-/Datei-Rechte 眉berpr眉fen und die Datei manuell anlegen.';
+$lang['i_badhash'] = 'Unbekannte oder modifizierte dokuwiki.php (Hash=<code>%s</code>)';
+$lang['i_badval'] = '<code>%s</code> - unerlaubter oder leerer Wert';
+$lang['i_success'] = 'Die Konfiguration wurde erfolgreich abgeschlossen. Sie k枚nnen jetzt die install.php l枚schen. Ihr <a href="doku.php">neues DokuWiki</a> ist jetzt f眉r Sie bereit.';
+$lang['i_failure'] = 'Es sind Fehler beim Schreiben der Konfigurationsdateien aufgetreten. Sie m眉ssen diese vermutlich von Hand beheben, bevor Sie Ihr <a href="doku.php">neues DokuWiki</a> nutzen k枚nnen.';
+$lang['i_policy'] = 'Anfangseinstellung f眉r Zugangskontrolle (ACL)';
+$lang['i_pol0'] = 'Offenes Wiki (lesen, schreiben, hochladen f眉r alle)';
+$lang['i_pol1'] = '脰ffentliches Wiki (lesen f眉r alle, schreiben und hochladen f眉r registrierte Nutzer)';
+$lang['i_pol2'] = 'Geschlossenes Wiki (lesen, schreiben, hochladen nur f眉r registrierte Nutzer)';
+$lang['i_retry'] = 'Wiederholen';
+$lang['mu_intro'] = 'In diesem Bereich k枚nnen Sie mehrere Dateien gleichzeitig hochladen. Benutzen Sie die Schaltfl盲che "Durchsuchen" um sie der Warteschlange zuzuf眉gen. Bet盲tigen Sie die Schaltfl盲che "Hochladen" um die 脺bertragung zu starten.';
+$lang['mu_gridname'] = 'Dateiname';
+$lang['mu_gridsize'] = 'Gr枚脽e';
+$lang['mu_gridstat'] = 'Status';
+$lang['mu_namespace'] = 'Namensraum';
+$lang['mu_browse'] = 'Durchsuchen';
+$lang['mu_toobig'] = 'zu gro脽';
+$lang['mu_ready'] = 'bereit zum hochladen';
+$lang['mu_done'] = 'fertig';
+$lang['mu_fail'] = 'gescheitert';
+$lang['mu_authfail'] = 'Sitzung abgelaufen';
+$lang['mu_progress'] = '@PCT@% hochgeladen';
+$lang['mu_filetypes'] = 'Erlaubte Dateitypen';
+$lang['mu_info'] = 'Dateien hochgeladen!';
+$lang['mu_lasterr'] = 'Letzter Fehler:';
+$lang['recent_global'] = 'Im Moment sehen Sie die 脛nderungen im Namensraum <b>%s</b>. Sie k枚nnen auch <a href="%s">die 脛nderungen im gesamten Wiki sehen</a>.';
+
+$lang['years'] = 'vor %d Jahren';
+$lang['months'] = 'vor %d Monaten';
+$lang['weeks'] = 'vor %d Wochen';
+$lang['days'] = 'vor %d Tagen';
+$lang['hours'] = 'vor %d Stunden';
+$lang['minutes'] = 'vor %d Minuten';
+$lang['seconds'] = 'vor %d Sekunden';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/locked.txt
new file mode 100644
index 000000000..6656beece
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/locked.txt
@@ -0,0 +1,4 @@
+====== Seite gesperrt ======
+
+Diese Seite ist momentan von einem anderen Nutzer gesperrt. Warten Sie, bis dieser mit dem Bearbeiten fertig ist oder die Sperre abl盲uft.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/login.txt
new file mode 100644
index 000000000..6698da614
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/login.txt
@@ -0,0 +1,4 @@
+====== Anmelden ======
+
+Geben Sie Ihren Benutzernamen und Ihr Passwort in das Formular unten ein, um sich anzumelden. Bitte beachten Sie, dass daf眉r "Cookies" in den Sicherheitseinstellungen Ihres Browsers erlaubt sein m眉ssen.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/mailtext.txt
new file mode 100644
index 000000000..fee88d4d8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/mailtext.txt
@@ -0,0 +1,17 @@
+Eine Seite in Ihrem Wiki wurde ge盲ndert oder neu angelegt. Hier sind die Details:
+
+Datum : @DATE@
+Browser : @BROWSER@
+IP-Adresse : @IPADDRESS@
+Hostname : @HOSTNAME@
+Alte Version : @OLDPAGE@
+Neue Version : @NEWPAGE@
+Zusammenfassung: @SUMMARY@
+Benutzer : @USER@
+
+@DIFF@
+
+
+--
+Diese Mail kommt vom DokuWiki auf
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/newpage.txt
new file mode 100644
index 000000000..7871c67de
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/newpage.txt
@@ -0,0 +1,5 @@
+====== Dieses Thema existiert noch nicht ======
+
+Sie sind einem Link zu einer Seite gefolgt, die noch nicht existiert. Sie k枚nnen die Seite mit dem Knopf **"[Seite anlegen]"** selbst anlegen und mit Inhalt f眉llen.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/norev.txt
new file mode 100644
index 000000000..8a9c6927b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/norev.txt
@@ -0,0 +1,4 @@
+====== Version existiert nicht ======
+
+Die angegebene Version des Dokuments wurde nicht gefunden. Benutzen Sie den **''[脛ltere Versionen]''** Knopf, um eine Liste aller verf眉gbaren Versionen dieses Dokuments zu erhalten.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/preview.txt
new file mode 100644
index 000000000..b07ae5091
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/preview.txt
@@ -0,0 +1,5 @@
+====== Vorschau ======
+
+So wird Ihr Text sp盲ter aussehen. Achtung: Der Text wurde noch **nicht gespeichert**!
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/pwconfirm.txt
new file mode 100644
index 000000000..3aa81bf77
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/pwconfirm.txt
@@ -0,0 +1,16 @@
+Hallo @FULLNAME@!
+
+Jemand hat ein neues Passwort f眉r Ihren @TITLE@
+login auf @DOKUWIKIURL@ angefordert.
+
+Wenn Sie diese 脛nderung nicht angefordert haben, ignorieren Sie diese
+E-Mail einfach.
+
+Um die Anforderung zu best盲tigen, folgen Sie bitte dem unten angegebenen
+Best盲tigungslink.
+
+@CONFIRM@
+
+--
+Diese Mail kommt vom DokuWiki auf
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/read.txt
new file mode 100644
index 000000000..bc011d0ee
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/read.txt
@@ -0,0 +1,2 @@
+Diese Seite ist nicht editierbar. Sie k枚nnen den Quelltext sehen, jedoch nicht ver盲ndern. Kontaktieren Sie den Administrator, wenn Sie glauben, dass hier ein Fehler vorliegt.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/recent.txt
new file mode 100644
index 000000000..c05bbae12
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/recent.txt
@@ -0,0 +1,5 @@
+====== Letzte 脛nderungen ======
+
+Die folgenden Seiten wurden zuletzt ge盲ndert.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/register.txt
new file mode 100644
index 000000000..83684f500
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/register.txt
@@ -0,0 +1,4 @@
+====== Als neuer Nutzer registrieren ======
+
+Bitte f眉llen Sie alle Felder aus, um einen neuen Nutzer-Account in diesem Wiki anzulegen. Stellen Sie sicher, dass eine **g眉ltige E-Mail-Adresse** angegeben wird - das Passwort wird an diese Adresse gesendet. Der Nutzername sollte aus einem Wort ohne Umlaute, Leer- oder Sonderzeichen bestehen.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/registermail.txt
new file mode 100644
index 000000000..ed37a9505
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/registermail.txt
@@ -0,0 +1,14 @@
+Ein neuer Benutzer hat sich registriert. Hier sind die Details:
+
+Benutzername : @NEWUSER@
+Voller Name : @NEWNAME@
+E-Mail : @NEWEMAIL@
+
+Date : @DATE@
+Browser : @BROWSER@
+IP-Address : @IPADDRESS@
+Hostname : @HOSTNAME@
+
+--
+Diese Mail kommt vom DokuWiki auf
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/resendpwd.txt
new file mode 100644
index 000000000..2ff639369
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/resendpwd.txt
@@ -0,0 +1,3 @@
+====== Neues Passwort anfordern ======
+
+F眉llen Sie alle Felder unten aus, um ein neues Passwort f眉r Ihren Zugang zu erhalten. Das neue Passwort wird an Ihre gespeicherte E-Mail-Adresse geschickt. Der Benutzername sollte Ihr Wiki-Benutzername sein.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/revisions.txt
new file mode 100644
index 000000000..e1bafdd2d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/revisions.txt
@@ -0,0 +1,4 @@
+====== 脛ltere Versionen ======
+
+Dies sind 盲ltere Versionen des gew盲hlten Dokuments. Um zu einer 盲lteren Version zur眉ckzukehren, w盲hlen Sie die entsprechende Version aus, klicken auf **''[Diese Seite bearbeiten]''** und speichern Sie sie erneut ab.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/searchpage.txt
new file mode 100644
index 000000000..56104551b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/searchpage.txt
@@ -0,0 +1,7 @@
+====== Suche ======
+
+Unten sind die Ergebnisse Ihrer Suche gelistet. Falls der gesuchte Begriff nicht gefunden wurde, k枚nnen Sie direkt eine neue Seite f眉r den Suchbegriff anlegen, indem Sie auf den **''[Seite anlegen]''** Knopf dr眉cken.
+
+===== Ergebnisse =====
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/showrev.txt
new file mode 100644
index 000000000..65f53c9c1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/showrev.txt
@@ -0,0 +1,2 @@
+**Dies ist eine alte Version des Dokuments!**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/stopwords.txt
new file mode 100644
index 000000000..0487a940a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/stopwords.txt
@@ -0,0 +1,125 @@
+# Die W枚rter dieser Liste werden bei der Indexierung ignoriert. Jedes Wort steht in einer neuen Zeile.
+# Beachten Sie beim Bearbeiten der Datei darauf, dass Sie UNIX-Zeilenumbr眉che verwenden (einfacher Zeilenumbruch).
+# W枚rter, die k眉rzer als 3 Buchstaben sind, brauchen Sie nicht in die Liste mit aufnehmen. Diese werden automatisch ignoriert.
+# Diese Liste basiert auf der folgenden: http://www.ranks.nl/stopwords/
+aber
+als
+auch
+auf
+aus
+bei
+bin
+bis
+bist
+dadurch
+daher
+darum
+das
+da脽
+dass
+dein
+deine
+dem
+den
+der
+des
+dessen
+deshalb
+die
+dies
+dieser
+dieses
+doch
+dort
+durch
+ein
+eine
+einem
+einen
+einer
+eines
+euer
+eure
+f眉r
+hatte
+hatten
+hattest
+hattet
+hier
+hinter
+ich
+ihr
+ihre
+in
+im
+ist
+jede
+jedem
+jeden
+jeder
+jedes
+jener
+jenes
+jetzt
+kann
+kannst
+k枚nnen
+k枚nnt
+machen
+mein
+meine
+mit
+mu脽
+mu脽t
+musst
+m眉ssen
+m眉脽t
+nach
+nachdem
+nein
+nicht
+nun
+oder
+seid
+sein
+seine
+sich
+sie
+sind
+soll
+sollen
+sollst
+sollt
+sonst
+soweit
+sowie
+und
+unser
+unsere
+unter
+vom
+von
+vor
+um
+wann
+warum
+was
+weiter
+weitere
+wenn
+wer
+werde
+werden
+werdet
+weshalb
+wie
+wieder
+wieso
+wir
+wird
+wirst
+woher
+wohin
+zum
+zur
+眉ber
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/subscribermail.txt
new file mode 100644
index 000000000..0d90967a2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/subscribermail.txt
@@ -0,0 +1,23 @@
+Hallo!
+
+Die Seite @PAGE@ im @TITLE@ Wiki wurde bearbeitet.
+Das sind die 脛nderungen:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+Datum : @DATE@
+Benutzer : @USER@
+脺bersicht: @SUMMARY@
+Alte Revision: @OLDPAGE@
+Neue Revision: @NEWPAGE@
+
+Um das Abonnement f眉r diese Seite aufzul枚sen, melde Sie sich im Wiki an
+@DOKUWIKIURL@, besuchen dann
+@NEWPAGE@
+und klicken auf die Taste '脛nderungen abbestellen'.
+
+--
+Diese Mail kommt vom DokuWiki auf
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/updateprofile.txt
new file mode 100644
index 000000000..f19dd13f6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/updateprofile.txt
@@ -0,0 +1,5 @@
+====== Benutzerprofil 盲ndern ======
+
+Nur die Felder, die Sie 盲ndern, werden aktualisiert. Alle anderen bleiben, wie sie sind. Ihren Benutzernamen k枚nnen Sie jedoch nicht 盲ndern.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/uploadmail.txt
new file mode 100644
index 000000000..757729804
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/uploadmail.txt
@@ -0,0 +1,14 @@
+Eine Datei wurde in Ihrem Wiki hochgeladen. Hier sind die Details:
+
+Datei : @MEDIA@
+Datum : @DATE@
+Browser : @BROWSER@
+IP-Adresse : @IPADDRESS@
+Hostname : @HOSTNAME@
+Gr枚脽e : @SIZE@
+MIME-Typ : @MIME@
+Benutzer : @USER@
+
+--
+Diese Mail kommt vom DokuWiki auf
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/wordblock.txt
new file mode 100644
index 000000000..14622ebc2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/de/wordblock.txt
@@ -0,0 +1,4 @@
+====== SPAM blockiert ======
+
+Ihre 脛nderungen wurden nicht gespeichert, da sie ein oder mehrere nicht erlaubte W枚rter enthielten. Falls Sie versucht haben sollten, das Wiki zu Spammen -- Schande 眉ber Sie! Wenn Sie glauben, dass der Eintrag zu Unrecht blockiert wurde, kontaktieren Sie bitte den Administrator des Wikis.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/admin.txt
new file mode 100644
index 000000000..49e6c657b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/admin.txt
@@ -0,0 +1,3 @@
+====== 螖喂伪蠂蔚委蟻喂蟽畏 ======
+
+螤伪蟻伪魏维蟿蠅 渭蟺慰蟻蔚委蟿蔚 谓伪 尾蟻蔚委蟿蔚 渭喂伪 位委蟽蟿伪 渭蔚 蟿喂蟼 未蠀谓伪蟿蠈蟿畏蟿蔚蟼 未喂伪蠂蔚委蟻喂蟽畏蟼 蟽蟿慰 DokuWiki
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/adminplugins.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/adminplugins.txt
new file mode 100644
index 000000000..ea00b959e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/adminplugins.txt
@@ -0,0 +1 @@
+===== 螤蟻蠈蟽胃蔚蟿蔚蟼 蟽蠀谓未蔚蠈渭蔚谓蔚蟼 蠀蟺慰渭慰谓维未蔚蟼 ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/backlinks.txt
new file mode 100644
index 000000000..572f85791
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/backlinks.txt
@@ -0,0 +1,3 @@
+====== 危蠉谓未蔚蟽渭慰喂 蟺蟻慰蟼 蟿畏谓 蟿蟻苇蠂慰蠀蟽伪 蟽蔚位委未伪 ======
+
+螣喂 蟺伪蟻伪魏维蟿蠅 蟽蔚位委未蔚蟼 蟺蔚蟻喂苇蠂慰蠀谓 蟽蠀谓未苇蟽渭慰蠀蟼 蟺蟻慰蟼 蟿畏谓 蟿蟻苇蠂慰蠀蟽伪 蟽蔚位委未伪. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/conflict.txt
new file mode 100644
index 000000000..27b80b397
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/conflict.txt
@@ -0,0 +1,5 @@
+====== 违蟺维蟻蠂蔚喂 渭委伪 谓蔚蠋蟿蔚蟻畏 苇魏未慰蟽畏 伪蠀蟿萎蟼 蟿畏蟼 蟽蔚位委未伪蟼 ======
+
+违蟺维蟻蠂蔚喂 渭委伪 谓蔚蠋蟿蔚蟻畏 苇魏未慰蟽畏 蟿畏蟼 蟽蔚位委未伪蟼 蟺慰蠀 蟿蟻o蟺慰蟺慰喂萎蟽伪蟿蔚. 螒蠀蟿蠈 蟽蠀渭尾伪委谓蔚喂 蔚维谓 魏维蟺慰喂慰蟼 维位位慰蟼 蠂蟻萎蟽蟿畏蟼 蟿蟻慰蟺慰蟺慰委畏蟽蔚 蟿畏谓 委未喂伪 蟽蔚位委未伪 蔚谓蠋 蟿畏谓 蟿蟻慰蟺慰蟺慰喂慰蠉蟽伪蟿蔚 魏伪喂 蔚蟽蔚委蟼.
+
+螘位苇纬尉蟿蔚 蟺蟻慰蟽蔚魏蟿喂魏维 蟿喂蟼 未喂伪蠁慰蟻苇蟼 蟺慰蠀 蟺伪蟻慰蠀蟽喂维味慰谓蟿伪喂 蟺伪蟻伪魏维蟿蠅 魏伪喂 苇蟺蔚喂蟿伪 伪蟺慰蠁伪蟽委蟽蟿蔚 蟺慰喂伪 苇魏未慰蟽畏 胃伪 魏蟻伪蟿萎蟽蔚蟿蔚. 螘维谓 蔚蟺喂位苇尉蔚蟿蔚 ''螒蟺慰胃萎魏蔚蠀蟽畏'', 畏 未喂魏萎 蟽伪蟼 苇魏未慰蟽畏 胃伪 伪蟺慰胃畏魏蔚蠀蟿蔚委. 螘维谓 蔚蟺喂位苇尉蔚蟿蔚 ''螒魏蠉蟻蠅蟽畏'', 畏 谓蔚蠋蟿蔚蟻畏 苇魏未慰蟽畏 胃伪 未喂伪蟿畏蟻畏胃蔚委 蠅蟼 蟿蟻苇蠂慰蠀蟽伪.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/denied.txt
new file mode 100644
index 000000000..71e9a04b8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/denied.txt
@@ -0,0 +1,5 @@
+====== 螠畏 蔚蟺喂蟿蟻蔚蟺蟿萎 蔚谓苇蟻纬蔚喂伪 ======
+
+危蠀纬纬谓蠋渭畏, 伪位位维 未蔚谓 苇蠂蔚蟿蔚 蔚蟺伪蟻魏萎 未喂魏伪喂蠋渭伪蟿伪 纬喂伪 蟿畏谓 蟽蠀纬魏蔚魏蟻喂渭苇谓畏 蔚谓苇蟻纬蔚喂伪.
+
+螠萎蟺蠅蟼 蟺伪蟻伪位蔚委蠄伪蟿蔚 谓伪 蟽蠀谓未蔚胃蔚委蟿蔚?
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/diff.txt
new file mode 100644
index 000000000..dde065b43
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/diff.txt
@@ -0,0 +1,3 @@
+====== 危蠉纬魏蟻喂蟽畏 蔚魏未蠈蟽蔚蠅谓 ======
+
+螘未蠋 尾位苇蟺蔚蟿蔚 蟿喂蟼 未喂伪蠁慰蟻苇蟼 渭蔚蟿伪尉蠉 蟿畏蟼 蔚蟺喂位蔚纬渭苇谓畏蟼 苇魏未慰蟽畏蟼 魏伪喂 蟿畏蟼 蟿蟻苇蠂慰蠀蟽伪蟼 苇魏未慰蟽畏蟼 蟿畏蟼 蟽蔚位委未伪蟼.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/draft.txt
new file mode 100644
index 000000000..3bb15037f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/draft.txt
@@ -0,0 +1,7 @@
+====== 螔蟻苇胃畏魏蔚 渭委伪 伪蠀蟿蠈渭伪蟿伪 伪蟺慰胃畏魏蔚蠀渭苇谓畏 蟽蔚位委未伪 ======
+
+螚 蟿蔚位蔚蠀蟿伪委伪 蟿蟻慰蟺慰蟺慰委畏蟽畏 伪蠀蟿萎蟼 蟿畏蟼 蟽蔚位委未伪蟼 未蔚谓 慰位慰魏位畏蟻蠋胃畏魏蔚 蔚蟺喂蟿蠀蠂蠋蟼. 螚 蔚蠁伪蟻渭慰纬萎 伪蟺慰胃萎魏蔚蠀蟽蔚 伪蠀蟿蠈渭伪蟿伪 渭委伪 蔚魏未慰蠂萎 蟿畏蟼 蟽蔚位委未伪蟼 蟿畏谓 蠋蟻伪 蟺慰蠀 蟿畏谓 蟿蟻慰蟺慰蟺慰喂慰蠉蟽伪蟿蔚 魏伪喂 渭蟺慰蟻蔚委蟿蔚 谓伪 蟿畏谓 蠂蟻畏蟽喂渭慰蟺慰喂萎蟽蔚蟿蔚 纬喂伪 谓伪 蟽蠀谓蔚蠂委蟽蔚蟿蔚 蟿畏谓 蔚蟻纬伪蟽委伪 蟽伪蟼. 螤伪蟻伪魏维蟿蠅 蠁伪委谓蔚蟿伪喂 伪蠀蟿萎 畏 蟺喂慰 蟺蟻蠈蟽蠁伪蟿畏 伪蠀蟿蠈渭伪蟿伪 伪蟺慰胃畏魏蔚蠀渭苇谓畏 蟽蔚位委未伪.
+
+螠蟺慰蟻蔚委蟿蔚 谓伪 //蔚蟺伪谓伪蠁苇蟻蔚蟿蔚// 伪蠀蟿萎 蟿畏谓 伪蠀蟿蠈渭伪蟿伪 伪蟺慰胃畏魏蔚蠀渭苇谓畏 蟽蔚位委未伪 蠅蟼 蟿蟻苇蠂慰蠀蟽伪, 谓伪 蟿畏谓 //未喂伪纬蟻维蠄蔚蟿蔚// 萎 谓伪 //伪魏蠀蟻蠋蟽蔚蟿蔚// 蟿畏 未喂伪未喂魏伪蟽委伪 蟿蟻慰蟺慰蟺慰委畏蟽畏蟼 蟿畏蟼 蟿蟻苇蠂慰蠀蟽伪蟼 蟽蔚位委未伪蟼.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/edit.txt
new file mode 100644
index 000000000..26b52f97a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/edit.txt
@@ -0,0 +1 @@
+韦蟻慰蟺慰蟺慰喂萎蟽蟿蔚 蟿畏谓 蟽蔚位委未伪 **渭蠈谓慰** 蔚维谓 渭蟺慰蟻蔚委蟿蔚 谓伪 蟿畏谓 **尾蔚位蟿喂蠋蟽蔚蟿蔚**. 螕喂伪 谓伪 魏维谓蔚蟿蔚 未慰魏喂渭苇蟼 渭蔚 伪蟽蠁维位蔚喂伪 萎 谓伪 蔚尉慰喂魏蔚喂蠅胃蔚委蟿蔚 渭蔚 蟿慰 蟺蔚蟻喂尾维位位慰谓 蠂蟻畏蟽喂渭慰蟺慰喂萎蟽蟿蔚 蟿慰 [[:playground:playground|playground]]. 螒蠁慰蠉 蟿蟻慰蟺慰蟺慰喂萎蟽蟿蔚 蟿畏谓 蟽蔚位委未伪 蔚蟺喂位苇尉蟿蔚 ''螒蟺慰胃萎魏蔚蠀蟽畏''. 螖蔚委蟿蔚 蟿喂蟼 [[:wiki:syntax|慰未畏纬委蔚蟼]] 纬喂伪 蟿畏谓 蟽蠅蟽蟿萎 蟽蠉谓蟿伪尉畏.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/editrev.txt
new file mode 100644
index 000000000..ac6bc5a3d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/editrev.txt
@@ -0,0 +1,2 @@
+**桅慰蟻蟿蠋蟽伪蟿蔚 渭喂伪 蟺伪位伪喂蠈蟿蔚蟻畏 苇魏未慰蟽畏 蟿畏蟼 蟽蔚位委未伪蟼!** 螘维谓 蟿畏谓 伪蟺慰胃畏魏蔚蠉蟽蔚蟿蔚, 胃伪 伪谓蟿喂魏伪蟿伪蟽蟿萎蟽蔚喂 蟿畏谓 蟿蟻苇蠂慰蠀蟽伪 苇魏未慰蟽畏.
+---- \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/index.txt
new file mode 100644
index 000000000..51f1fc600
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/index.txt
@@ -0,0 +1,3 @@
+====== 螝伪蟿维位慰纬慰蟼 ======
+
+螒蠀蟿蠈蟼 蔚委谓伪喂 苇谓伪蟼 魏伪蟿维位慰纬慰蟼 蠈位蠅谓 蟿蠅谓 未喂伪胃苇蟽喂渭蠅谓 蟽蔚位委未蠅谓 蟿伪尉喂谓慰渭畏渭苇谓蠅谓 魏伪蟿维 [[doku>namespaces|蠁伪魏苇位慰蠀蟼]].
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/install.html
new file mode 100644
index 000000000..89429d55b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/install.html
@@ -0,0 +1,25 @@
+<p>螒蠀蟿萎 畏 蟽蔚位委未伪 蟺蔚蟻喂苇蠂蔚喂 蟺位畏蟻慰蠁慰蟻委蔚蟼 蟺慰蠀 尾慰畏胃慰蠉谓 蟽蟿畏谓 伪蟻蠂喂魏萎 蔚纬魏伪蟿维蟽蟿伪蟽畏 魏伪喂
+蟻蠉胃渭喂蟽畏 蟿畏蟼 蔚蠁伪蟻渭慰纬萎蟼 <a href="http://dokuwiki.org">Dokuwiki</a>. 螤蔚蟻喂蟽蟽蠈蟿蔚蟻蔚蟼
+蟺位畏蟻慰蠁慰蟻委蔚蟼 蠀蟺维蟻蠂慰蠀谓 蟽蟿畏 <a href="http://dokuwiki.org/installer">蟽蔚位委未伪 蟿蔚魏渭畏蟻委蠅蟽畏蟼</a>
+蟿慰蠀 慰未畏纬慰蠉 蔚纬魏伪蟿维蟽蟿伪蟽畏蟼.</p>
+
+<p>螚 蔚蠁伪蟻渭慰纬萎 DokuWiki 蠂蟻畏蟽喂渭慰蟺慰喂蔚委 伪蟺位维 伪蟻蠂蔚委伪 纬喂伪 谓伪 伪蟺慰胃畏魏蔚蠉蔚喂 蟿喂蟼 蟽蔚位委未蔚蟼 wiki
+魏伪胃蠋蟼 魏伪喂 蟺位畏蟻慰蠁慰蟻委蔚蟼 蟺慰蠀 蟽蠂蔚蟿委味慰谓蟿伪喂 渭蔚 伪蠀蟿苇蟼 (蟺.蠂. 蔚喂魏蠈谓蔚蟼, 魏伪蟿伪位蠈纬慰蠀蟼 伪谓伪味萎蟿畏蟽畏蟼,
+蟺伪位伪喂蠈蟿蔚蟻蔚蟼 蔚魏未蠈蟽蔚喂蟼 蟽蔚位委未蠅谓, 魏位蟺). 螕喂伪 谓伪 位蔚喂蟿慰蠀蟻纬蔚委 蟽蠅蟽蟿维 畏 蔚蠁伪蟻渭慰纬萎 DokuWiki
+<strong>蟺蟻苇蟺蔚喂</strong> 谓伪 苇蠂蔚喂 未喂魏伪喂蠋渭伪蟿伪 蔚纬纬蟻伪蠁萎蟼 蟽蟿慰蠀蟼 蠁伪魏苇位慰蠀蟼 蟺慰蠀 蠁喂位慰尉蔚谓慰蠉谓
+伪蠀蟿维 蟿伪 伪蟻蠂蔚委伪. 螣 慰未畏纬蠈蟼 蔚纬魏伪蟿维蟽蟿伪蟽畏蟼 未蔚谓 苇蠂蔚喂 蟿畏谓 未蠀谓伪蟿蠈蟿畏蟿伪 谓伪 蟺伪蟻伪蠂蠅蟻萎蟽蔚喂 伪蠀蟿维 蟿伪
+未喂魏伪喂蠋渭伪蟿伪 蔚纬纬蟻伪蠁萎蟼 蟽蟿慰蠀蟼 蟽蠂蔚蟿喂魏慰蠉蟼 蠁伪魏苇位慰蠀蟼. 螣 魏伪谓慰谓喂魏蠈蟼 蟿蟻蠈蟺慰蟼 纬喂伪 谓伪 纬委谓蔚喂 伪蠀蟿蠈 蔚委谓伪喂
+蔚委蟿蔚 伪蟺蔚蠀胃蔚委伪蟼 蟽蔚 蟺蔚蟻喂尾维位位慰谓 纬蟻伪渭渭萎蟼 蔚谓蟿慰位蠋谓 萎, 蔚维谓 未蔚谓 苇蠂蔚蟿蔚 蟿苇蟿慰喂伪 蟺蟻蠈蟽尾伪蟽畏, 渭苇蟽蠅 FTP 萎
+蟿慰蠀 蟺委谓伪魏伪 蔚位苇纬蠂慰蠀 蟿慰蠀 蟺蔚蟻喂尾维位位慰谓蟿慰蟼 蠁喂位慰尉蔚谓委伪蟼 (蟺.蠂. cPanel).</p>
+
+<p>螣 慰未畏纬蠈蟼 蔚纬魏伪蟿维蟽蟿伪蟽畏蟼 胃伪 蟻蠀胃渭委蟽蔚喂 蟿畏谓 蔚蠁伪蟻渭慰纬萎 DokuWiki 蠋蟽蟿蔚 谓伪 蠂蟻畏蟽喂渭慰蟺慰喂蔚委
+<acronym title="access control list">ACL</acronym>, 渭蔚 蟿蟻蠈蟺慰 蠋蟽蟿蔚 慰 未喂伪蠂蔚喂蟻喂蟽蟿萎蟼 谓伪
+苇蠂蔚喂 未蠀谓伪蟿蠈蟿畏蟿伪 蔚喂蟽蠈未慰蠀 魏伪喂 蟺蟻蠈蟽尾伪蟽畏 蟽蟿慰 渭蔚谓慰蠉 未喂伪蠂蔚委蟻喂蟽畏蟼 蟿畏蟼 蔚蠁伪蟻渭慰纬萎蟼 纬喂伪 蔚纬魏伪蟿维蟽蟿伪蟽畏
+蔚蟺蔚魏蟿维蟽蔚蠅谓, 未喂伪蠂蔚委蟻喂蟽畏 蠂蟻畏蟽蟿蠋谓, 未喂伪蠂蔚委蟻喂蟽畏 未喂魏伪喂蠅渭维蟿蠅谓 蟺蟻蠈蟽尾伪蟽畏蟼 蟽蟿喂蟼 未喂维蠁慰蟻蔚蟼 蟽蔚位委未蔚蟼 魏伪喂
+伪位位伪纬萎 蟿蠅谓 蟻蠀胃渭委蟽蔚蠅谓. 螒蠀蟿蠈 未蔚谓 蔚委谓伪喂 伪蟺伪蟻伪委蟿畏蟿慰 纬喂伪 谓伪 位蔚喂蟿慰蠀蟻纬萎蟽蔚喂 畏 蔚蠁伪蟻渭慰纬萎, 伪位位维
+魏维谓蔚喂 蟿畏谓 未喂伪蠂蔚委蟻喂蟽萎 蟿畏蟼 蔚蠀魏慰位蠈蟿蔚蟻畏.</p>
+
+<p>螣喂 苇渭蟺蔚喂蟻慰喂 蠂蟻萎蟽蟿蔚蟼 魏伪喂 慰喂 蠂蟻萎蟽蟿蔚蟼 渭蔚 蔚喂未喂魏苇蟼 伪蟺伪喂蟿萎蟽蔚喂蟼 渭蟺慰蟻慰蠉谓 谓伪 蔚蟺喂蟽魏蔚蠁胃慰蠉谓
+蟿喂蟼 蟽蔚位委未蔚蟼 蟺慰蠀 蟺蔚蟻喂苇蠂慰蠀谓 位蔚蟺蟿慰渭蔚蟻蔚委蟼
+<a href="http://dokuwiki.org/install">慰未畏纬委蔚蟼 蔚纬魏伪蟿维蟽蟿伪蟽畏蟼</a>
+魏伪喂 <a href="http://dokuwiki.org/config">蟺位畏蟻慰蠁慰蟻委蔚蟼 纬喂伪 蟿喂蟼 蟻蠀胃渭委蟽蔚喂蟼</a>.</p>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/lang.php
new file mode 100644
index 000000000..7aee3161d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/lang.php
@@ -0,0 +1,243 @@
+<?php
+/**
+ * Greek language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Thanos Massias <tm@thriasio.gr>
+ * @author 螒胃伪谓维蟽喂慰蟼 螡蟿伪萎蟼 <homunculus@wana.gr>
+ * @author Konstantinos Koryllos <koryllos@gmail.com>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '鈥';
+$lang['btn_edit'] = '韦蟻慰蟺慰蟺慰委畏蟽畏 蟽蔚位委未伪蟼';
+$lang['btn_source'] = '螤蟻慰尾慰位萎 魏蠋未喂魏伪 蟽蔚位委未伪蟼';
+$lang['btn_show'] = '螤蟻慰尾慰位萎 蟽蔚位委未伪蟼';
+$lang['btn_create'] = '螖畏渭喂慰蠀蟻纬委伪 蟽蔚位委未伪蟼';
+$lang['btn_search'] = '螒谓伪味萎蟿畏蟽畏';
+$lang['btn_save'] = '螒蟺慰胃萎魏蔚蠀蟽畏';
+$lang['btn_preview'] = '螤蟻慰蔚蟺喂蟽魏蠈蟺畏蟽畏';
+$lang['btn_top'] = '螘蟺喂蟽蟿蟻慰蠁萎 蟽蟿畏谓 魏慰蟻蠀蠁萎 蟿畏蟼 蟽蔚位委未伪蟼';
+$lang['btn_newer'] = '<< 蟺位苇慰谓 蟺蟻蠈蟽蠁伪蟿蔚蟼';
+$lang['btn_older'] = '位喂纬蠈蟿蔚蟻慰 蟺蟻蠈蟽蠁伪蟿蔚蟼 >>';
+$lang['btn_revs'] = '螤伪位伪喂蠈蟿蔚蟻蔚蟼 蔚魏未蠈蟽蔚喂蟼 蟽蔚位委未伪蟼';
+$lang['btn_recent'] = '螤蟻蠈蟽蠁伪蟿蔚蟼 伪位位伪纬苇蟼 蟽蔚位委未蠅谓';
+$lang['btn_upload'] = '桅蠈蟻蟿蠅蟽畏';
+$lang['btn_cancel'] = '螒魏蠉蟻蠅蟽畏';
+$lang['btn_index'] = '螝伪蟿维位慰纬慰蟼';
+$lang['btn_secedit'] = '韦蟻慰蟺慰蟺慰委畏蟽畏';
+$lang['btn_login'] = '螘委蟽慰未慰蟼 蠂蟻萎蟽蟿畏';
+$lang['btn_logout'] = '螆尉慰未慰蟼 蠂蟻萎蟽蟿畏';
+$lang['btn_admin'] = '螖喂伪蠂蔚委蟻喂蟽畏';
+$lang['btn_update'] = '螘谓畏渭苇蟻蠅蟽畏';
+$lang['btn_delete'] = '危尾萎蟽喂渭慰';
+$lang['btn_back'] = '螤委蟽蠅';
+$lang['btn_backlink'] = '危蠉谓未蔚蟽渭慰喂 蟺蟻慰蟼 蟿畏谓 蟿蟻苇蠂慰蠀蟽伪 蟽蔚位委未伪';
+$lang['btn_backtomedia'] = '螘蟺喂蟽蟿蟻慰蠁萎 蟽蟿畏谓 蔚蟺喂位慰纬萎 伪蟻蠂蔚委蠅谓';
+$lang['btn_subscribe'] = '螘纬纬蟻伪蠁萎 蟽蔚 位萎蠄畏 蔚谓畏渭蔚蟻蠋蟽蔚蠅谓 蟽蔚位委未伪蟼';
+$lang['btn_unsubscribe'] = '螖喂伪纬蟻伪蠁萎 伪蟺蠈 位萎蠄畏 蔚谓畏渭蔚蟻蠋蟽蔚蠅谓 蟽蔚位委未伪蟼';
+$lang['btn_subscribens'] = '螘纬纬蟻伪蠁萎 蟽蔚 位萎蠄畏 蔚谓畏渭蔚蟻蠋蟽蔚蠅谓 蠁伪魏苇位慰蠀';
+$lang['btn_unsubscribens'] = '螖喂伪纬蟻伪蠁萎 伪蟺蠈 位萎蠄畏 蔚谓畏渭蔚蟻蠋蟽蔚蠅谓 蠁伪魏苇位慰蠀';
+$lang['btn_profile'] = '韦蟻慰蟺慰蟺慰委畏蟽畏 蟺蟻慰蠁委位';
+$lang['btn_reset'] = '螒魏蠉蟻蠅蟽畏';
+$lang['btn_resendpwd'] = '螒蟺慰蟽蟿慰位萎 谓苇慰蠀 魏蠅未喂魏慰蠉';
+$lang['btn_draft'] = '韦蟻慰蟺慰蟺慰委畏蟽畏 伪蠀蟿蠈渭伪蟿伪 伪蟺慰胃畏魏蔚蠀渭苇谓畏蟼 蟽蔚位委未伪蟼';
+$lang['btn_recover'] = '螘蟺伪谓伪蠁慰蟻维 伪蠀蟿蠈渭伪蟿伪 伪蟺慰胃畏魏蔚蠀渭苇谓畏蟼 蟽蔚位委未伪蟼';
+$lang['btn_draftdel'] = '螖喂伪纬蟻伪蠁萎 伪蠀蟿蠈渭伪蟿伪 伪蟺慰胃畏魏蔚蠀渭苇谓畏蟼 蟽蔚位委未伪蟼';
+$lang['btn_revert'] = '螒蟺慰魏伪蟿维蟽蟿伪蟽畏';
+$lang['loggedinas'] = '危蠀谓未蔚未蔚渭苇谓慰蟼 蠅蟼';
+$lang['user'] = '螌谓慰渭伪 蠂蟻萎蟽蟿畏';
+$lang['pass'] = '螝蠅未喂魏蠈蟼';
+$lang['newpass'] = '螡苇慰蟼 魏蠅未喂魏蠈蟼';
+$lang['oldpass'] = '螘蟺喂尾蔚尾伪委蠅蟽畏 蟿蟻苇蠂慰谓蟿慰蟼 魏蠅未喂魏慰蠉';
+$lang['passchk'] = '伪魏蠈渭畏 渭喂伪 蠁慰蟻维';
+$lang['remember'] = '螒蟺慰渭谓畏渭蠈谓蔚蠀蟽畏 蟽蟿慰喂蠂蔚委蠅谓 位慰纬伪蟻喂伪蟽渭慰蠉';
+$lang['fullname'] = '螣谓慰渭伪蟿蔚蟺蠋谓蠀渭慰';
+$lang['email'] = 'E-Mail';
+$lang['register'] = '螘纬纬蟻伪蠁萎';
+$lang['profile'] = '螤蟻慰蠁委位 蠂蟻萎蟽蟿畏';
+$lang['badlogin'] = '危蠀纬谓蠋渭畏, 蟿慰 蠈谓慰渭伪 蠂蟻萎蟽蟿畏 萎 慰 魏蠅未喂魏蠈蟼 萎蟿伪谓 位伪谓胃伪蟽渭苇谓慰.';
+$lang['minoredit'] = '螒蟽萎渭伪谓蟿蔚蟼 伪位位伪纬苇蟼';
+$lang['draftdate'] = '螒蠀蟿蠈渭伪蟿畏 伪蟺慰胃萎魏蔚蠀蟽畏 蟺蟻蠈蠂蔚喂蟻畏蟼 蟽蔚位委未伪蟼 蟽蟿喂蟼';
+$lang['nosecedit'] = '螚 蟽蔚位委未伪 蟿蟻慰蟺慰蟺慰喂萎胃畏魏蔚 蟽蟿慰 渭蔚蟿伪尉蠉 魏伪喂 蟿伪 蟽蟿慰喂蠂蔚委伪 蟿畏蟼 蔚谓蠈蟿畏蟿伪蟼 未蔚谓 萎蟿伪谓 蟽蠀纬蠂蟻慰谓喂蟽渭苇谓伪, 慰蟺蠈蟿蔚 蠁慰蟻蟿蠋胃畏魏蔚 畏 蟺位萎蟻畏蟼 蟽蔚位委未伪. ';
+$lang['regmissing'] = '螤蟻苇蟺蔚喂 谓伪 蟽蠀渭蟺位畏蟻蠋蟽蔚蟿蔚 蠈位伪 蟿伪 蟺蔚未委伪.';
+$lang['reguexists'] = '螒蠀蟿蠈蟼 慰 位慰纬伪蟻喂伪蟽渭蠈蟼 蠀蟺维蟻蠂蔚喂 萎未畏.';
+$lang['regsuccess'] = '螣 位慰纬伪蟻喂伪蟽渭蠈蟼 未畏渭喂慰蠀蟻纬萎胃畏魏蔚 魏伪喂 慰 魏蠅未喂魏蠈蟼 蔚蟽蟿维位蔚喂 渭蔚 e-mail.';
+$lang['regsuccess2'] = '螣 位慰纬伪蟻喂伪蟽渭蠈蟼 未畏渭喂慰蠀蟻纬萎胃畏魏蔚.';
+$lang['regmailfail'] = '桅伪委谓蔚蟿伪喂 谓伪 蠀蟺维蟻蠂蔚喂 蟺蟻蠈尾位畏渭伪 渭蔚 蟿畏谓 伪蟺慰蟽蟿慰位萎 蟿慰蠀 魏蠅未喂魏慰蠉 渭苇蟽蠅 e-mail. 螤伪蟻伪魏伪位慰蠉渭蔚 蔚蟺喂魏慰喂谓蠅谓萎蟽蟿蔚 渭伪味委 渭伪蟼!';
+$lang['regbadmail'] = '螚 未喂蔚蠉胃蠀谓蟽畏 e-mail 未蔚谓 未蔚委蠂谓蔚喂 苇纬魏蠀蟻畏 - 蔚维谓 蟺喂蟽蟿蔚蠉蔚蟿蔚 蠈蟿喂 伪蠀蟿蠈 蔚委谓伪喂 位维胃慰蟼, 蔚蟺喂魏慰喂谓蠅谓萎蟽蟿蔚 渭伪味委 渭伪蟼';
+$lang['regbadpass'] = '螣喂 未蠉慰 魏蠅未喂魏慰委 未蔚谓 蔚委谓伪喂 委未喂慰喂, 蟺蟻慰蟽蟺伪胃萎蟽蟿蔚 尉伪谓维.';
+$lang['regpwmail'] = '螣 魏蠅未喂魏蠈蟼 蟽伪蟼';
+$lang['reghere'] = '螖蔚谓 苇蠂蔚蟿蔚 位慰纬伪蟻喂伪蟽渭蠈 伪魏蠈渭畏? 螖畏渭喂慰蠀蟻纬萎蟽蟿蔚 苇谓伪谓';
+$lang['profna'] = '螒蠀蟿蠈 蟿慰 wiki 未蔚谓 蠀蟺慰蟽蟿畏蟻委味蔚喂 蟿畏谓 蟿蟻慰蟺慰蟺慰委畏蟽畏 蟺蟻慰蠁委位.';
+$lang['profnochange'] = '螝伪渭委伪 伪位位伪纬萎.';
+$lang['profnoempty'] = '螖蔚谓 蔚蟺喂蟿蟻苇蟺蔚蟿伪喂 魏蔚谓蠈 蠈谓慰渭伪 蠂蟻萎蟽蟿畏 畏 魏蔚谓萎 未喂蔚蠉胃蠀谓蟽畏 email.';
+$lang['profchanged'] = '韦慰 蟺蟻慰蠁委位 蠂蟻萎蟽蟿畏 蟿蟻慰蟺慰蟺慰喂萎胃畏魏蔚 蔚蟺喂蟿蠀蠂蠋蟼.';
+$lang['pwdforget'] = '螢蔚蠂维蟽伪蟿蔚 蟿慰 魏蠅未喂魏蠈 蟽伪蟼? 螒蟺慰魏蟿萎蟽蟿蔚 谓苇慰.';
+$lang['resendna'] = '螒蠀蟿蠈 蟿慰 wiki 未蔚谓 蠀蟺慰蟽蟿畏蟻委味蔚喂 蟿畏谓 蔚魏\' 谓苇慰蠀 伪蟺慰蟽蟿慰位萎 魏蠅未喂魏蠋谓.';
+$lang['resendpwd'] = '螒蟺慰蟽蟿慰位萎 谓苇蠅谓 魏蠅未喂魏蠋谓 纬喂伪 蟿慰谓 蠂蟻萎蟽蟿畏';
+$lang['resendpwdmissing'] = '螤蟻苇蟺蔚喂 谓伪 蟽蠀渭蟺位畏蟻蠋蟽蔚蟿蔚 蠈位伪 蟿伪 蟺蔚未委伪.';
+$lang['resendpwdnouser'] = '螒蠀蟿蠈蟼 慰 蠂蟻萎蟽蟿畏蟼 未蔚谓 蠀蟺维蟻蠂蔚喂 蟽蟿伪 伪蟻蠂蔚委伪 渭伪蟼.';
+$lang['resendpwdbadauth'] = '螒蠀蟿蠈蟼 慰 魏蠅未喂魏蠈蟼 蔚谓蔚蟻纬慰蟺慰委畏蟽畏蟼 未蔚谓 蔚委谓伪喂 苇纬魏蠀蟻慰蟼.';
+$lang['resendpwdconfirm'] = '螣 蟽蠉谓未蔚蟽渭慰蟼 蟺蟻慰蟼 蟿畏谓 蟽蔚位委未伪 蔚谓蔚蟻纬慰蟺慰委畏蟽畏蟼 蔚蟽蟿维位蔚喂 渭蔚 e-mail.';
+$lang['resendpwdsuccess'] = '螣 谓苇慰蟼 蟽伪蟼 魏蠅未喂魏蠈蟼 蔚蟽蟿维位畏 渭蔚 e-mail.';
+$lang['license'] = '螘魏蟿蠈蟼 蔚维谓 伪谓伪蠁苇蟻蔚蟿伪喂 未喂伪蠁慰蟻蔚蟿喂魏维, 蟿慰 蠀位喂魏蠈 伪蠀蟿慰蠉 蟿慰蠀 wiki 未喂伪蟿委胃蔚蟿伪喂 魏维蟿蠅 伪蟺蠈 蟿畏谓 伪魏蠈位慰蠀胃畏 维未蔚喂伪:';
+$lang['licenseok'] = '危畏渭蔚委蠅蟽畏: 韦蟻慰蟺慰蟺慰喂蠋谓蟿伪蟼 伪蠀蟿萎 蟿畏谓 蟽蔚位委未伪 伪蟺慰未苇蠂蔚蟽蟿蔚 蟿畏谓 未喂维胃蔚蟽畏 蟿慰蠀 蠀位喂魏慰蠉 蟽伪蟼 蟽蠉渭蠁蠅谓伪 渭蔚 蟿畏谓 伪魏蠈位慰蠀胃畏 维未蔚喂伪:';
+$lang['searchmedia'] = '螒谓伪味萎蟿畏蟽畏 伪蟻蠂蔚委慰蠀:';
+$lang['searchmedia_in'] = '螒谓伪味萎蟿畏蟽畏 蟽蔚 %s';
+$lang['txt_upload'] = '螘蟺喂位苇尉蟿蔚 伪蟻蠂蔚委慰 纬喂伪 蠁蠈蟻蟿蠅蟽畏';
+$lang['txt_filename'] = '螘蟺喂位苇尉蟿蔚 谓苇慰 蠈谓慰渭伪 伪蟻蠂蔚委慰蠀 (蟺蟻慰伪喂蟻蔚蟿喂魏蠈)';
+$lang['txt_overwrt'] = '螒谓蟿喂魏伪蟿维蟽蟿伪蟽畏 蠀蟺维蟻蠂慰谓蟿慰蟼 伪蟻蠂蔚委慰蠀';
+$lang['lockedby'] = '螤蟻慰蟽蠅蟻喂谓维 魏位蔚喂未蠅渭苇谓慰 伪蟺蠈';
+$lang['lockexpire'] = '韦慰 魏位蔚委未蠅渭伪 位萎纬蔚喂 蟽蟿喂蟼';
+$lang['willexpire'] = '韦慰 魏位蔚委未蠅渭维 蟽伪蟼 纬喂伪 蟿畏谓 蔚蟺蔚尉蔚蟻纬伪蟽委伪 伪蠀蟿萎蟼 蟿畏蟼 蟽蔚位委未伪蟼 胃伪 位萎尉蔚喂 蟽蔚 苇谓伪 位蔚蟺蟿蠈.\n 螕喂伪 谓伪 蟿慰 伪谓伪谓蔚蠋蟽蔚蟿蔚 蠂蟻畏蟽喂渭慰蟺慰喂萎蟽蟿蔚 蟿畏谓 蔚蟺喂位慰纬萎 螤蟻慰蔚蟺喂蟽魏蠈蟺畏蟽畏.';
+$lang['notsavedyet'] = '螣喂 渭畏 伪蟺慰胃畏魏蔚蠀渭苇谓蔚蟼 伪位位伪纬苇蟼 胃伪 蠂伪胃慰蠉谓.\n螛苇位蔚蟿蔚 谓伪 蟽蠀谓蔚蠂委蟽蔚蟿蔚?';
+$lang['rssfailed'] = '螘渭蠁伪谓委蟽蟿畏魏蔚 魏维蟺慰喂慰 蟽蠁维位渭伪 魏伪蟿维 蟿畏谓 伪谓维纬谓蠅蟽畏 伪蠀蟿慰蠉 蟿慰蠀 feed: ';
+$lang['nothingfound'] = '螖蔚谓 尾蟻苇胃畏魏伪谓 蟽蠂蔚蟿喂魏维 伪蟺慰蟿蔚位苇蟽渭伪蟿伪.';
+$lang['mediaselect'] = '螘蟺喂位慰纬萎 螒蟻蠂蔚委蠅谓';
+$lang['fileupload'] = '桅蠈蟻蟿蠅蟽畏 伪蟻蠂蔚委慰蠀';
+$lang['uploadsucc'] = '螘蟺喂蟿蠀蠂萎蟼 蠁蠈蟻蟿蠅蟽畏';
+$lang['uploadfail'] = '螚 渭蔚蟿伪蠁蠈蟻蟿蠅蟽畏 伪蟺苇蟿蠀蠂蔚. 螤喂胃伪谓蠈谓 伪蠀蟿蠈 谓伪 慰蠁蔚委位蔚蟿伪喂 蟽蟿喂蟼 蟻蠀胃渭委蟽蔚喂蟼 蟺蟻蠈蟽尾伪蟽畏蟼 蟿慰蠀 伪蟻蠂蔚委慰蠀.';
+$lang['uploadwrong'] = '螚 渭蔚蟿伪蠁蠈蟻蟿蠅蟽畏 未蔚谓 苇纬喂谓蔚 未蔚魏蟿萎. 螖蔚谓 蔚蟺喂蟿蟻苇蟺慰谓蟿伪喂 伪蟻蠂蔚委伪 伪蠀蟿慰蠉 蟿慰蠀 蟿蠉蟺慰蠀!';
+$lang['uploadexist'] = '韦慰 伪蟻蠂蔚委慰 萎未畏 蠀蟺维蟻蠂蔚喂. 螖蔚谓 苇纬喂谓蔚 魏伪渭委伪 伪位位伪纬萎.';
+$lang['uploadbadcontent'] = '韦慰 蟺蔚蟻喂蔚蠂蠈渭蔚谓慰 蟿慰蠀 伪蟻蠂蔚委慰蠀 未蔚谓 蟿伪喂蟻喂维味蔚喂 渭蔚 蟿畏谓 蔚蟺苇魏蟿伪蟽萎 蟿慰蠀.';
+$lang['uploadspam'] = '螚 渭蔚蟿伪蠁蠈蟻蟿蠅蟽畏 伪魏蠀蟻蠋胃畏魏蔚 伪蟺蠈 蟿慰 蠁委位蟿蟻慰 spam.';
+$lang['uploadxss'] = '螚 渭蔚蟿伪蠁蠈蟻蟿蠅蟽畏 伪魏蠀蟻蠋胃畏魏蔚 位蠈纬蠅 蟺喂胃伪谓慰蠉 蔚蟺喂魏委谓未蠀谓慰蠀 蟺蔚蟻喂蔚蠂慰渭苇谓慰蠀.';
+$lang['uploadsize'] = '韦慰 伪蟻蠂蔚委慰 萎蟿伪谓 蟺慰位蠉 渭蔚纬维位慰. (渭苇纬喂蟽蟿慰 %s)';
+$lang['deletesucc'] = '韦慰 伪蟻蠂蔚委慰 "%s" 未喂伪纬蟻维蠁畏魏蔚.';
+$lang['deletefail'] = '韦慰 伪蟻蠂蔚委慰 "%s" 未蔚谓 未喂伪纬蟻维蠁畏魏蔚. 螤喂胃伪谓蠈谓 伪蠀蟿蠈 谓伪 慰蠁蔚委位蔚蟿伪喂 蟽蟿喂蟼 蟻蠀胃渭委蟽蔚喂蟼 蟺蟻蠈蟽尾伪蟽畏蟼 蟿慰蠀 伪蟻蠂蔚委慰蠀.';
+$lang['mediainuse'] = '韦慰 伪蟻蠂蔚委慰 "%s" 未蔚谓 未喂伪纬蟻维蠁畏魏蔚 - 蔚委谓伪喂 伪魏蠈渭伪 蟽蔚 蠂蟻萎蟽畏.';
+$lang['namespaces'] = '桅维魏蔚位慰喂';
+$lang['mediafiles'] = '螖喂伪胃苇蟽喂渭伪 伪蟻蠂蔚委伪 蟽蔚';
+$lang['js']['searchmedia'] = '螒谓伪味萎蟿畏蟽畏 纬喂伪 伪蟻蠂蔚委伪';
+$lang['js']['keepopen'] = '韦慰 蟺伪蟻维胃蠀蟻慰 谓伪 渭畏谓 魏位蔚委谓蔚喂';
+$lang['js']['hidedetails'] = '螒蟺蠈魏蟻蠀蠄畏 位蔚蟺蟿慰渭蔚蟻蔚喂蠋谓';
+$lang['js']['nosmblinks'] = '螣喂 蟽蠉谓未蔚蟽渭慰喂 蟺蟻慰蟼 Windows shares 未慰蠀位蔚蠉慰蠀谓 渭蠈谓慰 蟽蟿慰谓 Microsoft Internet Explorer.
+螠蟺慰蟻蔚委蟿蔚 蟺维谓蟿伪 谓伪 魏维谓蔚蟿蔚 伪谓蟿喂纬蟻伪蠁萎 魏伪喂 蔚蟺喂魏蠈位位畏蟽畏 蟿慰蠀 蟽蠀谓未苇蟽渭慰蠀.';
+$lang['js']['linkwiz'] = '螒蠀蟿蠈渭伪蟿慰蟼 螣未畏纬蠈蟼 危蠀谓未苇蟽渭蠅谓';
+$lang['js']['linkto'] = '危蠉谓未蔚蟽畏 蟽蔚:';
+$lang['js']['del_confirm'] = '螡伪 未喂伪纬蟻伪蠁蔚委?';
+$lang['js']['mu_btn'] = '韦伪蠀蟿蠈蠂蟻慰谓畏 蠁蠈蟻蟿蠅蟽畏 蟺慰位位伪蟺位蠋谓 蠁伪魏苇位蠅谓';
+$lang['mediausage'] = '围蟻畏蟽喂渭慰蟺慰喂萎蟽蟿蔚 蟿畏谓 伪魏蠈位慰蠀胃畏 蟽蠉谓蟿伪尉畏 纬喂伪 谓伪 蟺伪蟻伪胃苇蟽蔚蟿蔚 伪蠀蟿蠈 蟿慰 伪蟻蠂蔚委慰:';
+$lang['mediaview'] = '螝伪谓慰谓喂魏萎 蟺蟻慰尾慰位萎 伪蟻蠂蔚委慰蠀';
+$lang['mediaroot'] = 'root';
+$lang['mediaupload'] = '桅慰蟻蟿蠋蟽蟿蔚 苇谓伪 伪蟻蠂蔚委慰 蟽蟿慰谓 蟿蟻苇蠂慰谓蟿伪 蠁维魏蔚位慰. 螕喂伪 未畏渭喂慰蠀蟻纬委伪 蠀蟺慰-蠁伪魏苇位蠅谓, 蟺蟻慰蟽胃苇蟽蟿蔚 蟿慰蠀蟼 蟺蟻喂谓 伪蟺蠈 蟿慰 蠈谓慰渭伪 蟿慰蠀 伪蟻蠂蔚委慰蠀, 蟽蟿慰 蟺蔚未委慰 "螒蟺慰胃萎魏蔚蠀蟽畏 蠅蟼", 蠂蟻畏蟽喂渭慰蟺慰喂蠋谓蟿伪蟼 维谓蠅-魏维蟿蠅 蟿蔚位蔚委蔚蟼 蠅蟼 未喂伪蠂蠅蟻喂蟽蟿喂魏维.';
+$lang['mediaextchange'] = '螚 蔚蟺苇魏蟿伪蟽畏 蟿慰蠀 伪蟻蠂蔚委慰蠀 蟿蟻慰蟺慰蟺慰喂萎胃畏魏蔚 伪蟺蠈 .%s 蟽蔚 .%s!';
+$lang['reference'] = '螒谓伪蠁慰蟻苇蟼 蟺蟻慰蟼';
+$lang['ref_inuse'] = '韦慰 伪蟻蠂蔚委慰 未蔚谓 渭蟺慰蟻蔚委 谓伪 未喂伪纬蟻伪蠁蔚委, 蔚蟺蔚喂未萎 蔚委谓伪喂 伪魏蠈渭畏 蟽蔚 蠂蟻萎蟽畏 伪蟺蠈 蟿喂蟼 伪魏蠈位慰蠀胃蔚蟼 蟽蔚位委未蔚蟼:';
+$lang['ref_hidden'] = '螠蔚蟻喂魏苇蟼 伪谓伪蠁慰蟻苇蟼 尾蟻委蟽魏慰谓蟿伪喂 蟽蔚 蟽蔚位委未蔚蟼 蟺慰蠀 未蔚谓 苇蠂蔚蟿蔚 未喂魏伪委蠅渭伪 谓伪 未喂伪尾维蟽蔚蟿蔚';
+$lang['hits'] = '螒谓伪蠁慰蟻苇蟼';
+$lang['quickhits'] = '危蠂蔚蟿喂魏苇蟼 蟽蔚位委未蔚蟼';
+$lang['toc'] = '螤委谓伪魏伪蟼 螤蔚蟻喂蔚蠂慰渭苇谓蠅谓';
+$lang['current'] = '蟿蟻苇蠂慰蠀蟽伪';
+$lang['yours'] = '螚 苇魏未慰蟽萎 蟽伪蟼';
+$lang['diff'] = '蟺蟻慰尾慰位萎 未喂伪蠁慰蟻蠋谓 渭蔚 蟿畏谓 蟿蟻苇蠂慰蠀蟽伪 苇魏未慰蟽畏';
+$lang['diff2'] = '螤蟻慰尾慰位萎 未喂伪蠁慰蟻蠋谓 渭蔚蟿伪尉蠉 蟿蠅谓 蔚蟺喂位蔚纬渭苇谓蠅谓 蔚魏未蠈蟽蔚蠅谓';
+$lang['line'] = '螕蟻伪渭渭萎';
+$lang['breadcrumb'] = '螜蟽蟿慰蟻喂魏蠈';
+$lang['youarehere'] = '螘委蟽蟿蔚 蔚未蠋';
+$lang['lastmod'] = '韦蔚位蔚蠀蟿伪委伪 蟿蟻慰蟺慰蟺慰委畏蟽畏';
+$lang['by'] = '伪蟺蠈';
+$lang['deleted'] = '未喂伪纬蟻维蠁畏魏蔚';
+$lang['created'] = '未畏渭喂慰蠀蟻纬萎胃畏魏蔚';
+$lang['restored'] = '蟺伪位伪喂蠈蟿蔚蟻畏 苇魏未慰蟽畏 蔚蟺伪谓伪蠁苇蟻胃畏魏蔚';
+$lang['external_edit'] = '蔚尉蠅蟿蔚蟻喂魏萎 蟿蟻慰蟺慰蟺慰委畏蟽畏';
+$lang['summary'] = '螘蟺蔚尉蔚蟻纬伪蟽委伪 蟽蠉谓慰蠄畏蟼';
+$lang['noflash'] = '韦慰 <a href="http://www.adobe.com/products/flashplayer/">Adobe Flash Plugin</a> 伪蟺伪喂蟿蔚委蟿伪喂 纬喂伪 蟿畏谓 蟺蟻慰尾慰位萎 伪蠀蟿慰蠉 蟿慰蠀 蟽蟿慰喂蠂蔚委慰蠀.';
+$lang['download'] = '螞萎蠄畏 螝蠋未喂魏伪';
+$lang['mail_newpage'] = '蟽蔚位委未伪 蟺蟻慰蟽蟿苇胃畏魏蔚:';
+$lang['mail_changed'] = '蟽蔚位委未伪 蟿蟻慰蟺慰蟺慰喂萎胃畏魏蔚:';
+$lang['mail_new_user'] = '谓苇慰蟼 蠂蟻萎蟽蟿畏蟼:';
+$lang['mail_upload'] = '伪蟻蠂蔚委慰 蠁慰蟻蟿蠋胃畏魏蔚:';
+$lang['qb_bold'] = '螆谓蟿慰谓慰 螝蔚委渭蔚谓慰';
+$lang['qb_italic'] = '螤位维纬喂慰 螝蔚委渭蔚谓慰';
+$lang['qb_underl'] = '违蟺慰纬蟻伪渭渭喂蟽渭苇谓慰 螝蔚委渭蔚谓慰';
+$lang['qb_code'] = '螝蔚委渭蔚谓慰 魏蠋未喂魏伪';
+$lang['qb_strike'] = '螖喂伪纬蟻伪渭渭喂蟽渭苇谓慰 螝蔚委渭蔚谓慰';
+$lang['qb_h1'] = '螝蔚蠁伪位委未伪 1慰蠀 螘蟺喂蟺苇未慰蠀';
+$lang['qb_h2'] = '螝蔚蠁伪位委未伪 2慰蠀 螘蟺喂蟺苇未慰蠀';
+$lang['qb_h3'] = '螝蔚蠁伪位委未伪 3慰蠀 螘蟺喂蟺苇未慰蠀';
+$lang['qb_h4'] = '螝蔚蠁伪位委未伪 4慰蠀 螘蟺喂蟺苇未慰蠀';
+$lang['qb_h5'] = '螝蔚蠁伪位委未伪 5慰蠀 螘蟺喂蟺苇未慰蠀';
+$lang['qb_h'] = '螝蔚蠁伪位委未伪';
+$lang['qb_hs'] = '螘蟺喂位慰纬萎 螝蔚蠁伪位委未伪蟼';
+$lang['qb_link'] = '螘蟽蠅蟿蔚蟻喂魏蠈蟼 危蠉谓未蔚蟽渭慰蟼';
+$lang['qb_extlink'] = '螘尉蠅蟿蔚蟻喂魏蠈蟼 危蠉谓未蔚蟽渭慰蟼';
+$lang['qb_hr'] = '螖喂伪蠂蠅蟻喂蟽蟿喂魏萎 螕蟻伪渭渭萎';
+$lang['qb_ol'] = '螒蟻喂胃渭畏渭苇谓畏 螞委蟽蟿伪';
+$lang['qb_ul'] = '螞委蟽蟿伪';
+$lang['qb_media'] = '螤蟻慰蟽胃萎魏畏 螒蟻蠂蔚委蠅谓';
+$lang['qb_sig'] = '螤蟻慰蟽胃萎魏畏 违蟺慰纬蟻伪蠁萎蟼';
+$lang['qb_smileys'] = 'Smileys';
+$lang['qb_chars'] = '螘喂未喂魏慰委 围伪蟻伪魏蟿萎蟻蔚蟼';
+$lang['admin_register'] = '螤蟻慰蟽胃萎魏畏 谓苇慰蠀 蠂蟻萎蟽蟿畏';
+$lang['metaedit'] = '韦蟻慰蟺慰蟺慰委畏蟽畏 metadata';
+$lang['metasaveerr'] = '螚 伪蟺慰胃萎魏蔚蠀蟽畏 蟿蠅谓 metadata 伪蟺苇蟿蠀蠂蔚';
+$lang['metasaveok'] = '螘蟺喂蟿蠀蠂萎蟼 伪蟺慰胃萎魏蔚蠀蟽畏 metadata';
+$lang['img_backto'] = '螘蟺喂蟽蟿蟻慰蠁萎 蟽蔚';
+$lang['img_title'] = '韦委蟿位慰蟼';
+$lang['img_caption'] = '螞蔚味维谓蟿伪';
+$lang['img_date'] = '螚渭蔚蟻慰渭畏谓委伪';
+$lang['img_fname'] = '螌谓慰渭伪 伪蟻蠂蔚委慰蠀';
+$lang['img_fsize'] = '螠苇纬蔚胃慰蟼';
+$lang['img_artist'] = '螝伪位位喂蟿苇蠂谓畏蟼';
+$lang['img_copyr'] = 'Copyright';
+$lang['img_format'] = 'Format';
+$lang['img_camera'] = 'Camera';
+$lang['img_keywords'] = '螞苇尉蔚喂蟼-魏位蔚喂未喂维';
+$lang['subscribe_success'] = '螤蟻慰蟽胃萎魏畏 %s 蟽蟿畏谓 位委蟽蟿伪 蟽蠀谓未蟻慰渭畏蟿蠋谓 %s';
+$lang['subscribe_error'] = '螒蟺慰蟿蠀蠂委伪 蟺蟻慰蟽胃萎魏畏蟼 %s 蟽蟿畏谓 位委蟽蟿伪 蟽蠀谓未蟻慰渭畏蟿蠋谓 %s';
+$lang['subscribe_noaddress'] = '螖蔚谓 苇蠂蔚蟿蔚 未畏位蠋蟽蔚喂 e-mail 未喂蔚蠉胃蠀谓蟽畏 - 未蔚谓 渭蟺慰蟻蔚委蟿蔚 谓伪 纬蟻伪蠁蟿蔚委蟿蔚 蟽蟿畏谓 位委蟽蟿伪 蟽蠀谓未蟻慰渭畏蟿蠋谓.';
+$lang['unsubscribe_success'] = '螖喂伪纬蟻伪蠁萎 %s 伪蟺蠈 蟿畏谓 位委蟽蟿伪 蟽蠀谓未蟻慰渭畏蟿蠋谓 %s';
+$lang['unsubscribe_error'] = '螒蟺慰蟿蠀蠂委伪 未喂伪纬蟻伪蠁萎蟼 %s 伪蟺蠈 蟿畏谓 位委蟽蟿伪 蟽蠀谓未蟻慰渭畏蟿蠋谓 %s';
+$lang['authmodfailed'] = '螝伪魏萎 蟻蠉胃渭喂蟽畏 位委蟽蟿伪蟼 蠂蟻畏蟽蟿蠋谓. 螤伪蟻伪魏伪位慰蠉渭蔚 蔚谓畏渭蔚蟻蠋蟽蟿蔚 蟿慰谓 未喂伪蠂蔚喂蟻喂蟽蟿萎 蟿慰蠀 wiki.';
+$lang['authtempfail'] = '螚 蔚委蟽慰未慰蟼 蠂蟻畏蟽蟿蠋谓 未蔚谓 位蔚喂蟿慰蠀蟻纬蔚委 伪蠀蟿萎 蟿畏谓 蟽蟿喂纬渭萎. 螘维谓 伪蠀蟿蠈 未喂伪蟻魏蔚委 纬喂伪 蟺慰位蠉 蠂蟻蠈谓慰, 蟺伪蟻伪魏伪位慰蠉渭蔚 蔚谓畏渭蔚蟻蠋蟽蟿蔚 蟿慰谓 未喂伪蠂蔚喂蟻喂蟽蟿萎 蟿慰蠀 wiki.';
+$lang['i_chooselang'] = '螘蟺喂位慰纬萎 纬位蠋蟽蟽伪蟼';
+$lang['i_installer'] = '螣未畏纬蠈蟼 蔚纬魏伪蟿维蟽蟿伪蟽畏蟼 DokuWiki';
+$lang['i_wikiname'] = '螣谓慰渭伪蟽委伪 wiki';
+$lang['i_enableacl'] = '螘谓蔚蟻纬慰蟺慰委畏蟽畏 螞委蟽蟿伪蟼 螖喂魏伪喂蠅渭维蟿蠅谓 螤蟻蠈蟽尾伪蟽畏蟼 - ACL (蟽蠀谓喂蟽蟿维蟿伪喂)';
+$lang['i_superuser'] = '螖喂伪蠂蔚喂蟻喂蟽蟿萎蟼';
+$lang['i_problems'] = '螣 慰未畏纬蠈蟼 蔚纬魏伪蟿维蟽蟿伪蟽畏蟼 蟽蠀谓维谓蟿畏蟽蔚 蟿伪 蟺蟻慰尾位萎渭伪蟿伪 蟺慰蠀 伪谓伪蠁苇蟻慰谓蟿伪喂 蟺伪蟻伪魏维蟿蠅. 螚 蔚纬魏伪蟿维蟽蟿伪蟽畏 未蔚谓 胃伪 慰位慰魏位畏蟻蠅胃蔚委 蔚蟺喂蟿蠀蠂蠋蟼 渭苇蠂蟻喂 谓伪 蔚蟺喂位蠀胃慰蠉谓 伪蠀蟿维 蟿伪 蟺蟻慰尾位萎渭伪蟿伪.';
+$lang['i_modified'] = '螕喂伪 位蠈纬慰蠀蟼 伪蟽蠁伪位蔚委伪蟼, 慰 慰未畏纬蠈蟼 蔚纬魏伪蟿维蟽蟿伪蟽畏蟼 位蔚喂蟿慰蠀蟻纬蔚委 渭蠈谓慰 渭蔚 谓苇蔚蟼 魏伪喂 渭畏 蟿蟻慰蟺慰蟺慰喂畏渭苇谓蔚蟼 蔚纬魏伪蟿伪蟽蟿维蟽蔚喂蟼 Dokuwiki.
+螤蟻苇蟺蔚喂 蔚委蟿蔚 谓伪 魏维谓蔚蟿蔚 谓苇伪 蔚纬魏伪蟿维蟽蟿伪蟽畏, 蠂蟻畏蟽喂渭慰蟺慰喂蠋谓蟿伪蟼 蟿慰 伪蟻蠂喂魏蠈 蟺伪魏苇蟿慰 蔚纬魏伪蟿维蟽蟿伪蟽畏蟼, 萎 谓伪 蟽蠀渭尾慰蠀位蔚蠀蟿蔚委蟿蔚 蟿喂蟼 <a href="http://dokuwiki.org/install">慰未畏纬委蔚蟼 蔚纬魏伪蟿维蟽蟿伪蟽畏蟼 蟿畏蟼 蔚蠁伪蟻渭慰纬萎蟼</a>.';
+$lang['i_funcna'] = '螚 位蔚喂蟿慰蠀蟻纬委伪 <code>%s</code> 蟿畏蟼 PHP 未蔚谓 蔚委谓伪喂 未喂伪胃苇蟽喂渭畏. 螤喂胃伪谓蠈谓 谓伪 蔚委谓伪喂 伪蟺蔚谓蔚蟻纬慰蟺慰喂畏渭苇谓畏 蟽蟿喂蟼 蟻蠀胃渭委蟽蔚喂蟼 苇谓伪蟻尉畏蟼 蟿畏蟼 PHP';
+$lang['i_phpver'] = '螚 苇魏未慰蟽畏 <code>%s</code> 蟿畏蟼 PHP 蟺慰蠀 苇蠂蔚蟿蔚 蔚委谓伪喂 蟺伪位伪喂蠈蟿蔚蟻畏 蟿畏蟼 伪蟺伪喂蟿慰蠉渭蔚谓畏蟼 <code>%s</code>. 螤蟻苇蟺蔚喂 谓伪 伪谓伪尾伪胃渭委蟽蔚蟿蔚 蟿畏谓 PHP.';
+$lang['i_permfail'] = '螣 蠁维魏蔚位慰蟼 <code>%s</code> 未蔚谓 蔚委谓伪喂 蔚纬纬蟻维蠄喂渭慰蟼 伪蟺蠈 蟿畏谓 蔚蠁伪蟻渭慰纬萎 DokuWiki. 螤蟻苇蟺蔚喂 谓伪 未喂慰蟻胃蠋蟽蔚蟿蔚 蟿伪 未喂魏伪喂蠋渭伪蟿伪 蟺蟻蠈蟽尾伪蟽畏蟼 伪蠀蟿慰蠉 蟿慰蠀 蠁伪魏苇位慰蠀!';
+$lang['i_confexists'] = '<code>%s</code> 蠀蟺维蟻蠂蔚喂 萎未畏';
+$lang['i_writeerr'] = '螖蔚谓 蔚委谓伪喂 未蠀谓伪蟿萎 畏 未畏渭喂慰蠀蟻纬委伪 蟿慰蠀 <code>%s</code>. 螤蟻苇蟺蔚喂 谓伪 未喂慰蟻胃蠋蟽蔚蟿蔚 蟿伪 未喂魏伪喂蠋渭伪蟿伪 蟺蟻蠈蟽尾伪蟽畏蟼 伪蠀蟿慰蠉 蟿慰蠀 蠁伪魏苇位慰蠀/伪蟻蠂蔚委慰蠀 魏伪喂 谓伪 未畏渭喂慰蠀蟻纬萎蟽蔚蟿蔚 蟿慰 伪蟻蠂蔚委慰 蠂蔚喂蟻慰魏委谓畏蟿伪!';
+$lang['i_badhash'] = '螠畏 伪谓伪纬谓蠅蟻委蟽喂渭慰 萎 蟿蟻慰蟺慰蟺慰喂畏渭苇谓慰 伪蟻蠂蔚委慰 dokuwiki.php (hash=<code>%s</code>)';
+$lang['i_badval'] = '<code>%s</code> - 位维胃慰蟼 萎 伪谓蠉蟺伪蟻魏蟿畏 蟿喂渭萎';
+$lang['i_success'] = '螚 蔚纬魏伪蟿维蟽蟿伪蟽畏 慰位慰魏位畏蟻蠋胃畏魏蔚 蔚蟺喂蟿蠀蠂蠋蟼. 螠蟺慰蟻蔚委蟿蔚 蟺位苇慰谓 谓伪 未喂伪纬蟻维蠄蔚蟿蔚 蟿慰 伪蟻蠂蔚委慰 install.php. 危蠀谓蔚蠂委蟽蟿蔚 蟽蟿慰 <a href="doku.php">谓苇慰 蟽伪蟼 DokuWiki</a>.';
+$lang['i_failure'] = '螘渭蠁伪谓委蟽蟿畏魏伪谓 魏维蟺慰喂伪 蟺蟻慰尾位萎渭伪蟿伪 蟽蟿畏 未喂伪未喂魏伪蟽委伪 伪谓伪谓苇蠅蟽畏蟼 蟿蠅谓 伪蟻蠂蔚委蠅谓 蟻蠀胃渭委蟽蔚蠅谓. 螤喂胃伪谓蠈谓 谓伪 蠂蟻蔚喂维味蔚蟿伪喂 谓伪 蟿伪 蟿蟻慰蟺慰蟺慰喂萎蟽蔚蟿蔚 蠂蔚喂蟻慰魏委谓畏蟿伪 蠋蟽蟿蔚 谓伪 渭蟺慰蟻蔚委蟿蔚 谓伪 蠂蟻畏蟽喂渭慰蟺慰喂萎蟽蔚蟿蔚 蟿慰 <a href="doku.php">谓苇慰 蟽伪蟼 DokuWiki</a>.';
+$lang['i_policy'] = '螒蟻蠂喂魏萎 蟺慰位喂蟿喂魏萎 螞委蟽蟿伪蟼 螖喂魏伪喂蠅渭维蟿蠅谓 螤蟻蠈蟽尾伪蟽畏蟼 - ACL';
+$lang['i_pol0'] = '螒谓慰喂蠂蟿蠈 Wiki (蠈位慰喂 渭蟺慰蟻慰蠉谓 谓伪 未喂伪尾维蟽慰蠀谓 萎 谓伪 未畏渭喂慰蠀蟻纬萎蟽慰蠀谓/蟿蟻慰蟺慰蟺慰喂萎蟽慰蠀谓 蟽蔚位委未蔚蟼 魏伪喂 谓伪 渭蔚蟿伪蠁慰蟻蟿蠋蟽慰蠀谓 伪蟻蠂蔚委伪)';
+$lang['i_pol1'] = '螖畏渭蠈蟽喂慰 Wiki (蠈位慰喂 渭蟺慰蟻慰蠉谓 谓伪 未喂伪尾维蟽慰蠀谓 蟽蔚位委未蔚蟼 伪位位维 渭蠈谓慰 慰喂 蔚纬纬蔚纬蟻伪渭渭苇谓慰喂 蠂蟻萎蟽蟿蔚蟼 渭蟺慰蟻慰蠉谓 谓伪 未畏渭喂慰蠀蟻纬萎蟽慰蠀谓/蟿蟻慰蟺慰蟺慰喂萎蟽慰蠀谓 蟽蔚位委未蔚蟼 魏伪喂 谓伪 渭蔚蟿伪蠁慰蟻蟿蠋蟽慰蠀谓 伪蟻蠂蔚委伪)';
+$lang['i_pol2'] = '螝位蔚喂蟽蟿蠈 Wiki (渭蠈谓慰 慰喂 蔚纬纬蔚纬蟻伪渭渭苇谓慰喂 蠂蟻萎蟽蟿蔚蟼 渭蟺慰蟻慰蠉谓 谓伪 未喂伪尾维蟽慰蠀谓 萎 谓伪 未畏渭喂慰蠀蟻纬萎蟽慰蠀谓/蟿蟻慰蟺慰蟺慰喂萎蟽慰蠀谓 蟽蔚位委未蔚蟼 魏伪喂 谓伪 渭蔚蟿伪蠁慰蟻蟿蠋蟽慰蠀谓 伪蟻蠂蔚委伪)';
+$lang['i_retry'] = '螡苇伪 蟺蟻慰蟽蟺维胃蔚喂伪';
+$lang['mu_intro'] = '螘未蠋 渭蟺慰蟻蔚委蟿蔚 谓伪 蠁慰蟻蟿蠋蟽蔚蟿蔚 蟿伪蠀蟿蠈蠂蟻慰谓伪 蟺慰位位伪蟺位维 伪蟻蠂蔚委伪. 螤伪蟿萎蟽蟿蔚 蟽蟿慰 魏慰蠀渭蟺委 蟺蟻慰蔚蟺喂蟽魏蠈蟺畏蟽畏蟼 纬喂伪 谓伪 蟿伪 蟺蟻慰蟽胃苇蟽蔚蟿蔚 蟽蟿畏 位委蟽蟿伪. 螤伪蟿萎蟽蟿蔚 蟽蟿慰 魏慰蠀渭蟺委 渭蔚蟿伪蠁蠈蟻蟿蠅蟽畏蟼 蠈蟿伪谓 苇蠂蔚蟿蔚 蟿蔚位蔚喂蠋蟽蔚喂.';
+$lang['mu_gridname'] = '螌谓慰渭伪 伪蟻蠂蔚委慰蠀';
+$lang['mu_gridsize'] = '螠苇纬蔚胃慰蟼';
+$lang['mu_gridstat'] = '螝伪蟿维蟽蟿伪蟽畏';
+$lang['mu_namespace'] = '桅维魏蔚位慰蟼';
+$lang['mu_browse'] = '螘蟺喂蟽魏蠈蟺畏蟽畏';
+$lang['mu_toobig'] = '蠀蟺蔚蟻尾慰位喂魏维 渭蔚纬维位慰';
+$lang['mu_ready'] = '苇蟿慰喂渭慰 纬喂伪 蠁蠈蟻蟿蠅蟽畏';
+$lang['mu_done'] = '慰位慰魏位畏蟻蠋胃畏魏蔚';
+$lang['mu_fail'] = '伪蟺苇蟿蠀蠂蔚';
+$lang['mu_authfail'] = '畏 蟽蠀谓蔚未蟻委伪 苇位畏尉蔚';
+$lang['mu_progress'] = '@PCT@% 蠁慰蟻蟿蠋胃畏魏蔚';
+$lang['mu_filetypes'] = '螘蟺喂蟿蟻蔚蟺蟿慰委 蟿蠉蟺慰喂 伪蟻蠂蔚委蠅谓';
+$lang['mu_info'] = '蟿伪 伪蟻蠂蔚委伪 伪谓苇尾畏魏伪谓.';
+$lang['mu_lasterr'] = '韦蔚位蔚蠀蟿伪委慰 蟽蠁维位渭伪:';
+$lang['recent_global'] = '螔位苇蟺蔚蟿蔚 蟿喂蟼 伪位位伪纬苇蟼 蔚谓蟿蠈蟼 蟿慰蠀 蠁伪魏苇位慰蠀 <b>%s</b>. 螠蟺慰蟻蔚委蟿蔚 蔚蟺委蟽畏蟼 谓伪 <a href="%s">未蔚委蟿蔚 蟿喂蟼 蟺蟻蠈蟽蠁伪蟿蔚蟼 伪位位伪纬苇蟼 蟽蔚 蠈位慰 蟿慰 wiki</a>.';
+$lang['years'] = '蟺蟻喂谓 伪蟺蠈 %d 蠂蟻蠈谓喂伪';
+$lang['months'] = '蟺蟻喂谓 伪蟺蠈 %d 渭萎谓蔚蟼';
+$lang['weeks'] = '蟺蟻喂谓 伪蟺蠈 %d 蔚尾未慰渭维未蔚蟼';
+$lang['days'] = '蟺蟻喂谓 伪蟺蠈 %d 畏渭苇蟻蔚蟼';
+$lang['hours'] = '蟺蟻喂谓 伪蟺蠈 %d 蠋蟻蔚蟼';
+$lang['minutes'] = '蟺蟻喂谓 伪蟺蠈 %d 位蔚蟺蟿维';
+$lang['seconds'] = '蟺蟻喂谓 伪蟺蠈 %d 未蔚蠀蟿蔚蟻蠈位蔚蟺蟿伪';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/locked.txt
new file mode 100644
index 000000000..d2f542c19
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/locked.txt
@@ -0,0 +1,4 @@
+====== 螝位蔚喂未蠅渭苇谓畏 蟽蔚位委未伪 ======
+
+螒蠀蟿萎 畏 蟽蔚位委未伪 蔚委谓伪喂 蟺蟻慰蟼 蟿慰 蟺伪蟻蠈谓 未蔚蟽渭蔚蠀渭苇谓畏 纬喂伪 蟿蟻慰蟺慰蟺慰委畏蟽畏 伪蟺蠈 维位位慰谓 蠂蟻萎蟽蟿畏. 螛伪 蟺蟻苇蟺蔚喂 谓伪 蟺蔚蟻喂渭苇谓蔚蟿蔚 渭苇蠂蟻喂 慰 蟽蠀纬魏蔚魏蟻喂渭苇谓慰蟼 蠂蟻萎蟽蟿畏蟼 谓伪 蟿蔚位蔚喂蠋蟽蔚喂 蟿畏谓 蟿蟻慰蟺慰蟺慰委畏蟽畏 萎 谓伪 蔚魏蟺谓蔚蠉蟽蔚喂 蟿慰 蠂蟻慰谓喂魏蠈 蠈蟻喂慰 纬喂伪 蟿慰 蟽蠂蔚蟿喂魏蠈 魏位蔚委未蠅渭伪.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/login.txt
new file mode 100644
index 000000000..3839b7279
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/login.txt
@@ -0,0 +1,3 @@
+====== 螘委蟽慰未慰蟼 蠂蟻萎蟽蟿畏 ======
+
+螒蠀蟿萎 蟿畏谓 蟽蟿喂纬渭萎 未蔚谓 苇蠂蔚蟿蔚 蟽蠀谓未蔚胃蔚委 蠅蟼 蠂蟻萎蟽蟿畏蟼! 螕喂伪 谓伪 蟽蠀谓未蔚胃蔚委蟿蔚, 蔚喂蟽维纬蔚蟿蔚 蟿伪 蟽蟿慰喂蠂蔚委伪 蟽伪蟼 蟽蟿畏谓 蟺伪蟻伪魏维蟿蠅 蠁蠈蟻渭伪. 螤蟻苇蟺蔚喂 谓伪 苇蠂蔚蟿蔚 蔚谓蔚蟻纬慰蟺慰喂萎蟽蔚喂 蟿伪 cookies 蟽蟿慰谓 蠁蠀位位慰渭蔚蟿蟻畏蟿萎 蟽伪蟼.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/mailtext.txt
new file mode 100644
index 000000000..a5059ca4d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/mailtext.txt
@@ -0,0 +1,17 @@
+螠委伪 蟽蔚位委未伪 蟺蟻慰蟽蟿苇胃畏魏蔚 萎 蟿蟻慰蟺慰蟺慰喂萎胃畏魏蔚 蟽蟿慰 DokuWiki 蟽伪蟼.
+螒蠀蟿维 蔚委谓伪喂 蟿伪 伪谓蟿委蟽蟿慰喂蠂伪 蟽蟿慰喂蠂蔚委伪:
+
+螚渭蔚蟻慰渭畏谓委伪 : @DATE@
+桅蠀位位慰渭蔚蟿蟻畏蟿萎蟼 : @BROWSER@
+IP-螖喂蔚蠉胃蠀谓蟽畏 : @IPADDRESS@
+螌谓慰渭伪 蠀蟺慰位慰纬喂蟽蟿萎: @HOSTNAME@
+螤伪位喂维 苇魏未慰蟽畏 : @OLDPAGE@
+螡苇伪 苇魏未慰蟽畏 : @NEWPAGE@
+危蠉谓慰蠄畏 : @SUMMARY@
+围蟻萎蟽蟿畏蟼 : @USER@
+
+@DIFF@
+
+--
+螒蠀蟿蠈 蟿慰 e-mail 未畏渭喂慰蠀蟻纬萎胃畏魏蔚 伪蠀蟿蠈渭伪蟿伪 伪蟺蠈 蟿畏谓 蔚蠁伪蟻渭慰纬萎 DokuWiki 蟽蟿畏谓 未喂蔚蠉胃蠀谓蟽畏
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/newpage.txt
new file mode 100644
index 000000000..e8d65d6e5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/newpage.txt
@@ -0,0 +1,3 @@
+====== 螒蠀蟿萎 畏 蟽蔚位委未伪 未蔚谓 蠀蟺维蟻蠂蔚喂 伪魏蠈渭畏 ======
+
+螚 蟽蔚位委未伪 蟺慰蠀 味畏蟿维蟿蔚 未蔚谓 蠀蟺维蟻蠂蔚喂 伪魏蠈渭畏. 螘维谓 蠈渭蠅蟼 苇蠂蔚蟿蔚 蔚蟺伪蟻魏萎 未喂魏伪喂蠋渭伪蟿伪, 渭蟺慰蟻蔚委蟿蔚 谓伪 蟿畏谓 未畏渭喂慰蠀蟻纬萎蟽蔚蟿蔚 蔚蟺喂位苇纬慰谓蟿伪蟼 ''螖畏渭喂慰蠀蟻纬委伪 蟽蔚位委未伪蟼''.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/norev.txt
new file mode 100644
index 000000000..9ce347948
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/norev.txt
@@ -0,0 +1,4 @@
+====== 螖蔚谓 蠀蟺维蟻蠂蔚喂 蟿苇蟿慰喂伪 苇魏未慰蟽畏 ======
+
+螚 苇魏未慰蟽畏 蟺慰蠀 伪谓伪味畏蟿萎蟽伪蟿蔚 未蔚谓 蠀蟺维蟻蠂蔚喂. 螘蟺喂位苇尉蟿蔚 ''螤伪位伪喂蠈蟿蔚蟻蔚蟼 蔚魏未蠈蟽蔚喂蟼 蟽蔚位委未伪蟼'' 纬喂伪 谓伪 未蔚委蟿蔚 蟿畏谓 位委蟽蟿伪 渭蔚 蟿喂蟼 蟺伪位伪喂蠈蟿蔚蟻蔚蟼 蔚魏未蠈蟽蔚喂蟼 蟿畏蟼 蟿蟻苇蠂慰蠀蟽伪蟼 蟽蔚位委未伪蟼.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/preview.txt
new file mode 100644
index 000000000..f6709a441
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/preview.txt
@@ -0,0 +1,4 @@
+====== 螤蟻慰蔚蟺喂蟽魏蠈蟺畏蟽畏 ======
+
+螒蠀蟿萎 蔚委谓伪喂 渭喂伪 蟺蟻慰蔚蟺喂蟽魏蠈蟺畏蟽畏 蟿慰蠀 蟺蠅蟼 胃伪 未蔚委蠂谓蔚喂 畏 蟽蔚位委未伪. 螛蠀渭畏胃蔚委蟿蔚: 螣喂 伪位位伪纬苇蟼 蟽伪蟼 **未蔚谓 苇蠂慰蠀谓 伪蟺慰胃畏魏蔚蠀胃蔚委** 伪魏蠈渭畏!
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/pwconfirm.txt
new file mode 100644
index 000000000..03f408819
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/pwconfirm.txt
@@ -0,0 +1,14 @@
+螕蔚喂伪 蟽伪蟼 @FULLNAME@!
+
+螝维蟺慰喂慰蟼 味萎蟿畏蟽蔚 蟿畏 未畏渭喂慰蠀蟻纬委伪 谓苇慰蠀 魏蠅未喂魏慰蠉 纬喂伪 蟿慰谓 位慰纬伪蟻喂伪蟽渭蠈 @TITLE@
+蟺慰蠀 未喂伪蟿畏蟻蔚委蟿蔚 蟽蟿慰 @DOKUWIKIURL@
+
+螘维谓 未蔚谓 味畏蟿萎蟽伪蟿蔚 蔚蟽蔚委蟼 蟿畏谓 未畏渭喂慰蠀蟻纬委伪 谓苇慰蠀 魏蠅未喂魏慰蠉 伪蟺位维 伪纬谓慰萎蟽蟿蔚 伪蠀蟿蠈 蟿慰 e-mail.
+
+螘维谓 蠈谓蟿蠅蟼 蔚蟽蔚委蟼 味畏蟿萎蟽伪蟿蔚 蟿畏谓 未畏渭喂慰蠀蟻纬委伪 谓苇慰蠀 魏蠅未喂魏慰蠉, 伪魏慰位慰蠀胃萎蟽蟿蔚 蟿慰谓 蟺伪蟻伪魏维蟿蠅 蟽蠉谓未蔚蟽渭慰 纬喂伪 谓伪 蟿慰 蔚蟺喂尾蔚尾伪喂蠋蟽蔚蟿蔚.
+
+@CONFIRM@
+
+--
+螒蠀蟿蠈 蟿慰 e-mail 未畏渭喂慰蠀蟻纬萎胃畏魏蔚 伪蠀蟿蠈渭伪蟿伪 伪蟺蠈 蟿畏谓 蔚蠁伪蟻渭慰纬萎 DokuWiki 蟽蟿畏谓 未喂蔚蠉胃蠀谓蟽畏
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/read.txt
new file mode 100644
index 000000000..2d43c28fc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/read.txt
@@ -0,0 +1 @@
+螠蟺慰蟻蔚委蟿蔚 渭蠈谓慰 谓伪 未喂伪尾维蟽蔚蟿蔚 伪蠀蟿萎 蟿畏谓 蟽蔚位委未伪 魏伪喂 蠈蠂喂 谓伪 蟿畏谓 蟿蟻慰蟺慰蟺慰喂萎蟽蔚蟿蔚. 螘维谓 蟺喂蟽蟿蔚蠉蔚蟿蔚 蠈蟿喂 伪蠀蟿蠈 未蔚谓 蔚委谓伪喂 蟽蠅蟽蟿蠈, 伪蟺蔚蠀胃蠀谓胃蔚委蟿蔚 蟽蟿慰谓 未喂伪蠂蔚喂蟻喂蟽蟿萎 蟿畏蟼 蔚蠁伪蟻渭慰纬萎蟼.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/recent.txt
new file mode 100644
index 000000000..cc8051581
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/recent.txt
@@ -0,0 +1,3 @@
+====== 螤蟻蠈蟽蠁伪蟿蔚蟼 伪位位伪纬苇蟼 蟽蔚位委未蠅谓 ======
+
+螣喂 蟺伪蟻伪魏维蟿蠅 蟽蔚位委未蔚蟼 蟿蟻慰蟺慰蟺慰喂萎胃畏魏伪谓 蟺蟻蠈蟽蠁伪蟿伪:
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/register.txt
new file mode 100644
index 000000000..15d64cba3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/register.txt
@@ -0,0 +1,3 @@
+====== 螘纬纬蟻伪蠁萎 谓苇慰蠀 蠂蟻萎蟽蟿畏 ======
+
+危蠀渭蟺位畏蟻蠋蟽蟿蔚 蠈位伪 蟿伪 蟺伪蟻伪魏维蟿蠅 蟺蔚未委伪 纬喂伪 谓伪 未畏渭喂慰蠀蟻纬萎蟽蔚蟿蔚 苇谓伪 谓苇慰 位慰纬伪蟻喂伪蟽渭蠈 蟽蔚 伪蠀蟿蠈 蟿慰 wiki. 螤蟻苇蟺蔚喂 谓伪 未蠋蟽蔚蟿蔚 渭喂伪 **蠀蟺伪蟻魏蟿萎 e-mail 未喂蔚蠉胃蠀谓蟽畏** - 慰 魏蠅未喂魏蠈蟼 蟽伪蟼 胃伪 蟽伪蟼 伪蟺慰蟽蟿伪位蔚委 蟽蔚 伪蠀蟿萎谓. 韦慰 蠈谓慰渭伪 蠂蟻萎蟽蟿畏 胃伪 蟺蟻苇蟺蔚喂 谓伪 蟺位畏蟻蔚委 蟿喂蟼 委未喂蔚蟼 伪蟺伪喂蟿萎蟽蔚喂蟼 慰谓蠈渭伪蟿慰蟼 蟺慰蠀 喂蟽蠂蠉慰蠀谓 魏伪喂 纬喂伪 蟿慰蠀蟼 [[doku>pagename|蠁伪魏苇位慰蠀蟼]].
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/registermail.txt
new file mode 100644
index 000000000..5d516ee31
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/registermail.txt
@@ -0,0 +1,14 @@
+螆谓伪蟼 谓苇慰蟼 蠂蟻萎蟽蟿畏蟼 蔚纬纬蟻维蠁畏魏蔚. 螒蠀蟿苇蟼 蔚委谓伪喂 慰喂 位蔚蟺蟿慰渭苇蟻蔚喂蔚蟼:
+
+围蟻萎蟽蟿畏蟼 : @NEWUSER@
+螌谓慰渭伪 : @NEWNAME@
+e-mail : @NEWEMAIL@
+
+螚渭蔚蟻慰渭畏谓委伪 : @DATE@
+桅蠀位位慰渭蔚蟿蟻畏蟿萎蟼 : @BROWSER@
+IP-螖喂蔚蠉胃蠀谓蟽畏 : @IPADDRESS@
+螌谓慰渭伪 蠀蟺慰位慰纬喂蟽蟿萎: @HOSTNAME@
+
+--
+螒蠀蟿蠈 蟿慰 e-mail 未畏渭喂慰蠀蟻纬萎胃畏魏蔚 伪蠀蟿蠈渭伪蟿伪 伪蟺蠈 蟿畏谓 蔚蠁伪蟻渭慰纬萎 DokuWiki 蟽蟿畏谓 未喂蔚蠉胃蠀谓蟽畏
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/resendpwd.txt
new file mode 100644
index 000000000..2b91ed017
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/resendpwd.txt
@@ -0,0 +1,4 @@
+====== 螒蟺慰蟽蟿慰位萎 谓苇慰蠀 魏蠅未喂魏慰蠉 ======
+
+危蠀渭蟺位畏蟻蠋蟽蟿蔚 蠈位伪 蟿伪 蟺伪蟻伪魏维蟿蠅 蟺蔚未委伪 纬喂伪 谓伪 位维尾蔚蟿蔚 苇谓伪 谓苇慰 魏蠅未喂魏蠈 纬喂伪 蟿慰谓 位慰纬伪蟻喂伪蟽渭蠈 蟽伪蟼 蟽蔚 伪蠀蟿蠈 蟿慰 wiki. 螣 谓苇慰蟼 魏蠅未喂魏蠈蟼 蟽伪蟼 胃伪 蟽蟿伪位蔚委 蟽蟿畏谓 e-mail 未喂蔚蠉胃蠀谓蟽畏 蟺慰蠀 苇蠂蔚蟿蔚 萎未畏 未畏位蠋蟽蔚喂. 韦慰 蠈谓慰渭伪 蟺蟻苇蟺蔚喂 谓伪 蔚委谓伪喂 伪蠀蟿蠈 蟺慰蠀 喂蟽蠂蠉蔚喂 纬喂伪 蟿慰谓 位慰纬伪蟻喂伪蟽渭蠈 蟽伪蟼 蟽蔚 伪蠀蟿蠈 蟿慰 wiki.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/revisions.txt
new file mode 100644
index 000000000..7689c3b2b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/revisions.txt
@@ -0,0 +1,3 @@
+====== 螤伪位伪喂蠈蟿蔚蟻蔚蟼 蔚魏未蠈蟽蔚喂蟼 蟽蔚位委未伪蟼 ======
+
+螣喂 蟺伪蟻伪魏维蟿蠅 蔚委谓伪喂 蟺伪位伪喂蠈蟿蔚蟻蔚蟼 蔚魏未蠈蟽蔚喂蟼 蟿畏蟼 蟿蟻苇蠂慰蠀蟽伪蟼 蟽蔚位委未伪蟼. 螘维谓 胃苇位蔚蟿蔚 谓伪 伪谓蟿喂魏伪蟿伪蟽蟿萎蟽蔚蟿蔚 蟿畏谓 蟿蟻苇蠂慰蠀蟽伪 蟽蔚位委未伪 渭蔚 魏维蟺慰喂伪 伪蟺蠈 蟿喂蟼 蟺伪位伪喂蠈蟿蔚蟻蔚蟼 蔚魏未蠈蟽蔚喂蟼 蟿畏蟼, 蔚蟺喂位苇尉蟿蔚 蟿畏谓 蟽蠂蔚蟿喂魏萎 苇魏未慰蟽畏, 蔚蟺喂位苇尉蟿蔚 ''韦蟻慰蟺慰蟺慰委畏蟽畏 蟽蔚位委未伪蟼'', 魏维谓蔚蟿蔚 蟿蠀蠂蠈谓 伪位位伪纬苇蟼 魏伪喂 伪蟺慰胃畏魏蔚蠉蟽蟿蔚 蟿畏谓.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/searchpage.txt
new file mode 100644
index 000000000..87f396292
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/searchpage.txt
@@ -0,0 +1,5 @@
+====== 螒谓伪味萎蟿畏蟽畏 ======
+
+韦伪 伪蟺慰蟿蔚位苇蟽渭伪蟿伪 蟿畏蟼 伪谓伪味萎蟿畏蟽萎蟼 蟽伪蟼 伪魏慰位慰蠀胃慰蠉谓.
+
+===== 螒蟺慰蟿蔚位苇蟽渭伪蟿伪 ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/showrev.txt
new file mode 100644
index 000000000..212245420
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/showrev.txt
@@ -0,0 +1,2 @@
+**螒蠀蟿萎 蔚委谓伪喂 渭喂伪 蟺伪位伪喂蠈蟿蔚蟻畏 苇魏未慰蟽畏 蟿畏蟼 蟽蔚位委未伪蟼!**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/stopwords.txt
new file mode 100644
index 000000000..bc6eb48ae
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/stopwords.txt
@@ -0,0 +1,29 @@
+# This is a list of words the indexer ignores, one word per line
+# When you edit this file be sure to use UNIX line endings (single newline)
+# No need to include words shorter than 3 chars - these are ignored anyway
+# This list is based upon the ones found at http://www.ranks.nl/stopwords/
+about
+are
+and
+you
+your
+them
+their
+com
+for
+from
+into
+how
+that
+the
+this
+was
+what
+when
+where
+who
+will
+with
+und
+the
+www
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/subscribermail.txt
new file mode 100644
index 000000000..2963ef348
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/subscribermail.txt
@@ -0,0 +1,24 @@
+螕蔚喂a 蟽伪蟼!
+
+螚 蟽蔚位委未伪 @PAGE@ 蟽蟿慰 wiki @TITLE@ 蟿蟻慰蟺慰蟺慰喂萎胃畏魏蔚.
+螒蠀蟿苇蟼 蔚委谓伪喂 慰喂 未喂伪蠁慰蟻苇蟼 蟽蔚 蟽蠂苇蟽畏 渭蔚 蟿畏谓 蟺蟻慰畏纬慰蠉渭蔚谓畏 苇魏未慰蟽畏:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+螚渭蔚蟻慰渭畏谓委伪: @DATE@
+围蟻萎蟽蟿畏蟼: @USER@
+螤蔚蟻委位畏蠄畏 蟿蟻慰蟺慰蟺慰委畏蟽畏蟼: @SUMMARY@
+螤伪位喂维 苇魏未慰蟽畏: @OLDPAGE@
+螡苇伪 苇魏未慰蟽畏: @NEWPAGE@
+
+螕喂伪 谓伪 蟺维蠄蔚蟿蔚 谓伪 位伪渭尾维谓蔚蟿蔚 蟿苇蟿慰喂伪 蔚谓畏渭蔚蟻蠅蟿喂魏维 e-mails,
+蔚蟺喂蟽魏蔚蠁蟿蔚委蟿蔚 蟿慰 wiki 蟽蟿慰
+@DOKUWIKIURL@ 未蔚委蟿蔚 蟿畏谓 蟽蔚位委未伪
+@NEWPAGE@
+魏伪喂 蔚蟺喂位苇尉蟿蔚 '螖喂伪纬蟻伪蠁萎 伪蟺蠈 位萎蠄畏 蔚谓畏渭蔚蟻蠋蟽蔚蠅谓'.
+
+--
+螒蠀蟿蠈 蟿慰 e-mail 未畏渭喂慰蠀蟻纬萎胃畏魏蔚 伪蠀蟿蠈渭伪蟿伪 伪蟺蠈 蟿畏谓 蔚蠁伪蟻渭慰纬萎 DokuWiki 蟽蟿畏谓 未喂蔚蠉胃蠀谓蟽畏
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/updateprofile.txt
new file mode 100644
index 000000000..ccb9596b6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/updateprofile.txt
@@ -0,0 +1,3 @@
+====== 韦蟻慰蟺慰蟺慰委畏蟽畏 蟺蟻慰蠁委位 ======
+
+韦蟻慰蟺慰蟺慰喂萎蟽蟿蔚 **渭蠈谓慰** 蟿伪 蟺蔚未委伪 蟺慰蠀 胃苇位蔚蟿蔚 谓伪 伪位位维尉蔚蟿蔚. 螖蔚谓 渭蟺慰蟻蔚委蟿蔚 谓伪 伪位位维尉蔚蟿蔚 蟿慰 蟺蔚未委慰 ''螌谓慰渭伪''.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/uploadmail.txt
new file mode 100644
index 000000000..52d2f1f53
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/uploadmail.txt
@@ -0,0 +1,15 @@
+螆谓伪 伪蟻蠂蔚委慰 蠁慰蟻蟿蠋胃畏魏蔚 蟽蟿慰 DokuWiki 蟽伪蟼.
+螒蠀蟿维 蔚委谓伪喂 蟿伪 伪谓蟿委蟽蟿慰喂蠂伪 蟽蟿慰喂蠂蔚委伪:
+
+螒蟻蠂蔚委慰 : @MEDIA@
+螚渭蔚蟻慰渭畏谓委伪 : @DATE@
+桅蠀位位慰渭蔚蟿蟻畏蟿萎蟼 : @BROWSER@
+IP-螖喂蔚蠉胃蠀谓蟽畏 : @IPADDRESS@
+螌谓慰渭伪 蠀蟺慰位慰纬喂蟽蟿萎: @HOSTNAME@
+螠苇纬蔚胃慰蟼 : @SIZE@
+MIME Type : @MIME@
+围蟻萎蟽蟿畏蟼 : @USER@
+
+--
+螒蠀蟿蠈 蟿慰 e-mail 未畏渭喂慰蠀蟻纬萎胃畏魏蔚 伪蠀蟿蠈渭伪蟿伪 伪蟺蠈 蟿畏谓 蔚蠁伪蟻渭慰纬萎 DokuWiki 蟽蟿畏谓 未喂蔚蠉胃蠀谓蟽畏
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/wordblock.txt
new file mode 100644
index 000000000..b54e8ef77
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/el/wordblock.txt
@@ -0,0 +1,4 @@
+====== 螘谓蟿慰蟺委蟽蟿畏魏蔚 SPAM ======
+
+螣喂 伪位位伪纬苇蟼 蟽伪蟼 **未蔚谓** 伪蟺慰胃畏魏蔚蠉蟿畏魏伪谓 蔚蟺蔚喂未萎 尾蟻苇胃畏魏蔚 蟿慰蠀位维蠂喂蟽蟿慰谓 渭委伪 渭畏 伪蟺慰未蔚魏蟿萎 位苇尉畏. 螘维谓 蟺蟻慰蟽蟺伪胃萎蟽伪蟿蔚 谓伪 蟺蟻慰蟽胃苇蟽蔚蟿蔚 spam 蟽蔚 伪蠀蟿蠈 蟿慰 wiki -- 魏伪魏蠋蟼! 螘维谓 蟺喂蟽蟿蔚蠉蔚蟿蔚 蠈蟿喂 伪蠀蟿蠈 未蔚谓 蔚委谓伪喂 蟽蠅蟽蟿蠈, 伪蟺蔚蠀胃蠀谓胃蔚委蟿蔚 蟽蟿慰谓 未喂伪蠂蔚喂蟻喂蟽蟿萎 蟿畏蟼 蔚蠁伪蟻渭慰纬萎蟼.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/admin.txt
new file mode 100644
index 000000000..cfd21b217
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/admin.txt
@@ -0,0 +1,4 @@
+====== Administration ======
+
+Below you can find a list of administrative tasks available in DokuWiki.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/adminplugins.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/adminplugins.txt
new file mode 100644
index 000000000..3ec46cf4e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/adminplugins.txt
@@ -0,0 +1,2 @@
+===== Additional Plugins =====
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/backlinks.txt
new file mode 100644
index 000000000..5b40b84ea
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/backlinks.txt
@@ -0,0 +1,4 @@
+====== Backlinks ======
+
+This is a list of pages that seem to link back to the current page.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/conflict.txt
new file mode 100644
index 000000000..624f17b21
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/conflict.txt
@@ -0,0 +1,6 @@
+====== A newer version exists ======
+
+A newer version of the document you edited exists. This happens when another user changed the document while you were editing it.
+
+Examine the differences shown below thoroughly, then decide which version to keep. If you choose ''save'', your version will be saved. Hit ''cancel'' to keep the current version.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/denied.txt
new file mode 100644
index 000000000..3ac72820c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/denied.txt
@@ -0,0 +1,4 @@
+====== Permission Denied ======
+
+Sorry, you don't have enough rights to continue. Perhaps you forgot to login?
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/diff.txt
new file mode 100644
index 000000000..934534d42
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/diff.txt
@@ -0,0 +1,4 @@
+====== Differences ======
+
+This shows you the differences between two versions of the page.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/draft.txt
new file mode 100644
index 000000000..e84d34a5b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/draft.txt
@@ -0,0 +1,6 @@
+====== Draft file found ======
+
+Your last edit session on this page was not completed correctly. DokuWiki automatically saved a draft during your work which you may now use to continue your editing. Below you can see the data that was saved from your last session.
+
+Please decide if you want to //recover// your lost edit session, //delete// the autosaved draft or //cancel// the editing process.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/edit.txt
new file mode 100644
index 000000000..48c9c296d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/edit.txt
@@ -0,0 +1,2 @@
+Edit the page and hit ''Save''. See [[wiki:syntax]] for Wiki syntax. Please edit the page only if you can **improve** it. If you want to test some things, learn to make your first steps on the [[playground:playground|playground]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/editrev.txt
new file mode 100644
index 000000000..638216b07
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/editrev.txt
@@ -0,0 +1,2 @@
+**You've loaded an old revision of the document!** If you save it, you will create a new version with this data.
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/index.txt
new file mode 100644
index 000000000..5adbfd898
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/index.txt
@@ -0,0 +1,4 @@
+====== Index ======
+
+This is an index over all available pages ordered by [[doku>namespaces|namespaces]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/install.html
new file mode 100644
index 000000000..0f94839a6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/install.html
@@ -0,0 +1,24 @@
+<p>This page assists in the first time installation and configuration of
+<a href="http://dokuwiki.org">Dokuwiki</a>. More info on this
+installer is available on it's own
+<a href="http://dokuwiki.org/installer">documentation page</a>.</p>
+
+<p>DokuWiki uses ordinary files for the storage of wiki pages and other
+information associated with those pages (e.g. images, search indexes, old
+revisions, etc). In order to operate successfully DokuWiki
+<strong>must</strong> have write access to the directories that hold those
+files. This installer is not capable of setting up directory permissions. That
+normally needs to be done directly on a command shell or if you are using hosting,
+through FTP or your hosting control panel (e.g. cPanel).</p>
+
+<p>This installer will setup your DokuWiki configuration for
+<acronym title="access control list">ACL</acronym>, which in turn allows administrator
+login and access to DokuWiki's admin menu for installing plugins, managing
+users, managing access to wiki pages and alteration of configuration settings.
+It isn't required for DokuWiki to operate, however it will make Dokuwiki easier
+to administer.</p>
+
+<p>Experienced users or users with special setup requirements should use these links
+for details concerning
+<a href="http://dokuwiki.org/install">installation instructions</a>
+and <a href="http://dokuwiki.org/config">configuration settings</a>.</p>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/lang.php
new file mode 100644
index 000000000..cf5173d05
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/lang.php
@@ -0,0 +1,280 @@
+<?php
+/**
+ * english language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Anika Henke <anika@selfthinker.org>
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';//&ldquo;
+$lang['doublequoteclosing'] = '鈥';//&rdquo;
+$lang['singlequoteopening'] = '鈥';//&lsquo;
+$lang['singlequoteclosing'] = '鈥';//&rsquo;
+$lang['apostrophe'] = '鈥';//&rsquo;
+
+$lang['btn_edit'] = 'Edit this page';
+$lang['btn_source'] = 'Show pagesource';
+$lang['btn_show'] = 'Show page';
+$lang['btn_create'] = 'Create this page';
+$lang['btn_search'] = 'Search';
+$lang['btn_save'] = 'Save';
+$lang['btn_preview']= 'Preview';
+$lang['btn_top'] = 'Back to top';
+$lang['btn_newer'] = '<< more recent';
+$lang['btn_older'] = 'less recent >>';
+$lang['btn_revs'] = 'Old revisions';
+$lang['btn_recent'] = 'Recent changes';
+$lang['btn_upload'] = 'Upload';
+$lang['btn_cancel'] = 'Cancel';
+$lang['btn_index'] = 'Index';
+$lang['btn_secedit']= 'Edit';
+$lang['btn_login'] = 'Login';
+$lang['btn_logout'] = 'Logout';
+$lang['btn_admin'] = 'Admin';
+$lang['btn_update'] = 'Update';
+$lang['btn_delete'] = 'Delete';
+$lang['btn_back'] = 'Back';
+$lang['btn_backlink'] = "Backlinks";
+$lang['btn_backtomedia'] = 'Back to Mediafile Selection';
+$lang['btn_subscribe'] = 'Subscribe Page Changes';
+$lang['btn_unsubscribe'] = 'Unsubscribe Page Changes';
+$lang['btn_subscribens'] = 'Subscribe Namespace Changes';
+$lang['btn_unsubscribens'] = 'Unsubscribe Namespace Changes';
+$lang['btn_profile'] = 'Update Profile';
+$lang['btn_reset'] = 'Reset';
+$lang['btn_resendpwd'] = 'Send new password';
+$lang['btn_draft'] = 'Edit draft';
+$lang['btn_recover'] = 'Recover draft';
+$lang['btn_draftdel'] = 'Delete draft';
+$lang['btn_revert'] = 'Restore';
+
+$lang['loggedinas'] = 'Logged in as';
+$lang['user'] = 'Username';
+$lang['pass'] = 'Password';
+$lang['newpass'] = 'New password';
+$lang['oldpass'] = 'Confirm current password';
+$lang['passchk'] = 'once again';
+$lang['remember'] = 'Remember me';
+$lang['fullname'] = 'Real name';
+$lang['email'] = 'E-Mail';
+$lang['register'] = 'Register';
+$lang['profile'] = 'User Profile';
+$lang['badlogin'] = 'Sorry, username or password was wrong.';
+$lang['minoredit'] = 'Minor Changes';
+$lang['draftdate'] = 'Draft autosaved on'; // full dformat date will be added
+$lang['nosecedit'] = 'The page was changed in the meantime, section info was out of date loaded full page instead.';
+
+$lang['regmissing'] = 'Sorry, you must fill in all fields.';
+$lang['reguexists'] = 'Sorry, a user with this login already exists.';
+$lang['regsuccess'] = 'The user has been created and the password was sent by email.';
+$lang['regsuccess2']= 'The user has been created.';
+$lang['regmailfail']= 'Looks like there was an error on sending the password mail. Please contact the admin!';
+$lang['regbadmail'] = 'The given email address looks invalid - if you think this is an error, contact the admin';
+$lang['regbadpass'] = 'The two given passwords are not identical, please try again.';
+$lang['regpwmail'] = 'Your DokuWiki password';
+$lang['reghere'] = 'You don\'t have an account yet? Just get one';
+
+$lang['profna'] = 'This wiki does not support profile modification';
+$lang['profnochange'] = 'No changes, nothing to do.';
+$lang['profnoempty'] = 'An empty name or email address is not allowed.';
+$lang['profchanged'] = 'User profile successfully updated.';
+
+$lang['pwdforget'] = 'Forgotten your password? Get a new one';
+$lang['resendna'] = 'This wiki does not support password resending.';
+$lang['resendpwd'] = 'Send new password for';
+$lang['resendpwdmissing'] = 'Sorry, you must fill in all fields.';
+$lang['resendpwdnouser'] = 'Sorry, we can\'t find this user in our database.';
+$lang['resendpwdbadauth'] = 'Sorry, this auth code is not valid. Make sure you used the complete confirmation link.';
+$lang['resendpwdconfirm'] = 'A confirmation link has been sent by email.';
+$lang['resendpwdsuccess'] = 'Your new password has been sent by email.';
+
+$lang['license'] = 'Except where otherwise noted, content on this wiki is licensed under the following license:';
+$lang['licenseok'] = 'Note: By editing this page you agree to license your content under the following license:';
+
+$lang['searchmedia'] = 'Search file name:';
+$lang['searchmedia_in'] = 'Search in %s';
+$lang['txt_upload'] = 'Select file to upload';
+$lang['txt_filename'] = 'Upload as (optional)';
+$lang['txt_overwrt'] = 'Overwrite existing file';
+$lang['lockedby'] = 'Currently locked by';
+$lang['lockexpire'] = 'Lock expires at';
+$lang['willexpire'] = 'Your lock for editing this page is about to expire in a minute.\nTo avoid conflicts use the preview button to reset the locktimer.';
+
+$lang['notsavedyet'] = 'Unsaved changes will be lost.\nReally continue?';
+$lang['rssfailed'] = 'An error occurred while fetching this feed: ';
+$lang['nothingfound']= 'Nothing was found.';
+
+$lang['mediaselect'] = 'Media Files';
+$lang['fileupload'] = 'Media File Upload';
+$lang['uploadsucc'] = 'Upload successful';
+$lang['uploadfail'] = 'Upload failed. Maybe wrong permissions?';
+$lang['uploadwrong'] = 'Upload denied. This file extension is forbidden!';
+$lang['uploadexist'] = 'File already exists. Nothing done.';
+$lang['uploadbadcontent'] = 'The uploaded content did not match the %s file extension.';
+$lang['uploadspam'] = 'The upload was blocked by the spam blacklist.';
+$lang['uploadxss'] = 'The upload was blocked for possibly malicious content.';
+$lang['uploadsize'] = 'The uploaded file was too big. (max. %s)';
+$lang['deletesucc'] = 'The file "%s" has been deleted.';
+$lang['deletefail'] = '"%s" couldn\'t be deleted - check permissions.';
+$lang['mediainuse'] = 'The file "%s" hasn\'t been deleted - it is still in use.';
+$lang['namespaces'] = 'Namespaces';
+$lang['mediafiles'] = 'Available files in';
+
+$lang['js']['searchmedia'] = 'Search for files';
+$lang['js']['keepopen'] = 'Keep window open on selection';
+$lang['js']['hidedetails'] = 'Hide Details';
+$lang['mediausage'] = 'Use the following syntax to reference this file:';
+$lang['mediaview'] = 'View original file';
+$lang['mediaroot'] = 'root';
+$lang['mediaupload'] = 'Upload a file to the current namespace here. To create subnamespaces, prepend them to your "Upload as" filename separated by colons.';
+$lang['mediaextchange'] = 'Filextension changed from .%s to .%s!';
+
+$lang['reference'] = 'References for';
+$lang['ref_inuse'] = 'The file can\'t be deleted, because it\'s still used by the following pages:';
+$lang['ref_hidden'] = 'Some references are on pages you don\'t have permission to read';
+
+$lang['hits'] = 'Hits';
+$lang['quickhits'] = 'Matching pagenames';
+$lang['toc'] = 'Table of Contents';
+$lang['current'] = 'current';
+$lang['yours'] = 'Your Version';
+$lang['diff'] = 'Show differences to current revisions';
+$lang['diff2'] = 'Show differences between selected revisions';
+$lang['line'] = 'Line';
+$lang['breadcrumb'] = 'Trace';
+$lang['youarehere'] = 'You are here';
+$lang['lastmod'] = 'Last modified';
+$lang['by'] = 'by';
+$lang['deleted'] = 'removed';
+$lang['created'] = 'created';
+$lang['restored'] = 'old revision restored';
+$lang['external_edit'] = 'external edit';
+$lang['summary'] = 'Edit summary';
+$lang['noflash'] = 'The <a href="http://www.adobe.com/products/flashplayer/">Adobe Flash Plugin</a> is needed to display this content.';
+$lang['download'] = 'Download Snippet';
+
+$lang['mail_newpage'] = 'page added:';
+$lang['mail_changed'] = 'page changed:';
+$lang['mail_new_user'] = 'new user:';
+$lang['mail_upload'] = 'file uploaded:';
+
+$lang['js']['nosmblinks'] = "Linking to Windows shares only works in Microsoft Internet Explorer.\nYou still can copy and paste the link.";
+
+$lang['qb_bold'] = 'Bold Text';
+$lang['qb_italic'] = 'Italic Text';
+$lang['qb_underl'] = 'Underlined Text';
+$lang['qb_code'] = 'Code Text';
+$lang['qb_strike'] = 'Strike-through Text';
+$lang['qb_h1'] = 'Level 1 Headline';
+$lang['qb_h2'] = 'Level 2 Headline';
+$lang['qb_h3'] = 'Level 3 Headline';
+$lang['qb_h4'] = 'Level 4 Headline';
+$lang['qb_h5'] = 'Level 5 Headline';
+
+$lang['qb_h'] = 'Headline';
+$lang['qb_hs'] = 'Select Headline';
+$lang['qb_hplus'] = 'Higher Headline';
+$lang['qb_hminus'] = 'Lower Headline';
+$lang['qb_hequal'] = 'Same Level Headline';
+
+$lang['qb_link'] = 'Internal Link';
+$lang['qb_extlink'] = 'External Link';
+$lang['qb_hr'] = 'Horizontal Rule';
+$lang['qb_ol'] = 'Ordered List Item';
+$lang['qb_ul'] = 'Unordered List Item';
+$lang['qb_media'] = 'Add Images and other files';
+$lang['qb_sig'] = 'Insert Signature';
+$lang['qb_smileys'] = 'Smileys';
+$lang['qb_chars'] = 'Special Chars';
+
+$lang['upperns'] = 'jump to parent namespace';
+$lang['js']['linkwiz'] = 'Link Wizard';
+$lang['js']['linkto'] = 'Link to:';
+
+$lang['js']['del_confirm']= 'Really delete selected item(s)?';
+$lang['admin_register']= 'Add new user';
+
+$lang['metaedit'] = 'Edit Metadata';
+$lang['metasaveerr'] = 'Writing metadata failed';
+$lang['metasaveok'] = 'Metadata saved';
+$lang['img_backto'] = 'Back to';
+$lang['img_title'] = 'Title';
+$lang['img_caption'] = 'Caption';
+$lang['img_date'] = 'Date';
+$lang['img_fname'] = 'Filename';
+$lang['img_fsize'] = 'Size';
+$lang['img_artist'] = 'Photographer';
+$lang['img_copyr'] = 'Copyright';
+$lang['img_format'] = 'Format';
+$lang['img_camera'] = 'Camera';
+$lang['img_keywords']= 'Keywords';
+
+$lang['subscribe_success'] = 'Added %s to subscription list for %s';
+$lang['subscribe_error'] = 'Error adding %s to subscription list for %s';
+$lang['subscribe_noaddress']= 'There is no address associated with your login, you cannot be added to the subscription list';
+$lang['unsubscribe_success']= 'Removed %s from subscription list for %s';
+$lang['unsubscribe_error'] = 'Error removing %s from subscription list for %s';
+
+/* auth.class language support */
+$lang['authmodfailed'] = 'Bad user authentication configuration. Please inform your Wiki Admin.';
+$lang['authtempfail'] = 'User authentication is temporarily unavailable. If this situation persists, please inform your Wiki Admin.';
+
+/* installer strings */
+$lang['i_chooselang'] = 'Choose your language';
+$lang['i_installer'] = 'DokuWiki Installer';
+$lang['i_wikiname'] = 'Wiki Name';
+$lang['i_enableacl'] = 'Enable ACL (recommended)';
+$lang['i_superuser'] = 'Superuser';
+$lang['i_problems'] = 'The installer found some problems, indicated below. You can not continue until you have fixed them.';
+$lang['i_modified'] = 'For security reasons this script will only work with a new and unmodified Dokuwiki installation.
+ You should either re-extract the files from the downloaded package or consult the complete
+ <a href="http://dokuwiki.org/install">Dokuwiki installation instructions</a>';
+$lang['i_funcna'] = 'PHP function <code>%s</code> is not available. Maybe your hosting provider disabled it for some reason?';
+$lang['i_phpver'] = 'Your PHP version <code>%s</code> is lower than the needed <code>%s</code>. You need to upgrade your PHP install.';
+$lang['i_permfail'] = '<code>%s</code> is not writable by DokuWiki. You need to fix the permission settings of this directory!';
+$lang['i_confexists'] = '<code>%s</code> already exists';
+$lang['i_writeerr'] = 'Unable to create <code>%s</code>. You will need to check directory/file permissions and create the file manually.';
+$lang['i_badhash'] = 'unrecognised or modified dokuwiki.php (hash=<code>%s</code>)';
+$lang['i_badval'] = '<code>%s</code> - illegal or empty value';
+$lang['i_success'] = 'The configuration was finished successfully. You may delete the install.php file now. Continue to
+ <a href="doku.php">your new DokuWiki</a>.';
+$lang['i_failure'] = 'Some errors occurred while writing the configuration files. You may need to fix them manually before
+ you can use <a href="doku.php">your new DokuWiki</a>.';
+$lang['i_policy'] = 'Initial ACL policy';
+$lang['i_pol0'] = 'Open Wiki (read, write, upload for everyone)';
+$lang['i_pol1'] = 'Public Wiki (read for everyone, write and upload for registered users)';
+$lang['i_pol2'] = 'Closed Wiki (read, write, upload for registered users only)';
+
+$lang['i_retry'] = 'Retry';
+
+$lang['mu_intro'] = 'Here you can upload multiple files at once. Click the browse button to add them to the queue. Press upload when done.';
+$lang['js']['mu_btn'] = 'Upload multiple files at once';
+$lang['mu_gridname'] = 'Filename';
+$lang['mu_gridsize'] = 'Size';
+$lang['mu_gridstat'] = 'Status';
+$lang['mu_namespace'] = 'Namespace';
+$lang['mu_browse'] = 'Browse';
+$lang['mu_toobig'] = 'too big';
+$lang['mu_ready'] = 'ready for upload';
+$lang['mu_done'] = 'complete';
+$lang['mu_fail'] = 'failed';
+$lang['mu_authfail'] = 'session expired';
+$lang['mu_progress'] = '@PCT@% uploaded';
+$lang['mu_filetypes'] = 'Allowed Filetypes';
+$lang['mu_info'] = 'files uploaded.';
+$lang['mu_lasterr'] = 'Last error:';
+
+$lang['recent_global'] = 'You\'re currently watching the changes inside the <b>%s</b> namespace. You can also <a href="%s">view the recent changes of the whole wiki</a>.';
+
+$lang['years'] = '%d years ago';
+$lang['months'] = '%d months ago';
+$lang['weeks'] = '%d weeks ago';
+$lang['days'] = '%d days ago';
+$lang['hours'] = '%d hours ago';
+$lang['minutes'] = '%d minutes ago';
+$lang['seconds'] = '%d seconds ago';
+
+//Setup VIM: ex: et ts=2 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/locked.txt
new file mode 100644
index 000000000..af6347a96
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/locked.txt
@@ -0,0 +1,3 @@
+====== Page locked ======
+
+This page is currently locked for editing by another user. You have to wait until this user finishes editing or the lock expires.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/login.txt
new file mode 100644
index 000000000..2004ea198
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/login.txt
@@ -0,0 +1,4 @@
+====== Login ======
+
+You are currently not logged in! Enter your authentication credentials below to log in. You need to have cookies enabled to log in.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/mailtext.txt
new file mode 100644
index 000000000..44a3f6553
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/mailtext.txt
@@ -0,0 +1,17 @@
+A page in your DokuWiki was added or changed. Here are the details:
+
+Date : @DATE@
+Browser : @BROWSER@
+IP-Address : @IPADDRESS@
+Hostname : @HOSTNAME@
+Old Revision: @OLDPAGE@
+New Revision: @NEWPAGE@
+Edit Summary: @SUMMARY@
+User : @USER@
+
+@DIFF@
+
+
+--
+This mail was generated by DokuWiki at
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/newpage.txt
new file mode 100644
index 000000000..848d4df09
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/newpage.txt
@@ -0,0 +1,4 @@
+====== This topic does not exist yet ======
+
+You've followed a link to a topic that doesn't exist yet. If permissions allow, you may create it by using the ''Create this page'' button.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/norev.txt
new file mode 100644
index 000000000..0b21bf3f0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/norev.txt
@@ -0,0 +1,4 @@
+====== No such revision ======
+
+The specified revision doesn't exist. Use the ''Old revisions'' button for a list of old revisions of this document.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/preview.txt
new file mode 100644
index 000000000..16c96c5d7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/preview.txt
@@ -0,0 +1,4 @@
+====== Preview ======
+
+This is a preview of how your text will look like. Remember: It is **not saved** yet!
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/pwconfirm.txt
new file mode 100644
index 000000000..a342ff95a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/pwconfirm.txt
@@ -0,0 +1,15 @@
+Hi @FULLNAME@!
+
+Someone requested a new password for your @TITLE@
+login at @DOKUWIKIURL@
+
+If you did not request a new password then just ignore this email.
+
+To confirm that the request was really sent by you please use the
+following link.
+
+@CONFIRM@
+
+--
+This mail was generated by DokuWiki at
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/read.txt
new file mode 100644
index 000000000..9f56d81ad
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/read.txt
@@ -0,0 +1,2 @@
+This page is read only. You can view the source, but not change it. Ask your administrator if you think this is wrong.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/recent.txt
new file mode 100644
index 000000000..3f7b58c46
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/recent.txt
@@ -0,0 +1,5 @@
+====== Recent Changes ======
+
+The following pages were changed recently.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/register.txt
new file mode 100644
index 000000000..db68d4f2f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/register.txt
@@ -0,0 +1,4 @@
+====== Register as new user ======
+
+Fill in all the information below to create a new account in this wiki. Make sure you supply a **valid e-mail address** - if you are not asked to enter a password here, a new one will be sent to that address. The login name should be a valid [[doku>pagename|pagename]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/registermail.txt
new file mode 100644
index 000000000..f02015767
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/registermail.txt
@@ -0,0 +1,14 @@
+A new user has registered. Here are the details:
+
+User name : @NEWUSER@
+Full name : @NEWNAME@
+E-mail : @NEWEMAIL@
+
+Date : @DATE@
+Browser : @BROWSER@
+IP-Address : @IPADDRESS@
+Hostname : @HOSTNAME@
+
+--
+This mail was generated by DokuWiki at
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/resendpwd.txt
new file mode 100644
index 000000000..98c8c756b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/resendpwd.txt
@@ -0,0 +1,4 @@
+====== Send new password ======
+
+Please enter your user name in the form below to request a new password for your account in this wiki. A confirmation link will be sent to your registered email address.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/revisions.txt
new file mode 100644
index 000000000..dd5f35b8e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/revisions.txt
@@ -0,0 +1,4 @@
+====== Old Revisions ======
+
+These are the older revisons of the current document. To revert to an old revision, select it from below, click ''Edit this page'' and save it.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/searchpage.txt
new file mode 100644
index 000000000..e357d6cdd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/searchpage.txt
@@ -0,0 +1,5 @@
+====== Search ======
+
+You can find the results of your search below. If you didn't find what you were looking for, you can create or edit the page named after your query with the appropriate button.
+
+===== Results =====
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/showrev.txt
new file mode 100644
index 000000000..3608de36b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/showrev.txt
@@ -0,0 +1,2 @@
+**This is an old revision of the document!**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/stopwords.txt
new file mode 100644
index 000000000..afc301659
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/stopwords.txt
@@ -0,0 +1,39 @@
+# This is a list of words the indexer ignores, one word per line
+# When you edit this file be sure to use UNIX line endings (single newline)
+# No need to include words shorter than 3 chars - these are ignored anyway
+# This list is based upon the ones found at http://www.ranks.nl/stopwords/
+about
+are
+as
+an
+and
+you
+your
+them
+their
+com
+for
+from
+into
+if
+in
+is
+it
+how
+of
+on
+or
+that
+the
+this
+to
+was
+what
+when
+where
+who
+will
+with
+und
+the
+www
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/subscribermail.txt
new file mode 100644
index 000000000..673c4c32a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/subscribermail.txt
@@ -0,0 +1,23 @@
+Hello!
+
+The page @PAGE@ in the @TITLE@ wiki changed.
+Here are the changes:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+Date : @DATE@
+User : @USER@
+Edit Summary: @SUMMARY@
+Old Revision: @OLDPAGE@
+New Revision: @NEWPAGE@
+
+To cancel the page notifications, log into the wiki at
+@DOKUWIKIURL@ then visit
+@NEWPAGE@
+and unsubscribe page and/or namespace changes.
+
+--
+This mail was generated by DokuWiki at
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/updateprofile.txt
new file mode 100644
index 000000000..b929fee83
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/updateprofile.txt
@@ -0,0 +1,5 @@
+====== Update your account profile ======
+
+You only need to complete those fields you wish to change. You may not change your user name.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/uploadmail.txt
new file mode 100644
index 000000000..6fa196730
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/uploadmail.txt
@@ -0,0 +1,14 @@
+A file was uploaded to your DokuWiki. Here are the details:
+
+File : @MEDIA@
+Date : @DATE@
+Browser : @BROWSER@
+IP-Address : @IPADDRESS@
+Hostname : @HOSTNAME@
+Size : @SIZE@
+MIME Type : @MIME@
+User : @USER@
+
+--
+This mail was generated by DokuWiki at
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/wordblock.txt
new file mode 100644
index 000000000..f0f7d759d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/en/wordblock.txt
@@ -0,0 +1,4 @@
+====== SPAM blocked ======
+
+Your changes were **not** saved because it contains one or more blocked words. If you tried to spam the Wiki -- Bad dog! If you think this is an error, contact the administrator of this Wiki.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/admin.txt
new file mode 100644
index 000000000..2ede2763c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/admin.txt
@@ -0,0 +1,3 @@
+====== Administro ======
+
+Sube vi povas trovi liston de administraj taskoj disponeblaj en DokuWiki.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/adminplugins.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/adminplugins.txt
new file mode 100644
index 000000000..ed2949b10
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/adminplugins.txt
@@ -0,0 +1 @@
+===== Ekstra kroma牡ojn ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/backlinks.txt
new file mode 100644
index 000000000..cd0cca9c6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/backlinks.txt
@@ -0,0 +1,3 @@
+====== Retroligiloj ======
+
+膱i tiu listo montras pa臐ojn, kiuj referencas al la aktuala pa臐o. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/conflict.txt
new file mode 100644
index 000000000..0d7ede0b0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/conflict.txt
@@ -0,0 +1,5 @@
+====== Pli nova versio ekzistas ======
+
+Ekzistas pli nova versio de la dokumento. Tio okazas kiam iu alia uzanto 艥an臐igis enhavon de la dokumento dum vi redaktis 臐in.
+
+Atente esploru distingojn kaj decidu kiun version vi tenigos. Se vi premos ''Konservi'', do via versio estos konservita. Presonte butonon ''Rezigni'' vi tenos la kurantan version.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/denied.txt
new file mode 100644
index 000000000..b35fe0412
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/denied.txt
@@ -0,0 +1,4 @@
+====== Aliro malpermesita ======
+
+Vi ne havas sufi膲e da rajtoj por rigardi 膲i tiujn pa臐ojn. Eble vi forgesis identi臐i.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/diff.txt
new file mode 100644
index 000000000..ac5474ef1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/diff.txt
@@ -0,0 +1,4 @@
+====== Diferencoj ======
+
+膱i tie vi povas ekvidi diferencojn inter la aktuala versio kaj la elektita revizio de la pa臐o.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/draft.txt
new file mode 100644
index 000000000..fa43ecb74
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/draft.txt
@@ -0,0 +1,5 @@
+====== Skiza dosiero estis trovata ======
+
+Via lasta sekcio de redakto en tiu 膲i pa臐o ne estis korekte kompletita. DokuWiki a怒tomate konservis skizon dum vi laboris, kiun vi nun povas uzi por da怒rigi vian redaktadon. Sube vi povas vidi la datenaron, kiu estis konservata el via lasta sekcio.
+
+Bonvolu decidi 膲u vi volas //restarigi// vian perditan redakton, //forigi// la a怒tomate konservitan skizon a怒 //rezigni// pri la redakta procezo.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/edit.txt
new file mode 100644
index 000000000..c1ca31e1d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/edit.txt
@@ -0,0 +1 @@
+Redaktu pa臐on kaj poste premu butonon titolitan ''Konservi''. Bonvolu tralegi la [[vikio:sintakso|vikian sintakson]] por kompreni kiel vi povas krei pa臐ojn. Bonvolu redakti nur se vi planas **plibonigi** la enhavon de la pa臐o. Se vi volas nur testi ion, do bonvolu uzi specialan pa臐on: [[vikio:ludejo|ludejo]].
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/editrev.txt
new file mode 100644
index 000000000..4bab50b93
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/editrev.txt
@@ -0,0 +1,2 @@
+**Vi laboras kun malnova revizio de la dokumento!** Se vi konservos 臐in, tiel krei臐os nova kuranta versio kun la sama enhavo.
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/index.txt
new file mode 100644
index 000000000..08bf3c894
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/index.txt
@@ -0,0 +1,3 @@
+====== Enhavo ======
+
+Tio 膲i estas indekso pri 膲iuj disponeblaj pa臐oj ordigitaj la怒 [[doku>namespaces|nomspacoj]]. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/install.html
new file mode 100644
index 000000000..74bbe15e2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/install.html
@@ -0,0 +1,9 @@
+<p>Tiu 膲i pa臐o helpas en la unua instalo kaj agordado de <a href="http://dokuwiki.org">DokuWiki</a>. Pli da informo pri tiu instalilo estas disponebla en 臐ia propra <a href="http://dokuwiki.org/installer">dokumentada pa臐o</a>.</p>
+
+<p>DokuWiki uzas ordinarajn dosierojn por konservi vikiajn pa臐ojn kaj aliajn informojn asociitaj al tiuj pa臐oj (ekz. bildoj, ser膲indeksoj, malnovaj revizioj, ktp). Por bone funkcii, DokuWiki <strong>devas</strong> havi registran rajton sur la subdosierujoj, kiuj entenas tiujn dosierojn. Tiu 膲i instalilo ne kapablas difini permes-atributojn de dosierujoj. Ordinare, tio devas esti senpere farita de iu komando en konzolo a怒, se vi abonas retprovizanton, per FTP a怒 kontrola panelo de tiu retprovizanto (ekz. cPanel).</p>
+
+<p>Tiu 膲i instalilo difinos vian DokuWiki-an agordadon por <acronym title="alir-kontrola listo">ACL</acronym>, kiu ebligas al administranto identi臐i kaj aliri ta怒gan interfacon por instali kroma牡ojn, administri uzantojn kaj alireblon al vikipa臐oj, kaj difini agordojn 臐eneralajn.
+臏i ne estas nepra por ke DokuWiki funkciu, tamen 臐i multe faciligos administradon.</p>
+
+<p>Spertuloj a怒 uzantoj kiuj bezonas specialajn agordrimedojn devus uzi tiujn ligilojn por havi pli detalojn pri <a href="http://dokuwiki.org/install">instaladaj instrukcioj</a>
+kaj <a href="http://dokuwiki.org/config">agordadaj difinoj</a>.</p> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/lang.php
new file mode 100644
index 000000000..e2c25ae43
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/lang.php
@@ -0,0 +1,254 @@
+<?php
+/**
+ * Esperanta dosiero
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Antono Vasiljev <esperanto.minsk 膱E tut.by>
+ * @author Felipe Castro <fefcas CXE yahoo.com.br>
+ * @author Felipe Castro <fefcas@uol.com.br>
+ * @author Felipe Castro <fefcas@gmail.com>
+ * @author Felipe Castro <fefcas (cxe) gmail (punkto) com>
+ * @author Felipo Kastro <fefcas@gmail.com>
+ * @author Robert Bogenschneider <robog@gmx.de>
+ * @author Erik Pedersen <erik pedersen@shaw.ca>
+ * @author Erik Pedersen <erik.pedersen@shaw.ca>
+ * @author Robert Bogenschneider <robog@GMX.de>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '\'';
+$lang['btn_edit'] = 'Redakti la pa臐on';
+$lang['btn_source'] = 'Montri fontan tekston';
+$lang['btn_show'] = 'Montri pa臐on';
+$lang['btn_create'] = 'Krei pa臐on';
+$lang['btn_search'] = 'Ser膲i';
+$lang['btn_save'] = 'Konservi';
+$lang['btn_preview'] = 'Anta怒rigardi';
+$lang['btn_top'] = 'Supren';
+$lang['btn_newer'] = '<< pli fre艥e';
+$lang['btn_older'] = 'malpli fre艥e >>';
+$lang['btn_revs'] = 'Malnovaj revizioj';
+$lang['btn_recent'] = 'Fre艥aj 艥an臐oj';
+$lang['btn_upload'] = 'Al艥uti';
+$lang['btn_cancel'] = 'Rezigni';
+$lang['btn_index'] = 'Indekso';
+$lang['btn_secedit'] = 'Redakti';
+$lang['btn_login'] = 'Ensaluti';
+$lang['btn_logout'] = 'Elsaluti';
+$lang['btn_admin'] = 'Administri';
+$lang['btn_update'] = '臏isdatigi';
+$lang['btn_delete'] = 'Forigi';
+$lang['btn_back'] = 'Retroiri';
+$lang['btn_backlink'] = 'Retroligoj';
+$lang['btn_backtomedia'] = 'Retroiri al elekto de dosiero';
+$lang['btn_subscribe'] = 'Ali臐i al pa臐aj modifoj';
+$lang['btn_unsubscribe'] = 'Malali臐i al pa臐aj modifoj';
+$lang['btn_subscribens'] = 'Ali臐i al nomspacaj modifoj';
+$lang['btn_unsubscribens'] = 'Malali臐i al nomspacaj modifoj';
+$lang['btn_profile'] = '臏isdatigi profilon';
+$lang['btn_reset'] = 'Rekomenci';
+$lang['btn_resendpwd'] = 'Sendi novan pasvorton';
+$lang['btn_draft'] = 'Redakti skizon';
+$lang['btn_recover'] = 'Restarigi skizon';
+$lang['btn_draftdel'] = 'Forigi skizon';
+$lang['btn_revert'] = 'Restarigi';
+$lang['loggedinas'] = 'Ensalutita kiel';
+$lang['user'] = 'Uzant-nomo';
+$lang['pass'] = 'Pasvorto';
+$lang['newpass'] = 'Nova pasvorto';
+$lang['oldpass'] = 'Konfirmu la nunan pasvorton';
+$lang['passchk'] = 'plian fojon';
+$lang['remember'] = 'Rememoru min';
+$lang['fullname'] = 'Kompleta nomo';
+$lang['email'] = 'Retpo艥to';
+$lang['register'] = 'Registro';
+$lang['profile'] = 'Uzanto-profilo';
+$lang['badlogin'] = 'Pardonu, uzant-nomo a怒 pasvorto estis erara.';
+$lang['minoredit'] = 'Etaj modifoj';
+$lang['draftdate'] = 'Lasta konservo de la skizo:';
+$lang['nosecedit'] = 'La pa臐o 艥an臐i臐is intertempe, sekcio-informo estis mal臐isdata, ni 艥argas la tutan pa臐on anstata怒e.';
+$lang['regmissing'] = 'Pardonu, vi devas plenigi 膲iujn kampojn.';
+$lang['reguexists'] = 'Pardonu, 膲i tiu uzanto-nomo jam estas okupita.';
+$lang['regsuccess'] = 'La uzanto estas kreita kaj la pasvorto estis elsendita per retpo艥to.';
+$lang['regsuccess2'] = 'La uzanto estas kreita.';
+$lang['regmailfail'] = '艤ajne okazis eraro dum elsendo de la pasvorto. Bonvolu informi administranton pri tio!';
+$lang['regbadmail'] = 'Entajpita retpo艥ta adreso ne 艥ajnas valida. Se vi pensas, ke tio estas eraro, kontaktu la administranton.';
+$lang['regbadpass'] = 'La du pasvortoj ne samas, bonvolu provi refoje.';
+$lang['regpwmail'] = 'Via DokuWiki-pasvorto';
+$lang['reghere'] = 'Se vi ne havas konton, do vi povos akiri 臐in';
+$lang['profna'] = 'Tiu 膲i vikio ne ebligas modifon en la profiloj.';
+$lang['profnochange'] = 'Neniu 艥an臐o, nenio farinda.';
+$lang['profnoempty'] = 'Malplena nomo a怒 retadreso ne estas permesataj.';
+$lang['profchanged'] = 'La profilo de la uzanto estas sukcese 臐isdatigita.';
+$lang['pwdforget'] = '膱u vi forgesis vian pasvorton? Prenu novan';
+$lang['resendna'] = 'Tiu 膲i vikio ne ebligas resendon de la pasvortoj.';
+$lang['resendpwd'] = 'Sendi novan pasvorton al';
+$lang['resendpwdmissing'] = 'Pardonu, vi devas plenigi 膲iujn kampojn.';
+$lang['resendpwdnouser'] = 'Pardonu, ni ne trovas tiun uzanton en nia datenbazo.';
+$lang['resendpwdbadauth'] = 'Pardonu, tiu a怒tentiga kodo ne validas. Certi臐u, ke vi uzis la kompletan konfirmigan ligilon.';
+$lang['resendpwdconfirm'] = 'Konfirmiga ligilo estas sendita per retpo艥to.';
+$lang['resendpwdsuccess'] = 'Via nova pasvorto estas sendita per retpo艥to.';
+$lang['license'] = 'Krom kie rekte indikite, enhavo de tiu 膲i vikio estas publikigita la怒 la jena permesilo:';
+$lang['licenseok'] = 'Rimarku: redaktante tiun 膲i pa臐on vi konsentas publikigi vian enhavon la怒 la jena permesilo:';
+$lang['searchmedia'] = 'Ser膲i dosiernomon:';
+$lang['searchmedia_in'] = 'Ser膲i en %s';
+$lang['txt_upload'] = 'Elektu dosieron por al艥uto';
+$lang['txt_filename'] = 'Al艥uti kiel (la怒vole)';
+$lang['txt_overwrt'] = 'Anstata怒igi ekzistantan dosieron';
+$lang['lockedby'] = 'Nune 艥losita de';
+$lang['lockexpire'] = '艤losado 膲esos en';
+$lang['willexpire'] = 'Vi povos redakti 膲i tiun pa臐on post unu minuto.\nSe vi volas nuligi tempkontrolon de la 艥losado, do premu butonon "Anta怒rigardi".';
+$lang['notsavedyet'] = 'Ne konservitaj modifoj perdi臐os.\n膱u vi certe volas da怒rigi la procezon?';
+$lang['rssfailed'] = 'Okazis eraro dum ricevado de la nova牡-fluo: ';
+$lang['nothingfound'] = 'Ankora怒 nenio trovi臐as tie 膲i.';
+$lang['mediaselect'] = 'Elekto de a怒dvida牡a dosiero';
+$lang['fileupload'] = 'Al艥uto de a怒dvida牡a dosiero';
+$lang['uploadsucc'] = 'Al艥uto estis sukcesa';
+$lang['uploadfail'] = 'Al艥uto estis malsukcesa. Eble 膲u estas problemoj pro permes-atributoj?';
+$lang['uploadwrong'] = 'Rifuzita al艥uto. Tiu 膲i dosiersufikso estas malpermesata!';
+$lang['uploadexist'] = 'La dosiero jam ekzistas. Nenio estas farita.';
+$lang['uploadbadcontent'] = 'La al艥utita enhavo ne kongruas al la sufikso %s.';
+$lang['uploadspam'] = 'La al艥uta牡o estis blokita de kontra怒spama vortlisto.';
+$lang['uploadxss'] = 'La al艥utajo estis blokita pro ebla malica enhavo.';
+$lang['uploadsize'] = 'La al艥utita dosiero estis tro granda. (maks. %s)';
+$lang['deletesucc'] = 'La dosiero "%s" estas forigita.';
+$lang['deletefail'] = '"%s" ne povis esti forigita - kontrolu permes-atributojn.';
+$lang['mediainuse'] = 'La dosiero "%s" ne estis forigita - 臐i ankora怒 estas uzata.';
+$lang['namespaces'] = 'Nomspacoj';
+$lang['mediafiles'] = 'Disponeblaj dosieroj';
+$lang['js']['searchmedia'] = 'Ser膲i dosierojn';
+$lang['js']['keepopen'] = 'Tenu la fenestron malfermata dum elekto';
+$lang['js']['hidedetails'] = 'Ka艥i detalojn';
+$lang['js']['nosmblinks'] = 'Tio 膲i nur funkcias en la Vindoza膲a "Microsoft Internet Explorer".
+Vi ankora怒 povas kopii kaj almeti la ligilon.';
+$lang['js']['linkwiz'] = 'Ligil-Asistanto';
+$lang['js']['linkto'] = 'Ligilo al:';
+$lang['js']['del_confirm'] = '膱u vere forigi elektitajn ero(j)n?';
+$lang['js']['mu_btn'] = 'Al艥uti plurajn dosierojn multope.';
+$lang['mediausage'] = 'Uzu la jenan sintakson por referenci tiun 膲i dosieron:';
+$lang['mediaview'] = 'Rigardi originalan dosieron';
+$lang['mediaroot'] = '膲efo (root)';
+$lang['mediaupload'] = 'Al艥utu dosieron al la kuranta nomspaco tien 膲i. Por krei subnomspacojn, anta怒metu ilin al via "Al艥uti kiel" dosiernomo, apartigante per dupunktoj (:).';
+$lang['mediaextchange'] = 'La dosiersufikso 艥an臐is de .%s al .%s!';
+$lang['reference'] = 'Referencoj por';
+$lang['ref_inuse'] = 'La dosiero ne povas esti forigita, 膲ar 臐i ankora怒 estas uzata de la jenaj pa臐oj:';
+$lang['ref_hidden'] = 'Kelkaj referencoj estas en pa臐oj, kiujn vi ne rajtas legi';
+$lang['hits'] = 'Kongruoj';
+$lang['quickhits'] = 'Kongruoj trovitaj en pa臐nomoj';
+$lang['toc'] = 'Enhavtabelo';
+$lang['current'] = 'aktuala';
+$lang['yours'] = 'Via Versio';
+$lang['diff'] = 'Montri diferencojn el la aktuala versio';
+$lang['diff2'] = 'Montri diferencojn inter la elektitaj revizioj';
+$lang['line'] = 'Linio';
+$lang['breadcrumb'] = 'Pa艥oj';
+$lang['youarehere'] = 'Vi estas 膲i tie';
+$lang['lastmod'] = 'Lastaj 艥an臐oj';
+$lang['by'] = 'de';
+$lang['deleted'] = 'forigita';
+$lang['created'] = 'kreita';
+$lang['restored'] = 'malnova revizio restarigita';
+$lang['external_edit'] = 'ekstera redakto';
+$lang['summary'] = 'Bulteno de 艥an臐oj';
+$lang['noflash'] = 'La <a href="http://www.adobe.com/products/flashplayer/">Adobe Flash Plugin</a> estas bezonata por montrigi tiun 膲i enhavon.';
+$lang['download'] = 'El艥uti eltira牡on';
+$lang['mail_newpage'] = 'pa臐o aldonita:';
+$lang['mail_changed'] = 'pa臐o modifita:';
+$lang['mail_new_user'] = 'Nova uzanto:';
+$lang['mail_upload'] = 'dosiero al艥utita:';
+$lang['qb_bold'] = 'Dika teksto';
+$lang['qb_italic'] = 'Dekliva teksto';
+$lang['qb_underl'] = 'Substrekita teksto';
+$lang['qb_code'] = 'Koduma teksto';
+$lang['qb_strike'] = 'Trastrekita teksto';
+$lang['qb_h1'] = 'Titolo de 1-a nivelo';
+$lang['qb_h2'] = 'Titolo de 2-a nivelo';
+$lang['qb_h3'] = 'Titolo de 3-a nivelo';
+$lang['qb_h4'] = 'Titolo de 4-a nivelo';
+$lang['qb_h5'] = 'Titolo de 5-a nivelo';
+$lang['qb_h'] = '膱eftitolo';
+$lang['qb_hs'] = 'Elektu 膲eftitolon';
+$lang['qb_hplus'] = 'Altnivela titolo';
+$lang['qb_hminus'] = 'Subnivela titolo';
+$lang['qb_hequal'] = 'Samnivela titolo';
+$lang['qb_link'] = 'Interna ligilo';
+$lang['qb_extlink'] = 'Ekstera ligilo';
+$lang['qb_hr'] = 'Horizontala streko';
+$lang['qb_ol'] = 'Elemento de numerita listo';
+$lang['qb_ul'] = 'Elemento de ne numerita listo';
+$lang['qb_media'] = 'Aldoni bildojn kaj aliajn dosierojn';
+$lang['qb_sig'] = 'Inkluzivi subskribon';
+$lang['qb_smileys'] = 'Ridetuloj';
+$lang['qb_chars'] = 'Specialaj signa牡oj';
+$lang['upperns'] = 'saltu al la parenca nomspaco';
+$lang['admin_register'] = 'Aldoni novan uzanton';
+$lang['metaedit'] = 'Redakti metadatenaron';
+$lang['metasaveerr'] = 'La konservo de metadatenaro malsukcesis';
+$lang['metasaveok'] = 'La metadatenaro estis konservita';
+$lang['img_backto'] = 'Retroiri al';
+$lang['img_title'] = 'Titolo';
+$lang['img_caption'] = 'Priskribo';
+$lang['img_date'] = 'Dato';
+$lang['img_fname'] = 'Dosiernomo';
+$lang['img_fsize'] = 'Grandeco';
+$lang['img_artist'] = 'Fotisto';
+$lang['img_copyr'] = 'Kopirajtoj';
+$lang['img_format'] = 'Formato';
+$lang['img_camera'] = 'Kamerao';
+$lang['img_keywords'] = '艤losilvortoj';
+$lang['subscribe_success'] = '%s estis aldonita al dissendolisto por %s';
+$lang['subscribe_error'] = 'Estas eraro je aldono de %s al dissendolisto por %s';
+$lang['subscribe_noaddress'] = 'Estas neniu retadreso asociita al via identi臐-nomo, do vi ne povas esti aldonata al la dissendolisto.';
+$lang['unsubscribe_success'] = '%s estas forigita de la dissendolisto por %s';
+$lang['unsubscribe_error'] = 'Estas eraro je forigo de %s el dissendolisto por %s';
+$lang['authmodfailed'] = 'Malbona agordo por identigi la uzanton. Bonvolu informi la administranton de la vikio.';
+$lang['authtempfail'] = 'La identigo de via uzantonomo estas intertempe maldisponebla. Se tiu 膲i situacio da怒ros, bonvolu informi la adminstranton de la vikio.';
+$lang['i_chooselang'] = 'Elektu vian lingvon';
+$lang['i_installer'] = 'Instalilo de DokuWiki';
+$lang['i_wikiname'] = 'Nomo de la vikio';
+$lang['i_enableacl'] = 'Ebligi "ACL" (alirkontrolo, rekomendinde)';
+$lang['i_superuser'] = 'Superuzanto';
+$lang['i_problems'] = 'La instalilo trovis kelkajn problemojn, indikitaj sube. Vi ne povas pluiri 臐is ili estos iel korektitaj.';
+$lang['i_modified'] = 'Pro sekureco tiu 膲i instalilo nur funkcias por nova kaj nemodifita Dokuwiki-paka牡o.
+Vi devas a怒 redemeti la dosierojn el la el艥utita paka牡o a怒 plibone informi臐i pri la instalada procezo.';
+$lang['i_funcna'] = 'La PHP-a funkcio <code>%s</code> ne estas uzebla. Eble via retprovizanto ial malpermesis tion?';
+$lang['i_phpver'] = 'La versio de la PHP <code>%s</code> estas pli malnova ol la bezonata <code>%s</code>. Vi bezonas 臐isdatigi la PHP-an instalon.';
+$lang['i_permfail'] = '<code>%s</code> ne estas skribebla por DokuWiki. Vi devas redifini la permes-atributojn de tiu 膲i dosierujo!';
+$lang['i_confexists'] = '<code>%s</code> jam ekzistas';
+$lang['i_writeerr'] = 'Ne eblas krei "<code>%s</code>"-on. Vi bezonas kontroli la permesojn de la dosier(uj)oj kaj mem krej la dosieron.';
+$lang['i_badhash'] = 'dokuwiki.php ne estas rekonebla a怒 臐i estas modifita (hash=<code>%s</code>)';
+$lang['i_badval'] = '<code>%s</code> - malvalida a怒 malplena valoro';
+$lang['i_success'] = 'La agordado estas sukcese kompletita. Vi povas forigi la dosieron nun. Pluiru al <a href="doku.php">via nova DokuWiki</a>.';
+$lang['i_failure'] = 'Kelkaj eraroj okazis dum la konservo de la agordaj dosieroj. Vi devas senpere korekti ilin anta怒 ol vi povos uzi <a href="doku.php">vian novan DokuWiki-on</a>. ';
+$lang['i_policy'] = 'Apriora ACL-a agordo';
+$lang['i_pol0'] = 'Malferma Vikio (legi, skribi, al艥uti povas 膲iuj)';
+$lang['i_pol1'] = 'Publika Vikio (legi povas 膲iuj, skribi kaj al艥uti povas registritaj uzantoj)';
+$lang['i_pol2'] = 'Ferma Vikio (legi, skribi, al艥uti nur povas registritaj uzantoj)';
+$lang['i_retry'] = 'Reprovi';
+$lang['mu_intro'] = '膱i tie vi povas al艥uti plurajn dosierojn multope. Klaku la esplor-butonon por aldoni ilin al la vico. Premu al艥uti kiam prete.';
+$lang['mu_gridname'] = 'Dosiernomo';
+$lang['mu_gridsize'] = 'Grandeco';
+$lang['mu_gridstat'] = 'Stato';
+$lang['mu_namespace'] = 'Nomspaco';
+$lang['mu_browse'] = 'Esplori';
+$lang['mu_toobig'] = 'tro granda';
+$lang['mu_ready'] = 'preta por al艥uti';
+$lang['mu_done'] = 'plenumite';
+$lang['mu_fail'] = 'malsukcesinte';
+$lang['mu_authfail'] = 'sekcio tro longda怒ris';
+$lang['mu_progress'] = '@PCT@% al艥utite';
+$lang['mu_filetypes'] = 'Permesitaj dosiertipoj';
+$lang['mu_info'] = 'al艥utitaj dosieroj.';
+$lang['mu_lasterr'] = 'Lasta eraro:';
+$lang['recent_global'] = 'Vi nun rigardas la 艥an臐ojn ene de la nomspaco <b>%s</b>. Vi povas anka怒 <a href="%s">vidi la fre艥ajn 艥an臐ojn de la tuta vikio</a>.';
+$lang['years'] = 'anta怒 %d jaroj';
+$lang['months'] = 'anta怒 %d monatoj';
+$lang['weeks'] = 'anta怒 %d semajnoj';
+$lang['days'] = 'anta怒 %d tagoj';
+$lang['hours'] = 'anta怒 %d horoj';
+$lang['minutes'] = 'anta怒 %d minutoj';
+$lang['seconds'] = 'anta怒 %d sekundoj';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/locked.txt
new file mode 100644
index 000000000..68963da75
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/locked.txt
@@ -0,0 +1,3 @@
+====== La pa臐o estas 艥losita ======
+
+Tiu 膲i pa臐o nun estas blokita pro redaktado de iu alia uzanto. Bonvole atendu ke 艥i/li finu redakti a怒 ke la 艥losada tempolimo fini臐u.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/login.txt
new file mode 100644
index 000000000..2b9b34340
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/login.txt
@@ -0,0 +1,3 @@
+====== Enirejo ======
+
+Vi ankora怒 ne identi臐is! Entajpu necesajn informojn sube por identi臐i. Kuketoj (cookies) devas esti 艥altitaj. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/mailtext.txt
new file mode 100644
index 000000000..5e83b324b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/mailtext.txt
@@ -0,0 +1,16 @@
+Pa臐o en via DokuVikio estis 艥an臐ita a怒 aldonita. Jen detaloj:
+
+Dato: @DATE@
+Foliumilo: @BROWSER@
+IP-adreso: @IPADDRESS@
+RetNodo: @HOSTNAME@
+Anta怒a revizio: @OLDPAGE@
+Nova revizio: @NEWPAGE@
+Bulteno de 艥an臐oj: @SUMMARY@
+Uzulo: @USER@
+
+@DIFF@
+
+--
+Tiu 膲i mesa臐o estis kreata de DokuWiki, kiu loki臐as tie:
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/newpage.txt
new file mode 100644
index 000000000..4ddcd33be
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/newpage.txt
@@ -0,0 +1,4 @@
+====== 膱i tiu pa臐o ankora怒 ne ekzistas ======
+
+Vi sekvis ligilon, kiu kondukas al artikolo ankora怒 ne ekzistanta. Se vi rajtas, tiel vi povas krei tiun 膲i pa臐on ekpremante la butonon ''Krei pa臐on''.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/norev.txt
new file mode 100644
index 000000000..6dffbaa1c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/norev.txt
@@ -0,0 +1,3 @@
+====== Tia revizio ne ekzistas ======
+
+La elektita revizio ne ekzistas. Premu butonon ''Malnovaj revizioj'', por vidi liston de malnovaj revizioj de la dokumento. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/preview.txt
new file mode 100644
index 000000000..784f693e6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/preview.txt
@@ -0,0 +1,3 @@
+====== Anta怒rigardo ======
+
+Tiu 膲i estas anta怒rigardo pri kia estos via teksto. Memoru: 臐i ankora怒 **ne estas konservita**! \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/pwconfirm.txt
new file mode 100644
index 000000000..f227752b1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/pwconfirm.txt
@@ -0,0 +1,14 @@
+Saluton @FULLNAME@!
+
+Iu petis novan pasvorton por via @TITLE@
+ensalutnomo 膲e @DOKUWIKIURL@
+
+Se ne estas vi, kiu petis tion, do preterlasu tiun 膲i mesa臐on.
+
+Por konfirmi, ke la peto estis vere via, bonvolu musklaki la jenan ligilon.
+
+@CONFIRM@
+
+--
+Tiu 膲i mesa臐o estis kreita de DokuWiki 膲e
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/read.txt
new file mode 100644
index 000000000..734eb1654
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/read.txt
@@ -0,0 +1,2 @@
+Tiu 膲i pa臐o estas disponigata nur por legado (vi ne povas redakti 臐in). Sciigu administranton, se vi opinias ke tio estas ne 臐usta malpermeso.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/recent.txt
new file mode 100644
index 000000000..e03144668
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/recent.txt
@@ -0,0 +1,3 @@
+====== Fre艥aj 艤an臐oj ======
+
+La jenaj pa臐oj estis 艥an臐itaj anta怒 malmulta tempo. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/register.txt
new file mode 100644
index 000000000..57d5ca1f4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/register.txt
@@ -0,0 +1,4 @@
+====== Registri臐i ======
+
+Entajpu necesajn informojn por enregistri臐i. Certi臐u ke via retpo艥ta adreso estas vera 膲ar ni sendos al 臐i vian pasvorton.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/registermail.txt
new file mode 100644
index 000000000..c832eca42
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/registermail.txt
@@ -0,0 +1,14 @@
+Nova uzulo estis registrata. Jen la detaloj:
+
+Uzantonomo: @NEWUSER@
+Kompleta nomo: @NEWNAME@
+Retadreso: @NEWEMAIL@
+
+Dato: @DATE@
+Foliumilo: @BROWSER@
+IP-Adreso: @IPADDRESS@
+Provizanto: @HOSTNAME@
+
+--
+Tiu 膲i mesa臐o estis kreata de DokuWiki 膲e
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/resendpwd.txt
new file mode 100644
index 000000000..57b4b0408
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/resendpwd.txt
@@ -0,0 +1,3 @@
+====== Sendi novan pasvorton ======
+
+Bonvolu meti vian uzantonomon en la suban formularon petante novan pasvorton por via ali臐o en tiu 膲i vikio. Konfirma ligilo estos sendata al via registrita retadreso.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/revisions.txt
new file mode 100644
index 000000000..4f37bb125
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/revisions.txt
@@ -0,0 +1,3 @@
+====== Malnovaj revizioj ======
+
+Sube estas listo de malnovaj revizioj de la dokumento. Elektu revizion se vi volas rigardi 臐in a怒 anstata怒igi kurantan pa臐on per 臐i. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/searchpage.txt
new file mode 100644
index 000000000..a940c503d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/searchpage.txt
@@ -0,0 +1,5 @@
+====== Ser膲o ======
+
+Sube estas rezultoj de ser膲o en la retejo.\\ Se vi ne trovis tion, kion vi ser膲is, vi povas krei novan pa臐on kun necesa nomo per la koresponda butono.
+
+===== Rezultoj =====
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/showrev.txt
new file mode 100644
index 000000000..e3a8a1747
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/showrev.txt
@@ -0,0 +1,2 @@
+**Tiu estas malnova revizio de la dokumento**. Klaku sur titolo por ricevi kurantan version.
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/stopwords.txt
new file mode 100644
index 000000000..2c18cb319
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/stopwords.txt
@@ -0,0 +1,23 @@
+# This is a list of words the indexer ignores, one word per line
+# When you edit this file be sure to use UNIX line endings (single newline)
+# No need to include words shorter than 3 chars - these are ignored anyway
+la
+pri
+estas
+kaj
+mi
+mia
+vi
+via
+ili
+ilia
+kun
+por
+kiel
+tiu
+estis
+kio
+kiam
+kie
+kiu
+www \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/subscribermail.txt
new file mode 100644
index 000000000..074a99351
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/subscribermail.txt
@@ -0,0 +1,23 @@
+Saluton!
+
+La retpa臐o @PAGE@ en la vikio @TITLE@ 艥an臐i臐is.
+Jen la 艥an臐oj:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+Dato : @DATE@
+Uzanto : @USER@
+Modifa rezumo: @SUMMARY@
+Malnova revizio: @OLDPAGE@
+Nova revizio: @NEWPAGE@
+
+Por nuligi la avizojn pri pa臐o艥an臐oj, ensalutu en la vikio 膲e
+@DOKUWIKIURL@ kaj aliru
+@NEWPAGE@
+kaj malali臐u al avizoj pri pa臐aj kaj/a怒 nomspacaj 艥an臐oj.
+
+--
+Tiu 膲i mesa臐o estis kreita de DokuWiki 膲e
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/updateprofile.txt
new file mode 100644
index 000000000..a3de0c840
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/updateprofile.txt
@@ -0,0 +1,3 @@
+====== 臏isdatigi vian profilon ======
+
+Vi nur bezonas kompletigi tiujn kampojn, kiujn vi deziras 艥an臐i. Vi ne povas 艥an臐i vian uzantonomon. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/uploadmail.txt
new file mode 100644
index 000000000..e7c327a60
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/uploadmail.txt
@@ -0,0 +1,14 @@
+Dosiero estis al艥utita al via DokuVikio. Jen detaloj:
+
+Dosiero: @MEDIA@
+Dato: @DATE@
+Foliumilo: @BROWSER@
+IP-Adreso: @IPADDRESS@
+Ret-nodo: @HOSTNAME@
+Grandeco: @SIZE@
+Dosier-tipo: @MIME@
+Uzanto: @USER@
+
+--
+Tiu 膲i mesa臐o estis kreita de DokuWiki 膲e
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/wordblock.txt
new file mode 100644
index 000000000..64bb19e5c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eo/wordblock.txt
@@ -0,0 +1,3 @@
+====== SPAMO estis blokita ======
+
+Viaj redaktoj ne estas konservitaj, 膲ar en la teksto estis trovitaj unu a怒 kelkaj malpermesindaj vortoj, 艥ajnante spamo. Se vi pensas, ke tio estas eraro, bonvolu kontakti la administranton de la vikio. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/admin.txt
new file mode 100644
index 000000000..320b1c5be
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/admin.txt
@@ -0,0 +1,3 @@
+====== Administraci贸n ======
+
+Abajo puedes encontrar una lista de las tareas de administraci贸n disponibles en Dokuwiki.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/adminplugins.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/adminplugins.txt
new file mode 100644
index 000000000..8e1b0f813
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/adminplugins.txt
@@ -0,0 +1 @@
+===== Plugins Adicionales ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/backlinks.txt
new file mode 100644
index 000000000..4de93ef34
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/backlinks.txt
@@ -0,0 +1,4 @@
+====== Referencias ======
+
+Esta es una lista de p谩ginas que parecen hacer referencia a la p谩gina actual.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/conflict.txt
new file mode 100644
index 000000000..265ac1ee6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/conflict.txt
@@ -0,0 +1,5 @@
+====== Existe una versi贸n m谩s reciente ======
+
+Existe una versi贸n m谩s reciente del documento que has editado. Esto sucede cuando otro usuario ha modificado el documento mientras lo estabas editando.
+
+Examina las diferencias mostradas abajo a fondo, y decide entonces cual conservar. Si eliges ''Guardar'', tu versi贸n ser谩 guardada. Si eliges ''Cancelar'' se guardar谩 la actual versi贸n. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/denied.txt
new file mode 100644
index 000000000..d7b37404b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/denied.txt
@@ -0,0 +1,3 @@
+====== Permiso Denegado ======
+
+Lo siento, no tienes suficientes permisos para continuar. 驴Quiz谩s has olvidado identificarte? \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/diff.txt
new file mode 100644
index 000000000..e0e9e08ab
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/diff.txt
@@ -0,0 +1,4 @@
+====== Diferencias ======
+
+Muestra las diferencias entre dos versiones de la p谩gina.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/draft.txt
new file mode 100644
index 000000000..054d618b3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/draft.txt
@@ -0,0 +1,6 @@
+====== Fichero borrador encontrado ======
+
+Su 煤ltima sesi贸n de edici贸n en esta p谩gina no se complet贸 correctamente. DokuWiki guard贸 autom谩ticamente un borrador mientras usted trabajaba; puede utilizar el borrador para continuar edit谩ndolo. Abajo se ven los datos que fueron guardados en su 煤ltima sesi贸n.
+
+Por favor decida si desea //recuperar// su sesi贸n perdida, //eliminar// el borrador guardado autom谩ticamente o //cancelar// el proceso de edici贸n.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/edit.txt
new file mode 100644
index 000000000..55c3c1dc5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/edit.txt
@@ -0,0 +1,2 @@
+Edita la p谩gina y pulsa ''Guardar''. Mira [[wiki:syntax]] para sintaxis Wiki. Por favor edita la p谩gina solo si puedes **mejorarla**. Si quieres testear algunas cosas aprende a dar tus primeros pasos en el [[playground:playground]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/editrev.txt
new file mode 100644
index 000000000..4b587b7ce
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/editrev.txt
@@ -0,0 +1,2 @@
+**Has cargado una revisi贸n vieja del documento!** Si la guardas crear谩s una versi贸n nueva con estos datos.
+---- \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/index.txt
new file mode 100644
index 000000000..148e5f406
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/index.txt
@@ -0,0 +1,4 @@
+====== 脥ndice ======
+
+Este es un 铆ndice de todas las p谩ginas disponibles ordenado por [[doku>namespaces|espacios de nombres]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/install.html
new file mode 100644
index 000000000..c16d4c47c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/install.html
@@ -0,0 +1,14 @@
+<p>Esta p谩gina lo asiste en la primera vez que instala y configura
+<a href="http://dokuwiki.org">Dokuwiki</a>.
+M谩s informaci贸n sobre este instalador est谩 disponible en la
+<a href="http://dokuwiki.org/installer">p谩gina de documentaci贸n</a>.
+</p>
+
+<p>DokuWiki usa ficheros comunes para el almacenamiento de las p谩ginas del wiki y otra informaci贸n asociada a esas p谩ginas (por ejemplo, im谩genes, 铆ndices de archivos, revisiones viejas, etc). Para funcionar correctamente DokuWiki <strong>debe</strong> tener permisos de escritura en los directorios que contienen esos ficheros. Este instalador no es capaz de establecer permisos en directorios. Normalmente eso debe ser hecho a trav茅s de una consola de comandos o si usted usa servicios de hosting a trav茅s de FTP o el panel de control brindado por su hosting (e.g. cPanel).</p>
+
+<p>Este instalador configurar谩 una <acronym title="lista de control de acceso">ACL</acronym>, que a su vez permite el acceso al administrador y acceso a los men煤es de administraci贸n para instalaci贸n
+de plugins, administraci贸n de usuarios, administraci贸n de permisos para las p谩ginas wiki y modificaci贸n de la configuraci贸n. A pesar que no es necesario para que DokuWiki funcione, har谩 que sea m谩s f谩cil la administraci贸n.</p>
+
+<p>Usuarios experimentados o usuarios con requerimientos especiales deben usar estos enlaces para detalles concernientes a
+<a href="http://dokuwiki.org/install">instrucciones de instalaci贸n</a>
+y <a href="http://dokuwiki.org/config">configuraci贸n</a>.</p>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/lang.php
new file mode 100644
index 000000000..9d4995c52
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/lang.php
@@ -0,0 +1,262 @@
+<?php
+/**
+ * spanish language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Zigor Astarbe <zigor@astarbe.com>
+ * @author Adri谩n Ariza <adrian_ariza.ciudad.com.ar>
+ * @author Gabiel Molina <gabriel191@gmail.com>
+ * @author Paco Avila <monkiki@gmail.com>
+ * @author Bernardo Arlandis Ma帽贸 <bernardo@tsolucio.com>
+ * @author Miguel Pagano <miguel.pagano@gmail.com>
+ * @author Oscar M. Lage <r0sk10@gmail.com>
+ * @author Gabriel Castillo <gch@pumas.ii.unam.mx>
+ * @author oliver@samera.com.py
+ * @author Enrico Nicoletto <liverig@gmail.com>
+ * @author Manuel Meco <manuel.meco@gmail.com>
+ * @author VictorCastelan <victorcastelan@gmail.com>
+ * @author Jordan Mero hack.jord@gmail.com
+ * @author Felipe Martinez <metalmartinez@gmail.com>
+ * @author Javier Aranda <internet@javierav.com>
+ * @author Zerial <fernando@zerial.org>
+ * @author Marvin Ortega <maty1206@maryanlinux.com>
+ * @author Daniel Castro Alvarado <dancas2@gmail.com>
+ * @author Fernando J. G贸mez <fjgomez@gmail.com>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '鈥';
+$lang['btn_edit'] = 'Editar esta p谩gina';
+$lang['btn_source'] = 'Ver fuente';
+$lang['btn_show'] = 'Ver p谩gina';
+$lang['btn_create'] = 'Crear esta p谩gina';
+$lang['btn_search'] = 'Buscar';
+$lang['btn_save'] = 'Guardar';
+$lang['btn_preview'] = 'Previsualizaci贸n';
+$lang['btn_top'] = 'Ir hasta arriba';
+$lang['btn_newer'] = '<< m谩s reciente';
+$lang['btn_older'] = 'menos reciente >>';
+$lang['btn_revs'] = 'Revisiones antiguas';
+$lang['btn_recent'] = 'Cambios recientes';
+$lang['btn_upload'] = 'Cargar';
+$lang['btn_cancel'] = 'Cancelar';
+$lang['btn_index'] = '脥ndice';
+$lang['btn_secedit'] = 'Editar';
+$lang['btn_login'] = 'Conectarse';
+$lang['btn_logout'] = 'Desconectarse';
+$lang['btn_admin'] = 'Administrar';
+$lang['btn_update'] = 'Actualizar';
+$lang['btn_delete'] = 'Borrar';
+$lang['btn_back'] = 'Atr谩s';
+$lang['btn_backlink'] = 'Enlaces anteriores';
+$lang['btn_backtomedia'] = 'Volver a la selecci贸n de archivos multimedia';
+$lang['btn_subscribe'] = 'Suscribirse a cambios de la p谩gina';
+$lang['btn_unsubscribe'] = 'Cancelar suscripci贸n a cambios de la p谩gina';
+$lang['btn_subscribens'] = 'Suscribirse a cambios del espacio de nombres';
+$lang['btn_unsubscribens'] = 'Cancelar suscripci贸n a cambios del espacio de nombres';
+$lang['btn_profile'] = 'Actualizar perfil';
+$lang['btn_reset'] = 'Restablecer';
+$lang['btn_resendpwd'] = 'Enviar nueva contrase帽a';
+$lang['btn_draft'] = 'Editar borrador';
+$lang['btn_recover'] = 'Recuperar borrador';
+$lang['btn_draftdel'] = 'Eliminar borrador';
+$lang['btn_revert'] = 'Restaurar';
+$lang['loggedinas'] = 'Conectado como ';
+$lang['user'] = 'Usuario';
+$lang['pass'] = 'Contrase帽a';
+$lang['newpass'] = 'Nueva contrase帽a';
+$lang['oldpass'] = 'Confirma tu contrase帽a actual';
+$lang['passchk'] = 'otra vez';
+$lang['remember'] = 'Recordarme';
+$lang['fullname'] = 'Nombre real';
+$lang['email'] = 'E-Mail';
+$lang['register'] = 'Registrarse';
+$lang['profile'] = 'Perfil del usuario';
+$lang['badlogin'] = 'Lo siento, el usuario o la contrase帽a es incorrecto.';
+$lang['minoredit'] = 'Cambios menores';
+$lang['draftdate'] = 'Borrador guardado autom谩ticamente:';
+$lang['nosecedit'] = 'La p谩gina ha cambiado en el lapso, la informaci贸n de secci贸n estaba anticuada, en su lugar se carg贸 la p谩gina completa.';
+$lang['regmissing'] = 'Lo siento, tienes que completar todos los campos.';
+$lang['reguexists'] = 'Lo siento, ya existe un usuario con este nombre.';
+$lang['regsuccess'] = 'El usuario ha sido creado y la contrase帽a se ha enviado por correo.';
+$lang['regsuccess2'] = 'El usuario ha sido creado.';
+$lang['regmailfail'] = 'Parece que ha habido un error al enviar el correo con la contrase帽a. 隆Por favor, contacta al administrador!';
+$lang['regbadmail'] = 'La direcci贸n de correo no parece v谩lida. Si piensas que esto es un error, contacta al administrador';
+$lang['regbadpass'] = 'Las dos contrase帽as no son iguales, por favor int茅ntalo de nuevo.';
+$lang['regpwmail'] = 'Tu contrase帽a de DokuWiki';
+$lang['reghere'] = '驴No tienes una cuenta todav铆a? Consigue una';
+$lang['profna'] = 'Este wiki no permite la modificaci贸n del perfil';
+$lang['profnochange'] = 'Sin cambios, nada que hacer.';
+$lang['profnoempty'] = 'No se permite que el nombre o la direcci贸n de correo electr贸nico est茅n vac铆os.';
+$lang['profchanged'] = 'Se actualiz贸 correctamente el perfil del usuario.';
+$lang['pwdforget'] = '驴Has olvidado tu contrase帽a? Consigue una nueva';
+$lang['resendna'] = 'Este wiki no brinda la posibilidad de reenv铆o de contrase帽a.';
+$lang['resendpwd'] = 'Enviar una nueva contrase帽a para';
+$lang['resendpwdmissing'] = 'Lo siento, debes completar todos los campos.';
+$lang['resendpwdnouser'] = 'Lo siento, no se encuentra este usuario en nuestra base de datos.';
+$lang['resendpwdbadauth'] = 'Lo siento, este c贸digo de autenticaci贸n no es v谩lido. Aseg煤rate de haber usado el enlace de confirmaci贸n entero.';
+$lang['resendpwdconfirm'] = 'Un enlace para confirmaci贸n ha sido enviado por correo electr贸nico.';
+$lang['resendpwdsuccess'] = 'Tu nueva contrase帽a ha sido enviada por correo electr贸nico.';
+$lang['license'] = 'Excepto donde se indique lo contrario, el contenido de esta wiki se autoriza bajo la siguiente licencia:';
+$lang['licenseok'] = 'Nota: Al editar esta p谩gina, est谩s de acuerdo en autorizar su contenido bajo la siguiente licencia:';
+$lang['searchmedia'] = 'Buscar archivo:';
+$lang['searchmedia_in'] = 'Buscar en %s';
+$lang['txt_upload'] = 'Selecciona el archivo a subir';
+$lang['txt_filename'] = 'Subir como (opcional)';
+$lang['txt_overwrt'] = 'Sobreescribir archivo existente';
+$lang['lockedby'] = 'Actualmente bloqueado por';
+$lang['lockexpire'] = 'El bloqueo expira en';
+$lang['willexpire'] = 'Tu bloqueo para editar esta p谩gina expira en un minuto.\nPara evitar conflictos usa el bot贸n previsualizar para reiniciar el contador de tiempo.';
+$lang['notsavedyet'] = 'Los cambios que no se han guardado se perder谩n.\n驴Realmente quieres continuar?';
+$lang['rssfailed'] = 'Se ha producido un error mientras se le铆an los datos de este feed: ';
+$lang['nothingfound'] = 'No se ha encontrado nada.';
+$lang['mediaselect'] = 'Archivos Multimedia';
+$lang['fileupload'] = 'Subida de archivos multimedia';
+$lang['uploadsucc'] = 'El archivo se ha subido satisfactoriamente';
+$lang['uploadfail'] = 'La subida del fichero ha fallado. 驴Permisos equivocados?';
+$lang['uploadwrong'] = 'Subida de fichero denegada. 隆Los ficheros con esta extensi贸n est谩n prohibidos!';
+$lang['uploadexist'] = 'El fichero ya existe. No se ha hecho nada.';
+$lang['uploadbadcontent'] = 'El contenido de la subida no coincide con la extensi贸n de fichero %s';
+$lang['uploadspam'] = 'La subida ha sido bloqueada por una lista negra de spam';
+$lang['uploadxss'] = 'La subida ha sido bloqueada por contenido posiblemente malicioso';
+$lang['uploadsize'] = 'El fichero subido es demasiado grande. (max. %s)';
+$lang['deletesucc'] = 'El fichero "%s" ha sido borrado.';
+$lang['deletefail'] = '"%s" no pudo ser borrado; verifique los permisos.';
+$lang['mediainuse'] = 'El fichero "%s" no ha sido borrado, a煤n est谩 en uso.';
+$lang['namespaces'] = 'Espacios de nombres';
+$lang['mediafiles'] = 'Ficheros disponibles en';
+$lang['js']['searchmedia'] = 'Buscar archivos';
+$lang['js']['keepopen'] = 'Mantener la ventana abierta luego de seleccionar';
+$lang['js']['hidedetails'] = 'Ocultar detalles';
+$lang['js']['nosmblinks'] = 'El enlace a recursos compartidos de Windows s贸lo funciona en Microsoft Internet Explorer.
+Lo que s铆 puedes hacer es copiar y pegar el enlace.';
+$lang['js']['linkwiz'] = 'Asistente de enlaces';
+$lang['js']['linkto'] = 'Enlazar a:';
+$lang['js']['del_confirm'] = '驴Quieres realmente borrar lo seleccionado?';
+$lang['js']['mu_btn'] = 'Subir varios archivos a la vez';
+$lang['mediausage'] = 'Use la siguiente sintaxis para hacer referencia a este fichero:';
+$lang['mediaview'] = 'Ver el fichero original';
+$lang['mediaroot'] = 'root';
+$lang['mediaupload'] = 'Subir aqu铆 un fichero al espacio de nombres actual. Para crear sub-espacios de nombres, antep贸ngalos al nombre de fichero separ谩ndolos por dos puntos (:) en "Subir como".';
+$lang['mediaextchange'] = 'Extensi贸n del fichero cambiada de .%s a .%s!';
+$lang['reference'] = 'Referencias para';
+$lang['ref_inuse'] = 'El fichero no puede ser borrado, porque todav铆a se est谩 usando en las siguientes p谩ginas:';
+$lang['ref_hidden'] = 'Algunas referencias est谩n en p谩ginas sobre las que no tienes permiso de lectura';
+$lang['hits'] = 'Entradas';
+$lang['quickhits'] = 'P谩ginas que coinciden';
+$lang['toc'] = 'Tabla de Contenidos';
+$lang['current'] = 'actual';
+$lang['yours'] = 'Tu versi贸n';
+$lang['diff'] = 'Muestra diferencias a la versi贸n actual';
+$lang['diff2'] = 'Muestra las diferencias entre las revisiones seleccionadas';
+$lang['line'] = 'L铆nea';
+$lang['breadcrumb'] = 'Traza';
+$lang['youarehere'] = 'Est谩s aqu铆';
+$lang['lastmod'] = '脷ltima modificaci贸n';
+$lang['by'] = 'por';
+$lang['deleted'] = 'borrado';
+$lang['created'] = 'creado';
+$lang['restored'] = 'se ha restaurado la vieja versi贸n';
+$lang['external_edit'] = 'editor externo';
+$lang['summary'] = 'Resumen de la edici贸n';
+$lang['noflash'] = 'Para mostrar este contenido es necesario el <a href="http://www.adobe.com/products/flashplayer/">Plugin Adobe Flash</a>.';
+$lang['download'] = 'Descargar trozo de c贸digo fuente';
+$lang['mail_newpage'] = 'p谩gina a帽adida:';
+$lang['mail_changed'] = 'p谩gina cambiada:';
+$lang['mail_new_user'] = 'nuevo usuario:';
+$lang['mail_upload'] = 'archivo subido:';
+$lang['qb_bold'] = 'Negrita';
+$lang['qb_italic'] = 'It谩lica';
+$lang['qb_underl'] = 'Subrayado';
+$lang['qb_code'] = 'C贸digo';
+$lang['qb_strike'] = 'Tachado';
+$lang['qb_h1'] = 'T铆tulo 1';
+$lang['qb_h2'] = 'T铆tulo 2';
+$lang['qb_h3'] = 'T铆tulo 3';
+$lang['qb_h4'] = 'T铆tulo 4';
+$lang['qb_h5'] = 'T铆tulo 5';
+$lang['qb_h'] = 'T铆tulo';
+$lang['qb_hs'] = 'Selecciona el t铆tulo';
+$lang['qb_hplus'] = 'T铆tulo alto';
+$lang['qb_hminus'] = 'T铆tulo bajo';
+$lang['qb_hequal'] = 'T铆tulo del mismo nivel';
+$lang['qb_link'] = 'Enlace interno';
+$lang['qb_extlink'] = 'Enlace externo';
+$lang['qb_hr'] = 'L铆nea horizontal';
+$lang['qb_ol'] = '脥tem de lista ordenada';
+$lang['qb_ul'] = '脥tem de lista desordenada';
+$lang['qb_media'] = 'A帽adir Im谩genes u otros ficheros';
+$lang['qb_sig'] = 'Insertar firma';
+$lang['qb_smileys'] = 'Sonrisas';
+$lang['qb_chars'] = 'Caracteres especiales';
+$lang['upperns'] = 'Saltar al espacio de nombres superior';
+$lang['admin_register'] = 'A帽adir nuevo usuario';
+$lang['metaedit'] = 'Editar metadatos';
+$lang['metasaveerr'] = 'La escritura de los metadatos ha fallado';
+$lang['metasaveok'] = 'Los metadatos han sido guardados';
+$lang['img_backto'] = 'Volver a';
+$lang['img_title'] = 'T铆tulo';
+$lang['img_caption'] = 'Ep铆grafe';
+$lang['img_date'] = 'Fecha';
+$lang['img_fname'] = 'Nombre de fichero';
+$lang['img_fsize'] = 'Tama帽o';
+$lang['img_artist'] = 'Fot贸grafo';
+$lang['img_copyr'] = 'Copyright';
+$lang['img_format'] = 'Formato';
+$lang['img_camera'] = 'C谩mara';
+$lang['img_keywords'] = 'Palabras claves';
+$lang['subscribe_success'] = '%s ha sido a帽adido a la lista de notificaci贸n de cambios de %s';
+$lang['subscribe_error'] = 'Ha habido un error al agregar %s a la lista de notificaci贸n de cambios de %s';
+$lang['subscribe_noaddress'] = 'No hay ninguna direcci贸n de correo electr贸nico asociada con tu nombre de usuario, no puedes ser a帽adido a la lista de notificaci贸n de cambios';
+$lang['unsubscribe_success'] = '%s ha sido eliminado de la lista de notificaci贸n de cambios de %s';
+$lang['unsubscribe_error'] = 'Ha habido un error al eliminar %s de la lista de notificaci贸n de cambios de %s';
+$lang['authmodfailed'] = 'Est谩 mal configurada la autenticaci贸n de usuarios. Por favor, avisa al administrador del wiki.';
+$lang['authtempfail'] = 'La autenticaci贸n de usuarios no est谩 disponible temporalmente. Si esta situaci贸n persiste, por favor avisa al administrador del wiki.';
+$lang['i_chooselang'] = 'Elija su idioma';
+$lang['i_installer'] = 'Instalador de DokuWiki';
+$lang['i_wikiname'] = 'Nombre del wiki';
+$lang['i_enableacl'] = 'Habilitar ACL (recomendado) (ACL: lista de control de acceso)';
+$lang['i_superuser'] = 'Super-usuario';
+$lang['i_problems'] = 'El instalador encontr贸 algunos problemas, se muestran abajo. No se puede continuar la instalaci贸n hasta que usted no los corrija.';
+$lang['i_modified'] = 'Por razones de seguridad este script s贸lo funcionar谩 con una instalaci贸n nueva y no modificada de Dokuwiki. Usted debe extraer nuevamente los ficheros del paquete bajado, o bien consultar las <a href="http://dokuwiki.org/install">instrucciones de instalaci贸n de Dokuwiki</a> completas.';
+$lang['i_funcna'] = 'La funci贸n de PHP <code>%s</code> no est谩 disponible. Tal vez su proveedor de hosting la ha deshabilitado por alguna raz贸n?';
+$lang['i_phpver'] = 'Su versi贸n de PHP <code>%s</code> es menor que la necesaria <code>%s</code>. Es necesario que actualice su instalaci贸n de PHP.';
+$lang['i_permfail'] = 'DokuWili no puede escribir <code>%s</code>. Es necesario establecer correctamente los permisos de este directorio!';
+$lang['i_confexists'] = '<code>%s</code> ya existe';
+$lang['i_writeerr'] = 'Imposible crear <code>%s</code>. Se necesita que usted controle los permisos del fichero/directorio y que cree el fichero manualmente.';
+$lang['i_badhash'] = 'dokuwiki.php no reconocido o modificado (hash=<code>%s</code>)';
+$lang['i_badval'] = '<code>%s</code> - valor ilegal o vac铆o';
+$lang['i_success'] = 'La configuraci贸n ha concluido correctamente. Ahora puede eliminar el archivo install.php. Visite <a href="doku.php">su nuevo DokuWiki</a>.';
+$lang['i_failure'] = 'Han ocurrido algunos errores durante la escritura de los ficheros de configuraci贸n. Puede ser que necesite corregirlos manualmente antes de poder usar <a href="doku.php">su nuevo DokuWiki</a>.';
+$lang['i_policy'] = 'Pol铆tica de ACL inicial';
+$lang['i_pol0'] = 'Wiki abierto (leer, escribir y subir archivos para todos)';
+$lang['i_pol1'] = 'Wiki p煤blico (leer para todos, escribir y subir archivos para usuarios registrados 煤nicamente)';
+$lang['i_pol2'] = 'Wiki cerrado (leer, escribir y subir archivos para usuarios registrados 煤nicamente)';
+$lang['i_retry'] = 'Reintentar';
+$lang['mu_intro'] = 'Puedes subir varios archivos a la vez desde aqu铆. Pulsa el bot贸n del navegador para agregarlos a la cola. Pulsa "subir archivo" para proceder.';
+$lang['mu_gridname'] = 'Nombre de archivo';
+$lang['mu_gridsize'] = 'Tama帽o';
+$lang['mu_gridstat'] = 'Estado';
+$lang['mu_namespace'] = 'Espacio de nombres';
+$lang['mu_browse'] = 'Buscar';
+$lang['mu_toobig'] = 'demasiado grande';
+$lang['mu_ready'] = 'listo para subir';
+$lang['mu_done'] = 'completado';
+$lang['mu_fail'] = 'fall贸';
+$lang['mu_authfail'] = 'la sesi贸n caduc贸';
+$lang['mu_progress'] = '@PCT@% transferido';
+$lang['mu_filetypes'] = 'Tipos de archivos permitidos';
+$lang['mu_info'] = 'Archivos subidos:';
+$lang['mu_lasterr'] = '脷ltimo error:';
+$lang['recent_global'] = 'Actualmente est谩s viendo los cambios dentro del namespace <b>%s</b>. Tambi茅n puedes <a href="%s">ver los cambios recientes en el wiki completo</a>.';
+$lang['years'] = '%d a帽os atr谩s';
+$lang['months'] = '%d meses atr谩s';
+$lang['weeks'] = '%d semanas atr谩s';
+$lang['days'] = '%d d铆as atr谩s';
+$lang['hours'] = '%d horas atr谩s';
+$lang['minutes'] = '%d minutos atr谩s';
+$lang['seconds'] = '%d segundos atr谩s';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/locked.txt
new file mode 100644
index 000000000..e151bf7e9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/locked.txt
@@ -0,0 +1,3 @@
+====== P谩gina bloqueada ======
+
+Esta p谩gina est谩 actualmente bloqueada porque la est谩 editando otro usuario. Tienes que esperar a que termine de editarla o el bloqueo expire. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/login.txt
new file mode 100644
index 000000000..a8d9be7a9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/login.txt
@@ -0,0 +1,3 @@
+====== Login ======
+
+隆Actualmente no est谩s identificado! Introduce abajo tus datos de identificaci贸n para abrir una sesi贸n. Necesitas tener las cookies activadas para identificarte.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/mailtext.txt
new file mode 100644
index 000000000..893ec1cb9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/mailtext.txt
@@ -0,0 +1,17 @@
+Se ha cambiado o a帽adido una p谩gina en tu DokuWiki. Aqu铆 est谩n los detalles:
+
+Fecha : @DATE@
+Navegador : @BROWSER@
+Direcci贸n-IP : @IPADDRESS@
+Nombre de Host : @HOSTNAME@
+Revisi贸n Vieja: @OLDPAGE@
+Revisi贸n Nueva : @NEWPAGE@
+Resumen de la edici贸n: @SUMMARY@
+Usuario : @USER@
+
+@DIFF@
+
+
+--
+Este correo ha sido generado por DokuWiki en
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/newpage.txt
new file mode 100644
index 000000000..d119ca29d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/newpage.txt
@@ -0,0 +1,3 @@
+====== Este tema no existe todav铆a ======
+
+Has seguido un enlace a un tema que no existe todav铆a. Puedes crearlo usando el bot贸n ''Crea esta p谩gina''.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/norev.txt
new file mode 100644
index 000000000..42ee6b5c2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/norev.txt
@@ -0,0 +1,4 @@
+====== No existe esta revision ======
+
+La revisi贸n especificada no existe. Usa el bot贸n ''Revisiones antiguas'' para una lista de revisiones antiguas de este documento.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/preview.txt
new file mode 100644
index 000000000..b4d5a2ed1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/preview.txt
@@ -0,0 +1,4 @@
+====== Previsualizaci贸n ======
+
+Esto es una previsualizaci贸n de c贸mo aparecer谩 tu texto. Recuerda: **no est谩 guardado** todav铆a!
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/pwconfirm.txt
new file mode 100644
index 000000000..c3dad116e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/pwconfirm.txt
@@ -0,0 +1,16 @@
+Hola @FULLNAME@!
+
+Alguien solicit贸 una nueva contrase帽a para su nombre de
+usuario @TITLE@ en @DOKUWIKIURL@
+
+Si usted no solicit贸 una nueva contrase帽a, simplemente ignore este email.
+
+Para confirmar que la solicitud fue realizada realmente por usted,
+por favor use el siguiente enlace.
+
+@CONFIRM@
+
+
+--
+Este mail ha sido generado por DokuWiki en
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/read.txt
new file mode 100644
index 000000000..461b745fb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/read.txt
@@ -0,0 +1 @@
+Esta p谩gina es de solo lectura. Puedes ver la fuente pero no puedes cambiarla. Pregunta a tu administrador si crees que esto es incorrecto.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/recent.txt
new file mode 100644
index 000000000..432def26f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/recent.txt
@@ -0,0 +1,5 @@
+====== Cambios Recientes ======
+
+Las siguientes p谩ginas han sido modificadas recientemente.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/register.txt
new file mode 100644
index 000000000..98248269d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/register.txt
@@ -0,0 +1,3 @@
+====== Registro como nuevo usuario ======
+
+Completa toda la informaci贸n del formulario para crear un nuevo usuario en este wiki. Aseg煤rate que escribes una **direcci贸n de e-mail v谩lida** puesto que all铆 se enviar谩 tu contrase帽a. El nombre de usuario ha de ser un nombre v谩lido seg煤n [[doku>pagename|pagename]].
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/registermail.txt
new file mode 100644
index 000000000..e773e3200
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/registermail.txt
@@ -0,0 +1,14 @@
+Un nuevo usuario ha sido registrado. Aqu铆 est谩n los detalles:
+
+Usuario : @NEWUSER@
+Nombre completo : @NEWNAME@
+E-Mail : @NEWEMAIL@
+
+Fecha : @DATE@
+Navegador : @BROWSER@
+Direcci贸n-IP : @IPADDRESS@
+Nombre del host : @HOSTNAME@
+
+--
+Este mail ha sido generado por DokuWiki en
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/resendpwd.txt
new file mode 100644
index 000000000..1d74e79bb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/resendpwd.txt
@@ -0,0 +1,3 @@
+====== Enviar nueva contrase帽a ======
+
+Completa la informaci贸n requerida abajo para obtener una nueva contrase帽a para tu cuenta de usuario en este wiki. La nueva contrase帽a te ser谩 enviada a la direcci贸n de mail que est谩 registrada.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/revisions.txt
new file mode 100644
index 000000000..b093e857f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/revisions.txt
@@ -0,0 +1,4 @@
+====== Revisiones Antiguas ======
+
+Estas son revisiones m谩s antiguas del documento actual. Para volver a una revisi贸n antigua selecci贸nala de abajo, pulsa ''Edita esta p谩gina'' y gu谩rdala.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/searchpage.txt
new file mode 100644
index 000000000..47a1a90dd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/searchpage.txt
@@ -0,0 +1,5 @@
+====== B煤squeda ======
+
+Puedes encontrar los resultados de tu b煤squeda abajo. Si no has encontrado lo que buscabas, puedes crear una nueva p谩gina con tu consulta utilizando el bot贸n ''Crea esta p谩gina''.
+
+===== Resultados ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/showrev.txt
new file mode 100644
index 000000000..c84bbc01d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/showrev.txt
@@ -0,0 +1,2 @@
+**隆Esta es una revisi贸n vieja del documento!**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/stopwords.txt
new file mode 100644
index 000000000..256908925
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/stopwords.txt
@@ -0,0 +1,171 @@
+# Esta es una lista de palabras que estan ignoradas por el indexador, una palabra por l铆nea
+# Cuando se edita este archivo, aseg煤rese de usar la l铆nea de terminaciones UNIX (una sola nueva l铆nea)
+# No necesita incluir palabras cortas con 3 caracteres - estas son ignoradas de todos modos
+#Esta lista esta basada en las que encontramos en la siguiente url http://www.ranks.nl/stopwords/
+una
+unas
+unos
+uno
+sobre
+todo
+tambi茅n
+tras
+otro
+alg煤n
+alguno
+alguna
+algunos
+algunas
+ser
+soy
+eres
+somos
+sois
+estoy
+esta
+estamos
+estais
+estan
+como
+para
+atras
+porque
+por
+qu茅
+estado
+estaba
+ante
+antes
+siendo
+ambos
+pero
+poder
+puede
+puedo
+podemos
+podeis
+pueden
+fui
+fue
+fuimos
+fueron
+hacer
+hago
+hace
+hacemos
+haceis
+hacen
+cada
+fin
+incluso
+primero
+desde
+conseguir
+consigo
+consigue
+consigues
+conseguimos
+consiguen
+voy
+va
+vamos
+vais
+van
+vaya
+gueno
+tener
+tengo
+tiene
+tenemos
+teneis
+tienen
+las
+los
+aqui
+mio
+tuyo
+ellos
+ellas
+nos
+nosotros
+vosotros
+vosotras
+dentro
+solo
+solamente
+saber
+sabes
+sabe
+sabemos
+sabeis
+saben
+ultimo
+largo
+bastante
+haces
+muchos
+aquellos
+aquellas
+sus
+entonces
+tiempo
+verdad
+verdadero
+verdadera
+cierto
+ciertos
+cierta
+ciertas
+intentar
+intento
+intenta
+intentas
+intentamos
+intentais
+intentan
+dos
+bajo
+arriba
+encima
+usar
+uso
+usas
+usa
+usamos
+usais
+usan
+emplear
+empleo
+empleas
+emplean
+ampleamos
+empleais
+valor
+muy
+era
+eras
+eramos
+eran
+modo
+bien
+cual
+cuando
+donde
+mientras
+quien
+con
+entre
+sin
+trabajo
+trabajar
+trabajas
+trabaja
+trabajamos
+trabajais
+trabajan
+podria
+podrias
+podriamos
+podrian
+podriais
+aquel
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/subscribermail.txt
new file mode 100644
index 000000000..05be8557a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/subscribermail.txt
@@ -0,0 +1,23 @@
+隆Hola!
+
+La p谩gina @PAGE@ en el wiki @TITLE@ ha cambiado.
+Los cambios son los siguientes:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+Fecha : @DATE@
+Usuario : @USER@
+Resumen de la edici贸n: @SUMMARY@
+Revisi贸n vieja: @OLDPAGE@
+Revisi贸n nueva: @NEWPAGE@
+
+Para cacelar las notificaciones, ingresa al wiki
+en @DOKUWIKIURL@ y luego visita la p谩gina
+@NEWPAGE@
+y elige 'Cancelar suscripci贸n'.
+
+--
+Este mail fue generado por DokuWiki en
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/updateprofile.txt
new file mode 100644
index 000000000..822e558f8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/updateprofile.txt
@@ -0,0 +1,3 @@
+====== Actualiza el perfil de tu cuenta de usuario ======
+
+S贸lo necesitas completar aquellos campos que quieres cambiar. No puedes cambiar tu nombre de usuario.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/uploadmail.txt
new file mode 100644
index 000000000..9d2f980d3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/uploadmail.txt
@@ -0,0 +1,14 @@
+Se ha subido un fichero a tu DokuWuki. Estos son los detalles:
+
+Archivo : @MEDIA@
+Fecha : @DATE@
+Navegador : @BROWSER@
+Direcci贸n IP : @IPADDRESS@
+Hostname : @HOSTNAME@
+Tama帽o : @SIZE@
+MIME Type : @MIME@
+Usuario : @USER@
+
+--
+Este correo fue generado por DokuWiki en
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/wordblock.txt
new file mode 100644
index 000000000..739a1b76b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/es/wordblock.txt
@@ -0,0 +1,4 @@
+====== SPAM bloqueado ======
+
+Tus cambios **no** se han guardado porque contienen una o m谩s palabras prohibidas. Si has intentado spamear el Wiki: 隆Perro malo! Si crees que es un error contacta con el administrador de este Wiki.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/admin.txt
new file mode 100644
index 000000000..1934f482b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/admin.txt
@@ -0,0 +1,4 @@
+====== Administreerimine ======
+
+Allj盲rgnevalt leiate nimekirja administratiivsetest tegevustest, mida DokuWiki v玫imaldab.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/backlinks.txt
new file mode 100644
index 000000000..4b405cdfd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/backlinks.txt
@@ -0,0 +1,4 @@
+====== Siia lehele lingiga haagitud lehed ======
+
+Nimekiri nendest lehtedest, kuskohalt Sa lingi abil siia lehele saad.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/conflict.txt
new file mode 100644
index 000000000..cf9f571ab
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/conflict.txt
@@ -0,0 +1,6 @@
+====== Uus versioon t盲itsa olemas ======
+
+Sellest dokumendist, mis Sa toimetasid on tegelikult juba olemas ka uuem versioon. Selline asi juhtub siis kui sel ajal kui Sina vaikselt oma dokumendi kallal nokitsesid tegi keegi juba k盲hku omad Muutused sealsamas dokumendis 盲ra.
+
+Vaata hoolikalt allpool n盲idatud erinevusi ja siis otsusta millise versiooni alles j盲tad. Kui Sa peaks valima ''salvesta'', siis juhtubki selline lugu, et Sinu versioon salvestatakse. kui Sa aga peaks kl玫psama ''katkesta'' s盲ilib hetkel kehtiv versioon.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/denied.txt
new file mode 100644
index 000000000..bb564ac57
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/denied.txt
@@ -0,0 +1,3 @@
+====== Sul pole ligip盲盲suluba ======
+
+Kahju k眉ll, aga sinu tublidusest ei piisa, et edasi liikuda, selleks on vastavaid 玫igusi vaja.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/diff.txt
new file mode 100644
index 000000000..d10a93b84
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/diff.txt
@@ -0,0 +1,4 @@
+====== Erinevused ======
+
+Siin n盲ed erinevusi valitud versiooni ja hetkel kehtiva lehek眉lje vahel.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/draft.txt
new file mode 100644
index 000000000..6669f3be5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/draft.txt
@@ -0,0 +1,6 @@
+====== Leidsin katkenud toimetamise ======
+
+Sinu viimane toimetamissessioon ei l玫ppenud eelmine kord korrap盲raselt. DokuWiki automaatselt salvestas Sinu pooliku t枚枚, mida v玫id n眉眉d kasutada t枚枚 j盲tkamiseks. Allpool n盲ed teksti, mis suudeti p盲盲sta.
+
+Kas tahad //taastada// kaotused, //kustutada// poolik t枚枚 v玫i //眉ldse mitte midagi teha//?
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/edit.txt
new file mode 100644
index 000000000..6167c8552
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/edit.txt
@@ -0,0 +1,2 @@
+Toimeta seda lehte ja kl玫psa ''Salvesta'' peal. Wikis teksti kujundamise vahenditega tutvumiseks, st. kuidas teha rasvast ja kaldkirja jne., vaata [[wiki:syntax|s眉ntaksitutvustus lehelt]]. Kui Sa tahad midagi testida, saad seda teha [[playground:playground|m盲ngualal]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/editrev.txt
new file mode 100644
index 000000000..3ab6d7161
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/editrev.txt
@@ -0,0 +1,3 @@
+**Sa oled omale t玫mmanud selle dokumendi vana versiooni!** Kui Sa selle salvestad s眉nnib nende andmetega uus versioon.
+----
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/index.txt
new file mode 100644
index 000000000..8d2e25a68
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/index.txt
@@ -0,0 +1,3 @@
+====== Sisukord ======
+
+See siin on nimekiri k玫igist saadaval olevatest lehtedest j盲rjestatud [[doku>namespaces|alajaotuste]] j盲rgi.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/lang.php
new file mode 100644
index 000000000..4d3f10bdc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/lang.php
@@ -0,0 +1,214 @@
+<?php
+/**
+ * Estonian language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Oliver S6ro <seem.iges@mail.ee>
+ * @author Aari Juhanson <aari@vmg.vil.ee>
+ * @author Kaiko Kaur <kaiko@kultuur.edu.ee>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';//&bdquo;
+$lang['doublequoteclosing'] = '鈥';//&ldquo;
+$lang['singlequoteopening'] = '鈥';//&sbquo;
+$lang['singlequoteclosing'] = '鈥';//&lsquo;
+
+$lang['btn_edit'] = 'Toimeta seda lehte';
+$lang['btn_source'] = 'N盲ita lehep玫hja';
+$lang['btn_show'] = 'N盲ita lehte';
+$lang['btn_create'] = 'Tekita selle lingi alla leht';
+$lang['btn_search'] = 'Otsi';
+$lang['btn_save'] = 'Salvesta';
+$lang['btn_preview']= 'Eelvaade';
+$lang['btn_top'] = 'Tagasi lehe algusesse';
+$lang['btn_revs'] = 'Eelmised versioonid';
+$lang['btn_recent'] = 'Viimased muudatused';
+$lang['btn_upload'] = 'Lae 眉les';
+$lang['btn_cancel'] = 'Katkesta';
+$lang['btn_index'] = 'Sisukord';
+$lang['btn_secedit']= 'Toimeta';
+$lang['btn_login'] = 'Logi sisse';
+$lang['btn_logout'] = 'Logi v盲lja';
+$lang['btn_admin'] = 'Administreeri';
+$lang['btn_update'] = 'Uuenda';
+$lang['btn_delete'] = 'Kustuta';
+$lang['btn_newer'] = '<< varajasemad';
+$lang['btn_older'] = '>> hilisemad';
+$lang['btn_back'] = 'Tagasi';
+$lang['btn_backtomedia'] = 'Tagasi faili valikusse';
+$lang['btn_profile'] = 'Minu info';
+$lang['btn_reset'] = 'Taasta';
+$lang['btn_resendpwd'] = 'Saada uus parool';
+$lang['btn_draft'] = 'Toimeta mustandit';
+$lang['btn_recover'] = 'Taata mustand';
+$lang['btn_draftdel'] = 'Kustuta mustand';
+$lang['newpass'] = 'Uus parool';
+$lang['oldpass'] = 'Vana parool';
+$lang['passchk'] = 'Korda uut parooli';
+$lang['profile'] = 'Kasutaja info';
+$lang['minoredit'] = 'Ebaolulised muudatused';
+$lang['draftdate'] = 'Mustand automaatselt salvestatud';
+$lang['regsuccess2'] = 'Kasutaja sai tehtud.';
+$lang['regbadpass'] = 'Uus parool on kirjutatud erinevalt. Proovi uuesti.';
+$lang['uploadexist'] = 'Fail on juba olemas. Midagi ei muudetud.';
+$lang['deletesucc'] = 'Fail nimega "%s" sai kustutatud.';
+$lang['deletefail'] = 'Faili nimega "%s" ei kustutatud (kontrolli 玫igusi).';
+$lang['mediainuse'] = 'Faili nimega "%s" ei kustutatud, sest see on kasutuses.';
+$lang['js']['keepopen'] = 'J盲ta aken peale valiku sooritamist avatuks';
+$lang['js']['hidedetails'] = 'Peida detailid';
+$lang['mediausage'] = 'Kasuta j盲rgmist kirjapilti sellele failile viitamaks:';
+$lang['mediaview'] = 'Vaata faili algsel kujul.';
+$lang['mediaroot'] = 'juur';
+$lang['mediaupload'] = 'Lae fail sellesse nimeruumi (kataloogi). Et tekitada veel alam nimeruum kasuta koolonit Wiki nimes.';
+$lang['mediaextchange'] = 'Faili laiend .%s-st %s-ks!';
+$lang['ref_inuse'] = 'Seda faili ei saa kustutada, sest teda kasutavad j盲rgmised lehed:';
+$lang['ref_hidden'] = 'M玫ned viidad failile on lehtedel, millele sul ei ole ligip盲盲su';
+$lang['youarehere'] = 'Sa oled siin';
+$lang['mail_new_user'] = 'Uus kasutaja:';
+$lang['qb_strike'] = 'L盲bijoonitud tekst';
+$lang['qb_smileys'] = 'Emotikonid';
+$lang['qb_chars'] = 'Eris眉mbolid';
+$lang['admin_register'] = 'Lisa kasutaja';
+
+
+#$lang['reference'] = '';
+#$lang['btn_backlink'] = '';
+#$lang['profna'] = '';
+$lang['btn_subscribe'] = 'J盲lgi seda lehte (teated meilile)';
+$lang['btn_unsubscribe'] = 'L玫peta lehe j盲lgimine';
+$lang['profnochange'] = 'Muutused puuduvad.';
+$lang['profnoempty'] = 'T眉hi nimi ega meiliaadress pole lubatud.';
+$lang['profchanged'] = 'Kasutaja info edukalt muudetud';
+$lang['pwdforget'] = 'Unustasid parooli? Tee uus';
+$lang['resendna'] = 'See wiki ei toeta parooli taassaatmist.';
+$lang['resendpwd'] = 'Saada uus parool';
+$lang['resendpwdmissing'] = 'Khmm... Sa pead t盲itma k玫ik v盲ljad.';
+$lang['resendpwdnouser'] = 'Aga sellist kasutajat ei ole.';
+$lang['resendpwdbadauth'] = 'See autentimiskood ei ole 玫ige. Kontrolli, et kopeerisid terve lingi.';
+$lang['resendpwdconfirm'] = 'Kinnituslink saadeti meilile.';
+$lang['resendpwdsuccess'] = 'Uus parool saadeti Sinu meilile.';
+$lang['txt_overwrt'] = 'Kirjutan olemasoleva faili 眉le';
+$lang['metaedit'] = 'Muuda lisainfot';
+$lang['metasaveerr'] = 'Lisainfo salvestamine l盲ks untsu.';
+$lang['metasaveok'] = 'Lisainfo salvestatud';
+$lang['img_backto'] = 'Tagasi';
+$lang['img_title'] = 'Tiitel';
+$lang['img_caption'] = 'Kirjeldus';
+$lang['img_date'] = 'Kuup盲ev';
+$lang['img_fname'] = 'Faili nimi';
+$lang['img_fsize'] = 'Suurus';
+$lang['img_artist'] = 'Autor';
+#$lang['img_copyr'] = '';
+$lang['img_format'] = 'Formaat';
+$lang['img_camera'] = 'Kaamera';
+$lang['img_keywords'] = 'V玫tmes玫nad';
+
+$lang['i_chooselang'] = 'Vali keel';
+$lang['i_installer'] = 'DokuWiki paigaldaja';
+$lang['i_wikiname'] = 'Wiki nimi';
+$lang['i_enableacl'] = 'Kas lubada kasutajate haldus (soovitatav)';
+$lang['i_superuser'] = 'Superkasutaja';
+$lang['i_problems'] = 'Paigaldaja leidis m玫ned vead, mis on allpool v盲lja toodud. Enne vigade eemaldamist ei saa j盲tkata.';
+$lang['i_modified'] = '脮nnetuste v盲ltimiseks l盲heb see skript k盲ima ainult v盲rskelt paigaldatud ja muutmata Dokuwiki peal.
+ Sa peaksid ilmselt kogu koodi uuesti lahti pakkima. Vaata ka <a href="http://dokuwiki.org/install">Dokuwiki installeerimis juhendit</a>';
+$lang['i_funcna'] = 'PHP funktsiooni <code>%s</code> ei ole olemas.v玫ibolla sinu serveri hooldaja on selle mingil p玫hjusel keelanud?';
+$lang['i_permfail'] = 'Dokuwiki ei saa kirjutada faili <code>%s</code>. Kontrolli serveris failide 玫igused 眉le.';
+$lang['i_confexists'] = '<code>%s</code> on juba olemas';
+$lang['i_writeerr'] = 'Faili <code>%s</code> ei lubata tekitada. Kontrolli kataloogi ja faili 玫igusi.';
+#$lang['i_badhash'] = '';
+$lang['i_badval'] = '<code>%s</code> - lubamatu v玫i t眉hi v盲盲rtus';
+$lang['i_success'] = 'Seadistamine on 玫nnelikult l玫pule viidud. Sa v玫id n眉眉d kustutada faili install.php. Alusta oma <a href="doku.php">uue DokuWiki</a> t盲itmist.';
+$lang['i_failure'] = 'Konfiguratsiooni faili kirjutamisel esines vigu. V玫imalik, et pead need k盲sitsi parandama enne <a href="doku.php">uue DokuWiki</a> t盲itma asumist.';
+$lang['i_policy'] = 'Wiki 玫iguste algne poliitika';
+$lang['i_pol0'] = 'Avatud (lugemine, kirjutamine ja 眉leslaadimine k玫igile lubatud)';
+$lang['i_pol1'] = 'Avalikuks lugemiseks (lugeda saavad k玫ik, kirjutada ja 眉les laadida vaid registreeritud kasutajad)';
+$lang['i_pol2'] = 'Suletud (k玫ik 玫igused, kaasaarvatud lugemine on lubatud vaid registreeritud kasutajatele)';
+
+$lang['loggedinas'] = 'Logis sisse kui';
+$lang['user'] = 'Kasutaja';
+$lang['pass'] = 'Parool';
+$lang['remember'] = 'Pea mind meeles';
+$lang['fullname'] = 'T盲ielik nimi';
+$lang['email'] = 'E-post';
+$lang['register'] = 'Registreeri uus kasutaja';
+$lang['badlogin'] = 'Oops, Sinu kasutajanimi v玫i parool oli vale.';
+
+$lang['regmissing'] = 'K玫ik v盲ljad tuleb 盲ra t盲ita.';
+$lang['reguexists'] = 'Tegelikult on sellise nimega kasutaja juba olemas.';
+$lang['regsuccess'] = 'Kasutaja sai tehtud. Parool saadeti Sulle e-posti aadressil.';
+$lang['regmailfail']= 'Ilmselt tekkis e-posti teel parooli saatmisel mingi t玫rge. Palun suhtle sel teemal
+oma serveri administraatoriga!';
+$lang['regbadmail'] = 'Tundub, et Sinu antud e-posti aadress ei toimi - kui Sa arvad, et tegemist on
+ekstitusega, suhtle oma serveri administraatoriga';
+$lang['regpwmail'] = 'Sinu DokuWiki parool';
+$lang['reghere'] = 'Sul ei olegi veel kasutajakontot? No aga tekita see siis endale!';
+
+$lang['txt_upload'] = 'Vali fail, mida 眉les laadida';
+$lang['txt_filename'] = 'Siseta oma Wikinimi (soovituslik)';
+$lang['lockedby'] = 'Praegu on selle lukustanud';
+$lang['lockexpire'] = 'Lukustus aegub';
+$lang['willexpire'] = 'Teie lukustus selle lehe toimetamisele aegub umbes minuti p盲rast.\nIgasugu probleemide v盲ltimiseks kasuta eelvaate nuppu, et lukustusarvesti taas t枚枚le panna.';
+
+$lang['notsavedyet'] = 'Sul on seal salvestamata muudatusi, mis kohe k玫ige kaduva teed l盲hevad.\nKas Sa ikka tahad edasi liikuda?';
+$lang['rssfailed'] = 'Sinu soovitud info ammutamisel tekkis viga: ';
+$lang['nothingfound']= 'Oops, aga mitte muhvigi ei leitud.';
+
+$lang['mediaselect'] = 'Hunnik faile';
+$lang['fileupload'] = 'Faili 眉leslaadimine';
+$lang['uploadsucc'] = '脺leslaadimine l盲ks ootusp盲raselt h盲sti';
+$lang['uploadfail'] = '脺leslaadimine l盲ks n盲ssu. 脛kki pole Sa selleks lihtsalt piisavalt v玫imukas tegija?';
+$lang['uploadwrong'] = 'Ei saa Sa midagi 眉les laadida. Oops, aga seda t眉眉pi faili sul lihtsalt ei lubata 眉les laadida';
+$lang['namespaces'] = 'Alajaotus';
+$lang['mediafiles'] = 'Failid on Sulle k盲ttesaadavad';
+
+$lang['hits'] = 'P盲ringu tabamused';
+$lang['quickhits'] = 'P盲ringule vastavad lehed';
+$lang['toc'] = 'Sisujuht';
+$lang['current'] = 'Hetkel kehtiv';
+$lang['yours'] = 'Sinu versioon';
+$lang['diff'] = 'N盲ita erinevusi hetkel kehtiva versiooniga';
+$lang['line'] = 'Rida';
+$lang['breadcrumb'] = 'K盲idud rada';
+$lang['lastmod'] = 'Viimati muutnud';
+$lang['by'] = 'persoon';
+$lang['deleted'] = 'eemaldatud';
+$lang['created'] = 'tekitatud';
+$lang['restored'] = 'vana versioon taastatud';
+$lang['summary'] = 'kokkuv玫te muudatustest';
+
+$lang['mail_newpage'] = 'leht lisatud:';
+$lang['mail_changed'] = 'leht muudetud';
+
+$lang['nosmblinks'] = 'Windowsis v玫rguarvutiga 眉hendamine toimib ainult Internet Exploreris ja
+sisev玫rgus.\nAga Sa saad 玫nneks omale lingi kopeerida ja hiljem kuhugi kleepida.';
+
+$lang['qb_bold'] = 'Rasvane kiri';
+$lang['qb_italic'] = 'Kaldkiri';
+$lang['qb_underl'] = 'Alajoonega kiri';
+$lang['qb_code'] = 'Koodi tekst';
+$lang['qb_h1'] = '1. astme pealkiri';
+$lang['qb_h2'] = '2. astme pealkiri';
+$lang['qb_h3'] = '3. astme pealkiri';
+$lang['qb_h4'] = '4. astme pealkiri';
+$lang['qb_h5'] = '5. astme pealkiri';
+$lang['qb_link'] = 'Siselink';
+$lang['qb_extlink'] = 'V盲lislink';
+$lang['qb_hr'] = 'Horisontaalne vahejoon';
+$lang['qb_ol'] = 'Nummerdatud nimikiri';
+$lang['qb_ul'] = 'Mummuga nimekiri';
+$lang['qb_media'] = 'Lisa pilte ja muid faile';
+$lang['qb_sig'] = 'Lisa allkiri!';
+
+$lang['authmodfailed'] = 'Vigane kasutajate autentimise konfiguratsioon. Palun teavita sellest serveri haldajat.';
+$lang['authtempfail'] = 'Kasutajate autentimine on ajutiselt rivist v盲ljas. Kui see olukord m玫ne aja jooksul ei parane, siis teavita sellest serveri haldajat.';
+
+$lang['js']['del_confirm']= 'Kas kustutame selle kirje?';
+
+#$lang['subscribe_success'] = '';
+#$lang['subscribe_error'] = '';
+#$lang['subscribe_noaddress'] = '';
+#$lang['unsubscribe_success'] = '';
+#$lang['unsubscribe_error'] = '';
+
+//Setup VIM: ex: et ts=2 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/locked.txt
new file mode 100644
index 000000000..0fd2743ad
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/locked.txt
@@ -0,0 +1,3 @@
+====== Leht lukustatud ======
+
+Hetkel on see leht lukustatud kuna teine kasutaja toimetab tema kallal. Sa pead ootama kuni ta kas l玫petab v玫i lukustus aegub.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/login.txt
new file mode 100644
index 000000000..3e746cd8d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/login.txt
@@ -0,0 +1,3 @@
+====== Logi sisse ======
+
+Hetkel pole Sa sisse logitud! Allpool saad sisestada k玫ik vajaliku, et sisse logida. Kui Sa oled oma arvuti taga ainukasutaja oleks hea kui Su arvutil oleks lubatud 'cookies', st. j盲rgmine kord kui siia lehele tuled oled automaatselt sisse logitud.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/mailtext.txt
new file mode 100644
index 000000000..3214584f5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/mailtext.txt
@@ -0,0 +1,16 @@
+Sinu lehte DokuWiki-s on muudetud. Allj盲rgnevalt detailid:
+
+Kuup盲ev : @DATE@
+Brauser : @BROWSER@
+IP-Aadress : @IPADDRESS@
+Arvuti nimi : @HOSTNAME@
+Eelnev versioon : @OLDPAGE@
+Uus versioon : @NEWPAGE@
+Toimeta kokkuv玫tet: @SUMMARY@
+Kasutaja : @USER@
+
+@DIFF@
+
+
+--
+Selle e-posti tekitas Sulle DokuWiki @DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/newpage.txt
new file mode 100644
index 000000000..fb78e6434
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/newpage.txt
@@ -0,0 +1,3 @@
+====== Seda teemat veel ei ole ======
+
+Sa klikkisid lingile, mille all teemat veel pole. Selle saad Sa tekitada kasutades ''Tekita see leht nuppu''.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/norev.txt
new file mode 100644
index 000000000..42d204f2d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/norev.txt
@@ -0,0 +1,4 @@
+====== Sellist versiooni pole ======
+
+Sellist versiooni ei ole olemas. Selle dokumendi eelmiste versioonide n盲gemiseks kl玫psa ''Eelmised versioonid'' nupul.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/preview.txt
new file mode 100644
index 000000000..df45c65ae
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/preview.txt
@@ -0,0 +1,3 @@
+====== Eelvaade ======
+
+Siin saad eelnevalt vaadata, milline su tekst v盲lja n盲eks. Pea aga meeles, et see **ei ole veel salvestatud** !
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/pwconfirm.txt
new file mode 100644
index 000000000..4f17140e0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/pwconfirm.txt
@@ -0,0 +1,12 @@
+Tere @FULLNAME@!
+
+Keegi on Sinu parooli uuendust soovinud kasutajale @TITLE@ (@DOKUWIKIURL@).
+
+Kui see ei olnud Sina, siis v玫id seda meili lihtsalt ignoreerida.
+Kinnitamaks uue parooli saamise soovi mine aadressile:
+
+@CONFIRM@
+
+--
+See meil on saadetud DokuWiki poolt
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/read.txt
new file mode 100644
index 000000000..64696f079
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/read.txt
@@ -0,0 +1,2 @@
+Seda lehte saad ainult lugeda. Saad k眉ll vaadata lehe p玫hja aga muuta midagi ei saa. Suhtle oma serveri administraatoriga kui Sa millegagi rahul pole.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/recent.txt
new file mode 100644
index 000000000..cf7a85420
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/recent.txt
@@ -0,0 +1,5 @@
+====== Viimased muutused ======
+
+Viimati muudeti allj盲rgnevaid lehti.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/register.txt
new file mode 100644
index 000000000..9cd0b911d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/register.txt
@@ -0,0 +1,4 @@
+====== Registreeri uus kasutaja ======
+
+T盲ida allj盲rgnevad l眉ngad et me saaks Sulle Wikis kasutajakonto tekitada. Ole nii kena ja kindlasti pane kirja oma **kehtiv e-posti aadress** - Sinu uus parool saadetakse sellele aadressile. Sisselogimise nimi peaks olema kehtiv [[doku>pagename|lehenimi]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/registermail.txt
new file mode 100644
index 000000000..47d2ef14c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/registermail.txt
@@ -0,0 +1,14 @@
+Uus kasutaja on registreeritud. Tema info:
+
+Kasutaja : @NEWUSER@
+T盲ielik nimi : @NEWNAME@
+E-post : @NEWEMAIL@
+
+Kuup盲ev : @DATE@
+Lehitseja : @BROWSER@
+IP-Aaddress : @IPADDRESS@
+Hosti nimi : @HOSTNAME@
+
+--
+See meil on saadetud DokuWiki poolt
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/resendpwd.txt
new file mode 100644
index 000000000..cd0ef8d10
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/resendpwd.txt
@@ -0,0 +1,4 @@
+====== Saada uus parool ======
+
+Palun sisesta oma kasutaja nimi, et saada uut parooli. Soovi kinnitamiseks saadame Sinu meilile lingi.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/revisions.txt
new file mode 100644
index 000000000..c546a1f14
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/revisions.txt
@@ -0,0 +1,4 @@
+====== eelnevad versioonid ======
+
+Need on k盲esoleva dokumendi eelnevad versioonid. Vana versiooni juurde tagasi p枚枚rdumiseks vali sobiv, kl玫psa ''Toimeta seda lehte'' peal ja salvesta see.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/searchpage.txt
new file mode 100644
index 000000000..bbc86b637
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/searchpage.txt
@@ -0,0 +1,5 @@
+====== Otsi ======
+
+Leiad vasted oma otsingule. Kui Sa otsitavat ei leidnud v玫id tekitada oma otsingu nimelise uue lehe kasutades ''Toimeta seda lehte'' nuppu.
+
+===== Vasted =====
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/showrev.txt
new file mode 100644
index 000000000..ef73d74a2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/showrev.txt
@@ -0,0 +1,2 @@
+**See on dokumendi vana versioon!**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/stopwords.txt
new file mode 100644
index 000000000..5dda5f797
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/stopwords.txt
@@ -0,0 +1,15 @@
+# This is a list of words the indexer ignores, one word per line
+# When you edit this file be sure to use UNIX line endings (single newline)
+# No need to include words shorter than 3 chars - these are ignored anyway
+# This list is based upon the ones found at http://www.ranks.nl/stopwords/
+ning
+ega
+see
+mina
+sina
+tema
+meie
+teie
+nemad
+com
+www
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/subscribermail.txt
new file mode 100644
index 000000000..76ce2845f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/subscribermail.txt
@@ -0,0 +1,17 @@
+Tere!
+
+Lehek眉lg @PAGE@ on muutunud (@TITLE@).
+Siin on muudatused:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+Selle lehe j盲lgimisest loobumiseks logi wikisse sisse
+@DOKUWIKIURL@ ja siis k眉lasta
+@NEWPAGE@
+ning vali sealt 'L玫peta j盲lgimine'.
+
+--
+See meil on saadetud DokuWiki poolt
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/updateprofile.txt
new file mode 100644
index 000000000..35da12801
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/updateprofile.txt
@@ -0,0 +1,5 @@
+====== Uuenda oma kasutaja infot ======
+
+T盲ida ainult need v盲ljad, mida tahad uuendada. Uuendada ei saa kasutajanime.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/wordblock.txt
new file mode 100644
index 000000000..65d0d6ac3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/et/wordblock.txt
@@ -0,0 +1,4 @@
+====== SP脛MM blokeeritud ======
+
+Sinu muutusi kahjuks **ei** salvestatud kuna tekst sisaldab 眉hte v玫i rohkem blokeeritud s玫na. Kas Sa 眉ritad Wikile sp盲mmi saata -- oh sa p玫rsas! Kui aga arvad, et tegemist on eksitusega, siis suhtle Wiki administraatoriga.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/admin.txt
new file mode 100644
index 000000000..13673263c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/admin.txt
@@ -0,0 +1,3 @@
+====== Kudeaketa ======
+
+Jarraian wikia kudeatzeko erabilgarri dauden tresnak aurki ditzakezu.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/backlinks.txt
new file mode 100644
index 000000000..8cbb7b6d2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/backlinks.txt
@@ -0,0 +1,3 @@
+====== Itzulera Estekak ======
+
+Orri honetara bueltan estekatzen dutela diruditen orrien lista bat da honakoa. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/conflict.txt
new file mode 100644
index 000000000..d7d0d337e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/conflict.txt
@@ -0,0 +1,5 @@
+====== Bertsio berriago bat existitzen da ======
+
+Editatu duzun dokumentua baino bertsio berriago existitzen da. Editatzen ari zarela beste erabiltzaile batek dokumentua aldatzen duenean gertatzen da hau.
+
+Aztertu arretaz behean erakutsitako desberdintasunak eta erabaki zein bertsio mantendu. Zure aukera "Gorde" bada, zure bertsioa gordeko da. Uneko bertsioa mantentzeko "ezeztatu" sakatu. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/denied.txt
new file mode 100644
index 000000000..257076a3d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/denied.txt
@@ -0,0 +1,3 @@
+====== Ez duzu baimenik ======
+
+Barkatu, ez duzu baimenik orri hau ikusteko. Agian sesioa hastea ahaztu zaizu? \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/diff.txt
new file mode 100644
index 000000000..8d335ea2e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/diff.txt
@@ -0,0 +1,4 @@
+====== Aldaketak ======
+
+Aukeratutako bertsioaren eta egungo bertsioaren arteko aldaketak aurkezten ditu.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/draft.txt
new file mode 100644
index 000000000..5d64b0b36
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/draft.txt
@@ -0,0 +1,5 @@
+====== Zirriborro fitxategia aurkitu da ======
+
+Zure azken edizio saioa orri honetan ez zen zuzen burutu. DokuWiki-k automatikoki zirriborro bat gorde zuen lanean ari zinen bitartean eta orain zure edizioa jarraitzeko erabili dezakezu. Behean ikusi dezakezu zure asken saioan gorde ziren datuak.
+
+Erabaki mesedez zure edizio saio galdua //berreskuratu// nahi duzun, automatikoki gordetako zirriborroa //ezabatu// nahi duzun edo edizio prozesua //ezeztatu// nahi duzun. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/edit.txt
new file mode 100644
index 000000000..c117731ef
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/edit.txt
@@ -0,0 +1 @@
+Egin aldaketak eta ''Gorde'' pultsatu. Begiratu [[wiki:syntax]] Wiki-aren sintaxiarentzat. Mesedez aldaketak orrialdea **hobetzeko** bakarrik egin itzazu. Probak egin nahi badituzu, ikas ezazu [[playground:playground]] erabiltzen.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/editrev.txt
new file mode 100644
index 000000000..920cd89ec
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/editrev.txt
@@ -0,0 +1,2 @@
+**Dokumentuaren bertsio zahar bat ireki duzu!** Gordetzen baduzu bertsio berri bat sortuko duzu datu hauekin.
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/index.txt
new file mode 100644
index 000000000..30f88498a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/index.txt
@@ -0,0 +1,4 @@
+====== Aurkibidea ======
+
+[[doku>namespaces|namespaces]] bitartez ordenatutako aurkibidea da hau.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/install.html
new file mode 100644
index 000000000..81f1efd95
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/install.html
@@ -0,0 +1,9 @@
+<p>Orri honek <a href="http://dokuwiki.org">Dokuwiki-ren</a> lehenengo instalazioan eta konfigurazioan gidatzen du. Instalatzaile honen informazio gehiago eskuragarri dago bere <a href="http://dokuwiki.org/installer">dokumentazio orrian</a>.</p>
+
+<p>DokuWikik fitxategi arruntak erabiltzen ditu wiki orriak eta orri horiekin erlazionatutako informazioa (adb. irudiak, bilaketa indizeak, azken berrikuspenak, etab.) gordetzeko. Modu egokian funtziona dezan, DokuWikik idazketa baimena <strong>behar</strong> du fitxategi horiek gordetzen dituzten direktorioetan. Instalatzaile hau ez da gai direktorio baimenak ezartzeko. Hori normalean komando bidez egin beharra dago, edo hosting bat erabiliz gero, FTP bidez edo hosting-aren kontrol panel bidez (adb. cPanel).</p>
+
+<p>Instalatzaile honek zure DokiWikiren konfigurazioa ezarriko du
+<acronym title="atzipen kontrol lista">AKL</acronym>rentzat, zeinak administratzaileei ahalbidetzen dien saioa hasi eta DokuWikiren administrazio menua atzitzea plugin-ak instalatu, erabiltzaileak kudeatu, wiki orrietara atzipenak kudeatu eta konfigurazio aukerak aldatzeko. Hau ez da beharrezkoa DokuWikirentzat funtziona ahal dezan, baina DokuWiki administratzeko errazagoa egingo du.</p>
+
+<p>Esperientziadun erabiltzaileek edo ezarpen behar bereziak dituzten erabiltzaileek honako estekak erabili beharko lituzkete xehetasun gehiago lortzeko
+<a href="http://dokuwiki.org/install">instalazio azalpenen</a> inguruan eta <a href="http://dokuwiki.org/config">konfigurazio ezarpenen</a> inguruan.</p> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/lang.php
new file mode 100644
index 000000000..8324e2587
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/lang.php
@@ -0,0 +1,223 @@
+<?php
+/**
+ * Basque language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Xabi Ezpeleta <xezpeleta@mendikute.com>
+ * @author Inko Illarramendi <inko.i.a@gmail.com>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '鈥';
+$lang['btn_edit'] = 'Aldatu orri hau';
+$lang['btn_source'] = 'Kodea ikusi';
+$lang['btn_show'] = 'Orria ikusi';
+$lang['btn_create'] = 'Sortu orri hau';
+$lang['btn_search'] = 'Bilatu';
+$lang['btn_save'] = 'Gorde';
+$lang['btn_preview'] = 'Aurrebista';
+$lang['btn_top'] = 'Itzuli gora';
+$lang['btn_newer'] = '<< berriagoa';
+$lang['btn_older'] = 'zaharragoa >>';
+$lang['btn_revs'] = 'Berrikuspen zaharrak';
+$lang['btn_recent'] = 'Azken aldaketak';
+$lang['btn_upload'] = 'Ireki';
+$lang['btn_cancel'] = 'Ezeztatu';
+$lang['btn_index'] = 'Aurkibidea';
+$lang['btn_secedit'] = 'Aldatu';
+$lang['btn_login'] = 'Sartu';
+$lang['btn_logout'] = 'Irten';
+$lang['btn_admin'] = 'Admin';
+$lang['btn_update'] = 'Eguneratu';
+$lang['btn_delete'] = 'Ezabatu';
+$lang['btn_back'] = 'Atzera';
+$lang['btn_backlink'] = 'Itzulera estekak';
+$lang['btn_backtomedia'] = 'Atzera Multimedia Fitxategiaren Aukeraketara';
+$lang['btn_subscribe'] = 'Harpidetu Orri Aldaketetara';
+$lang['btn_unsubscribe'] = 'Utzi Harpidetza Orri Aldaketetara';
+$lang['btn_subscribens'] = 'Harpidetu Izen-espazio Aldaketetara';
+$lang['btn_unsubscribens'] = 'Utzi Harpidetza Izen-espazio Aldaketetara';
+$lang['btn_profile'] = 'Eguneratu Profila ';
+$lang['btn_reset'] = 'Aldaketak Desegin';
+$lang['btn_resendpwd'] = 'Pasahitz berria bidali';
+$lang['btn_draft'] = 'Editatu zirriborroa';
+$lang['btn_recover'] = 'Berreskuratu zirriborroa';
+$lang['btn_draftdel'] = 'Ezabatu zirriborroa';
+$lang['loggedinas'] = 'Erabiltzailea';
+$lang['user'] = 'Erabiltzailea';
+$lang['pass'] = 'Pasahitza';
+$lang['newpass'] = 'Pasahitz berria';
+$lang['oldpass'] = 'Baieztatu oraingo pasahitza';
+$lang['passchk'] = 'berriz';
+$lang['remember'] = 'Gogoratu';
+$lang['fullname'] = 'Izen Deiturak';
+$lang['email'] = 'E-Maila';
+$lang['register'] = 'Erregistratu';
+$lang['profile'] = 'Erabiltzaile Profila';
+$lang['badlogin'] = 'Barkatu, prozesuak huts egin du; saiatu berriz';
+$lang['minoredit'] = 'Aldaketa Txikiak';
+$lang['draftdate'] = 'Zirriborroa automatikoki gorde da hemen:';
+$lang['nosecedit'] = 'Orria aldatua izan da bitartean, info atala zaharkituta geratu da, orri osoa kargatu da horren ordez.';
+$lang['regmissing'] = 'Barkatu, hutsune guztiak bete behar dituzu.';
+$lang['reguexists'] = 'Barkatu, izen bereko erabiltzailea existitzen da.';
+$lang['regsuccess'] = 'Erabiltzailea sortu da. Pasahitza mailez bidaliko zaizu.';
+$lang['regsuccess2'] = 'Erabiltzailea sortua izan da.';
+$lang['regmailfail'] = 'Badirudi arazoren bat egon dela pasahitza mailez bidaltzeko orduan. Administratzailearekin harremanetan jarri!';
+$lang['regbadmail'] = 'Emandako helbidea ez da zuzena - jarri harremanetan administratzailearekin hau akats bat dela uste baduzu';
+$lang['regbadpass'] = 'Idatzitako bi pasahitzak ez dira berdinak, berriz saiatu.';
+$lang['regpwmail'] = 'Zure DokuWiki pasahitza';
+$lang['reghere'] = 'Oraindik ez duzu konturik? Eginzazu bat!';
+$lang['profna'] = 'Wiki honek ez du profilaren aldaketa ahalbidetzen';
+$lang['profnochange'] = 'Aldaketarik ez, ez dago egiteko ezer.';
+$lang['profnoempty'] = 'Izen edota e-posta hutsa ez dago onartua.';
+$lang['profchanged'] = 'Erabiltzaile profila arrakastaz eguneratua.';
+$lang['pwdforget'] = 'Pasahitza ahaztu duzu? Eskuratu berri bat';
+$lang['resendna'] = 'Wiki honek ez du pasahitz berbidalketa onartzen.';
+$lang['resendpwd'] = 'Bidali pasahitz berria honentzat:';
+$lang['resendpwdmissing'] = 'Barkatu, eremu guztiak bete behar dituzu.';
+$lang['resendpwdnouser'] = 'Barkatu, ez dugu erabiltzaile hori datu-basean aurkitzen';
+$lang['resendpwdbadauth'] = 'Barkatu, kautotze kodea ez da baliozkoa. Ziurtatu baieztapen esteka osoa erabili duzula.';
+$lang['resendpwdconfirm'] = 'Baieztapen esteka bat e-postaz bidali da.';
+$lang['resendpwdsuccess'] = 'Zure pasahitz berria e-postaz bidali da.';
+$lang['license'] = 'Besterik esan ezean, wiki hontako edukia ondorengo lizentziapean argitaratzen da:';
+$lang['licenseok'] = 'Oharra: Orri hau editatzean, zure edukia ondorengo lizentziapean argitaratzea onartzen duzu: ';
+$lang['txt_upload'] = 'Ireki nahi den fitxategia aukeratu';
+$lang['txt_filename'] = 'Idatzi wikiname-a (aukerazkoa)';
+$lang['txt_overwrt'] = 'Oraingo fitxategiaren gainean idatzi';
+$lang['lockedby'] = 'Momentu honetan blokeatzen:';
+$lang['lockexpire'] = 'Blokeaketa iraungitzen da:';
+$lang['willexpire'] = 'Zure blokeaketa orri hau aldatzeko minutu batean iraungitzen da.\nGatazkak saihesteko, aurreikusi botoia erabili blokeaketa denboragailua berrabiarazteko.';
+$lang['notsavedyet'] = 'Gorde gabeko aldaketak galdu egingo dira.\nBenetan jarraitu nahi duzu?';
+$lang['rssfailed'] = 'Errorea gertatu da feed hau irakurtzean:';
+$lang['nothingfound'] = 'Ez da ezer aurkitu.';
+$lang['mediaselect'] = 'Aukeratu Multimedia fitxategia';
+$lang['fileupload'] = 'Igo Multimedia Fitxategia';
+$lang['uploadsucc'] = 'Igoera arrakastatsua';
+$lang['uploadfail'] = 'Igoerak huts egin du. Baimen arazoengatik agian?';
+$lang['uploadwrong'] = 'Fitxategi igoera ukatua. Fitxategi-luzapen hau debekatua dago!';
+$lang['uploadexist'] = 'Fitxategia lehenagotik existitzen da. Ez da ezer egin.';
+$lang['uploadbadcontent'] = 'Igotako edukia ez dator bat %s fitxategi-luzapenarekin.';
+$lang['uploadspam'] = 'Igoera spam zerrenda beltzak blokeatu du.';
+$lang['uploadxss'] = 'Igoera blokeatua izan da eduki maltzurra edukitzeko susmoagatik.';
+$lang['uploadsize'] = 'Igotako fitxategia handiegia zen. (max. %s)';
+$lang['deletesucc'] = 'Ezabatua izan da "%s" fitxategia.';
+$lang['deletefail'] = 'Ezin izan da "%s" ezabatu - egiaztatu baimenak.';
+$lang['mediainuse'] = 'Ez da "%s" fitxategia ezabatu - oraindik erabilia izaten ari da.';
+$lang['namespaces'] = 'Izen-espazioak';
+$lang['mediafiles'] = 'Fitxategiak eskuragarri hemen:';
+$lang['js']['keepopen'] = 'Mantendu leihoa irekita aukeraketan';
+$lang['js']['hidedetails'] = 'Xehetasunak Ezkutatu';
+$lang['js']['nosmblinks'] = 'Window baliabide konpartituetara estekek Microsoft Internet Explorer-en bakarrik balio dute.
+Esteka kopiatu eta itsatsi dezakezu dena den.';
+$lang['js']['mu_btn'] = 'Igo hainbat fitxategi aldi berean';
+$lang['mediausage'] = 'Erabili ondoko sintaxia fitxategi honi erreferentzia egiteko:';
+$lang['mediaview'] = 'Ikusi jatorrizko fitxategia';
+$lang['mediaroot'] = 'root';
+$lang['mediaupload'] = 'Igo fitxategi bat uneko izen-espaziora. Azpi-izen-espazioak sortzeko, zure "Honela igo" fitxategi izenaren aurretik ezarri, bi puntuz (:) bananduta.';
+$lang['mediaextchange'] = 'Fitxategi-luzapena aldatua .%s -tik .%s! -ra';
+$lang['reference'] = 'Erreferentziak honentzat:';
+$lang['ref_inuse'] = 'Fitxategia ezin da ezabatu, honako orri hauek erabiltzen dutelako:';
+$lang['ref_hidden'] = 'Erreferentzi batzuk irakurtzeko baimenik ez duzun orrietan daude';
+$lang['hits'] = 'Hits';
+$lang['quickhits'] = 'Matching pagenames';
+$lang['toc'] = 'Eduki Taula';
+$lang['current'] = 'egungoa';
+$lang['yours'] = 'Zure Bertsioa';
+$lang['diff'] = 'egungo bertsioarekin dituen aldaketak aurkezten ditu';
+$lang['diff2'] = 'Erakutsi desberdintasunak aukeratutako bertsioen artean';
+$lang['line'] = 'Marra';
+$lang['breadcrumb'] = 'Traza';
+$lang['youarehere'] = 'Hemen zaude';
+$lang['lastmod'] = 'Azken aldaketa';
+$lang['by'] = 'egilea:';
+$lang['deleted'] = 'ezabatua';
+$lang['created'] = 'sortua';
+$lang['restored'] = 'bertsio zaharra berrezarria';
+$lang['external_edit'] = 'kanpoko aldaketa';
+$lang['summary'] = 'Aldatu laburpena';
+$lang['noflash'] = '<a href="http://www.adobe.com/products/flashplayer/">Adobe Flash Plugin</a> beharrezkoa da eduki hau bistaratzeko.';
+$lang['mail_newpage'] = '[DokuWiki] gehitutako orria:';
+$lang['mail_changed'] = '[DokuWiki] aldatutako orria:';
+$lang['mail_new_user'] = 'erabiltzaile berria:';
+$lang['mail_upload'] = 'fitxategia igota:';
+$lang['qb_bold'] = 'Letra beltzez';
+$lang['qb_italic'] = 'Letra italiarrez';
+$lang['qb_underl'] = 'Azpimarratua';
+$lang['qb_code'] = 'Kodea';
+$lang['qb_strike'] = 'Marratu Testua';
+$lang['qb_h1'] = 'Izenburua 1';
+$lang['qb_h2'] = 'Izenburua 2';
+$lang['qb_h3'] = 'Izenburua 3';
+$lang['qb_h4'] = 'Izenburua 4';
+$lang['qb_h5'] = 'Izenburua 5';
+$lang['qb_link'] = 'Barruko Lotura';
+$lang['qb_extlink'] = 'Kanpoko Lotura';
+$lang['qb_hr'] = 'Horizontal Marra';
+$lang['qb_ol'] = 'Zerrenda ordenatuko gaia';
+$lang['qb_ul'] = 'Zerrenda desordenatuko gaia';
+$lang['qb_media'] = 'Irudiak eta beste fitxategiak gehitu';
+$lang['qb_sig'] = 'Gehitu sinadura';
+$lang['qb_smileys'] = 'Irrifartxoak';
+$lang['qb_chars'] = 'Karaktere Bereziak';
+$lang['js']['del_confirm'] = 'Benetan ezabatu aukeratutako fitxategia(k)?';
+$lang['admin_register'] = 'Erabiltzaile berria gehitu';
+$lang['metaedit'] = 'Metadatua Aldatu';
+$lang['metasaveerr'] = 'Metadatuaren idazketak huts egin du';
+$lang['metasaveok'] = 'Metadatua gordea';
+$lang['img_backto'] = 'Atzera hona';
+$lang['img_title'] = 'Izenburua';
+$lang['img_caption'] = 'Epigrafea';
+$lang['img_date'] = 'Data';
+$lang['img_fname'] = 'Fitxategi izena';
+$lang['img_fsize'] = 'Tamaina';
+$lang['img_artist'] = 'Artista';
+$lang['img_copyr'] = 'Copyright';
+$lang['img_format'] = 'Formatua';
+$lang['img_camera'] = 'Kamera';
+$lang['img_keywords'] = 'Hitz-gakoak';
+$lang['subscribe_success'] = 'Gehitua %s %s harpidetza zerrendara';
+$lang['subscribe_error'] = 'Errorea %s gehitzen %s harpidetza zerrendara';
+$lang['subscribe_noaddress'] = 'Ez dago posta elektroniko helbiderik zure erabiltzaile izenarekin erlazionatuta, ezin zara harpidetza zerrendara gehitua izan';
+$lang['unsubscribe_success'] = 'Ezabatua %s %s harpidetza zerrendatik';
+$lang['unsubscribe_error'] = 'Errorea %s ezabatzen %s harpidetza zerrendatik';
+$lang['authmodfailed'] = 'Erabiltzaile kautotzearen konfigurazioa okerra da. Mesedez, eman honen berri Wiki administratzaileari';
+$lang['authtempfail'] = 'Erabiltzaile kautotzea denboraldi batez ez dago erabilgarri. Egoerak hala jarraitzen badu, mesedez, eman honen berri Wiki administratzaileari';
+$lang['i_chooselang'] = 'Hautatu zure hizkuntza';
+$lang['i_installer'] = 'DokuWiki instalatzailea';
+$lang['i_wikiname'] = 'Wiki Izena';
+$lang['i_enableacl'] = 'Gaitu ACL (gomendatua) (ACL: Atzipen Kontrol Lista)';
+$lang['i_superuser'] = 'Supererabiltzailea';
+$lang['i_problems'] = 'Instalatzaileak arazo batzuk aurkitu ditu, behean azalduak. Ezin duzu horiek konpondu arte jarraitu.';
+$lang['i_modified'] = 'Segurtasun arrazoiengatik, script hau DokuWikiren instalazio berri eta aldatu gabeko batekin bakarrik dabil. Deskargatutako paketetik fitxategiak berriz atera edo <a href="http://dokuwiki.org/install">DokuWikiren instalazio azalpenak</a> osorik irakurri beharko zenituzke.';
+$lang['i_funcna'] = 'PHP <code>%s</code> funtzioa ez dago erabilgarri. Agian zure hosting hornitzaileak arrazoiren batengatik ezgaituko zuen?';
+$lang['i_phpver'] = 'Zure PHP <code>%s</code> bertsioa behar den <code>%s</code> bertsioa baino zaharragoa da. PHP instalazioa eguneratu beharra daukazu.';
+$lang['i_permfail'] = 'DokuWiki ez da <code>%s</code> idazteko gai. Direktorio honen baimenen konfigurazioa konpondu behar duzu!';
+$lang['i_confexists'] = '<code>%s</code> lehendik existitzen da';
+$lang['i_writeerr'] = 'Ezin da <code>%s</code> sortu. Direktorioaren/fitxategiaren baimenak egiaztatu eta sortu fitxategia eskuz.';
+$lang['i_badhash'] = 'aldatutakoa edo ezezaguna den dokuwiki.php (hash=<code>%s</code>)';
+$lang['i_badval'] = '<code>%s</code> - balioa arauen aurka edo hutsa';
+$lang['i_success'] = 'Konfigurazioa arrakastaz amaitu da. Orain, install.php fitxategia ezabatu dezakezu. Jarraitu ezazu <a href="doku.php">zure DokuWiki berrian</a>.';
+$lang['i_failure'] = 'Akats batzuk gertatu dira konfigurazio fitxategiak idazterakoan. Hauek eskuz konpondu beharra izan dezakezu <a href="doku.php">zure DokuWiki berria</a> erabili ahal izan aurretik.';
+$lang['i_policy'] = 'Hasierako ACL politika';
+$lang['i_pol0'] = 'Wiki Irekia (irakurri, idatzi, fitxategiak igo edonorentzat)';
+$lang['i_pol1'] = 'Wiki Publikoa (irakurri edonorentzat, idatzi eta fitxategiak igo erregistratutako erabiltzaileentzat)';
+$lang['i_pol2'] = 'Wiki Itxia (irakurri, idatzi, fitxategiak igo erregistratutako erabiltzaileentzat soilik)';
+$lang['i_retry'] = 'Berriz saiatu';
+$lang['mu_intro'] = 'Hemen hainbat fitxategi aldi berean igo ditzakezu. Egin klik nabigazio botoian hauek ilarara gehitzeko. Sakatu igo botoia prest egotean.';
+$lang['mu_gridname'] = 'Fitxategi izena';
+$lang['mu_gridsize'] = 'Tamaina';
+$lang['mu_gridstat'] = 'Egoera';
+$lang['mu_namespace'] = 'Izen-espazioa';
+$lang['mu_browse'] = 'Nabigatu';
+$lang['mu_toobig'] = 'handiegia';
+$lang['mu_ready'] = 'igotzeko prest';
+$lang['mu_done'] = 'amaitua';
+$lang['mu_fail'] = 'hutsegitea';
+$lang['mu_authfail'] = 'saioa iraungita';
+$lang['mu_progress'] = '@PCT@% igota';
+$lang['mu_filetypes'] = 'Onartutako Fitxategi Motak';
+$lang['recent_global'] = 'Une honetan <b>%s</b> izen-espazioaren barneko aldaketak ikusten ari zara.<a href="%s"> Wiki osoaren azken aldaketak</a> ere ikusi ditzakezu.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/locked.txt
new file mode 100644
index 000000000..dc29e51a5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/locked.txt
@@ -0,0 +1,3 @@
+====== Orria blokeatua ======
+
+Orrialde hau blokeatua dago beste erabiltzaile batengatik. Berak aldaketak bukatu arte itxaron beharko duzu.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/login.txt
new file mode 100644
index 000000000..ebb1607d9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/login.txt
@@ -0,0 +1,4 @@
+====== Login ======
+
+Ez duzu sesiorik hasi! Sar ezazu zure erabiltzaile izena eta pasahitza. Gogoratu coockie-ak baimenduta izan behar dituzula.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/mailtext.txt
new file mode 100644
index 000000000..86ab1a3a7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/mailtext.txt
@@ -0,0 +1,17 @@
+DokuWiki-Eskuliburuetan orriren bat aldatu edo gehitu da. Hemen dituzu xehetasunak
+
+Data : @DATE@
+Nabigatzailea : @BROWSER@
+IP-Helbidea : @IPADDRESS@
+Host izena : @HOSTNAME@
+Bertsio zaharra : @OLDPAGE@
+Bertsio berria : @NEWPAGE@
+Aldatu laburpena : @SUMMARY@
+Erabiltzailea : @USER@
+
+@DIFF@
+
+
+--
+Email hau DokuWiki erabiliz sortu da;
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/newpage.txt
new file mode 100644
index 000000000..cac872ce1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/newpage.txt
@@ -0,0 +1,3 @@
+====== Gai hau ez da existitzen oraindik ======
+
+Existitzen ez den gai batera doan lotura bat jarraitu duzu. Zuk zeuk sortu dezakezu ''Sortu orri hau'' erabiliz.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/norev.txt
new file mode 100644
index 000000000..7d9cc60d3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/norev.txt
@@ -0,0 +1,3 @@
+====== Berrikuspen hau ez da existitzen ======
+
+Zehaztutako bertsioa ez da existitzen. Erabili ''Bertsio zaharrak'' dokumentu honen aurreko bertsioen zerrenda bat ikusi ahal izateko.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/preview.txt
new file mode 100644
index 000000000..1f0d14f5e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/preview.txt
@@ -0,0 +1,3 @@
+====== Aurreikuspena ======
+
+Hau zure testuaren aurrebista bat besterik ez da. Gogoratu: **ez da gorde** oraindik!
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/pwconfirm.txt
new file mode 100644
index 000000000..0f0fd5e8f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/pwconfirm.txt
@@ -0,0 +1,12 @@
+Kaixo @FULLNAME@!
+
+Norbaitek zure @TITLE@ erabiltzailearentzat pasahitz berria eskatu du @DOKUWIKIURL@ gunean.
+
+Ez baduzu zuk eskatu pasahitz berria, ez kasurik egin posta honi.
+
+Eskakizuna zuk bidalia dela egiaztatzeko, mesedez, ondorengo esteka erabili.
+
+@CONFIRM@
+
+--
+Posta hau @DOKUWIKIURL@ gunean DokuWikik sortua izan da. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/read.txt
new file mode 100644
index 000000000..f7ed7b071
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/read.txt
@@ -0,0 +1 @@
+Orri hau irakurtzeko bakarrik da. Jatorria ikusi dezakezu baina ezin duzu aldatu. Administratzailearekin kontaktuan jarri gaizki dagoela uste baduzu.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/recent.txt
new file mode 100644
index 000000000..4ab5482ce
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/recent.txt
@@ -0,0 +1,3 @@
+====== Azken Aldaketak ======
+
+Ondorengo orriak aldatu berriak izan dira:
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/register.txt
new file mode 100644
index 000000000..4a8a49bd7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/register.txt
@@ -0,0 +1,3 @@
+====== Erregistratu erabiltzaile berri bezala ======
+
+Bete beheko informazio guztia wiki honetan kontu berri bat sortzeko. Ziurtatu **baliozko posta-e helbide** bat ematen duzula - ez bazaizu hemen eskatzen pasahitzik sartzeko, berri bat bidaliko zaizu helbide horretara. Saioa hasteko izenak baliozko [[doku>pagename|orri izena]] izan behar du. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/registermail.txt
new file mode 100644
index 000000000..a0154444e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/registermail.txt
@@ -0,0 +1,13 @@
+Erabiltzaile berri bat erregistratu da. Hona hemen xehetasunak:
+
+Erabiltzaile izena : @NEWUSER@
+Izen osoa : @NEWNAME@
+Posta-e : @NEWEMAIL@
+
+Data : @DATE@
+Nabigatzailea : @BROWSER@
+IP-Helbidea : @IPADDRESS@
+Hostalari izena : @HOSTNAME@
+
+--
+Posta hau @DOKUWIKIURL@ gunean DokuWikik sortua izan da. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/resendpwd.txt
new file mode 100644
index 000000000..98f261cd8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/resendpwd.txt
@@ -0,0 +1,3 @@
+====== Bidali pasahitz berria ======
+
+Mesedez, sartu zure erabiltzaile izena beheko formularioan zure wiki honetako kontuarentzat pasahitz berria eskatzeko. Baieztapen esteka bat bidaliko zaizu erregistratutako zure posta-e helbidera. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/revisions.txt
new file mode 100644
index 000000000..203cb7e43
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/revisions.txt
@@ -0,0 +1,3 @@
+====== Bertsio zaharrak ======
+
+Hauek egungo dokumentua baino zaharragoak diren bertsioak dira. Hauetako bertsio batetara itzultzeko aukera ezazu behetik, pultsatu ''Sortu orri hau'' eta gorde.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/searchpage.txt
new file mode 100644
index 000000000..2a487a3bb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/searchpage.txt
@@ -0,0 +1,5 @@
+====== Bilaketa ======
+
+Emaitzak ondorengo aurkiketan bilatu ditzakezu. Bilatzen zabiltzana aurkitu ez baduzu, zuk zeuk sortu dezakezu orri berri bat bilaketa ostean ''Sortu orri hau'' erabiliz.
+
+===== Bilaketa emaitzak: =====
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/showrev.txt
new file mode 100644
index 000000000..ad1b36057
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/showrev.txt
@@ -0,0 +1,2 @@
+**Hau dokumentuaren bertsio zahar bat da!**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/stopwords.txt
new file mode 100644
index 000000000..1aeb868bc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/stopwords.txt
@@ -0,0 +1,26 @@
+# Lista hau, indexatzaileak alde batera uzten dituen hitzen zerrenda da, hitz bat lerroko
+# Fitxategi hau editatzean, ziurtatu UNIX lerro bukaerak (lerro berri bakarra) erabiltzen duzula
+# Ez dago 3 letra baino motzagoak diren hitzik sartu beharrik - bestela ere baztertuak dira
+# This list is based upon the ones found at http://www.ranks.nl/stopwords/
+# FITXATEGI HONEK BEGIRATU BAT BEHAR DU!
+buruz
+dira
+da
+eta
+zure
+haiek
+haien
+com
+nondik
+nora
+nola
+zer
+hau
+zen
+noiz
+non
+nor
+nork
+und
+the
+www \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/subscribermail.txt
new file mode 100644
index 000000000..9e5503a8b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/subscribermail.txt
@@ -0,0 +1,13 @@
+Kaixo!
+
+@PAGE@ orria @TITLE@ wikian aldatua izan da.
+Hona hemen aldaketak:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+Orri jakinarazpenak ezeztatzeko, hasi saioa @DOKUWIKIURL@ wikian, bisitatu @NEWPAGE@ eta orriaren eta/edo izen-espazioaren harpidetza utzi.
+
+--
+Posta hau @DOKUWIKIURL@ gunean DokuWikik sortua izan da. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/updateprofile.txt
new file mode 100644
index 000000000..233bfecf1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/updateprofile.txt
@@ -0,0 +1,3 @@
+====== Eguneratu zure kontuaren profila ======
+
+Aldatu nahi dituzun atalak bakarrik bete behar dituzu. Ezin duzu zure erabiltzaile izena aldatu. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/uploadmail.txt
new file mode 100644
index 000000000..639f3d95a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/uploadmail.txt
@@ -0,0 +1,13 @@
+Fitxategi bat igo da zure DokuWikira. Hona hemen xehetasunak:
+
+Fitxategia : @MEDIA@
+Data : @DATE@
+Nabigatzailea : @BROWSER@
+IP-Helbide : @IPADDRESS@
+Hostalari izena : @HOSTNAME@
+Tamaina : @SIZE@
+MIME Mota : @MIME@
+Erabiltzailea : @USER@
+
+--
+Posta hau @DOKUWIKIURL@ gunean DokuWikik sortua izan da. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/wordblock.txt
new file mode 100644
index 000000000..0af3fb26c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/eu/wordblock.txt
@@ -0,0 +1,3 @@
+====== SPAMaren aurkako babesa ======
+
+Zure aldaketak **ez** dira gorde aurrez debekatutako hitzak erabili dituzulako. Wiki-a spammeatzen saitu bazara... -- Aiss osobuko! (VS). Hau akats bat dela uste baduzu jarri arremanetan Wiki-aren administratzailearekin.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/admin.txt
new file mode 100644
index 000000000..ce7550977
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/admin.txt
@@ -0,0 +1,3 @@
+====== 賲丿蹖乇蹖鬲 ======
+
+丿乇 丕蹖賳噩丕 賱蹖爻鬲蹖 丕夭 賵馗蹖賮賴鈥屬囏й 賲丿蹖乇蹖鬲蹖 乇丕 賲卮丕賴丿賴 賲蹖鈥屭┵嗃屫. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/adminplugins.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/adminplugins.txt
new file mode 100644
index 000000000..3d2bb4a5b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/adminplugins.txt
@@ -0,0 +1 @@
+===== 亘乇賳丕賲賴 賴丕蹖 噩丕賳亘蹖 丿蹖诏乇 ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/backlinks.txt
new file mode 100644
index 000000000..6864e22d6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/backlinks.txt
@@ -0,0 +1,3 @@
+====== 倬蹖賵賳丿賴丕蹖 亘丕夭诏卮鬲蹖 ======
+
+丿乇 丕蹖賳鈥屫 賱蹖爻鬲蹖 丕夭 氐賮丨丕鬲蹖 讴賴 亘賴 丕蹖賳 氐賮丨賴 倬蹖賵賳丿 丿丕丿賴鈥屫з嗀 乇丕 賲卮丕賴丿賴 賲蹖鈥屭┵嗃屫. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/conflict.txt
new file mode 100644
index 000000000..9de037024
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/conflict.txt
@@ -0,0 +1,5 @@
+====== 蹖讴 賳诏丕乇卮 噩丿蹖丿 賵噩賵丿 丿丕乇丿 ======
+
+丕蹖賳 賳诏丕乇卮 噩丿蹖丿 丕夭 賲胤賱亘蹖 讴賴 賵蹖乇丕蹖卮 讴乇丿賴鈥屫й屫 賵噩賵丿 丿丕乇丿. 丕蹖賳 丕鬲賮丕賯 夭賲丕賳蹖 乇禺 賲蹖鈥屫囏 讴賴 蹖讴 讴丕乇亘乇 丿蹖诏乇 夭賲丕賳蹖 讴賴 卮賲丕 賵蹖乇丕蹖卮 賲蹖鈥屭┴必団屫й屫 丕賳 乇丕 鬲睾蹖蹖乇 丿丕丿賴 丕爻鬲.
+
+鬲賮丕賵鬲鈥屬囏й 夭蹖乇 乇丕 亘乇乇爻蹖 讴賳蹖丿貙 賵 鬲氐賲蹖賲 亘诏蹖乇蹖丿 讴賴 讴丿丕賲 賳诏丕乇卮 丨賮馗 卮賵丿. 丕诏乇 丿讴賲賴鈥屰 芦匕禺蹖乇賴禄 乇丕 亘賮卮丕乇蹖丿貙 賳爻禺賴鈥屰 卮賲丕 匕禺蹖乇賴 賲蹖鈥屫促堌 賵 丕诏乇 丿讴賲賴鈥屰 芦賱睾賵禄 乇丕 亘賮卮丕乇蹖丿貙 賳爻禺賴鈥屰 讴賳賵賳蹖 丨賮馗 禺賵丕賴丿 卮丿. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/denied.txt
new file mode 100644
index 000000000..827f73e2b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/denied.txt
@@ -0,0 +1,3 @@
+====== 丿爻鬲乇爻蹖 賲賲讴賳 賳蹖爻鬲 ======
+
+卮乇賲賳丿賴貙 卮賲丕 丕噩丕夭賴鈥屰 丿爻鬲乇爻蹖 亘 丕蹖賳 氐賮丨賴 乇丕 賳丿丕乇蹖丿. 賲賲讴賳 丕爻鬲 賮乇丕賲賵卮 讴乇丿賴 亘丕卮蹖丿 讴賴 賵丕乇丿 爻丕蹖鬲 卮賵蹖丿! \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/diff.txt
new file mode 100644
index 000000000..d5354f727
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/diff.txt
@@ -0,0 +1,3 @@
+====== 鬲賮丕賵鬲鈥屬囏 ======
+
+鬲賮丕賵鬲 丿賵 賳爻禺賴鈥屰 賲鬲賮丕賵鬲 丕夭 氐賮丨賴 乇丕 賲卮丕賴丿賴 賲蹖鈥屭┵嗃屫. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/draft.txt
new file mode 100644
index 000000000..164b217b7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/draft.txt
@@ -0,0 +1,5 @@
+====== 賮丕蹖賱 趩乇讴鈥屬嗁堐屫 蹖丕賮鬲 卮丿 ======
+
+丌禺乇蹖賳 爻卮賳 賵蹖乇丕蹖卮 卮賲丕 亘丕 賲賵賮賯蹖鬲 亘賴 倬丕蹖丕賳 賳乇爻蹖丿賴. Dokuwiki 亘賴 胤賵乇 禺賵丿讴丕乇 趩乇讴鈥屬嗁堐屫驰 丕夭 氐賮丨賴鈥屰 卮賲丕 匕禺蹖乇賴 賲蹖鈥屭┵嗀 讴賴 卮賲丕 賲蹖鈥屫堌з嗃屫 丌賳 乇丕 讴丕賲賱 讴賳蹖丿. 丿乇 夭蹖乇 賲賯丕丿蹖乇 賲賵噩賵丿 丿乇 趩乇讴鈥屬嗁堐屫 乇丕 賲卮丕賴丿賴 賲蹖鈥屭┵嗃屫.
+
+禺賵丕賴卮賲賳丿蹖賲 鬲氐賲蹖賲 亘诏蹖乇蹖丿 讴賴 賲蹖鈥屫堌з囒屫 趩乇讴鈥屬嗁堐屫 乇丕 //亘丕夭蹖丕亘蹖//貙 蹖丕 丌賳 乇丕 //丨匕賮// 讴賳蹖丿 賵 蹖丕 賵蹖乇丕蹖卮 乇丕 //賱睾賵// 賳賲丕蹖蹖丿. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/edit.txt
new file mode 100644
index 000000000..7c3873af4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/edit.txt
@@ -0,0 +1 @@
+丕蹖賳 氐賮丨賴 乇丕 賵蹖乇丕蹖卮 讴賳蹖丿 賵 讴賱蹖丿 芦匕禺蹖乇賴禄 乇丕 賮卮丕乇 丿賴蹖丿. 氐賮丨賴 [[wiki:syntax|賯賵丕賳蹖賳 賳诏丕乇卮蹖]] 乇丕 亘乇丕蹖 乇賵卮 賳诏丕乇卮 賵蹖讴蹖 賲卮丕賴丿賴 讴賳蹖丿. 禺賵丕賴卮賲賳丿蹖賲 賮賯胤 丿乇 氐賵乇鬲蹖 丕蹖賳 氐賮丨賴 乇丕 賵蹖乇丕蹖卮 讴賳蹖丿 讴賴 鬲賵丕賳丕蹖蹖 **亘賴亘賵丿 亘禺卮蹖丿賳** 亘賴 丌賳 乇丕 丿丕乇蹖丿. 丕诏乇 鬲氐賲蹖賲 丿丕乇蹖丿 趩蹖夭蹖 乇丕 鬲爻鬲 讴賳蹖丿 蹖丕 丕賵賱蹖賳 賯丿賲鈥屬囏й屸屫з 乇丕 丿乇 賳诏丕乇卮 賵蹖讴蹖 亘乇丿丕乇蹖丿貙 亘賴 [[playground:playground|夭賲蹖賳 亘丕夭蹖]] 亘乇賵蹖丿. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/editrev.txt
new file mode 100644
index 000000000..ca896feeb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/editrev.txt
@@ -0,0 +1 @@
+**卮賲丕 蹖讴 賳诏丕乇卮 賯丿蹖賲蹖 乇丕 賲卮丕賴丿賴 賲蹖鈥屭┵嗃屫!** 丕诏乇 丕蹖賳 賳诏丕乇卮 乇丕 匕禺蹖乇賴 讴賳蹖丿貙 卮賲丕 蹖讴 賳诏丕乇卮 噩丿蹖丿 丕蹖噩丕丿 讴乇丿賴鈥屫й屫! \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/index.txt
new file mode 100644
index 000000000..89ed74b7d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/index.txt
@@ -0,0 +1,3 @@
+====== 賮賴乇爻鬲 ======
+
+丕蹖賳 氐賮丨賴 賮賴乇爻鬲 鬲賲丕賲蹖 氐賮丨丕鬲 亘乇 丕爻丕爻 [[doku>namespaces|賮囟丕蹖鈥屬嗀з呪屬囏] 丕爻鬲. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/install.html
new file mode 100644
index 000000000..b76e9443f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/install.html
@@ -0,0 +1,12 @@
+<p>丕蹖賳 氐賮丨賴 亘賴 卮賲丕 丿乇 賳氐亘 賵 鬲賳馗蹖賲
+<a href="http://dokuwiki.org">Dokuwiki</a> 讴賲讴 賲蹖鈥屭┵嗀. 丕胤賱丕毓丕鬲 亘蹖卮鬲乇蹖 丿乇 丕蹖賳 賲賵乇丿 乇丕 賲蹖鈥屫堌з嗃屫 丿乇 <a href="http://dokuwiki.org/installer">亘禺卮 乇丕賴賳賲丕</a> 賲卮丕賴丿賴 讴賳蹖丿.</p>
+
+<p>DokuWiki 丕夭 賮丕蹖賱鈥屬囏й 賲毓賲賵賱蹖 亘乇丕蹖 匕禺蹖乇賴鈥屰 氐賮丨丕鬲 賵蹖讴蹖 賵 丕胤賱丕毓丕鬲 賲乇亘賵胤 亘賴 丌賳鈥屬囏 丕爻鬲賮丕丿賴 賲蹖鈥屭┵嗀 (賲孬賱 鬲氐丕賵蹖乇貙 賮賴乇爻鬲鈥屬囏й 噩爻鬲噩賵貙 賳诏丕乇卮鈥屬囏й 倬蹖卮蹖賳 賵 睾蹖乇賴). 亘乇丕蹖 賳氐亘 賲賵賮賯蹖鬲 丌賲蹖夭 DokuWiki
+<strong>亘丕蹖丿</strong> 丿爻鬲乇爻蹖 賳賵卮鬲賳 亘乇丕蹖 卮丕禺賴鈥屬囏й 丕蹖賳 賮丕蹖賱鈥屬囏 丿丕卮鬲賴 亘丕卮蹖丿. 丕蹖賳 讴丕乇 亘丕蹖丿 鬲賵爻胤 丿爻鬲賵乇丕鬲 禺胤 賮乇賲丕賳 賵 蹖丕 丿爻鬲乇爻蹖 FTP 賵 蹖丕 丕夭 胤乇蹖賯 讴賳鬲乇賱 倬賳賱 禺丿賲丕鬲 賲蹖夭亘丕賳蹖鈥屫堎 丕賳噩丕賲 卮賵丿. </p>
+
+<p>丕蹖賳 亘乇賳丕賲賴 <acronym title="access control list">丿爻鬲乇爻蹖鈥屬囏</acronym>蹖 DokuWiki 乇丕 亘乇丕蹖 卮賲丕 鬲賳馗蹖賲 禺賵丕賴丿 讴乇丿貙
+亘賴 丕蹖賳 賲毓賳蹖 讴賴 賲丿蹖乇 爻蹖爻鬲賲 賲蹖鈥屫堌з嗀 亘賴 氐賮丨賴鈥屰 賲丿蹖乇丕賳 賵丕乇丿 卮賵丿貙 丕賮夭賵賳賴 賳氐亘 讴賳蹖丿貙 讴丕乇亘乇丕賳 乇丕 賲丿蹖乇蹖鬲 讴賳丿貙 丿爻鬲乇爻蹖 亘賴 氐賮丨丕鬲 賵蹖讴蹖 乇丕 賲丿蹖乇蹖鬲 讴賳丿 賵 蹖丕 鬲賳馗蹖賲丕鬲 乇丕 鬲睾蹖蹖乇 丿賴丿.</p>
+
+<p>亘乇丕蹖 丕胤賱丕毓丕鬲 亘蹖卮鬲乇 丿乇 賲賵乇丿 賳氐亘 賲蹖鈥屫堌з嗃屫 丕夭 丕蹖賳 倬蹖賵賳丿鈥屬囏 丕爻鬲賮丕丿賴 讴賳蹖丿
+<a href="http://dokuwiki.org/install">乇賵卮 賳氐亘</a>
+賵 <a href="http://dokuwiki.org/config">鬲賳馗蹖賲丕鬲 倬蹖讴乇亘賳丿蹖</a>.</p> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/lang.php
new file mode 100644
index 000000000..1123efe13
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/lang.php
@@ -0,0 +1,253 @@
+<?php
+/**
+ * fa language file
+ *
+ * This file was initially built by fetching translations from other
+ * Wiki projects. See the @url lines below. Additional translations
+ * and fixes where done for DokuWiki by the people mentioned in the
+ * lines starting with @author
+ *
+ * @url http://svn.wikimedia.org/viewvc/mediawiki/trunk/phase3/languages/messages/MessagesFa.php?view=co
+ * @author behrad eslamifar <behrad_es@yahoo.com)
+ * @author Mohsen Firoozmandan <info@mambolearn.com>
+ * @author omidmr@gmail.com
+ * @author Omid Mottaghi <omidmr@gmail.com>
+ * @author Mohammad Reza Shoaei <shoaei@gmail.com>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'rtl';
+$lang['doublequoteopening'] = '芦';
+$lang['doublequoteclosing'] = '禄';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '鈥';
+$lang['btn_edit'] = '賵蹖乇丕蹖卮 丕蹖賳 氐賮丨賴';
+$lang['btn_source'] = '賳賲丕蹖卮 賲亘丿丕';
+$lang['btn_show'] = '賳賲丕蹖卮 氐賮丨賴';
+$lang['btn_create'] = '爻丕禺鬲 丕蹖賳 氐賮丨賴';
+$lang['btn_search'] = '噩爻鬲噩賵';
+$lang['btn_save'] = '匕禺蹖乇賴';
+$lang['btn_preview'] = '倬蹖卮鈥屬嗁呚й屫';
+$lang['btn_top'] = '亘乇诏卮鬲 亘賴 亘丕賱丕';
+$lang['btn_newer'] = '賳鬲丕蹖噩 亘蹖卮鬲乇 禄';
+$lang['btn_older'] = '芦 賳鬲丕蹖噩 讴賲鬲乇';
+$lang['btn_revs'] = '賳诏丕乇卮鈥屬囏й 倬蹖卮蹖賳';
+$lang['btn_recent'] = '鬲睾蹖蹖乇丕鬲 丕禺蹖乇';
+$lang['btn_upload'] = '丕乇爻丕賱';
+$lang['btn_cancel'] = '賱睾賵';
+$lang['btn_index'] = '賮賴乇爻鬲';
+$lang['btn_secedit'] = '賵蹖乇丕蹖卮';
+$lang['btn_login'] = '賵乇賵丿 亘賴 爻蹖爻鬲賲';
+$lang['btn_logout'] = '禺乇賵噩 丕夭 爻蹖爻鬲賲';
+$lang['btn_admin'] = '賲丿蹖乇';
+$lang['btn_update'] = '亘賴 乇賵夭 乇爻丕賳蹖';
+$lang['btn_delete'] = '丨匕賮';
+$lang['btn_back'] = '毓賯亘';
+$lang['btn_backlink'] = '倬蹖賵賳丿賴丕蹖 亘賴 丕蹖賳 氐賮丨賴';
+$lang['btn_backtomedia'] = '亘丕夭诏卮鬲 亘賴 丕賳鬲禺丕亘 賮丕蹖賱';
+$lang['btn_subscribe'] = '毓囟賵蹖鬲 丿乇 鬲睾蹖蹖乇丕鬲 氐賮丨賴';
+$lang['btn_unsubscribe'] = '賱睾賵 毓囟賵蹖鬲 丿乇 鬲睾蹖蹖乇丕鬲 氐賮丨賴';
+$lang['btn_subscribens'] = '毓囟賵蹖鬲 丿乇 鬲睾蹖蹖乇丕鬲 賮囟丕蹖鈥屬嗀з';
+$lang['btn_unsubscribens'] = '毓囟賵蹖鬲 丿乇 鬲睾蹖蹖乇丕鬲 賮囟丕蹖鈥屬嗀з';
+$lang['btn_profile'] = '亘賴 乇賵夭 乇爻丕賳蹖 倬乇賵賮丕蹖賱';
+$lang['btn_reset'] = '亘丕夭賳卮丕賳丿賳';
+$lang['btn_resendpwd'] = '蹖讴 诏匕乇賵丕跇賴鈥屰 噩丿蹖丿 亘乇丕蹖 卮賲丕 賮乇爻鬲丕丿賴 卮賵丿';
+$lang['btn_draft'] = '賵蹖乇丕蹖卮 倬蹖卮鈥屬嗁堐屫';
+$lang['btn_recover'] = '亘丕夭蹖丕亘蹖 倬蹖卮鈥屬嗁堐屫';
+$lang['btn_draftdel'] = '丨匕賮 倬蹖卮鈥屬嗁堐屫';
+$lang['btn_revert'] = '亘丕夭蹖丕亘蹖';
+$lang['loggedinas'] = '亘賴 毓賳賵丕賳 讴丕乇亘乇 乇賵亘乇賵 賵丕乇丿 卮丿賴鈥屫й屫:';
+$lang['user'] = '賳丕賲 讴丕乇亘乇蹖:';
+$lang['pass'] = '诏匕乇賵丕跇賴鈥屰 卮賲丕';
+$lang['newpass'] = '诏匕乇賵丕夭賴鈥屰 噩丿蹖丿';
+$lang['oldpass'] = '诏匕乇賵丕跇賴鈥屰 倬蹖卮蹖賳';
+$lang['passchk'] = '诏匕乇賵丕跇賴 乇丕 丿賵亘丕乇賴 賵丕乇丿 讴賳蹖丿';
+$lang['remember'] = '诏匕乇賵丕跇賴 乇丕 亘賴 蹖丕丿 亘爻倬丕乇.';
+$lang['fullname'] = '*賳丕賲 賵丕賯毓蹖 卮賲丕';
+$lang['email'] = '丕蹖賲蹖賱 卮賲丕*';
+$lang['register'] = '蹖讴 丨爻丕亘 噩丿蹖丿 亘爻丕夭蹖丿';
+$lang['profile'] = '倬乇賵賮丕蹖賱 讴丕乇亘乇';
+$lang['badlogin'] = '禺胤丕 丿乇 賵乇賵丿 亘賴 爻蹖爻鬲賲';
+$lang['minoredit'] = '丕蹖賳 賵蹖乇丕蹖卮 禺購乇丿 丕爻鬲';
+$lang['draftdate'] = '匕禺蹖乇賴 禺賵丿讴丕乇 倬蹖卮鈥屬嗁堐屫';
+$lang['nosecedit'] = '丕蹖賳 氐賮丨賴 丿乇 丕蹖賳 賲蹖丕賳 鬲睾蹖蹖乇 讴乇丿賴 丕爻鬲貙 丕胤賱丕毓丕鬲 亘禺卮 賯丿蹖賲蹖 卮丿賴 丕爻鬲貙 丿乇 毓賵囟 賲丨鬲賵丕蹖 讴賱 賳賲丕蹖卮 丿丕丿賴 賲蹖鈥屫促堌.';
+$lang['regmissing'] = '賲鬲丕爻賮賲貙 卮賲丕 亘丕蹖丿 賴賲賴 賯爻賲鬲鈥屬囏 乇丕 倬乇 讴賳蹖丿.';
+$lang['reguexists'] = '賳丕賲 讴丕乇亘乇蹖鈥屫й 讴賴 賵丕乇丿 讴乇丿蹖丿 賯亘賱賳 丕爻鬲賮丕丿賴 卮丿賴 丕爻鬲. 禺賵丕賴卮賲賳丿蹖賲 蹖讴 賳丕賲 丿蹖诏乇 丕賳鬲禺丕亘 讴賳蹖丿.';
+$lang['regsuccess'] = '讴丕乇亘乇 爻丕禺鬲賴 卮丿 賵 诏匕乇賵丕跇賴 亘賴 氐賵乇鬲 丕蹖賲蹖賱 丕乇爻丕賱 诏乇丿蹖丿.';
+$lang['regsuccess2'] = '丨爻丕亘 丕蹖噩丕丿 卮丿.';
+$lang['regmailfail'] = '賲卮讴賱蹖 丿乇 丕乇爻丕賱 丕蹖賲蹖賱 倬蹖卮 丌賲丿賴 丕爻鬲貙 亘丕 賲丿蹖乇 鬲賲丕爻 亘诏蹖乇蹖丿!';
+$lang['regbadmail'] = '賳卮丕賳蹖 賵丕乇丿卮丿賴鈥屰 丕蹖賲蹖賱 賯丕亘賱鈥屬傌ㄙ堎 賳蹖爻鬲貙 趩乇丕 讴賴 丿丕乇丕蹖 爻丕禺鬲丕乇 賳丕賲毓鬲亘乇蹖 丕爻鬲. 禺賵丕賴卮賲賳丿蹖賲 賳卮丕賳蹖鈥屫й 亘丕 爻丕禺鬲丕乇 氐丨蹖丨 賵丕乇丿 讴賳蹖丿 賵 蹖丕 亘禺卮 賲乇亘賵胤 乇丕 禺丕賱蹖 亘诏匕丕乇蹖丿.';
+$lang['regbadpass'] = '诏匕乇賵丕跇賴鈥屬囏й屰 讴賴 賵丕乇丿 讴乇丿蹖丿 蹖讴爻丕賳 賳蹖爻鬲賳丿.';
+$lang['regpwmail'] = '诏匕乇賵丕跇賴鈥屰 DokuWiki 卮賲丕';
+$lang['reghere'] = '卮賲丕 賴賳賵夭 丨爻丕亘蹖 丿乇 丕蹖賳噩丕 賳丿丕乇蹖丿責 蹖讴蹖 丕蹖噩丕丿 讴賳蹖丿';
+$lang['profna'] = '丕蹖賳 賵蹖讴蹖 丕噩丕夭賴 賵蹖乇丕蹖卮 倬乇賵賮丕蹖賱 乇丕 賳賲蹖鈥屫囏';
+$lang['profnochange'] = '鬲睾蹖蹖乇蹖 氐賵乇鬲 賳诏乇賮鬲';
+$lang['profnoempty'] = '賳丕賲 賵 丌丿乇爻 丕蹖賲蹖賱 亘丕蹖丿 倬乇 卮賵丿';
+$lang['profchanged'] = '倬乇賵賮丕蹖賱 讴丕乇亘乇 亘丕 賲賵賮賯蹖鬲 亘賴 乇賵夭 卮丿';
+$lang['pwdforget'] = '诏匕乇賵丕跇賴鈥屰 禺賵丿 乇丕 賮乇丕賲賵卮 讴乇丿賴鈥屫й屫 噩丿蹖丿 丿乇蹖丕賮鬲 讴賳蹖丿';
+$lang['resendna'] = '丕蹖賳 賵蹖讴蹖 丕乇爻丕賱 賲噩丿丿 诏匕乇賵丕跇賴 乇丕 倬卮鬲蹖亘丕賳蹖 賳賲蹖鈥屭┵嗀';
+$lang['resendpwd'] = '诏匕乇賵丕跇賴鈥屰 噩丿蹖丿 丕乇爻丕賱 卮丿';
+$lang['resendpwdmissing'] = '賲鬲丕爻賮賲貙 卮賲丕 亘丕蹖丿 鬲賲丕賲 賯爻賲鬲鈥屬囏 乇丕 倬乇 讴賳蹖丿';
+$lang['resendpwdnouser'] = '賲鬲丕爻賮賲貙 賲丕 賳鬲賵丕賳爻鬲蹖賲 丕蹖賳 賳丕賲 讴丕乇亘乇蹖 乇丕 丿乇 亘丕賳讴 禺賵丿 倬蹖丿丕 讴賳蹖賲';
+$lang['resendpwdbadauth'] = '賲鬲丕爻賮賲貙 讴丿 卮賳丕爻丕蹖蹖 賲毓鬲亘乇 賳蹖爻鬲. 丕夭 氐丨鬲 賱蹖賳讴 鬲丕蹖蹖丿蹖賴 丕胤賲蹖賳丕賳 丨丕氐賱 讴賳蹖丿.';
+$lang['resendpwdconfirm'] = '蹖讴 丕蹖賲蹖賱 鬲丕蹖蹖丿蹖賴鈥屰 丌丿乇爻 亘賴 丌丿乇爻 賲賵乇賳馗乇 丕乇爻丕賱 卮丿. 賯亘賱 丕夭 丕蹖賳讴賴 賳丕賲賴鈥屰 丿蹖诏乇蹖 賯丕亘賱 丕乇爻丕賱 亘賴 丕蹖賳 丌丿乇爻 亘丕卮丿貙 亘丕蹖丿 丿爻鬲賵乇丕鬲蹖 讴賴 丿乇 丌賳 賳丕賲賴 丌賲丿賴 丕爻鬲 乇丕 噩賴鬲 鬲丕蹖蹖丿 丕蹖賳 賲爻丕賱賴 讴賴 丕蹖賳 丌丿乇爻 賲鬲毓賱賯 亘賴 卮賲丕爻鬲貙 丕噩乇丕 讴賳蹖丿.';
+$lang['resendpwdsuccess'] = '诏匕乇賵丕跇賴鈥屰 噩丿蹖丿 卮賲丕 鬲賵爻胤 丕蹖賲蹖賱 丕乇爻丕賱 卮丿';
+$lang['license'] = '亘賴 噩夭 賲賵丕乇丿蹖 讴賴 匕讴乇 賲蹖鈥屫促堌 賲丕亘賯蹖 賲丨鬲賵蹖丕鬲 賵蹖讴蹖 鬲丨鬲 賲噩賵夭 夭蹖乇 賲蹖鈥屫ㄘж促嗀:';
+$lang['licenseok'] = '鬲賵噩賴: 亘丕 賵蹖乇丕蹖卮 丕蹖賳 氐賮丨賴貙 卮賲丕 賲噩賵夭 夭蹖乇 乇丕 鬲丕蹖蹖丿 賲蹖鈥屭┵嗃屫:';
+$lang['searchmedia'] = '賳丕賲 賮丕蹖賱 亘乇丕蹖 噩爻鬲噩賵:';
+$lang['searchmedia_in'] = '噩爻鬲噩賵 丿乇 %s';
+$lang['txt_upload'] = '賮丕蹖賱 乇丕 亘乇丕蹖 丕乇爻丕賱 丕賳鬲禺丕亘 讴賳蹖丿';
+$lang['txt_filename'] = '丕乇爻丕賱 亘賴 氐賵乇鬲 (丕禺鬲蹖丕乇蹖)';
+$lang['txt_overwrt'] = '亘乇 乇賵蹖 賮丕蹖賱 賲賵噩賵丿 亘賳賵蹖爻';
+$lang['lockedby'] = '丿乇 丨丕賱 丨丕囟乇 賯賮賱 卮丿賴 丕爻鬲';
+$lang['lockexpire'] = '賯賮賱 賲賳賯囟蹖 卮丿賴 丕爻鬲';
+$lang['willexpire'] = '丨丕賱鬲 賯賮賱 卮賲丕 賲丿鬲蹖 丕爻鬲 賲賳賯囟蹖 卮丿賴 丕爻鬲 \n 亘乇丕蹖 噩賱賵诏蹖乇蹖 丕夭 鬲丿丕禺賱 丿讴賲賴鈥屰 倬蹖卮鈥屬嗁呚й屫 乇丕 亘乇丕蹖 氐賮乇 卮丿賳 爻丕毓鬲 賯賮賱 亘夭賳蹖丿.';
+$lang['notsavedyet'] = '鬲睾蹖蹖乇丕鬲 匕禺蹖乇賴 卮丿賴 丕夭 亘蹖賳 禺賵丕賴丿 乇賮鬲. \n 賲蹖鈥屫堌з囒屫 丕丿丕賲賴 丿賴蹖丿責';
+$lang['rssfailed'] = '亘乇賵夭 禺胤丕 丿乇 賴賳诏丕賲 賵丕讴卮蹖';
+$lang['nothingfound'] = '趩蹖夭蹖 倬蹖丿丕 賳卮丿';
+$lang['mediaselect'] = '賮丕蹖賱鈥屬囏';
+$lang['fileupload'] = '丕乇爻丕賱 倬乇賵賳丿賴';
+$lang['uploadsucc'] = '丕乇爻丕賱 亘丕 賲賵賮賯蹖鬲 丕賳噩丕賲 卮丿';
+$lang['uploadfail'] = '禺胤丕 丿乇 丕乇爻丕賱';
+$lang['uploadwrong'] = '丕乇爻丕賱 賲鬲賵賯賮 卮丿. 丕蹖賳 鬲賵爻毓賴鈥屰 賮丕蹖賱 賲賲賳賵毓 賲蹖鈥屫ㄘж簇.';
+$lang['uploadexist'] = '丕蹖賳 賮丕亘賱 賵噩賵丿 丿丕乇丿. 毓賲賱蹖 丕賳噩丕賲 賳卮丿.';
+$lang['uploadbadcontent'] = '賲丨鬲賵丕蹖 賮丕蹖賱 丕乇爻丕賱 卮丿賴 亘丕 鬲賵爻毓賴鈥屰 %s 賲鬲賳丕賯囟 丕爻鬲.';
+$lang['uploadspam'] = '賮丕蹖賱 丕乇爻丕賱 卮丿賴 鬲賵爻胤 賱蹖爻鬲 爻蹖丕賴 丕爻倬賲鈥屬囏 賲爻丿賵丿 卮丿賴 丕爻鬲.';
+$lang['uploadxss'] = '丕蹖賳 氐賮丨賴 丨丕賵蹖 丕爻讴乇蹖倬鬲 蹖丕 讴丿 丕趩鈥屫屸屫з呪屫з 丕爻鬲 讴賴 賲賲讴賳 丕爻鬲 亘賴 賳丕丿乇爻鬲 鬲賵爻胤 賲乇賵乇诏乇 賵亘 鬲賮爻蹖乇 卮賵丿.';
+$lang['uploadsize'] = '賮丕蹖賱 丕乇爻丕賱 卮丿賴 爻賳诏蹖賳 丕爻鬲. (亘蹖卮蹖賳賴貙 %s)';
+$lang['deletesucc'] = '賮丕蹖賱 芦%s禄 丨匕賮 卮丿.';
+$lang['deletefail'] = '芦%s禄 丨匕賮 賳賲蹖鈥屫促堌 丿爻鬲乇爻蹖鈥屬囏 乇丕 亘乇乇爻蹖 讴賳蹖丿.';
+$lang['mediainuse'] = '賮丕蹖賱 芦%s禄 丨匕賮 賳賲蹖鈥屫促堌 趩賵賳 賴賳賵夭 丿乇 丨丕賱 丕爻鬲賮丕丿賴 丕爻鬲.';
+$lang['namespaces'] = '賮囟丕蹖鈥屬嗀з';
+$lang['mediafiles'] = '賮丕蹖賱鈥屬囏й 賲賵噩賵丿 丿乇';
+$lang['js']['searchmedia'] = '噩爻鬲噩賵 亘乇丕蹖 賮丕蹖賱';
+$lang['js']['keepopen'] = '倬賳噩乇賴 乇丕 乇 夭賲丕賳 丕賳鬲禺丕亘 亘丕夭 賳诏賴鈥屫ж';
+$lang['js']['hidedetails'] = '倬鬲賴丕賳 讴乇丿賳 噩夭蹖蹖丕鬲';
+$lang['js']['nosmblinks'] = '倬蹖賵賳丿 亘賴 Windows share 賮賯胤 丿乇 丕蹖賳鬲乇賳鬲鈥屫и┴迟举勝堌必 賯丕亘賱 丕爻鬲賮丕丿賴 丕爻鬲.
+卮賲丕 賲蹖鈥屫堌з嗃屫 倬蹖賵賳丿鈥屬囏 乇賵 讴倬蹖 讴賳蹖丿.';
+$lang['js']['linkwiz'] = '賵蹖夭丕乇丿 倬蹖賵賳丿';
+$lang['js']['linkto'] = '倬蹖賵賳丿 亘賴:';
+$lang['js']['del_confirm'] = '賵丕賯毓賳 鬲氐賲蹖賲 亘賴 丨匕賮 丕蹖賳 賲賵丕乇丿 丿丕乇蹖丿責';
+$lang['js']['mu_btn'] = '丕乇爻丕賱 賴賲鈥屫操呚з 趩賳丿蹖賳 賮丕蹖賱 ';
+$lang['mediausage'] = '亘乇丕蹖 丕乇噩丕毓 丿丕丿賳 亘賴 賮丕蹖賱 丕夭 賳诏丕乇卮 夭蹖乇 丕爻鬲賮丕丿賴 讴賳蹖丿.';
+$lang['mediaview'] = '賲卮丕賴丿賴鈥屰 賮丕蹖賱 丕氐賱蹖';
+$lang['mediaroot'] = '乇蹖卮賴';
+$lang['mediaupload'] = '丕乇爻丕賱 賮丕蹖賱 亘賴 賮囟丕蹖鈥屬嗀з 讴賳賵賳蹖. 亘乇丕蹖 丕蹖噩丕丿 夭蹖乇賮囟丕蹖鈥屬嗀з呪屬囏ж 賳丕賲鈥屬囏й 丌賳鈥屬囏 乇丕 亘賴 毓賳賵丕賳 倬蹖卮賵賳丿賴丕蹖蹖 讴賴 亘丕 丿賵賳賯胤賴 芦:禄 噩丿丕 卮丿賴鈥屫з嗀 亘賴 賳丕賲 賮丕蹖賱貙 丿乇 賯爻賲鬲 芦丕乇爻丕賱 亘賴 氐賵乇鬲禄 丕囟丕賮賴 讴賳蹖丿.';
+$lang['mediaextchange'] = '鬲賵爻毓賴鈥屰 賮丕蹖賱 丕夭 %s 亘賴 %s 鬲睾蹖蹖乇 丿丕丿賴 卮丿.';
+$lang['reference'] = '丕乇噩丕毓鈥屬囏й';
+$lang['ref_inuse'] = '丕蹖賳 賮丕蹖賱 賳賲蹖鈥屫堌з嗀 丨匕賮 卮賵丿貙 夭蹖乇丕 賴賲鈥屭嗁嗀з 丿乇 丕蹖賳 氐賮丨賴 丕爻鬲賮丕丿賴 卮丿賴 丕爻鬲:';
+$lang['ref_hidden'] = '鬲毓丿丕丿蹖 賲乇噩毓 丿乇 氐賮丨丕鬲蹖 讴賴 卮賲丕 丿爻鬲乇爻蹖 禺賵丕賳丿賳 賳丿丕乇蹖丿 賵噩賵丿 丿丕乇丿.';
+$lang['hits'] = '亘丕夭丿蹖丿';
+$lang['quickhits'] = '噩賵乇 讴乇丿賳 賳丕賲 氐賮丨丕鬲';
+$lang['toc'] = '賮賴乇爻鬲 賲賳丿乇噩丕鬲';
+$lang['current'] = '賮毓賱蹖';
+$lang['yours'] = '賳爻禺賴鈥屰 卮賲丕';
+$lang['diff'] = '鬲賮丕賵鬲鈥屬囏 乇丕 亘丕 賳诏丕乇卮 讴賳賵賳蹖 賳賲丕蹖卮 亘丿賴.';
+$lang['diff2'] = '鬲賮丕賵鬲鈥屬囏 乇丕 亘丕 賳诏丕乇卮 丕賳鬲禺丕亘蹖 賳賲丕蹖卮 亘丿賴.';
+$lang['line'] = '禺胤';
+$lang['breadcrumb'] = '乇丿倬丕';
+$lang['youarehere'] = '賲丨賱 卮賲丕';
+$lang['lastmod'] = '丌禺乇蹖賳 賵蹖乇丕蹖卮';
+$lang['by'] = '鬲賵爻胤';
+$lang['deleted'] = '丨匕賮 卮丿';
+$lang['created'] = '丕蹖噩丕丿 卮丿';
+$lang['restored'] = '蹖讴 賳诏丕乇卮 倬蹖卮蹖賳 賵丕诏乇丿丕賳蹖 卮丿.';
+$lang['external_edit'] = '賵蹖乇丕蹖卮 禺丕乇噩蹖';
+$lang['summary'] = '倬蹖卮鈥屬嗁呚й屫';
+$lang['noflash'] = '亘乇丕蹖 賳賲丕蹖卮 賲丨鬲賵蹖丕鬲 <a href="http://www.adobe.com/products/flashplayer/">丕賮夭賵賳賴鈥屰 賮賱卮</a> 賲賵乇丿 賳蹖丕夭 丕爻鬲.';
+$lang['download'] = '丿蹖丕賮鬲 賮丕蹖賱 賲賳賯胤毓 诏乇丿蹖丿';
+$lang['mail_newpage'] = '氐賮丨賴 丕囟丕賮賴 卮丿:';
+$lang['mail_changed'] = '氐賮丨賴 鬲睾蹖蹖乇 丿丕丿賴 卮丿:';
+$lang['mail_new_user'] = '讴丕乇亘乇 噩丿蹖丿:';
+$lang['mail_upload'] = '賮丕蹖賱 丕乇爻丕賱 卮丿賴:';
+$lang['qb_bold'] = '賲鬲賳 倬購乇乇賳诏';
+$lang['qb_italic'] = '賲鬲賳 丕蹖鬲丕賱蹖讴';
+$lang['qb_underl'] = '賲鬲賳 夭蹖乇禺胤鈥屫ж';
+$lang['qb_code'] = '讴丿';
+$lang['qb_strike'] = '賲鬲賳 賵爻胤鈥屫封屫ж';
+$lang['qb_h1'] = '毓賳賵丕賳 爻胤丨 郾';
+$lang['qb_h2'] = '毓賳賵丕賳 爻胤丨 鄄';
+$lang['qb_h3'] = '毓賳賵丕賳 爻胤丨 鄢';
+$lang['qb_h4'] = '毓賳賵丕賳 爻胤丨 鄞';
+$lang['qb_h5'] = '毓賳賵丕賳 爻胤丨 鄣';
+$lang['qb_h'] = '鬲蹖鬲乇';
+$lang['qb_hs'] = '鬲蹖鬲乇 賲賵乇丿 賳馗乇 乇丕 丕賳鬲禺丕亘 賳賲丕蹖蹖丿';
+$lang['qb_hplus'] = '鬲蹖鬲乇 亘丕賱丕鬲乇';
+$lang['qb_hminus'] = '鬲蹖鬲乇 倬丕蹖蹖賳 鬲乇';
+$lang['qb_hequal'] = '鬲蹖鬲乇 丿乇 蹖讴 爻胤丨';
+$lang['qb_link'] = '倬蹖賵賳丿 丿丕禺賱蹖';
+$lang['qb_extlink'] = '倬蹖賵賳丿 亘賴 亘蹖乇賵賳 (倬蹖卮賵賳丿 http:// 乇丕 賮乇丕賲賵卮 賳讴賳蹖丿)';
+$lang['qb_hr'] = '禺胤 丕賮賯蹖';
+$lang['qb_ol'] = '賱蹖爻鬲鈥屬囏й 賲乇鬲亘';
+$lang['qb_ul'] = '賱蹖爻鬲鈥屬囏й 亘丿賵賳 鬲乇鬲蹖亘';
+$lang['qb_media'] = '丕賮夭賵丿賳 鬲氐賵蹖乇 賵 賮丕蹖賱';
+$lang['qb_sig'] = '丕賮夭賵丿賳 丕賲囟丕';
+$lang['qb_smileys'] = '卮讴賱讴';
+$lang['qb_chars'] = '丨乇賵賮 賵蹖跇賴';
+$lang['upperns'] = '倬乇卮 亘賴 賮囟丕蹖鈥屬嗀з 亘丕賱丕';
+$lang['admin_register'] = '蹖讴 丨爻丕亘 噩丿蹖丿 亘爻丕夭蹖丿';
+$lang['metaedit'] = '賵蹖乇丕蹖卮 丿丕丿賴鈥屬囏й 賲鬲丕';
+$lang['metasaveerr'] = '賳賵卮鬲賳 丿丕丿賴鈥屬嗁呚 亘丕 賲卮讴賱 賲賵丕噩賴 卮丿';
+$lang['metasaveok'] = '丿丕丿賴鈥屬嗁呚 匕禺蹖乇賴 卮丿';
+$lang['img_backto'] = '亘丕夭诏卮鬲 亘賴 ';
+$lang['img_title'] = '毓賳賵丕賳 鬲氐賵蹖乇';
+$lang['img_caption'] = '毓賳賵丕賳';
+$lang['img_date'] = '鬲丕乇蹖禺';
+$lang['img_fname'] = '賳丕賲 賮丕蹖賱';
+$lang['img_fsize'] = '丕賳丿丕夭賴';
+$lang['img_artist'] = '毓讴丕爻/賴賳乇賲賳丿';
+$lang['img_copyr'] = '丿丕乇賳丿賴鈥屰 丨賯 鬲讴孬蹖乇';
+$lang['img_format'] = '賮乇賲鬲';
+$lang['img_camera'] = '丿賵乇亘蹖賳';
+$lang['img_keywords'] = '賵丕跇賴鈥屬囏й 讴賱蹖丿蹖';
+$lang['subscribe_success'] = '%s 亘丕 賲賵賮賯蹖鬲 亘賴 毓囟賵蹖鬲 %s 丿乇丌賲丿';
+$lang['subscribe_error'] = '毓囟賵蹖鬲 %s 丿乇 %s 亘丕 賲卮讴賱 賲賵丕噩賴 卮丿';
+$lang['subscribe_noaddress'] = '賴蹖趩 丌丿乇爻 丕蹖賲蹖賱蹖 孬亘鬲 賳讴乇丿賴鈥屫й屫 賵 賳賲蹖鈥屫堌з嗃屫 毓囟賵 卮賵蹖丿';
+$lang['unsubscribe_success'] = '%s 亘丕 賲賵賮賯蹖鬲 丕夭 毓囟賵蹖鬲 %s 禺丕乇噩 卮丿';
+$lang['unsubscribe_error'] = '禺丕乇噩 讴乇丿賳 %s 丕夭 毓囟賵蹖鬲 %s 亘丕 賲卮讴賱 賲賵丕噩賴 卮丿.';
+$lang['authmodfailed'] = '丕卮讴丕賱 丿乇 賳賵毓 賲毓鬲亘乇爻丕夭蹖 讴丕乇亘乇丕賳貙 賲丿蹖乇 賵蹖讴蹖 乇丕 亘丕禺亘乇 爻丕夭蹖丿.';
+$lang['authtempfail'] = '賲毓鬲亘乇爻丕夭蹖 讴丕亘乇丕賳 賲賵賯鬲賳 賲爻丿賵丿 賲蹖鈥屫ㄘж簇. 丕诏乇 丕蹖賳 丨丕賱鬲 倬丕蹖丿丕乇 亘賵丿貙 賲丿蹖乇 賵蹖讴蹖 乇丕 亘丕禺亘乇 爻丕夭蹖丿.';
+$lang['i_chooselang'] = '丕賳鬲禺丕亘 夭亘丕賳';
+$lang['i_installer'] = '賳氐亘 讴賳賳丿賴鈥屰 Dokuwiki';
+$lang['i_wikiname'] = '賳丕賲 賵蹖讴蹖';
+$lang['i_enableacl'] = '賮毓丕賱 亘賵丿賳 讴賳鬲乇賱 丿爻鬲乇爻蹖鈥屬囏 (鬲賵氐蹖賴 卮丿賴)';
+$lang['i_superuser'] = '讴丕乇亘乇 丕氐賱蹖';
+$lang['i_problems'] = '賳氐亘 讴賳賳丿賴 亘丕 賲卮讴賱丕鬲 夭蹖乇 賲賵丕噩賴 卮丿. 丿乇 氐賵乇鬲 乇賮毓 丕蹖賳 賲卮讴賱丕鬲貙 丕賲讴丕賳 丕丿丕賲賴 賳氐亘 禺賵丕賴丿 亘賵丿.';
+$lang['i_modified'] = '亘賴 丿賱丕蹖賱 丕賲賳蹖鬲蹖貙 丕蹖賳 丕爻讴乇蹖倬鬲 賮賯胤 亘丕 賳氐亘 鬲丕夭賴 賵 亘丿賵賳 鬲睾蹖蹖乇 DokuWiki 讴丕乇 禺賵丕賴丿 讴乇丿.卮賲丕 亘丕蹖丿 丿賵亘丕乇賴 賮丕蹖賱 賮卮乇丿賴 乇丕 亘丕夭 讴賳蹖丿 <a href="http://dokuwiki.org/install">乇丕賴賳賲丕蹖 賳氐亘 DokuWiki</a> 乇丕 亘乇乇爻蹖 讴賳蹖丿.';
+$lang['i_funcna'] = '鬲丕亘毓 <code>%s</code> 丿乇 PHP 賲賵噩賵丿 賳蹖爻鬲. 賲賲讴賳 丕爻鬲 卮乇讴鬲 禺丿賲丕鬲 賵亘 卮賲丕 丌賳 乇丕 賲爻丿賵丿 讴乇丿賴 亘丕卮丿.';
+$lang['i_phpver'] = '賳诏丕乇卮 倬蹖鈥屫и嗏屬聚 <code>%s</code> 倬丕蹖蹖賳鈥屫 丕夭 賳诏丕乇卮 賲賵乇丿 賳蹖丕夭貙 蹖毓賳蹖 <code>%s</code> 賲蹖鈥屫ㄘж簇. 禺賵丕賴卮賲賳丿蹖賲 亘賴 乇賵夭 乇爻丕賳蹖 讴賳蹖丿.';
+$lang['i_permfail'] = '卮丕禺賴鈥屰 <code>%s</code> 賯丕亘賱蹖鬲 賳賵卮鬲賳 賳丿丕乇丿. 卮賲丕 亘丕蹖丿 丿爻鬲乇爻蹖鈥屬囏й 丕蹖賳 卮丕禺賴 乇丕 鬲賳馗蹖賲 讴賳蹖丿!';
+$lang['i_confexists'] = '<code>%s</code> 倬蹖卮鈥屫 賲賵噩賵丿 丕爻鬲';
+$lang['i_writeerr'] = '鬲賵丕賳丕蹖蹖 丕蹖噩丕丿 <code>%s</code> 賳蹖爻鬲. 卮賲丕 亘丕蹖丿 丿爻鬲乇爻蹖鈥屬囏й 卮丕禺賴 蹖丕 賮丕蹖賱 乇丕 亘乇乇爻蹖 讴賳蹖丿 賵 賮丕蹖賱 乇丕 亘賴 胤賵乇 丿爻鬲蹖 丕蹖噩丕丿 讴賳蹖丿.';
+$lang['i_badhash'] = '賮丕蹖賱 dokuwiki.php 睾蹖乇賯丕亘賱 鬲卮禺蹖氐 亘賵丿賴 蹖丕 鬲睾蹖蹖乇 讴乇丿賴 丕爻鬲 (hash=<code>%s</code>)';
+$lang['i_badval'] = '<code>%s</code> - 睾蹖乇賯丕賳賵賳蹖 賵 蹖丕 賲賯丕丿蹖乇 鬲賴蹖';
+$lang['i_success'] = '鬲賳馗蹖賲丕鬲 亘丕 賲賵賮賯蹖鬲 亘賴 倬丕蹖丕賳 乇爻蹖丿. 亘賴鬲乇 丕爻鬲 賮丕蹖賱 install.php 乇賵 丨匕賮 讴賳蹖丿. 亘乇丕蹖 丕丿丕賲賴 <a href="doku.php">丕蹖賳鈥屫</a> 讴賱蹖讴 讴賳蹖丿.';
+$lang['i_failure'] = '賲卮讴賱丕鬲蹖 丿乇 夭賲丕賳 賳賵卮鬲賳 賮丕蹖賱 鬲賳馗蹖賲丕鬲 倬蹖卮 丌賲丿賴 丕爻鬲. 卮賲丕 亘丕蹖丿 丕蹖賳 賲卮讴賱丕鬲 乇丕 倬蹖卮 丕夭 丕爻鬲賮丕丿賴 丕夭 <a href="doku.php">DokuWiki</a> 亘乇胤乇賮 讴賳蹖丿.';
+$lang['i_policy'] = '讴賳鬲乇賱 丿爻鬲乇爻蹖鈥屬囏й 丕賵賱蹖賴';
+$lang['i_pol0'] = '賵蹖讴蹖 亘丕夭 (賴賲賴 賲蹖鈥屫堌з嗁嗀 亘禺賵丕賳賳丿貙 亘賳賵蹖爻賳丿 賵 賮丕蹖賱 丕乇爻丕賱 讴賳賳丿)';
+$lang['i_pol1'] = '賵蹖讴蹖 毓賲賵賲蹖 (賴賲賴 賲蹖鈥屫堌з嗁嗀 亘禺賵丕賳賳丿貙 讴丕乇亘乇丕賳 孬亘鬲 卮丿賴 賲蹖鈥屫堌з嗁嗀 亘賳賵蹖爻賳丿 賵 賮丕蹖賱 丕乇爻丕賱 讴賳賳丿)';
+$lang['i_pol2'] = '賵蹖讴蹖 亘爻鬲賴 (賮賯胤 讴丕乇亘乇丕賳 孬亘鬲 卮丿賴 賲蹖鈥屫堌з嗁嗀 亘禺賵丕賳賳丿貙 亘賳賵蹖爻賳丿 賵 賮丕蹖賱 丕乇爻丕賱 讴賳賳丿)';
+$lang['i_retry'] = '鬲賱丕卮 賲噩丿丿';
+$lang['mu_intro'] = '卮賲丕 賲蹖鈥屫堌з嗃屫 趩賳丿蹖賳 賮丕蹖賱 乇丕 亘丕 蹖讴 丨乇讴鬲 丕乇爻丕賱 讴賳蹖丿. 乇賵蹖 丿讴賲賴鈥屰 芦亘趩乇禄 讴賱蹖讴 讴賳蹖丿 賵 賮丕蹖賱鈥屬囏 乇丕 亘賴 氐賮 丕乇爻丕賱 丕囟丕賮賴 賳賲丕蹖蹖丿. 爻倬爻 丿讴賲賴鈥屰 芦丕乇爻丕賱禄 乇丕 賮卮丕乇 丿賴蹖丿. ';
+$lang['mu_gridname'] = '賳丕賲 賮丕蹖賱';
+$lang['mu_gridsize'] = '丕賳丿丕夭賴';
+$lang['mu_gridstat'] = '賵囟毓蹖鬲';
+$lang['mu_namespace'] = '賮囟丕蹖鈥屬嗀з';
+$lang['mu_browse'] = '亘趩乇';
+$lang['mu_toobig'] = '禺蹖賱蹖 亘夭乇诏';
+$lang['mu_ready'] = '丌賲丕丿賴鈥屰 丕乇爻丕賱';
+$lang['mu_done'] = '讴丕賲賱';
+$lang['mu_fail'] = '卮讴爻鬲 禺賵乇丿';
+$lang['mu_authfail'] = '爻卮賳 亘賴 倬丕蹖丕賳 乇爻蹖丿';
+$lang['mu_progress'] = '@PCT@% 丕乇爻丕賱 卮丿';
+$lang['mu_filetypes'] = '鬲賵爻毓賴鈥屬囏й 賲噩丕夭';
+$lang['mu_info'] = '賮丕蹖賱 丕乇爻丕賱 诏乇丿蹖丿';
+$lang['mu_lasterr'] = '丌禺乇蹖賳 禺胤丕:';
+$lang['recent_global'] = '卮賲丕 賴賲鈥屫и┵嗁堎 鬲睾蹖蹖乇丕鬲 賮囟丕蹖鈥屬嗀з <b>%s</b> 乇丕 賲卮丕賴丿賴 賲蹖鈥屭┵嗃屫. 卮賲丕 賴賲鈥屭嗁嗃屬 賲蹖鈥屫堌з嗃屫 <a href="%s">鬲睾蹖蹖乇丕鬲 丕禺蹖乇 丿乇 讴賱 賵蹖讴蹖 乇丕 賲卮丕賴丿賴 賳賲丕蹖蹖丿</a>.';
+$lang['years'] = '%d 爻丕賱 倬蹖卮';
+$lang['months'] = '%d 賲丕賴 倬蹖卮';
+$lang['weeks'] = '%d 賴賮鬲賴鈥屰 倬蹖卮';
+$lang['days'] = '%d 乇賵夭 倬蹖卮';
+$lang['hours'] = '%d 爻丕毓鬲 倬蹖卮';
+$lang['minutes'] = '%d 丿賯蹖賯賴鈥屰 倬蹖卮';
+$lang['seconds'] = '%d 孬丕賳蹖賴鈥屰 倬蹖卮';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/locked.txt
new file mode 100644
index 000000000..1400e22da
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/locked.txt
@@ -0,0 +1,3 @@
+====== 賯賮賱 卮丿賴 丕爻鬲 ======
+
+丕蹖賳 氐賮丨賴 鬲賵爻胤 蹖讴 讴丕乇亘乇 丿蹖诏乇貙 亘乇丕蹖 賵蹖乇丕蹖卮貙 賯賮賱 卮丿賴 丕爻鬲. 卮賲丕 亘丕蹖丿 鬲丕 倬丕蹖丕賳 賵蹖乇丕蹖卮 丕蹖賳 讴丕乇亘乇 蹖丕 倬丕蹖丕賳 夭賲丕賳 賵蹖乇丕蹖卮貙 氐亘乇 讴賳蹖丿. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/login.txt
new file mode 100644
index 000000000..0b1b3f9fc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/login.txt
@@ -0,0 +1,3 @@
+====== 賵乇賵丿 ======
+
+卮賲丕 賵丕乇丿 爻丕蹖鬲 賳卮丿賴鈥屫й屫! 賲賵丕乇丿 夭蹖乇 乇丕 鬲丕蹖倬 讴賳蹖丿 鬲丕 賵丕乇丿 卮賵蹖丿. 亘乇丕蹖 賵乇賵丿貙 賳蹖丕夭 丿丕乇蹖丿 讴賴 讴賵讴蹖鈥屬囏й 賲乇賵乇诏乇 賮毓丕賱 亘丕卮丿. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/mailtext.txt
new file mode 100644
index 000000000..44e98db06
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/mailtext.txt
@@ -0,0 +1,17 @@
+蹖讴 氐賮丨賴 丿乇 賵蹖讴蹖 丕賮夭賵丿賴 卮丿賴 蹖丕 鬲睾蹖蹖乇 讴乇丿賴貙 丕胤賱丕毓丕鬲 丌賳 乇丕 賲蹖鈥屫堌з嗃屫 丿乇 夭蹖乇 亘蹖賳蹖丿:
+
+鬲丕乇蹖禺: @DATE@
+賲乇賵乇诏乇: @BROWSER@
+丌丿乇爻 IP: @IPADDRESS@
+賳丕賲 賴賵爻鬲: @HOSTNAME@
+賳诏丕乇卮 倬蹖卮蹖賳: @OLDPAGE@
+賳诏丕乇卮 賳賵: @NEWPAGE@
+禺賱丕氐賴 賵蹖乇丕蹖卮: @SUMMARY@
+讴丕乇亘乇: @USER@
+
+@DIFF@
+
+
+--
+丕蹖賳 丕蹖賲蹖賱 鬲賵爻胤 DokuWiki 鬲賵賱蹖丿 卮丿賴 丕爻鬲
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/newpage.txt
new file mode 100644
index 000000000..06377a94a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/newpage.txt
@@ -0,0 +1,3 @@
+====== 丕蹖賳 氐賮丨賴 賵噩賵丿 賳丿丕乇丿 ======
+
+卮賲丕 亘賴 丕蹖賳 氐賮丨賴 讴賴 賵噩賵丿 賳丿丕乇丿 乇爻蹖丿賴鈥屫й屫. 丕诏乇 丿爻鬲乇爻蹖鈥屬囏 亘賴 卮賲丕 丕噩丕夭賴 賲蹖鈥屫囐嗀 賲蹖鈥屫堌з嗃屫 丕蹖賳 氐賮丨賴 乇丕 亘丕 讴賱蹖賱讴 讴乇丿賳 乇賵蹖 丿讴賲賴鈥屰 芦爻丕禺鬲 丕蹖賳 氐賮丨賴禄 丕蹖噩丕丿 讴賳蹖丿. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/norev.txt
new file mode 100644
index 000000000..78a3d94be
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/norev.txt
@@ -0,0 +1,3 @@
+====== 賳诏丕乇卮蹖 蹖丕賮鬲 賳卮丿 ======
+
+賳诏丕乇卮 賲賵乇丿賳馗乇 蹖丕賮鬲 賳卮丿. 丕夭 丿讴賲賴鈥屰 芦賳诏丕乇卮鈥屬囏й 倬蹖卮蹖賳禄 亘乇丕蹖 賲卮丕賴丿賴鈥屰 賳诏丕乇卮鈥屬囏й 倬蹖卮蹖賳 丕蹖賳 氐賮丨賴 丕爻鬲賮丕丿賴 讴賳蹖丿. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/preview.txt
new file mode 100644
index 000000000..3a67326df
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/preview.txt
@@ -0,0 +1,3 @@
+====== 倬蹖卮鈥屬嗁呚й屫 ======
+
+丕蹖賳 倬蹖卮鈥屬嗁呚й屫 賲鬲賳 卮賲丕爻鬲. 亘賴 蹖丕丿 丿丕卮鬲賴 亘丕卮蹖丿 讴賴 丕蹖賳 賲鬲賳 **賴賳賵夭 匕禺蹖乇賴 賳卮丿賴鈥屫ж池** \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/pwconfirm.txt
new file mode 100644
index 000000000..fd76b7ddd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/pwconfirm.txt
@@ -0,0 +1,13 @@
+爻賱丕賲 @FULLNAME@!
+
+蹖讴 賳賮乇 亘乇丕蹖 賵乇賵丿 亘賴 @DOKUWIKIURL@ 亘丕 毓賳賵丕賳 @TITLE@ 丿乇禺賵丕爻鬲 诏匕乇賵丕跇賴鈥屫й 噩丿蹖丿 讴乇丿賴 丕爻鬲:
+
+丕诏乇 卮賲丕 趩賳蹖賳 丿乇禺賵丕爻鬲蹖 賳丿丕丿賴鈥屫й屫 丕蹖賳 丕蹖賲蹖賱 乇丕 倬丕讴 讴賳蹖丿.
+
+丕诏乇 丕蹖賳 丿乇禺賵丕爻鬲 鬲賵爻胤 卮賲丕 丿丕丿賴 卮丿賴 丕爻鬲貙 亘丕蹖丿 丌賳 乇丕 鬲丕蹖蹖丿 讴賳蹖丿貙 倬爻 乇賵蹖 倬蹖賵賳丿 夭蹖乇 讴賱蹖讴 讴賳蹖丿.
+
+@CONFIRM@
+
+--
+丕蹖賳 丕蹖賲蹖賱 鬲賵爻胤 DokuWiki 鬲賵賱蹖丿 卮丿賴 丕爻鬲
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/read.txt
new file mode 100644
index 000000000..1acfdb466
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/read.txt
@@ -0,0 +1 @@
+丕蹖賳 氐賮丨賴 賮賯胤 禺賵丕賳丿賳蹖 丕爻鬲. 卮賲丕 賲蹖鈥屫堌з嗃屫 賲鬲賳 氐賮丨賴 乇丕 賲卮丕賴丿賴 讴賳蹖丿貙 丕賲丕 賳賲蹖鈥屫堌з嗃屫 丌賳 乇丕 鬲睾蹖蹖乇 丿賴蹖丿. 丕诏乇 賮讴乇 賲蹖鈥屭┵嗃屫 讴賴 賲卮讴賱蹖 乇禺 丿丕丿賴 丕爻鬲貙 賲丿蹖乇 賵蹖讴蹖 乇丕 丿乇 噩乇蹖丕賳 亘诏匕丕乇蹖丿. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/recent.txt
new file mode 100644
index 000000000..5d5b5b795
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/recent.txt
@@ -0,0 +1,3 @@
+====== 鬲睾蹖蹖乇丕鬲 丕禺蹖乇 ======
+
+丕蹖賳 氐賮丨賴鈥屬囏 丕禺蹖乇賳 鬲睾蹖蹖乇 讴乇丿賴鈥屫з嗀. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/register.txt
new file mode 100644
index 000000000..c6e1f0d4a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/register.txt
@@ -0,0 +1,3 @@
+====== 孬亘鬲 賳丕賲 ======
+
+鬲賲丕賲蹖 賮蹖賱丿賴丕 乇丕 倬乇 讴賳蹖丿 賵 丕胤賲蹖賳丕賳 倬蹖丿丕 讴賳蹖丿 讴賴 丕蹖賲蹖賱 賲毓鬲亘乇 賵丕乇丿 讴乇丿賴鈥屫й屫 - 丕诏乇 卮賲丕 诏匕乇賵丕跇賴鈥屫й 賵丕乇丿 賳讴乇丿蹖丿貙 蹖讴 賲賯丿丕乇 噩丿蹖丿 亘乇丕蹖鈥屫з 丕乇爻丕賱 禺賵丕賴丿 卮丿. 賳丕賲 讴丕乇亘乇蹖 卮賲丕 亘丕蹖丿 蹖讴 [[doku>pagename|氐賮丨賴鈥屰宂] 賲毓鬲亘乇 亘丕卮丿. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/registermail.txt
new file mode 100644
index 000000000..e22c2d0ad
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/registermail.txt
@@ -0,0 +1,14 @@
+蹖讴 讴丕乇亘乇 鬲丕夭賴 亘丕 賲卮禺氐丕鬲 夭蹖乇 毓囟賵 賵蹖讴蹖 卮丿賴 丕爻鬲:
+
+賳丕賲 讴丕乇亘乇蹖: @NEWUSER@
+丕爻賲 讴丕賲賱: @NEWNAME@
+丕蹖賲蹖賱: @NEWEMAIL@
+
+鬲丕乇蹖禺: @DATE@
+賲乇賵乇诏乇: @BROWSER@
+丌丿乇爻 IP: @IPADDRESS@
+賳丕賲 賴賵爻鬲: @HOSTNAME@
+
+--
+丕蹖賳 丕蹖賲蹖賱 鬲賵爻胤 DokuWiki 鬲賵賱蹖丿 卮丿賴 丕爻鬲
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/resendpwd.txt
new file mode 100644
index 000000000..8b7b0d387
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/resendpwd.txt
@@ -0,0 +1,3 @@
+====== 丕乇爻丕賱 诏匕乇賵丕跇賴鈥屰 噩丿蹖丿 ======
+
+禺賵丕賴卮賲賳丿蹖賲 賳丕賲 讴丕乇亘乇蹖 禺賵丿 乇丕 丿乇 賮乇賲 夭蹖乇 亘賳賵蹖爻蹖丿 鬲丕 诏匕乇賵丕跇賴鈥屰 噩丿蹖丿 亘乇丕蹖 鬲丕賳 丕乇爻丕賱 卮賵丿. 蹖讴 倬蹖賵賳丿 鬲丕蹖蹖丿蹖賴 亘乇丕蹖 丕蹖賲蹖賱 孬亘鬲 卮丿賴 丕乇爻丕賱 賲蹖鈥屫促堌. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/revisions.txt
new file mode 100644
index 000000000..7714ae674
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/revisions.txt
@@ -0,0 +1,3 @@
+====== 賳诏丕乇卮鈥屬囏й 倬蹖卮蹖賳 ======
+
+丿乇 丕蹖賳噩丕 賳诏丕乇卮鈥屬囏й 倬蹖卮蹖賳 丕蹖賳 氐賮丨賴 乇丕 賲卮丕賴丿賴 賲蹖鈥屭┵嗃屫. 亘乇丕蹖 亘丕夭诏卮鬲賳 亘賴 丌賳鈥屬囏ж 丌賳 乇丕 丕賳鬲禺丕亘 讴賳蹖丿 賵 讴賱蹖丿 芦賵蹖乇丕蹖卮 丕蹖賳 氐賮丨賴禄 乇丕 丕賳鬲禺丕亘 讴賳蹖丿 賵 爻倬爻 匕禺蹖乇賴 賳賲丕蹖蹖丿. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/searchpage.txt
new file mode 100644
index 000000000..3f0378ed3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/searchpage.txt
@@ -0,0 +1,5 @@
+====== 噩爻鬲噩賵 ======
+
+賳鬲丕蹖噩 噩爻鬲噩賵 丿乇 夭蹖乇 丌賲丿賴 丕爻鬲. 丕诏乇 亘賴 賳鬲蹖噩賴鈥屰 賲胤賱賵亘蹖 賳乇爻蹖丿賴鈥屫й屫 賲蹖鈥屫堌з嗃屫 氐賮丨賴鈥屰 賲賵乇丿 賳馗乇 乇丕 丕蹖噩丕丿 讴賳蹖丿.
+
+===== 賳鬲丕蹖噩 ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/showrev.txt
new file mode 100644
index 000000000..9d0500860
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/showrev.txt
@@ -0,0 +1 @@
+**丕蹖賳 蹖讴 賳诏丕乇卮 賯丿蹖賲蹖 丕夭 丕蹖賳 賲胤賱亘 丕爻鬲!** \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/stopwords.txt
new file mode 100644
index 000000000..58d3ca0f3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/stopwords.txt
@@ -0,0 +1,445 @@
+# This is a list of words the indexer ignores, one word per line
+# When you edit this file be sure to use UNIX line endings (single newline)
+# No need to include words shorter than 3 chars - these are ignored anyway
+# This list is based upon the ones found at http://www.ranks.nl/stopwords/
+about
+are
+as
+an
+and
+you
+your
+them
+their
+com
+for
+from
+into
+if
+in
+is
+it
+how
+of
+on
+or
+that
+the
+this
+to
+was
+what
+when
+where
+who
+will
+with
+und
+the
+www
+锘抠呝
+鬲賵
+丕賵
+賲丕
+卮賲丕
+丌賳賴丕
+丕蹖卮丕賳
+丕蹖卮賵賳
+丕夭
+賵
+乇丕
+丕蹖
+蹖丕
+亘丕蹖丿
+卮丕蹖丿
+趩乇丕
+趩賵賳
+趩诏賵賳賴
+趩賴
+丕诏乇
+丕賱丕賳
+爻賱丕賲
+賲賲賳賵賳
+賲賵賮賯
+亘丕卮蹖丿
+亘丕卮
+亘丕卮賳丿
+亘丕卮蹖
+亘丕卮賲
+亘丕卮丿
+丕爻鬲
+賳蹖爻鬲
+卮丿
+卮丿賳
+卮丿賳丿
+卮丿蹖賲
+卮丿蹖丿
+丿乇亘丕乇賴
+蹖讴
+丿賵
+爻賴
+趩賴丕乇
+倬賳噩
+卮卮
+賴賮鬲
+賴卮鬲
+丿賴
+丿乇
+賴爻鬲
+賴爻鬲賲
+賴爻鬲蹖
+賴爻鬲蹖賲
+賴爻鬲蹖丿
+賴爻鬲賳丿
+亘乇丕蹖
+丕蹖賳
+丌賳
+丕賵賳
+乇賵蹖
+乇賵
+亘賵丿
+亘賵丿賲
+亘賵丿蹖
+亘賵丿蹖賲
+亘賵丿蹖丿
+亘賵丿賳丿
+讴噩丕
+讴蹖
+亘丕
+讴爻
+讴爻蹖
+倬蹖乇丕賲賵賳
+賳夭丿蹖讴
+亘丕賱丕
+倬丕蹖蹖賳
+亘丕賱丕蹖
+亘丕賱丕鬲乇
+賲賵丕賮賯
+賲胤丕亘賯
+胤亘賯
+亘乇胤亘賯
+賴賲丕賳
+爻乇
+丿乇賲蹖丕賳
+毓乇囟
+胤乇賮
+毓賲賱丕
+賵丕賯毓丕
+亘毓丿
+賯亘賱
+噩爻鬲噩賵
+爻倬爻
+丿賵亘丕乇賴
+乇賮鬲賲
+乇賮鬲蹖
+乇賮鬲
+乇賮鬲蹖賲
+乇賮鬲蹖丿
+乇賮鬲賳丿
+亘丕蹖
+丕賵賴
+丌賴
+丕賴
+亘乇丕亘乇
+亘丕丕蹖賳讴賴
+賴賲賵丕乇賴
+賴賲蹖卮賴
+倬蹖賵爻鬲賴
+賵賯鬲
+賴夭丕乇
+丿蹖诏乇
+噩丿丕
+卮禺氐
+讴丿丕賲
+賴蹖趩诏賵賳賴
+亘賴乇丨丕賱
+賴乇趩蹖夭
+賴蹖趩讴丕乇
+丿乇賴乇氐賵乇鬲
+倬丿蹖丿丕乇
+丿乇讴
+亘丕卮賴
+噩賳賵亘
+囟亘胤
+丨賵丕賱蹖
+賳夭丿蹖讴蹖
+趩賳丕賳趩賴
+亘胤賵乇蹖讴賴
+賴賳诏丕賲蹖讴賴
+賲孬丕賱
+賲丕賳賳丿
+倬乇爻蹖丿賳
+噩賵蹖丕
+禺賵丕賴卮
+禺賵丕爻鬲賳
+丕賳噩賲賳
+讴賳丕乇
+倬蹖讴
+亘蹖乇賵賳
+禺丕乇噩
+賲乇鬲亘丕
+丌睾丕夭
+倬丕蹖丕賳
+丌賲丿
+丕賲丿
+亘賴
+夭蹖乇丕
+趩賵賳讴賴
+丌賲丿賳
+亘賵丿賳
+丿乇禺賵乇
+亘賵丿賴
+倬蹖卮
+倬爻
+賯亘賱丕
+乇丕丨鬲
+賲賯丿賲
+讴丕乇
+亘乇賵
+亘蹖丕
+亘丕賵乇
+诏賲丕賳
+亘賲蹖乇
+趩倬
+乇丕爻鬲
+卮賲丕賱
+睾乇亘
+卮乇賯
+丿賵乇
+诏匕卮鬲賴
+丌蹖賳丿賴
+亘賴鬲乇
+亘賴鬲乇蹖賳
+亘丿鬲乇蹖賳
+毓馗蹖賲
+讴賵趩讴
+賳蹖讴
+亘丿鬲乇
+禺賵亘
+亘丿
+夭卮鬲
+賲蹖丕賳
+賴乇丿賵
+賴賲
+蹖讴蹖
+讴賵鬲丕賴
+亘賱賳丿
+賲禺鬲氐乇
+丨讴賲
+丕賲丕
+賵賱蹖
+賱蹖讴賳
+丨夭
+賲诏乇
+賮賯胤
+亘丿賵賳
+賲丨囟
+亘禺卮
+亘丿爻鬲
+賵爻蹖賱賴
+丿乇噩賴
+丕賵賱
+丿賵賲
+爻賵賲
+趩賴丕乇賲
+倬賳噩賲
+卮卮賲
+賴賮鬲賲
+賴卮鬲賲
+賳賴賲
+丿賴賲
+丕賲讴丕賳
+丿丕卮鬲賳
+丿丕卮鬲蹖賲
+丿丕卮鬲蹖
+丿丕卮鬲賳丿
+丿丕卮鬲蹖丿
+爻亘亘
+毓賱鬲
+賲賵噩亘
+賴丿賮
+氐賮乇
+賲丨鬲賵蹖
+丿丕乇丕
+卮丕賲賱
+賳蹖丕
+趩蹖夭
+賳乇賵
+賲爻蹖乇
+乇賵卮
+噩賴鬲
+丿賯蹖賯丕
+丿乇胤蹖
+丿乇囟賲賳
+亘爻乇毓鬲
+乇丕蹖噩
+噩丕乇蹖
+胤賵乇賯胤毓蹖
+卮乇丨
+讴乇丿
+丕賳噩丕賲
+毓丿丿
+睾蹖乇
+亘乇蹖賲
+讴丕賲賱丕
+賯賱賲
+丌亘
+爻丕蹖賴
+賲爻丕賵蹖
+氐丕賮
+賴賲賵丕乇
+丨鬲蹖
+噩賮鬲
+賴乇诏夭
+丿乇爻鬲
+讴丕賲賱
+趩賳蹖賳
+丿賵賲蹖賳
+爻賵賲蹖賳
+趩賴丕乇賲蹖賳
+倬賳噩賲蹖賳
+卮卮賲蹖賳
+賴卮鬲賲蹖賳
+賳賴賲蹖賳
+丿賴賲蹖賳
+亘乇蹖丿
+乇賮鬲賳
+乇丕賴
+丿乇賵丿
+禺丿丕丨丕賮馗
+丨丕噩蹖
+賵丕賯毓
+爻禺鬲
+丌爻丕賳
+賲卮讴賱
+丕蹖賳噩丕
+丌賳噩丕
+禺賵丿卮
+賴賳賵夭
+亘賱丕賮丕氐賱賴
+賳诏丕賴
+賳诏賴
+丌禺乇
+丕禺乇
+毓賲乇丕
+讴賲鬲乇蹖賳
+讴賵趩讴鬲乇蹖賳
+丕賯賱
+賲孬賱
+卮讴賱
+賳馗乇
+趩賳丿蹖賳
+夭蹖丕丿
+丕丨鬲賲丕賱丕
+賲鬲賵爻胤
+蹖毓賳蹖
+丕爻丕爻丕
+毓丕賱蹖
+賵丕蹖
+禺賵丿賲
+禺賵丿鬲
+禺賵丿賲丕賳
+禺賵丿賲賵賳
+丕爻賲
+賳丕賲
+丌乇賴
+丨丕賱
+丨丕賱丕
+丕蹖賳讴
+禺蹖賱蹖
+亘丕乇賴丕
+亘爻蹖丕乇
+讴賳
+賵爻胤
+賲賲讴賳
+乇丕爻鬲蹖
+賮毓賱丕
+氐丨蹖丨
+賵丕賯毓蹖
+诏賮鬲
+诏賮鬲賲
+诏賮鬲蹖賲
+丕賲孬丕賱
+丌賳讴賴
+賲賴賲
+噩丿蹖
+趩賳丕賳
+趩賳丿丕賳
+夭蹖丕丿蹖
+亘毓囟蹖
+诏丕賴诏丕賴蹖
+夭賵丿
+亘夭賵丿蹖
+亘诏蹖乇
+亘亘乇
+亘乇丿賳
+诏蹖乇賳丿賴
+鬲丕
+鬲卮讴乇
+爻倬丕爻
+丕賳
+丌賳丕賳
+亘讴賱蹖
+鬲賲丕賲丕
+亘賳丕
+賴賲丿蹖诏乇
+噩賱賵
+賲毓賲賵賱丕
+賲賯丿丕乇
+賲賵賯毓
+丕賵賳噩丕
+丌蹖丕
+讴賴
+亘趩賴
+丨丕囟乇
+賲蹖禺賵丕爻鬲賲
+亘賱蹖
+禺蹖乇
+賮賵乇賵賲
+禺賵丕賴賲
+丿丕乇賴
+賳丿丕乇賴
+丿丕乇蹖
+賴賲賵賳
+賲蹖亘蹖賳賲
+丕蹖賳噩賵乇蹖賴
+亘賴卮
+賴爻鬲賳
+丕賲囟丕賲
+丕賵賱蹖
+丿賵賲蹖
+爻賵賲蹖
+趩賴丕乇賲蹖
+亘诏匕丕乇
+亘讴賳賴
+丕賲乇賵夭
+氐丿賲蹖賳
+賴賲卮
+賴賲诏蹖
+賴賵丕
+丕毓賱丕賲
+丕禺乇蹖賳
+禺賵丿卮賵賳
+丨丿
+卮丿賴
+丕蹖賳讴賴
+禺亘
+蹖賴
+丕蹖賳噩賵乇蹖
+诏丕賴
+诏賴诏丕賴
+诏丕賴蹖
+诏賴诏丿丕乇
+诏賴诏丿丕乇蹖
+賴丕
+賲蹖卮賴
+讴賲蹖
+乇丕噩亘賴
+鬲賵囟蹖丨
+亘丿蹖
+乇丕噩毓
+賲蹖
+卮賴
+乇賵夭
+讴賳蹖
+丕氐賱丕 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/subscribermail.txt
new file mode 100644
index 000000000..140f2e3e0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/subscribermail.txt
@@ -0,0 +1,21 @@
+丿乇賵丿!
+
+氐賮丨賴鈥屰 @PAGE@ 亘丕 毓賳賵丕賳 @TITLE@ 鬲睾蹖蹖乇 讴乇丿.
+鬲睾蹖蹖乇丕鬲 毓亘丕乇鬲賳丿 丕夭:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+鬲丕乇蹖禺: @DATE@
+讴丕乇亘乇: @USER@
+禺賱丕氐賴鈥屰 賵蹖乇丕蹖卮: @SUMMARY@
+賳诏丕乇卮 倬蹖卮蹖賳: @OLDPAGE@
+賳诏丕乇卮 鬲丕夭賴: @NEWPAGE@
+
+亘乇丕蹖 賱睾賵 丿乇蹖丕賮鬲 倬蹖丕賲鈥屬囏й 丌诏丕賴蹖 丿賴賳丿賴貙 賵丕乇丿 賵蹖讴蹖 亘賴 丌丿乇爻
+@DOKUWIKIURL@ 卮丿賴 賵 爻倬爻 亘賴 氐賮丨賴鈥屰 @NEWPAGE@ 亘乇賵蹖丿
+賵 丕夭 毓囟賵蹖鬲 氐賮丨賴 蹖丕 賮囟丕蹖鈥屬嗀з 禺丕乇噩 卮賵蹖丿.
+
+--
+丕蹖賳 丕蹖賲蹖賱 鬲賵爻胤 DokuWiki 亘賴 丌丿乇爻 @DOKUWIKIURL@ 丕蹖噩丕丿 卮丿賴 丕爻鬲. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/updateprofile.txt
new file mode 100644
index 000000000..d79083306
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/updateprofile.txt
@@ -0,0 +1,3 @@
+====== 亘賴 乇賵夭 乇爻丕賳蹖 倬乇賵賮丕蹖賱 ======
+
+卮賲丕 賲蹖鈥屫堌з嗃屫 賲賯丕丿蹖乇 夭蹖乇 乇丕 鬲睾蹖蹖乇 丿賴蹖丿. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/uploadmail.txt
new file mode 100644
index 000000000..625df73dc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/uploadmail.txt
@@ -0,0 +1,14 @@
+蹖讴 賮丕蹖賱 亘賴 賵蹖讴蹖 丕乇爻丕賱 卮丿:
+
+賮丕蹖賱: @MEDIA@
+鬲丕乇蹖禺: @DATE@
+賲乇賵乇诏乇: @BROWSER@
+丌丿乇爻 IP: @IPADDRESS@
+賳丕賲 賴賵爻鬲: @HOSTNAME@
+丕賳丿丕夭賴: @SIZE@
+MIME: @MIME@
+讴丕乇亘乇: @USER@
+
+--
+丕蹖賳 丕蹖賲蹖賱 鬲賵爻胤 DokuWiki 鬲賵賱蹖丿 卮丿賴 丕爻鬲
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/wordblock.txt
new file mode 100644
index 000000000..a78c86f06
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fa/wordblock.txt
@@ -0,0 +1,3 @@
+====== 丕爻倬賲 賲爻丿賵丿 卮丿 ======
+
+丕蹖賳 鬲睾蹖蹖乇丕鬲 匕禺蹖乇賴 **賳賲蹖鈥屫促堌**貙 趩賵賳 趩賳丿 讴賱賲賴 丕夭 讴賱賲賴鈥屬囏й 賲爻丿賵丿 卮丿賴 丿乇 丌賳 蹖丕賮鬲 卮丿賴 丕爻鬲. 丕诏乇 賮讴乇 賲蹖鈥屭┵嗃屫 讴賴 賳亘丕蹖丿 丕蹖賳 丕鬲賮丕賯 賲蹖鈥屫з佖ж 亘丕 賲丿蹖乇 爻蹖爻鬲賲 鬲賲丕爻 亘诏蹖乇蹖丿. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/admin.txt
new file mode 100644
index 000000000..b57b6080c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/admin.txt
@@ -0,0 +1,3 @@
+====== Yll盲pito ======
+
+Alla on lista DokuWiki:ss盲 k盲yt枚ss盲 olevista yll盲pitotoiminnoista.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/adminplugins.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/adminplugins.txt
new file mode 100644
index 000000000..fa3571e46
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/adminplugins.txt
@@ -0,0 +1 @@
+===== Muita liit盲nn盲isi盲 ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/backlinks.txt
new file mode 100644
index 000000000..457720241
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/backlinks.txt
@@ -0,0 +1,4 @@
+====== Linkitykset ======
+
+T盲ss盲 lista t盲lle sivuille linkitt盲vist盲 sivuista.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/conflict.txt
new file mode 100644
index 000000000..be788a116
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/conflict.txt
@@ -0,0 +1,5 @@
+====== On olemassa uudempi versio ======
+
+Muokkaamastasi dokumentista on olemassa uudempi versio. N盲in k盲y, kun toinen k盲ytt盲j盲 muuttaa dokumenttia sill盲 aikaa, kun sin盲 olit muokkaamassa sit盲.
+
+Tutki alla n盲kyv盲t eroavaisuudet kunnolla ja p盲盲t盲 mik盲 versio s盲ilytet盲盲n. Jos valitset "tallenna", sinun versiosi tallennetaan. Valitse ''peru'' pit盲盲ksesi t盲m盲nhetkisen, toisen k盲ytt盲j盲n muuttaman version.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/denied.txt
new file mode 100644
index 000000000..cd31da06b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/denied.txt
@@ -0,0 +1,3 @@
+====== Lupa ev盲tty ======
+
+Sinulla ei ole tarpeeksi valtuuksia jatkaa. Ehk盲 unohdit kirjautua sis盲盲n?
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/diff.txt
new file mode 100644
index 000000000..fbf62b78c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/diff.txt
@@ -0,0 +1,3 @@
+====== Erot ======
+
+T盲m盲 n盲ytt盲盲 erot valitun ja nykyisen version kesken t盲st盲 sivusta.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/draft.txt
new file mode 100644
index 000000000..859f4d9f9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/draft.txt
@@ -0,0 +1,5 @@
+====== Vedos l枚ydetty ======
+
+Edellinen muokkauksesi t盲lle sivulle ei ole p盲ivittynyt oikein. DokuWiki on automaattisesti tallentanut vedoksen muokkauksen aikana. Voit nyt jatkaa muokkausta. Alla n盲et tallennetun version edellisest盲 istunnostasi.
+
+Valitse jos haluat //palauttaa// edellisen muutoksesi, //poistaa// automaattisesti tallennetun vedoksen, vai //peruuttaa// muutokset. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/edit.txt
new file mode 100644
index 000000000..81b7714d8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/edit.txt
@@ -0,0 +1 @@
+Muokkaa sivua ja paina ''Tallenna''. Katso [[wiki:syntax]] n盲hd盲ksesi Wikisyntaksi. Muuta sivua vain jos voit **parantaa** sit盲. Jos haluat kokeilla Wiki盲 hyv盲 paikka siihen on [[playground:playground]].
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/editrev.txt
new file mode 100644
index 000000000..fd4d9a3de
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/editrev.txt
@@ -0,0 +1,2 @@
+**Olet ladannut vanhan version dokumentista** Jos tallennat t盲m盲n, t盲st盲 tulee uusin versio dokumentista.
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/index.txt
new file mode 100644
index 000000000..9086e220e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/index.txt
@@ -0,0 +1,3 @@
+====== hakemisto ======
+
+T盲m盲 on hakemisto kaikista saatavilla olevista sivuista j盲rjestettyn盲 [[doku>namespace|nimiavaruuksittain]].
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/install.html
new file mode 100644
index 000000000..8d20e045c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/install.html
@@ -0,0 +1,21 @@
+<p>T盲m盲 sivu avustaa <a href="http://dokuwiki.org">Dokuwikin</a> ensiasennuksessa ja
+ asetuksissa. Lis盲tietoa asennusohjelmasta l枚ytyy ohjelman
+ <a href="http://dokuwiki.org/installer">dokumentaatiosta</a>.</p>
+
+<p>DokuWiki k盲ytt盲盲 tavallisia tiedostoja wiki-sivujen, sek盲 muiden niihin liittyvien
+ tietojen kuten kuvien, hakuindeksien, versionhallinnan jne. tallentamiseen. Toimiakseen
+ oikein DokuWikill盲 <strong>t盲ytyy</strong> olla kirjoitusoikeus niihin hakemistoihin joissa n盲m盲
+ tiedostot sijaitsevat. Asennusohjelma ei pysty asettamaan n盲it盲 oikeuksia. T盲m盲 t盲ytyy
+ useimmiten tehd盲 suoraan komentorivilt盲 tai muulla, esimerkiksi
+ internet-palveluntarjoajan m盲盲ritt盲m盲ll盲 tavalla, kuten FTP -ohjelmalla tai erillisen
+ asetusvalikon kautta. (cPanel).</p>
+
+<p>Asennusohjelma m盲盲rittelee DokuWikin <acronym title="k盲ytt枚oikeudet">k盲ytt枚oikeudet (ACL)</acronym>,
+ jotka mahdollistavat yll盲pit盲j盲n sis盲盲nkirjautumisen ja p盲盲syn DokuWikin yll盲pito -valikkoon,
+ josta voidaan asentaa plugineja, hallita k盲ytt盲j盲tietoja, wiki-sivujen luku- ja
+ kirjoitusoikeuksia sek盲 muita asetuksia. K盲ytt枚oikeuksien k盲ytt盲minen ei ole pakollista,
+ mutta se helpottaa DokuWikin yll盲pit盲mist盲.</p>
+
+<p>Kokeneille k盲ytt盲jille tai k盲ytt盲jille joilla on erityisvaatimuksia asennukselle
+ l枚ytyy lis盲tietoa <a href="http://dokuwiki.org/install">asennuksesta</a> sek盲
+ <a href="http://dokuwiki.org/config">asetuksista</a>.</p>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/lang.php
new file mode 100644
index 000000000..6ef19ee8e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/lang.php
@@ -0,0 +1,248 @@
+<?php
+/**
+ * Finnish language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Petteri <petteri@gmail.com>
+ * @author Matti P枚ll盲 <mpo@iki.fi>
+ * @author otto@valjakko.net
+ * @author Otto Vainio <otto@valjakko.net>
+ * @author Teemu Mattila <ghcsystems@gmail.com>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '\'';
+$lang['btn_edit'] = 'Muokkaa t盲t盲 sivua';
+$lang['btn_source'] = 'N盲yt盲 sivun l盲hdekoodi';
+$lang['btn_show'] = 'N盲yt盲 sivu';
+$lang['btn_create'] = 'Luo t盲m盲 sivu';
+$lang['btn_search'] = 'Etsi';
+$lang['btn_save'] = 'Tallenna';
+$lang['btn_preview'] = 'Esikatselu';
+$lang['btn_top'] = 'Takaisin yl枚s';
+$lang['btn_newer'] = '<< uudemmat';
+$lang['btn_older'] = 'vanhemmat >>';
+$lang['btn_revs'] = 'Vanhat versiot';
+$lang['btn_recent'] = 'Viimeiset muutokset';
+$lang['btn_upload'] = 'L盲het盲 tiedosto';
+$lang['btn_cancel'] = 'Peru';
+$lang['btn_index'] = 'Hakemisto';
+$lang['btn_secedit'] = 'Muokkaa';
+$lang['btn_login'] = 'Kirjaudu sis盲盲n';
+$lang['btn_logout'] = 'Kirjaudu ulos';
+$lang['btn_admin'] = 'Yll盲pito';
+$lang['btn_update'] = 'P盲ivit盲';
+$lang['btn_delete'] = 'Poista';
+$lang['btn_back'] = 'Takaisin';
+$lang['btn_backlink'] = 'Paluulinkit';
+$lang['btn_backtomedia'] = 'Takaisin mediatiedostojen valintaan';
+$lang['btn_subscribe'] = 'Tilaa muutokset';
+$lang['btn_unsubscribe'] = 'Lopeta muutosten tilaus';
+$lang['btn_subscribens'] = 'Tilaa nimiavaruuden muutokset';
+$lang['btn_unsubscribens'] = 'Lopeta nimiavaruuden muutosten tilaus';
+$lang['btn_profile'] = 'P盲ivit盲 profiili';
+$lang['btn_reset'] = 'Tyhjenn盲';
+$lang['btn_resendpwd'] = 'L盲het盲 uusi salasana';
+$lang['btn_draft'] = 'Muokkaa luonnosta';
+$lang['btn_recover'] = 'Palauta luonnos';
+$lang['btn_draftdel'] = 'Poista luonnos';
+$lang['btn_revert'] = 'palauta';
+$lang['loggedinas'] = 'Kirjautunut nimell盲';
+$lang['user'] = 'K盲ytt盲j盲nimi';
+$lang['pass'] = 'Salasana';
+$lang['newpass'] = 'Uusi salasana';
+$lang['oldpass'] = 'Vahvista nykyinen salasana';
+$lang['passchk'] = 'uudelleen';
+$lang['remember'] = 'Muista minut';
+$lang['fullname'] = 'Koko nimi';
+$lang['email'] = 'S盲hk枚posti';
+$lang['register'] = 'Rekister枚idy';
+$lang['profile'] = 'K盲ytt盲j盲n profiili';
+$lang['badlogin'] = 'K盲ytt盲j盲nimi tai salasana oli v盲盲r盲.';
+$lang['minoredit'] = 'Pieni muutos';
+$lang['draftdate'] = 'Luonnos tallennettu automaattisesti';
+$lang['nosecedit'] = 'Sivu on muuttunut v盲lill盲 ja kappaleen tiedot olivat vanhentuneet. Koko sivu ladattu.';
+$lang['regmissing'] = 'Kaikki kent盲t tulee t盲ytt盲盲.';
+$lang['reguexists'] = 'K盲ytt盲j盲 t盲ll盲 k盲ytt盲j盲nimell盲 on jo olemassa.';
+$lang['regsuccess'] = 'K盲ytt盲j盲 luotiin ja salasana l盲hetettiin s盲hk枚postilla.';
+$lang['regsuccess2'] = 'K盲ytt盲j盲nimi on luotu.';
+$lang['regmailfail'] = 'N盲ytt盲盲 silt盲, ett盲 salasanan l盲hett盲misess盲 tapahtui virhe. Ota yhteys yll盲pit盲j盲盲n!';
+$lang['regbadmail'] = 'Antamasi s盲hk枚postiosoite n盲ytt盲盲 ep盲kelvolta. Jos pid盲t t盲t盲 virheen盲 ota yhteys yll盲pit盲j盲盲n.';
+$lang['regbadpass'] = 'Annetut kaksi salasanaa eiv盲t t盲sm盲盲. Yrit盲 uudelleen.';
+$lang['regpwmail'] = 'DokuWiki salasanasi';
+$lang['reghere'] = 'Puuttuuko sinulta k盲ytt盲j盲tili? Hanki sellainen';
+$lang['profna'] = 'T盲ss盲 wikiss盲 profiilien muokkaaminen ei ole mahdollista';
+$lang['profnochange'] = 'Ei muutoksia.';
+$lang['profnoempty'] = 'Tyhj盲 nimi tai s盲hk枚postiosoite ei ole sallittu.';
+$lang['profchanged'] = 'K盲ytt盲j盲n profiilin p盲ivitys onnistui.';
+$lang['pwdforget'] = 'Unohtuiko salasana? Hanki uusi';
+$lang['resendna'] = 'T盲m盲 wiki ei tue salasanan uudelleenl盲hett盲mist盲.';
+$lang['resendpwd'] = 'L盲het盲 uusi salasana k盲ytt盲j盲lle';
+$lang['resendpwdmissing'] = 'Kaikki kent盲t on t盲ytett盲v盲.';
+$lang['resendpwdnouser'] = 'K盲ytt盲j盲盲 ei l枚ydy tietokannastamme.';
+$lang['resendpwdbadauth'] = 'Tunnistuskoodi on virheellinen. Varmista, ett盲 k盲ytit koko varmistuslinkki盲.';
+$lang['resendpwdconfirm'] = 'Varmistuslinkki on l盲hetetty s盲hk枚postilla';
+$lang['resendpwdsuccess'] = 'Uusi salasanasi on l盲hetetty s盲hk枚postilla.';
+$lang['license'] = 'Jollei muuta ole mainittu, niin sis盲lt枚 t盲ss盲 wikiss盲 on lisensoitu seuraavalla lisenssill盲:';
+$lang['licenseok'] = 'Huom: Muokkaamalla t盲t盲 sivua suostut lisensoimaan sis盲ll枚n seuraavan lisenssin mukaisesti:';
+$lang['searchmedia'] = 'Etsi tiedostoa nimelt盲:';
+$lang['searchmedia_in'] = 'Etsi kohteesta %s';
+$lang['txt_upload'] = 'Valitse tiedosto l盲hetett盲v盲ksi';
+$lang['txt_filename'] = 'L盲het盲 nimell盲 (valinnainen)';
+$lang['txt_overwrt'] = 'Ylikirjoita olemassa oleva';
+$lang['lockedby'] = 'T盲ll盲 hetkell盲 tiedoston on lukinnut';
+$lang['lockexpire'] = 'Lukitus p盲盲ttyy';
+$lang['willexpire'] = 'Lukituksesi t盲m盲n sivun muokkaukseen p盲盲ttyy minuutin kuluttua.\nRistiriitojen v盲ltt盲miseksi paina esikatselu-nappia nollataksesi lukitusajan.';
+$lang['notsavedyet'] = 'Dokumentissa on tallentamattomia muutoksia, jotka h盲vi盲v盲t.\n Haluatko varmasti jatkaa?';
+$lang['rssfailed'] = 'Virhe tapahtui noudettaessa t盲t盲 sy枚tett盲: ';
+$lang['nothingfound'] = 'Mit盲盲n ei l枚ytynyt.';
+$lang['mediaselect'] = 'Mediatiedoston valinta';
+$lang['fileupload'] = 'Mediatiedoston l盲hetys';
+$lang['uploadsucc'] = 'Tiedoston l盲hetys onnistui';
+$lang['uploadfail'] = 'Tiedoston l盲hetys ep盲onnistui. Syyn盲 ehk盲 v盲盲r盲t oikeudet?';
+$lang['uploadwrong'] = 'Tiedoston l盲hetys ev盲tty. T盲m盲 tiedostop盲盲te on kielletty';
+$lang['uploadexist'] = 'Tiedosto on jo olemassa. Mit盲盲n ei tehty.';
+$lang['uploadbadcontent'] = 'Tiedoston sis盲lt枚 ei vastannut p盲盲tett盲 %s';
+$lang['uploadspam'] = 'Roskapostin estolista esti tiedoston l盲hetyksen.';
+$lang['uploadxss'] = 'Tiedoston l盲hetys estettiin mahdollisen haitallisen sis盲ll枚n vuoksi.';
+$lang['uploadsize'] = 'L盲hetetty tiedosto oli liian iso. (max %s)';
+$lang['deletesucc'] = 'Tiedosto "%s" on poistettu.';
+$lang['deletefail'] = 'Kohdetta "%s" poistaminen ei onnistunut - tarkista oikeudet.';
+$lang['mediainuse'] = 'Tiedostoa "%s" ei ole poistettu - se on viel盲 k盲yt枚ss盲.';
+$lang['namespaces'] = 'Nimiavaruudet';
+$lang['mediafiles'] = 'Tarjolla olevat tiedostot';
+$lang['js']['searchmedia'] = 'Etsi tiedostoja';
+$lang['js']['keepopen'] = 'Pid盲 valinnan ikkuna avoinna.';
+$lang['js']['hidedetails'] = 'Piilota yksityiskohdat';
+$lang['js']['nosmblinks'] = 'Linkit Windows-jakoihin toimivat vain Microsoft Internet Explorerilla.
+Voit silti kopioida ja liitt盲盲 linkin.';
+$lang['js']['linkwiz'] = 'Linkkivelho';
+$lang['js']['linkto'] = 'Linkki kohteeseen:';
+$lang['js']['del_confirm'] = 'Haluatko todella poistaa valitut kohteet?';
+$lang['js']['mu_btn'] = 'L盲het盲 useampia tiedostoja kerralla';
+$lang['mediausage'] = 'K盲yt盲 seuraavaa merkint盲tapaa viittausta teht盲ess盲:';
+$lang['mediaview'] = 'Katsele alkuper盲ist盲 tiedostoa';
+$lang['mediaroot'] = 'root';
+$lang['mediaupload'] = 'Siirr盲 tiedosto nykyiseen nimiavaruuteen t盲盲ll盲. Voit luoda uusia alinimiavaruuksia laittamalla lis盲盲m盲ll盲 sen nimen ja kaksoispisteen "L盲het盲 nimell盲" eteen.';
+$lang['mediaextchange'] = 'Tiedoston p盲盲te muutettu: .%s on nyt .%s!';
+$lang['reference'] = 'Viitteet';
+$lang['ref_inuse'] = 'Tiedostoa ei voi poistaa, koska seuraavat sivut k盲ytt盲v盲t sit盲:';
+$lang['ref_hidden'] = 'Osa viitteist盲 on sivuilla, joihin sinulla ei ole lukuoikeutta';
+$lang['hits'] = 'Osumia';
+$lang['quickhits'] = 'Sopivat sivunimet';
+$lang['toc'] = 'Sis盲llysluettelo';
+$lang['current'] = 'nykyinen';
+$lang['yours'] = 'Sinun versiosi';
+$lang['diff'] = 'N盲yt盲 eroavaisuudet nykyiseen versioon';
+$lang['diff2'] = 'N盲yt盲 eroavaisuudet valittuun versioon';
+$lang['line'] = 'Rivi';
+$lang['breadcrumb'] = 'J盲ljet';
+$lang['youarehere'] = 'Olet t盲盲ll盲';
+$lang['lastmod'] = 'Viimeksi muutettu';
+$lang['by'] = '/';
+$lang['deleted'] = 'poistettu';
+$lang['created'] = 'luotu';
+$lang['restored'] = 'vanha versio palautettu';
+$lang['external_edit'] = 'ulkoinen muokkaus';
+$lang['summary'] = 'Yhteenveto muokkauksesta';
+$lang['noflash'] = 'Tarvitset <a href="http://www.adobe.com/products/flashplayer/">Adobe Flash-liit盲nn盲isen</a> n盲hd盲ksesi t盲m盲n sis盲ll枚n.';
+$lang['download'] = 'Lataa palanen';
+$lang['mail_newpage'] = 'sivu lis盲tty:';
+$lang['mail_changed'] = 'sivu muutettu:';
+$lang['mail_new_user'] = 'uusi k盲ytt盲j盲:';
+$lang['mail_upload'] = 'tiedosto l盲hetetty:';
+$lang['qb_bold'] = 'Lihavoitu teksti';
+$lang['qb_italic'] = 'Kursivoitu teksti';
+$lang['qb_underl'] = 'Alleviivattu teksti';
+$lang['qb_code'] = 'Kooditeksti';
+$lang['qb_strike'] = 'Yliviivattu teksti';
+$lang['qb_h1'] = 'Taso 1 otsikko';
+$lang['qb_h2'] = 'Taso 2 otsikko';
+$lang['qb_h3'] = 'Taso 3 otsikko';
+$lang['qb_h4'] = 'Taso 4 otsikko';
+$lang['qb_h5'] = 'Taso 5 otsikko';
+$lang['qb_h'] = 'Otsikko';
+$lang['qb_hs'] = 'Valitse otsikko';
+$lang['qb_hplus'] = 'Ylempi otsikko';
+$lang['qb_hminus'] = 'Alempi otsikko';
+$lang['qb_hequal'] = 'Saman tason otsikko';
+$lang['qb_link'] = 'Sis盲inen linkki';
+$lang['qb_extlink'] = 'Ulkoinen linkki';
+$lang['qb_hr'] = 'Vaakaerotin';
+$lang['qb_ol'] = 'J盲rjestetyn listan osa ';
+$lang['qb_ul'] = 'Ep盲j盲rjestetyn listan osa';
+$lang['qb_media'] = 'Lis盲盲 kuvia ja muita tiedostoja';
+$lang['qb_sig'] = 'Lis盲盲 allekirjoitus';
+$lang['qb_smileys'] = 'Hymi枚t';
+$lang['qb_chars'] = 'Erikoismerkit';
+$lang['upperns'] = 'Hypp盲盲 edelliseen nimiavaruuteen';
+$lang['admin_register'] = 'Lis盲盲 uusi k盲ytt盲j盲';
+$lang['metaedit'] = 'Muokkaa metadataa';
+$lang['metasaveerr'] = 'Metadatan kirjoittaminen ep盲onnistui';
+$lang['metasaveok'] = 'Metadata tallennettu';
+$lang['img_backto'] = 'Takaisin';
+$lang['img_title'] = 'Otsikko';
+$lang['img_caption'] = 'Kuvateksti';
+$lang['img_date'] = 'P盲iv盲m盲盲r盲';
+$lang['img_fname'] = 'Tiedoston nimi';
+$lang['img_fsize'] = 'Koko';
+$lang['img_artist'] = 'Kuvaaja';
+$lang['img_copyr'] = 'Tekij盲noikeus';
+$lang['img_format'] = 'Formaatti';
+$lang['img_camera'] = 'Kamera';
+$lang['img_keywords'] = 'Avainsanat';
+$lang['subscribe_success'] = '%s lis盲ttiin k盲ytt盲j盲n %s seurattavien listaan';
+$lang['subscribe_error'] = 'Lis盲tt盲ess盲 %s k盲ytt盲j盲n %s seurattavien listaan tapahtui virhe';
+$lang['subscribe_noaddress'] = 'K盲ytt盲j盲nimelle ei l枚ydy osoitetta. Seurattavien listaan lis盲盲minen ei onnistu.';
+$lang['unsubscribe_success'] = '%s poistettiin k盲ytt盲j盲n %s seurattavien listasta';
+$lang['unsubscribe_error'] = 'Poistettaessa %s k盲ytt盲j盲n %s seurattavien listasta tapahtui virhe';
+$lang['authmodfailed'] = 'K盲ytt盲jien autentikoinnin asetukset ovat virheelliset. Ilmoita asiasta wikin yll盲pit盲j盲lle.';
+$lang['authtempfail'] = 'K盲ytt盲jien autentikointi ei t盲ll盲 hetkell盲 onnistu. Jos ongelma jatkuu, ota yhteytt盲 wikin yll盲pit盲j盲盲n.';
+$lang['i_chooselang'] = 'Valitse kieli';
+$lang['i_installer'] = 'DokuWikin asentaja';
+$lang['i_wikiname'] = 'Wikin nimi';
+$lang['i_enableacl'] = 'K盲yt盲 k盲ytt枚oikeuksien hallintaa (ACL) (Suositeltu)';
+$lang['i_superuser'] = 'P盲盲k盲ytt盲j盲';
+$lang['i_problems'] = 'Asennusohjelma l枚ysi alla listattuja ongelmia ongelmia. Et voi jatkaa ennen kuin ne on korjattu.';
+$lang['i_modified'] = 'Turvallisuussyist盲 t盲m盲 ohjelma toimii vain uusien ja muokkaamattomien Dokuwiki-asennusten kanssa. Pura tiedostot uudestaan asennuspaketista, tai lue <a href="http://dokuwiki.org/install">Dokuwikin asennusohje (englanniksi)</a>';
+$lang['i_funcna'] = 'PHP:n funktio <code>%s</code> ei ole k盲ytett盲viss盲. Palveluntarjoajasi on saattanut poistaa sen jostain syyst盲.';
+$lang['i_phpver'] = 'K盲ytt盲m盲si PHP-ohjelmiston versio <code>%s</code> on pienempi, kuin tarvitaan <code>%s</code>. PHP-asennuksesi pit盲盲 p盲ivitt盲盲.';
+$lang['i_permfail'] = '<code>%s</code> ei ole DokuWikin kirjoitettavissa. Muokkaa hakemiston oikeuksia!';
+$lang['i_confexists'] = '<code>%s</code> on jo olemassa';
+$lang['i_writeerr'] = '<code>%s</code>n luonti ep盲onnistui. Tarkista hakemiston/tiedoston oikeudet ja luo tiedosto k盲sin.';
+$lang['i_badhash'] = 'tunnistamaton tai muokattu dokuwiki.php (tarkistussumma=<code>%s</code>)';
+$lang['i_badval'] = '<code>%s</code> - v盲盲r盲 tai tyhj盲 arvo';
+$lang['i_success'] = 'Kokoonpano tehty onnistuneesti. Voit poistaa install.php tiedoston. Jatka <a href="doku.php">uuteen DokuWikiisi</a>.';
+$lang['i_failure'] = 'Joitain virheit盲 tapahtui kirjoitettaessa vaadittavia tiedostoja. Sinun pit盲盲 korjata ne k盲sin ennen kuin voit k盲ytt盲盲 <a href="doku.php">uutta DokuWiki盲si</a>.';
+$lang['i_policy'] = 'K盲ytt枚oikeuksien oletusmenettelytapa';
+$lang['i_pol0'] = 'Avoin Wiki (luku, kirjoitus, tiedostojen l盲hetys on sallittu kaikille)';
+$lang['i_pol1'] = 'Julkinen Wiki (luku kaikilla, kirjoitus ja tiedostojen l盲hetys rekister枚idyill盲 k盲ytt盲jill盲)';
+$lang['i_pol2'] = 'Suljettu Wiki (luku, kirjoitus ja tiedostojen l盲hetys vain rekister枚ityneill盲 k盲ytt盲jill盲)';
+$lang['i_retry'] = 'Yrit盲 uudelleen';
+$lang['mu_intro'] = 'T盲盲ll盲 voit l盲hett盲盲 useampia tiedostoja kerralla. Klikkaa Selaa-nappia lis盲t盲ksesi ne jonoon. Paina l盲het盲, kun olet valmis.';
+$lang['mu_gridname'] = 'Tiedoston nimi';
+$lang['mu_gridsize'] = 'Koko';
+$lang['mu_gridstat'] = 'Tilanne';
+$lang['mu_namespace'] = 'Nimiavaruus';
+$lang['mu_browse'] = 'Selaa';
+$lang['mu_toobig'] = 'liian iso';
+$lang['mu_ready'] = 'valmis l盲hetett盲v盲ksi';
+$lang['mu_done'] = 'valmis';
+$lang['mu_fail'] = 'ep盲onnistui';
+$lang['mu_authfail'] = 'istunto on vanhentunut';
+$lang['mu_progress'] = '@PCT@% l盲hetetty';
+$lang['mu_filetypes'] = 'Sallitut tyypit';
+$lang['mu_info'] = 'tiedstoa ladattu.';
+$lang['mu_lasterr'] = 'Edellinen virhe:';
+$lang['recent_global'] = 'Seuraat t盲ll盲 hetkell盲 muutoksia nimiavaruuden <b>%s</b> sis盲ll盲. Voit my枚s <a href="%s">katsoa muutoksia koko wikiss盲</a>';
+$lang['years'] = '%d vuotta sitten';
+$lang['months'] = '%d kuukautta sitten';
+$lang['weeks'] = '%d viikkoa sitten';
+$lang['days'] = '%d p盲iv盲盲 sitten';
+$lang['hours'] = '%d tuntia sitten';
+$lang['minutes'] = '%d minuuttia sitten';
+$lang['seconds'] = '% sekuntia sitten';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/locked.txt
new file mode 100644
index 000000000..3a48ff8ae
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/locked.txt
@@ -0,0 +1,3 @@
+====== Sivu lukittu ======
+
+T盲m盲 sivu on t盲ll盲 hetkell盲 lukittuna, koska se on toisen k盲ytt盲j盲n muokkauksessa. Joudut odottamaan, kunnes h盲n lopettaa muokkauksen, tai kunnes lukko aukeaa.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/login.txt
new file mode 100644
index 000000000..efba26286
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/login.txt
@@ -0,0 +1,3 @@
+====== Sis盲盲nkirjautuminen ======
+
+Et ole t盲ll盲 hetkell盲 kirjautunut sis盲盲n! Anna k盲ytt盲j盲tunnus ja salasana alle kirjautuaksesi. Muista, ett盲 ev盲steiden k盲ytt枚 tulee olla p盲盲ll盲, jotta sis盲盲nkirjautuminen onnistuu.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/mailtext.txt
new file mode 100644
index 000000000..0a953cb87
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/mailtext.txt
@@ -0,0 +1,17 @@
+DokuWikiisi lis盲ttiin tai siell盲 muutettiin sivua. T盲ss盲 yksityiskohdat
+
+P盲iv盲m盲盲r盲 : @DATE@
+Selain: @BROWSER@
+IP-Osoite: @IPADDRESS@
+Is盲nt盲nimi: @HOSTNAME@
+Vanha versio: @OLDPAGE@
+Uusi versio: @NEWPAGE@
+Yhteenveto: @SUMMARY@
+K盲ytt盲j盲 : @USER@
+
+@DIFF@
+
+
+--
+T盲m盲n postin generoi DokuWiki
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/newpage.txt
new file mode 100644
index 000000000..fc6379be7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/newpage.txt
@@ -0,0 +1,3 @@
+====== T盲t盲 otsikkoa ei viel盲 ole ======
+
+Olet seurannut linkki盲 otsikkoon jota ei viel盲 ole. Voit luoda t盲m盲n k盲ytt盲m盲ll盲 ''Luo t盲m盲 sivu'' -nappia.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/norev.txt
new file mode 100644
index 000000000..a5138cfc3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/norev.txt
@@ -0,0 +1,3 @@
+====== Ei t盲llaista versiota ======
+
+Kyseist盲 versiota ei ole. K盲yt盲 ''Vanha versio''-nappia n盲hd盲ksesi listan t盲m盲n dokumentin vanhoista versioista
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/preview.txt
new file mode 100644
index 000000000..848780701
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/preview.txt
@@ -0,0 +1,3 @@
+====== Esikatselu ======
+
+T盲m盲 on esikatselu siit盲, milt盲 tekstisi tulee n盲ytt盲m盲盲n. Muista, ett盲 t盲t盲 **ei ole tallennettu** viel盲!
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/pwconfirm.txt
new file mode 100644
index 000000000..d134943f0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/pwconfirm.txt
@@ -0,0 +1,13 @@
+Hei @FULLNAME@!
+
+Joku pyysi uutta salasanaa login nimellesi @TITLE@ sivustolla @DOKUWIKIURL@
+
+Jos sin盲 ei pyyt盲nyt uutta salasanaa, niin voit unohtaa t盲m盲n postin.
+
+K盲yt盲 alla olevaa linkki盲 vahvistaaksesi, ett盲 pyynn枚n l盲hett盲j盲 todella olet sin盲.
+
+@CONFIRM@
+
+--
+T盲m盲n postin generoi DokuWiki
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/read.txt
new file mode 100644
index 000000000..eb4380229
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/read.txt
@@ -0,0 +1 @@
+T盲m盲 sivu on vain luettavissa. Voit katsoa sen l盲hdekoodia, mutta et muuttaa sit盲. Kysy yll盲pit盲j盲lt盲 jos pid盲t t盲t盲 estoa virheellisen盲.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/recent.txt
new file mode 100644
index 000000000..ffb08107e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/recent.txt
@@ -0,0 +1,4 @@
+====== Viimeiset muutokset ======
+
+Seuraavat sivut ovat muuttuneet viime aikoina.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/register.txt
new file mode 100644
index 000000000..cf7a62557
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/register.txt
@@ -0,0 +1,3 @@
+====== Rekister枚i uusi k盲ytt盲j盲 ======
+
+T盲yt盲 alla olevat tiedot luodaksesi uuden k盲ytt盲j盲tilin t盲h盲n wikiin. Muista antaa **toimiva s盲hk枚postiosoite**. Jos sinulta ei kysyt盲 uutta salasanaa, niin uusi salasanasi l盲hetet盲盲n s盲hk枚postiisi. K盲ytt盲j盲nimi pit盲盲 olla my枚s k盲yp盲 [[doku>pagename|sivunimi]].
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/registermail.txt
new file mode 100644
index 000000000..78d73f63d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/registermail.txt
@@ -0,0 +1,14 @@
+Uusi k盲ytt盲j盲 on rekister枚itynyt. T盲ss盲 tiedot:
+
+K盲ytt盲j盲nimi : @NEWUSER@
+Kokonimi : @NEWNAME@
+S盲hk枚posti : @NEWEMAIL@
+
+P盲iv盲m盲盲r盲 : @DATE@
+Selain : @BROWSER@
+IP-osoite : @IPADDRESS@
+Hostname : @HOSTNAME@
+
+--
+T盲m盲n postin generoi DokuWiki osoitteessa
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/resendpwd.txt
new file mode 100644
index 000000000..5a567b022
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/resendpwd.txt
@@ -0,0 +1,3 @@
+====== L盲het盲 uusi salasana ======
+
+T盲yt盲 k盲ytt盲j盲tunnuksesi kaavakkeeseen pyyt盲盲ksesi uutta salasanaa wikin k盲ytt盲j盲tilillesi. Vahvistuslinkki l盲hetet盲盲n kirjautumisen yhteydess盲 antamaan s盲hk枚postiosoitteeseen.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/revisions.txt
new file mode 100644
index 000000000..a48cd3366
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/revisions.txt
@@ -0,0 +1,3 @@
+====== Vanha versio ======
+
+N盲m盲 ovat vanhoja versioita nykyisest盲 dokumentista. Jos haluat palauttaa vanhan version valitse se alhaalta, paina ''Muokkaa t盲t盲 sivua'' ja tallenna se.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/searchpage.txt
new file mode 100644
index 000000000..aa9fbf52f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/searchpage.txt
@@ -0,0 +1,5 @@
+====== Etsi ======
+
+L枚yd盲t etsinn盲n tulokset alta. Jos et l枚yt盲nyt etsim盲盲si voit luoda uuden sivun tiedustelusi pohjalta k盲ytt盲m盲ll盲 ''Muokkaa t盲t盲 sivua'' -napilla.
+
+===== Tulokset =====
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/showrev.txt
new file mode 100644
index 000000000..243f8d01e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/showrev.txt
@@ -0,0 +1,2 @@
+**T盲m盲 on vanha versio dokumentista!**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/stopwords.txt
new file mode 100644
index 000000000..f92fe70cc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/stopwords.txt
@@ -0,0 +1,11 @@
+# T盲m盲 on lista sanoista, jotka indeksoija ohittaa. Yksi sana rivi盲 kohti
+# Kun muokkaat sivua, varmista ett盲 k盲yt盲t UNIX rivinvaihtoa (yksi newline)
+# Ei tarvitse lis盲t盲 alle kolmen merkin sanoja. NE ohitetaan automaattisesti.
+# Jos wikiss盲sin muita kieli盲, lis盲盲 sanoja listaan esim sivulta http://www.ranks.nl/stopwords/
+www
+eli
+tai
+sin盲
+sinun
+com
+oli
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/subscribermail.txt
new file mode 100644
index 000000000..d1c5d9138
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/subscribermail.txt
@@ -0,0 +1,23 @@
+Hei!
+
+Sivua @PAGE@ wiki-sivustolla @TITLE@ on muokattu.
+T盲ss盲 muutokset:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+P盲iv盲 : @DATE@
+K盲ytt盲j盲 : @USER@
+Yhteenveto: @SUMMARY@
+Vanha revisio: @OLDPAGE@
+Uusi revisio: @NEWPAGE@
+
+Poistaaksesi sivun seurannan, kirjaudu wikiin osoitteessa
+@DOKUWIKIURL@ ja siirry sivulle
+@NEWPAGE@
+ja valitse 'Lopeta sivun ja/tai nimiavaruuden tarkkailu'.
+
+--
+T盲m盲n viestin generoi DokuWiki osoitteessa
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/updateprofile.txt
new file mode 100644
index 000000000..71407954a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/updateprofile.txt
@@ -0,0 +1,3 @@
+====== P盲ivit盲 k盲ytt盲j盲tilisi profiilia ======
+
+T盲yt盲 vain ne kent盲t, joita haluat muuttaa. Et voi muuttaa k盲ytt盲j盲tunnustasi. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/uploadmail.txt
new file mode 100644
index 000000000..7a5ea49ed
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/uploadmail.txt
@@ -0,0 +1,14 @@
+Tiedosto ladattiin DokuWikillesi. T盲ss盲 yksityiskohtaiset tiedot:
+
+Tiedosto : @MEDIA@
+PVM : @DATE@
+Selain : @BROWSER@
+IP-Osoite : @IPADDRESS@
+Hostname : @HOSTNAME@
+Koko : @SIZE@
+MIME Type : @MIME@
+K盲ytt盲j盲 : @USER@
+
+--
+T盲m盲n postin generoi DokuWiki osoitteessa
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/wordblock.txt
new file mode 100644
index 000000000..4e416c68c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fi/wordblock.txt
@@ -0,0 +1,3 @@
+====== Roskaposti torjuttu ======
+
+Muutoksiasi **ei** talletettu, koska ne pitiv盲t sis盲ll盲盲n yhden tai useampia ep盲kelpoja sanoja. Jos yritit sp盲mm盲t盲 Wiki盲, h盲pe盲! Jos pid盲t t盲t盲 virheen盲 ota yhteytt盲 wikin yll盲pit盲j盲盲n.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/admin.txt
new file mode 100644
index 000000000..27743223d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/admin.txt
@@ -0,0 +1,4 @@
+====== Fyrisiting ======
+
+Ni冒anfyri kanst t煤 finna eina r酶冒 av ambo冒um til fyrisiting.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/backlinks.txt
new file mode 100644
index 000000000..422377f62
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/backlinks.txt
@@ -0,0 +1,4 @@
+====== 脕v铆sing aftur煤r ======
+
+Hetta er ein listi yvur 酶ll tey skj酶l sum v铆sa aftur 谩 ta冒 n煤verandi skjali.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/conflict.txt
new file mode 100644
index 000000000..df3fe52be
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/conflict.txt
@@ -0,0 +1,5 @@
+====== Ein n铆ggjari 煤tg谩va av skjalinum er til ======
+
+Ein n媒ggjari 煤tg谩va av hesum skjalinum er til. Hetta hendur t谩 fleiri br煤karir r忙tta 铆 skjalinum samstundis.
+
+Eftirkanna t忙r v铆stu broytingar n谩greiniliga, og avger冒 hvat fyri 煤tg谩vu sum skal goymast. Um t煤 velur ''Goym'', ver冒ur t铆n 煤tg谩va av skalinum goymd. Velur t煤 ''Angra'' var冒veittur t煤 t铆 n煤verandi 煤tg谩vuna.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/denied.txt
new file mode 100644
index 000000000..505b249b4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/denied.txt
@@ -0,0 +1,3 @@
+====== Atgongd nokta! ======
+
+T煤 hevur ikki r忙ttindi til at halda 谩fram. M酶guliga hevur t煤 ikki rita inn.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/diff.txt
new file mode 100644
index 000000000..343818b40
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/diff.txt
@@ -0,0 +1,4 @@
+====== Munir ======
+
+Hetta v铆sur munir millum t铆 valdu og n煤verandu 煤tg谩vu av skjalinum. Gular eru linjur sum er at finna 铆 gomlu 煤tg谩vuni, og gr酶nar eru linjur sum eru at finna 铆 n煤varandi 煤tg谩vuni.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/edit.txt
new file mode 100644
index 000000000..2ba92a2d2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/edit.txt
@@ -0,0 +1,2 @@
+R忙tta hetta skjal og tr媒st so 谩 **''[Goym]''** knappin. S铆 [[wiki:syntax|sni冒 谩bending]] fyri Wiki setningsbygna冒. R忙tta vinarliga bert hetta skjali um t煤 kanst **fyrireika** ta冒. N媒t vinarliga [[playground:playground|sandkassan]] til at testa 谩冒renn t煤 r忙ttar 铆 einum r酶ttum skjali. Minst eisini til at br煤kar **''[Forsko冒an]''** 谩冒renn t煤 goymur skjali冒.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/editrev.txt
new file mode 100644
index 000000000..274d423af
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/editrev.txt
@@ -0,0 +1,2 @@
+**T煤 hevur heinta eina gamla 煤tg谩vu av hesum skjalinum!** Um t煤 goymur skjali vilt t煤 skriva 煤tyvir n煤verandi vi冒 gomlu 煤tg谩vuni.
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/index.txt
new file mode 100644
index 000000000..640edfbc3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/index.txt
@@ -0,0 +1,3 @@
+====== Evnisyvirlit ======
+
+Hetta er eitt yvirlit yvur 酶ll atkomandi skj酶l, flokka eftir [[doku>namespaces|navnar煤m]].
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/lang.php
new file mode 100644
index 000000000..a8c241fc1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/lang.php
@@ -0,0 +1,173 @@
+<?php
+/**
+ * faroese language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Poul J. Clementsen <poul@diku.dk>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+
+$lang['btn_edit'] = 'R忙tta hetta skjal';
+$lang['btn_source'] = 'V铆s keldu';
+$lang['btn_show'] = 'V铆s skjal';
+$lang['btn_create'] = 'B媒rja upp谩 hetta skjal';
+$lang['btn_search'] = 'Leita';
+$lang['btn_save'] = 'Goym';
+$lang['btn_preview']= 'Forsko冒an';
+$lang['btn_top'] = 'Aftur til toppin';
+$lang['btn_newer'] = '<< undan s铆冒a';
+$lang['btn_older'] = 'n忙sta s铆冒e >>';
+$lang['btn_revs'] = 'Gamlar 煤tg谩vur';
+$lang['btn_recent'] = 'N媒ggj broyting';
+$lang['btn_upload'] = 'Legg f铆lu upp';
+$lang['btn_cancel'] = 'Angra';
+$lang['btn_index'] = 'Evnisyvirlit';
+$lang['btn_secedit']= 'R忙tta';
+$lang['btn_login'] = 'Rita inn';
+$lang['btn_logout'] = 'Rita 煤t';
+$lang['btn_admin'] = 'Admin';
+$lang['btn_update'] = 'Dagf酶r';
+$lang['btn_delete'] = 'Strika';
+$lang['btn_back'] = 'Aftur';
+$lang['btn_backlink'] = "脕v铆singar aftur煤r";
+$lang['btn_backtomedia'] = 'Aftur til val av mi冒laf铆lu';
+$lang['btn_subscribe'] = 'Tilmelda broytingar';
+$lang['btn_unsubscribe'] = 'Strika tilmelding av broytingum';
+$lang['btn_profile'] = 'Dagf酶ra vangamynd';
+$lang['btn_reset'] = 'Nullstilla';
+$lang['btn_resendpwd'] = 'Send n媒tt loynior冒';
+
+$lang['loggedinas'] = 'Ritavur inn sum';
+$lang['user'] = 'Br煤karanavn';
+$lang['pass'] = 'Loynior冒';
+$lang['newpass'] = 'N媒tt loynior冒';
+$lang['oldpass'] = 'V谩tta gamalt loynior冒';
+$lang['passchk'] = 'Endurtak n媒tt loynior冒';
+$lang['remember'] = 'Minst til loynior冒i冒 hj谩 m忙r';
+$lang['fullname'] = 'Navn';
+$lang['email'] = 'T-postur';
+$lang['register'] = 'Melda til';
+$lang['profile'] = 'Br煤kara vangamynd';
+$lang['badlogin'] = 'Skeivt br煤karanavn ella loynior冒.';
+$lang['minoredit'] = 'Sm忙rri broytingar';
+
+$lang['regmissing'] = 'T煤 skalt fylla 煤t 酶ll 酶ki.';
+$lang['reguexists'] = 'Hetta br煤karanavn er upptiki.';
+$lang['regsuccess'] = 'T煤 ert n煤 stovnavur sum br煤kari. T铆tt loynior冒 ver冒ur sent til t铆n 铆 einum T-posti.';
+$lang['regsuccess2']= 'T煤 ert n煤 stovnavur sum br煤kari.';
+$lang['regmailfail']= 'T铆tt loynior冒 bleiv ikki sent. F谩 vinarliga samband vi冒 administratorin.';
+$lang['regbadmail'] = 'T-post adressan er 贸gildig. F谩 vinarliga samband vi冒 administratorin, um t煤 heldur at hetta er eitt brek.';
+$lang['regbadpass'] = 'B忙冒i loynior冒ini eru ikki eins, royn vinarliga umaftur.';
+$lang['regpwmail'] = 'T铆tt DokuWiki loynior冒';
+$lang['reghere'] = 'Uppr忙tta eina DokuWiki-konto her';
+
+$lang['profna'] = 'Ta冒 er ikki m酶guligt at broyta t铆na vangamynd 铆 hesu wiki';
+$lang['profnochange'] = 'Ongar broytingar, onki tillaga.';
+$lang['profnoempty'] = 'T贸mt navn ella t-post adressa er ikki loyvt.';
+$lang['profchanged'] = 'Br煤kara vangamynd dagf酶rt r忙tt.';
+
+$lang['pwdforget'] = 'Gloymt t铆tt loynior冒? F谩 eitt n媒tt';
+$lang['resendna'] = 'Ta冒 er ikki m酶guligt at f谩 sent n媒tt loynior冒 vi冒 hesu wiki.';
+$lang['resendpwd'] = 'Send n媒tt loynior冒 til';
+$lang['resendpwdmissing'] = 'T煤 skal filla 煤t 酶ll 酶kir.';
+$lang['resendpwdnouser'] = 'Vit kunna ikki finna hendan br煤kara 铆 okkara d谩tagrunni.';
+$lang['resendpwdsuccess'] = 'T铆tt n媒ggja loynior冒 er sent vi冒 t-posti.';
+
+$lang['txt_upload'] = 'Vel t铆 f铆lu sum skal leggjast upp';
+$lang['txt_filename'] = 'Sl谩a inn wikinavn (valfr铆tt)';
+$lang['txt_overwrt'] = 'Yvurskriva verandi f铆lu';
+$lang['lockedby'] = 'Fyribils l忙st av';
+$lang['lockexpire'] = 'L谩si冒 fer冒 煤r gildi kl.';
+$lang['willexpire'] = 'T铆tt l谩s 谩 hetta skjali冒 fer冒 煤r gildi um ein minnutt.\nTr媒st 谩 '.$lang['btn_preview'].'-knappin fyri at sleppa undan trupulleikum.';
+
+$lang['notsavedyet'] = 'Ta冒 eru gj酶rdar broytingar 铆 skjalinum, um t煤 haldur fram vilja broytingar fara fyri skeyti冒.\nYnskir t煤 at halda fram?';
+$lang['rssfailed'] = 'Eitt brek koma fyri t谩 roynt var at f谩a: ';
+$lang['nothingfound']= 'Leiting gav onki 煤rslit.';
+
+$lang['mediaselect'] = 'Vel mi冒laf铆lu';
+$lang['fileupload'] = 'Legg mi冒la f铆lu upp';
+$lang['uploadsucc'] = 'Upp legg av f铆lu var v忙l eydna';
+$lang['uploadfail'] = 'Brek vi冒 upp legg av f铆lu. Ta冒 er m酶guliga trupuleikar vi冒 r忙ttindunum';
+$lang['uploadwrong'] = 'Upp legg av f铆lu v铆st burtur. F铆luslag er ikki loyvt';
+$lang['uploadexist'] = 'F铆lan er longu til.';
+$lang['deletesucc'] = 'F铆lan "%s" er n煤 strika.';
+$lang['deletefail'] = '"%s" kundi ikki strikast - kanna r忙ttindini.';
+$lang['mediainuse'] = 'F铆la "%s" er ikki strika - hen ver冒ur enn n媒tt.';
+$lang['namespaces'] = 'Navnar煤m';
+$lang['mediafiles'] = 'Atkomandi f铆lur 铆';
+
+$lang['reference'] = '脕v铆sing til';
+$lang['ref_inuse'] = 'F铆lan kan ikki strikast, s铆冒an hon enn ver冒ur n媒tt 谩 fylgjandi s铆冒um:';
+$lang['ref_hidden'] = 'Nakrar 谩v铆singar eru 铆 skj酶lum sum t煤 ikki hevur lesi r忙ttindi til';
+
+$lang['hits'] = 'Hits';
+$lang['quickhits'] = 'Samsvarandi skj酶l';
+$lang['toc'] = 'Innihaldsyvirlit';
+$lang['current'] = 'n煤verandi';
+$lang['yours'] = 'T铆n 煤tg谩va';
+$lang['diff'] = 'v铆s broytingar 铆 mun til n煤verandi 煤tg谩vu';
+$lang['line'] = 'Linja';
+$lang['breadcrumb'] = 'Lei冒';
+$lang['youarehere'] = 'T煤 ert her';
+$lang['lastmod'] = 'Seinast broytt';
+$lang['by'] = 'av';
+$lang['deleted'] = 'strika';
+$lang['created'] = 'stovna';
+$lang['restored'] = 'gomul 煤tg谩va endurstovna';
+$lang['summary'] = 'Samandr谩ttur';
+
+$lang['mail_newpage'] = 'skjal skoyta upp铆:';
+$lang['mail_changed'] = 'skjal broytt:';
+
+$lang['js']['nosmblinks'] = "脕v铆singar til Windows shares virka bert 铆 Microsoft Internet Explorer.\nT煤 kanst enn avrita og sata inn sl贸冒ina.";
+
+$lang['qb_bold'] = 'Feit';
+$lang['qb_italic'] = 'Sk谩k';
+$lang['qb_underl'] = 'Undurstrika';
+$lang['qb_code'] = 'Skrivimaskinu tekstur';
+$lang['qb_strike'] = 'Gj酶gnumstrika';
+$lang['qb_h1'] = 'Stig 1 yvirskrift';
+$lang['qb_h2'] = 'Stig 2 yvirskrift';
+$lang['qb_h3'] = 'Stig 3 yvirskrift';
+$lang['qb_h4'] = 'Stig 4 yvirskrift';
+$lang['qb_h5'] = 'Stig 5 yvirskrift';
+$lang['qb_link'] = 'Innanh媒sis sl贸冒';
+$lang['qb_extlink'] = '脷tvortis sl贸冒';
+$lang['qb_hr'] = 'Vatnr忙tt linja';
+$lang['qb_ol'] = 'Talmerktur listi';
+$lang['qb_ul'] = '脫talmerktur listi';
+$lang['qb_media'] = 'Leggja myndir og a冒rar f铆lur afturat';
+$lang['qb_sig'] = 'Set inn undirskrift';
+$lang['qb_smileys'] = 'Smileys';
+$lang['qb_chars'] = 'Sertekn';
+
+$lang['js']['del_confirm']= 'Strika post(ar)?';
+$lang['admin_register']= 'Uppr忙tta n媒ggjan br煤kara';
+
+$lang['metaedit'] = 'R忙tta metad谩ta';
+$lang['metasaveerr'] = 'Brek vi冒 skriving av metad谩ta';
+$lang['metasaveok'] = 'Metad谩ta goymt';
+$lang['img_backto'] = 'Aftur til';
+$lang['img_title'] = 'Heiti冒';
+$lang['img_caption'] = 'Myndatekstur';
+$lang['img_date'] = 'Dato';
+$lang['img_fname'] = 'F铆lunavn';
+$lang['img_fsize'] = 'St酶dd';
+$lang['img_artist'] = 'Myndaf贸lk';
+$lang['img_copyr'] = 'Upphavsr忙ttur';
+$lang['img_format'] = 'Sni冒';
+$lang['img_camera'] = 'Fotot贸l';
+$lang['img_keywords']= 'Evnisor冒';
+
+$lang['subscribe_success'] = 'Skoyt %s upp铆 tilmeldulistan fyri %s';
+$lang['subscribe_error'] = 'Brek vi冒 upp铆 skoyting av %s til tilmeldulistan fyri %s';
+$lang['subscribe_noaddress']= 'Ongin adressa er kn媒tt at t铆ni inn ritan, t煤 kanst ikki skoytast upp铆 tilmeldulistan';
+$lang['unsubscribe_success']= 'Strika %s fr谩 tilmeldulistan fyri %s';
+$lang['unsubscribe_error'] = 'Brek vi冒 burturt酶ku av %s fr谩 tilmeldulista fyri %s';
+
+/* auth.class language support */
+$lang['authmodfailed'] = 'Brek vi冒 validering av br煤karasamansetingv. F谩 samband vi冒 umbo冒sstj贸ran 谩 hesi wiki.';
+$lang['authtempfail'] = 'Validering av br煤kara virkar fyribils ikki. Um hetta er varandi, f谩 so samband vi冒 umbo冒sstj贸ran 谩 hesi wiki.';
+
+//Setup VIM: ex: et ts=2 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/locked.txt
new file mode 100644
index 000000000..2e65a064c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/locked.txt
@@ -0,0 +1,3 @@
+====== L忙st skjal ======
+
+Hetta skjal er fyribils l忙st av einum 酶冒rum br煤kara. B铆冒a vinarliga til br煤karin er li冒ugur vi冒 at r忙tta skjali, ella at l谩si冒 er fara 煤r gildi.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/login.txt
new file mode 100644
index 000000000..31a4c544f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/login.txt
@@ -0,0 +1,3 @@
+====== Rita inn ======
+
+T煤 hevur ikki rita inn! Sl谩 inn br煤karanavn og loynior冒. T铆n kagi skal loyva at cookies ver冒a goymdar fyri at t煤 kanst rita inn.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/mailtext.txt
new file mode 100644
index 000000000..358a23be0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/mailtext.txt
@@ -0,0 +1,17 @@
+Eitt skjal 铆 t铆ni DokuWiki bleiv broytt ella skoytt upp铆. Her er ein l媒sing:
+
+Dato : @DATE@
+Browser : @BROWSER@
+IP-adressa : @IPADDRESS@
+Hostnavn : @HOSTNAME@
+Gomul 煤tg谩va : @OLDPAGE@
+N媒ggj 煤tg谩va : @NEWPAGE@
+R忙tti samandr谩ttur : @SUMMARY@
+Br煤kari : @USER@
+
+@DIFF@
+
+
+--
+Hesin t-postur var skaptur av DokuWiki 谩:
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/newpage.txt
new file mode 100644
index 000000000..6eeb1ef31
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/newpage.txt
@@ -0,0 +1,3 @@
+====== Hetta skjal er ikki til (enn) ======
+
+T煤 fylgdi ein 谩v铆sing til eitt skjal sum ikki er til (enn). T煤 kanst stovna skjali vi冒 at tr媒sta 谩 **''[Stovna hetta skjal]''** knappin.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/norev.txt
new file mode 100644
index 000000000..d0b463a40
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/norev.txt
@@ -0,0 +1,4 @@
+====== Valda 煤tg谩van er ikki til ======
+
+Valda 煤tg谩van av skjalinum er ikki til! Tr媒st 谩 knappin **''[Gamlar 煤tg谩vur]''** fyri at s铆ggja ein lista yvur gamlar 煤tg谩vur av hesum skjali.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/preview.txt
new file mode 100644
index 000000000..e3e65d805
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/preview.txt
@@ -0,0 +1,4 @@
+====== Forsko冒an ======
+
+Hetta er ein forsko冒an skjalinum, sum v铆sur hvussi ta冒 fer at s铆ggja 煤t. Minst til: Ta冒 er //**IKKI**// goymt enn! Um ta冒 s忙r r忙tt 煤t, tr媒st so 谩 **''[Goym]''** knappin
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/read.txt
new file mode 100644
index 000000000..bacf79026
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/read.txt
@@ -0,0 +1,2 @@
+Hetta skjal kan bert l忙sast. T煤 kanst s铆ggja kelduna, men ikki goyma broytingar 铆 t铆. Um t煤 heldur at hetta er eitt brek, skriva so vinarliga 铆 [[wiki:brek-yvirlit]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/recent.txt
new file mode 100644
index 000000000..4704f3781
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/recent.txt
@@ -0,0 +1,5 @@
+====== N媒ggjar broytingar ======
+
+Fylgjandi skj酶l er broytt n媒liga.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/register.txt
new file mode 100644
index 000000000..24438afe8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/register.txt
@@ -0,0 +1,4 @@
+====== Uppr忙tta eina wiki-konti ======
+
+Fylla 煤t ni冒anfyrista skema fyri at uppr忙tta eina konti 铆 hesu wiki. Minst til at n媒ta eina **galdandi t-post-adressu** - t铆tt loynior冒 ver冒ur sent til t铆n. T铆tt br煤karanavn skal ver冒a galdandi [[doku>pagename|skjalanavn]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/resendpwd.txt
new file mode 100644
index 000000000..450202c12
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/resendpwd.txt
@@ -0,0 +1,3 @@
+====== Send n媒tt loynior冒 ======
+
+Fyll 煤t 酶ll ni冒anfyristandandi 酶ki fyri at f谩a sent eitt n媒tt loynior冒 til hesa wiki. T铆tt n媒ggja loynior冒 ver冒ur sent til t铆 uppgivnu t-postadressu. Br煤karanavn eigur at ver冒a t铆tt wiki br煤karanavn.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/revisions.txt
new file mode 100644
index 000000000..dcd845c10
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/revisions.txt
@@ -0,0 +1,3 @@
+====== Gamlar 煤tg谩vur ======
+
+Her eru t忙r gomlu 煤tg谩vurnar av hesum skalinum. T煤 kanst venda aftur til eina eldri 煤tg谩vu av skjalinum vi冒 at velja ta冒 ni冒anfyri, tr媒st 谩 **''[R忙tta hetta skjal]''** knappin, og til s铆冒st goyma skjali.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/searchpage.txt
new file mode 100644
index 000000000..6304a8901
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/searchpage.txt
@@ -0,0 +1,5 @@
+====== Leiting ======
+
+T煤 kanst s铆ggja 煤rslitini av t铆ni leiting ni冒anfyri. Um 煤rslitini ikki innihalda ta冒 sum t煤 leita冒i eftir kanst t煤 uppr忙tta eitt n媒tt skjal vi冒 sama navni sum leitingin vi冒 at tr媒sta 谩 **''[Uppr忙tta hetta skjal]''** knappin.
+
+===== Leiti煤rslit =====
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/showrev.txt
new file mode 100644
index 000000000..515f80aad
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/showrev.txt
@@ -0,0 +1,2 @@
+**Hetta er ein gomul 煤tg谩va av skjalinum!**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/stopwords.txt
new file mode 100644
index 000000000..210e85902
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/stopwords.txt
@@ -0,0 +1,87 @@
+# This is a list of words the indexer ignores, one word per line
+# When you edit this file be sure to use UNIX line endings (single newline)
+# No need to include words shorter than 3 chars - these are ignored anyway
+# This list is based upon the ones found at http://www.ranks.nl/stopwords/
+annar
+b谩冒ir
+eg
+eingin
+einhv酶r
+eini
+eitt
+ella
+enn
+fim
+fleiri
+flestir
+fr谩
+fyri
+fyrr
+f媒ra
+g贸冒ur
+hann
+hansara
+har
+hendan
+hennara
+her
+hetta
+hevur
+hon
+hvar
+hvat
+hvussi
+hv铆
+hv酶r
+ikki
+inn
+kan
+koma
+l铆til
+man
+ma冒ur
+meira
+men
+mi冒an
+ni冒ur
+n忙r
+n忙stan
+n忙sti
+n贸gv
+n媒tt
+okkurt
+ongin
+onki
+onkur
+seks
+sindur
+sjey
+sm谩ur
+st贸rur
+st酶rre
+st酶rst
+sum
+s铆ggjast
+tann
+ta冒
+teir
+tey
+til
+tr铆ggir
+tr媒
+tvey
+tykkara
+t忙r
+t铆
+t铆n
+t贸
+t煤
+um
+undan
+var
+vera
+vi冒
+yvur
+谩tta
+谩冒renn
+酶ll
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/subscribermail.txt
new file mode 100644
index 000000000..4dca0cda5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/subscribermail.txt
@@ -0,0 +1,17 @@
+Hey!
+
+S铆冒an @PAGE@ 谩 @TITLE@ wikiuni er blivin broytt.
+Her eru ein l媒sing:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+Fyri at avmelda broytinga uppl媒singar fyri hesa s铆冒u rita inn 谩 wikiuna 谩
+@DOKUWIKIURL@ , vitja
+@NEWPAGE@
+og vel 'Strika til melda broytingar'.
+
+--
+Hesin t-postur var skaptur av DokuWiki 谩:
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/updateprofile.txt
new file mode 100644
index 000000000..10ee40d30
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/updateprofile.txt
@@ -0,0 +1,3 @@
+====== Dagf酶ra vangamynd fyri t铆na konti ======
+
+T煤 n媒tist bert at fylla 煤t tey 酶ki sum t煤 ynskjur at broyta. T煤 kanst ikki broyta t铆tt br煤karanavn.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/wordblock.txt
new file mode 100644
index 000000000..f3a9b9dcd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fo/wordblock.txt
@@ -0,0 +1,3 @@
+====== SPAM banning ======
+
+T铆nar broytingar v贸ru **ikki** goymdar av t铆 at t忙r innihalda eitt ella fleiri 贸ynskt or冒. F谩 vinarliga samband vi冒 admin, um t煤 heldur at hetta er eitt brek.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/admin.txt
new file mode 100644
index 000000000..4477a512b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/admin.txt
@@ -0,0 +1,4 @@
+====== Administration ======
+
+Ci-dessous, vous trouverez une liste des t芒ches administratives disponibles dans DokuWiki.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/adminplugins.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/adminplugins.txt
new file mode 100644
index 000000000..42a3538ab
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/adminplugins.txt
@@ -0,0 +1 @@
+===== Modules suppl茅mentaires ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/backlinks.txt
new file mode 100644
index 000000000..6902b43e3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/backlinks.txt
@@ -0,0 +1,4 @@
+====== Pages pointant sur la page en cours ======
+
+Ceci est la liste des pages qui pointent sur la page en cours.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/conflict.txt
new file mode 100644
index 000000000..0cb0a67fb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/conflict.txt
@@ -0,0 +1,6 @@
+====== Une version plus r茅cente existe d茅j脿 ======
+
+Une version plus r茅cente du document que vous avez 茅dit茅 existe d茅j脿. Cela se produit lorsqu'un autre utilisateur enregistre le document pendant que vous l'茅ditez.
+
+Examinez attentivement les diff茅rences ci-dessous, et d茅cidez quelle version conserver. Si vous choisissez ''Enregistrer'', votre version sera enregistr茅e. Cliquez sur ''Annuler'' pour conserver la version actuelle.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/denied.txt
new file mode 100644
index 000000000..20d4d6755
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/denied.txt
@@ -0,0 +1,3 @@
+====== Autorisation refus茅e ======
+
+D茅sol茅, vous n'avez pas les droits pour continuer. Peut-锚tre avez-vous oubli茅 de vous identifier ?
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/diff.txt
new file mode 100644
index 000000000..773695d6d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/diff.txt
@@ -0,0 +1,4 @@
+====== Diff茅rences ======
+
+Cette page vous donne les diff茅rences entre la r茅vision choisie et la version actuelle de la page.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/draft.txt
new file mode 100644
index 000000000..fbc1609a8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/draft.txt
@@ -0,0 +1,6 @@
+====== Un fichier brouillon a 茅t茅 trouv茅 ======
+
+La derni猫re 茅dition de cette page ne s'est pas termin茅e proprement. Dokuwiki a enregistr茅 automatiquement un brouillon de votre travail que vous pouvez utiliser pour votre 茅dition. Ci-dessous figurent les donn茅es enregistr茅es lors de votre derni猫re session.
+
+脌 vous de d茅cider si vous souhaitez //r茅cup茅rer// votre session d'茅dition pass茅e, //supprimer// le brouillon enregistr茅 automatiquement ou //annuler// le processus d'茅dition.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/edit.txt
new file mode 100644
index 000000000..71b0a7c1b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/edit.txt
@@ -0,0 +1,2 @@
+Modifiez cette page et cliquez sur ''Enregistrer''. Voyez le [[wiki:syntax|Guide de la mise en page]] pour une aide 脿 propos du format. Veuillez ne modifier cette page que si vous pouvez l'**am茅liorer**. Si vous souhaitez faire des tests, faites vos premiers pas dans le [[playground:playground|bac 脿 sable]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/editrev.txt
new file mode 100644
index 000000000..1a7e0f434
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/editrev.txt
@@ -0,0 +1,2 @@
+**Vous affichez une ancienne r茅vision du document !** Si vous l'enregistrez vous cr茅erez une nouvelle version avec ce contenu.
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/index.txt
new file mode 100644
index 000000000..14446681e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/index.txt
@@ -0,0 +1,4 @@
+====== Index ======
+
+Voici un index de toutes les pages disponibles, tri茅es par [[doku>namespaces|cat茅gorie]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/install.html
new file mode 100644
index 000000000..a2c29d022
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/install.html
@@ -0,0 +1,19 @@
+<p>Cette page vous assiste dans la premi&egrave;re installation et la
+configuration de <a href="http://dokuwiki.org">DokuWiki</a>.
+Pour plus d'information sur cet installeur reportez vous &agrave; sa
+<a href="http://dokuwiki.org/installer">page de
+documentation</a>.</p>
+
+<p>DokuWiki utilise des fichiers ordinaires pour stocker les pages du
+wiki et les autres informations associ&eacute;es &agrave; ces pages
+(tel que images, index de recherche, anciennes r&eacute;visions, etc). Pour fonctionner correctement DokuWiki <strong>doit</strong> avoir acc&egrave;s en &eacute;criture aux diff&eacute;rents r&eacute;pertoires qui contiennent ces fichiers. L'installeur n'est pas capable de modifier les permissions sur les r&eacute;pertoires. Ceci doit &ecirc;tre effectu&eacute; directement sur la ligne de commande de votre shell, ou, si vous &ecirc;tes h&eacute;berg&eacute;, via FTP ou votre panneau de contr&ocirc;le (tel que cPanel).</p>
+
+<p>Cet installeur va param&eacute;trer votre configuration de DokuWiki pour des <acronym title="access control list">ACL</acronym>, qui permettront l'acc&egrave;s &agrave; un login administrateur et l'acc&egrave;s au menu d'administration de DokuWiki pour l'ajout de modules externes, la gestion d'utilisateurs, la gestion de l'acc&egrave;s aux pages du wiki et les changements de param&egrave;tres de configuration. Il n'est pas n&eacute;cessaire au fonctionnement de DokuWiki, n&eacute;anmoins il facilite l'administration de DokuWiki.</p>
+
+<p>Les utilisateurs exp&eacute;riment&eacute;s ou ceux
+n&eacute;cessitant des param&eacute;trages particuliers devraient se
+reporter aux liens suivants pour les d&eacute;tails concernant les <a
+href="http://dokuwiki.org/install">instructions
+d'installation</a> et les <a
+href="http://dokuwiki.org/config">param&egrave;tres de
+configuration</a>.</p>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/lang.php
new file mode 100644
index 000000000..6a0f408b8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/lang.php
@@ -0,0 +1,258 @@
+<?php
+/**
+ * french language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author S茅bastien Bauer <sebastien.bauer@advalvas.be>
+ * @author Antoine Fixary <antoine.fixary@freesbee.fr>
+ * @author cumulus <pta-n56@myamail.com>
+ * @author Gwenn Gueguen <contact@demisel.net>
+ * @author Guy Brand <gb@isis.u-strasbg.fr>
+ * @author Fabien Chabreuil <fabien@integralpersonality.com>
+ * @author St茅phane Chamberland <stephane.chamberland@ec.gc.ca>
+ * @author Delassaux Julien <julien@delassaux.fr>
+ * @author Maurice A. LeBlanc <leblancma@cooptel.qc.ca>
+ * @author gb@isis.u-strasbg.fr
+ * @author stephane.gully@gmail.com
+ * @author Guillaume Turri <guillaume.turri@gmail.com>
+ * @author Erik Pedersen <erik.pedersen@shaw.ca>
+ * @author olivier duperray <duperray.olivier@laposte.net>
+ * @author Vincent Feltz <psycho@feltzv.fr>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '\'';
+$lang['btn_edit'] = '脡diter cette page';
+$lang['btn_source'] = 'Afficher le texte source';
+$lang['btn_show'] = 'Afficher la page';
+$lang['btn_create'] = 'Cr茅er cette page';
+$lang['btn_search'] = 'Rechercher';
+$lang['btn_save'] = 'Enregistrer';
+$lang['btn_preview'] = 'Aper莽u';
+$lang['btn_top'] = 'Haut de page';
+$lang['btn_newer'] = '<< plus r茅cent';
+$lang['btn_older'] = 'moins r茅cent >>';
+$lang['btn_revs'] = 'Anciennes r茅visions';
+$lang['btn_recent'] = 'Derniers changements';
+$lang['btn_upload'] = 'Envoyer';
+$lang['btn_cancel'] = 'Annuler';
+$lang['btn_index'] = 'Index';
+$lang['btn_secedit'] = '脡diter';
+$lang['btn_login'] = 'Connexion';
+$lang['btn_logout'] = 'D茅connexion';
+$lang['btn_admin'] = 'Admin';
+$lang['btn_update'] = 'Rafra卯chir';
+$lang['btn_delete'] = 'Effacer';
+$lang['btn_back'] = 'Retour';
+$lang['btn_backlink'] = 'Liens vers cette page';
+$lang['btn_backtomedia'] = 'Retour 脿 la s茅lection du fichier m茅dia';
+$lang['btn_subscribe'] = 'S\'abonner 脿 la page';
+$lang['btn_unsubscribe'] = 'Se d茅sabonner de la page';
+$lang['btn_subscribens'] = 'S\'abonner 脿 la cat茅gorie';
+$lang['btn_unsubscribens'] = 'Se d茅sabonner de la cat茅gorie';
+$lang['btn_profile'] = 'Mettre 脿 jour le profil';
+$lang['btn_reset'] = 'R茅initialiser';
+$lang['btn_resendpwd'] = 'Envoyer le mot de passe';
+$lang['btn_draft'] = '脡diter brouillon';
+$lang['btn_recover'] = 'R茅cup茅rer brouillon';
+$lang['btn_draftdel'] = 'Effacer brouillon';
+$lang['btn_revert'] = 'Restaurer';
+$lang['loggedinas'] = 'Connect茅 en tant que ';
+$lang['user'] = 'Utilisateur';
+$lang['pass'] = 'Mot de passe';
+$lang['newpass'] = 'Nouveau mot de passe';
+$lang['oldpass'] = 'Mot de passe actuel';
+$lang['passchk'] = 'R茅p茅ter nouveau mot de passe';
+$lang['remember'] = 'M茅moriser';
+$lang['fullname'] = 'Nom';
+$lang['email'] = 'Adresse de courriel';
+$lang['register'] = 'S\'enregistrer';
+$lang['profile'] = 'Profil utilisateur';
+$lang['badlogin'] = 'L\'utilisateur ou le mot de passe est incorrect.';
+$lang['minoredit'] = 'Mineur';
+$lang['draftdate'] = 'Brouillon auto-enregistr茅 le';
+$lang['nosecedit'] = 'La page a chang茅 entre temps, les informations de la section sont obsol猫tes ; la page compl猫te a 茅t茅 charg茅e 脿 la place.';
+$lang['regmissing'] = 'D茅sol茅, vous devez remplir tous les champs.';
+$lang['reguexists'] = 'D茅sol茅, ce nom d\'utilisateur est d茅j脿 pris';
+$lang['regsuccess'] = 'L\'utilisateur a 茅t茅 cr茅茅. Le mot de passe a 茅t茅 exp茅di茅 par courriel.';
+$lang['regsuccess2'] = 'L\'utilisateur a 茅t茅 cr茅茅.';
+$lang['regmailfail'] = 'Il semble y avoir un probl猫me 脿 l\'envoi du courriel. Contactez l\'administrateur.';
+$lang['regbadmail'] = 'L\'adresse de courriel semble incorrecte - si vous pensez que c\'est une erreur, contactez l\'administrateur.';
+$lang['regbadpass'] = 'Les deux mots de passe fournis sont diff茅rents, recommencez SVP.';
+$lang['regpwmail'] = 'Votre mot de passe DokuWiki';
+$lang['reghere'] = 'Vous n\'avez pas encore de compte ? Enregistrez-vous ici ';
+$lang['profna'] = 'Ce wiki ne permet pas de modifier les profils';
+$lang['profnochange'] = 'Pas de changement, rien 脿 faire.';
+$lang['profnoempty'] = 'Un nom ou une adresse de courriel vide n\'est pas permis.';
+$lang['profchanged'] = 'Mise 脿 jour du profil r茅ussie.';
+$lang['pwdforget'] = 'Mot de passe oubli茅 ? Faites-vous envoyer votre mot de passe ';
+$lang['resendna'] = 'Ce wiki ne permet pas le renvoi de mot de passe.';
+$lang['resendpwd'] = 'Renvoyer le mot de passe de';
+$lang['resendpwdmissing'] = 'D茅sol茅, vous devez remplir tous les champs.';
+$lang['resendpwdnouser'] = 'D茅sol茅, cet utilisateur est introuvable dans notre base.';
+$lang['resendpwdbadauth'] = 'D茅sol茅, ce code d\'authentification est invalide. Assurez vous d\'avoir utilis茅 le lien de confirmation.';
+$lang['resendpwdconfirm'] = 'Un lien de confirmation vous a 茅t茅 envoy茅 par courriel.';
+$lang['resendpwdsuccess'] = 'Votre nouveau mot de passe vous a 茅t茅 exp茅di茅 par courriel.';
+$lang['license'] = 'Sauf mention contraire, le contenu de ce wiki est plac茅 sous la licence suivante:';
+$lang['licenseok'] = 'Note : En 茅ditant cette page vous acceptez que le contenu soit plac茅 sous les termes de la licence suivante :';
+$lang['searchmedia'] = 'Chercher le nom de fichier :';
+$lang['searchmedia_in'] = 'Chercher dans %s';
+$lang['txt_upload'] = 'S茅lectionnez un fichier 脿 envoyer ';
+$lang['txt_filename'] = 'Donnez un "wikiname" (optionnel) ';
+$lang['txt_overwrt'] = '脡craser le fichier cible';
+$lang['lockedby'] = 'Actuellement bloqu茅 par';
+$lang['lockexpire'] = 'Le blocage expire 脿';
+$lang['willexpire'] = 'Votre blocage pour modifier cette page expire dans une minute.\nPour 茅viter les conflits, utiliser le bouton Aper莽u pour r茅initialiser le minuteur.';
+$lang['notsavedyet'] = 'Les changements non enregistr茅s seront perdus.\nVoulez-vous vraiment continuer ?';
+$lang['rssfailed'] = 'Une erreur s\'est produite en r茅cup茅rant ce flux : ';
+$lang['nothingfound'] = 'Pas de r茅ponse.';
+$lang['mediaselect'] = 'S茅lection de fichier';
+$lang['fileupload'] = 'Envoi de fichier';
+$lang['uploadsucc'] = 'Envoi r茅ussi';
+$lang['uploadfail'] = 'L\'envoi n\'a pas r茅ussi. Les permissions sont-elles correctes ?';
+$lang['uploadwrong'] = 'Envoi refus茅. Cette extension de fichier est interdite !';
+$lang['uploadexist'] = 'Le fichier existe. Envoi avort茅.';
+$lang['uploadbadcontent'] = 'Le contenu envoy茅 ne correspond pas 脿 l\'extension du fichier %s.';
+$lang['uploadspam'] = 'L\'envoi a 茅t茅 bloqu茅 par la liste noire antispam.';
+$lang['uploadxss'] = 'L\'envoi a 茅t茅 bloqu茅 car son contenu est peut-锚tre malveillant.';
+$lang['uploadsize'] = 'Le fichier envoy茅 茅tait trop gros. (max. %s)';
+$lang['deletesucc'] = 'Le fichier "%s" a 茅t茅 effac茅.';
+$lang['deletefail'] = 'Le fichier "%s" n\'a pu 锚tre effac茅 - v茅rifier les permissions.';
+$lang['mediainuse'] = 'Le fichier "%s" n\'a pas 茅t茅 effac茅 - il est en cours d\'utilisation.';
+$lang['namespaces'] = 'Cat茅gories';
+$lang['mediafiles'] = 'Fichiers disponibles dans';
+$lang['js']['searchmedia'] = 'Chercher des fichiers';
+$lang['js']['keepopen'] = 'Gardez la fen锚tre ouverte pendant la s茅lection';
+$lang['js']['hidedetails'] = 'Masquer d茅tails';
+$lang['js']['nosmblinks'] = 'Les liens vers les partages Windows ne fonctionnent qu\'avec Microsoft Internet Explorer.
+Vous pouvez toujours faire un copier/coller du lien.';
+$lang['js']['linkwiz'] = 'Assistant Lien';
+$lang['js']['linkto'] = 'Lien vers:';
+$lang['js']['del_confirm'] = 'Effacer cette entr茅e ?';
+$lang['js']['mu_btn'] = 'Envoyer plusieurs fichiers en m锚me temps';
+$lang['mediausage'] = 'Utilisez la syntaxe suivante pour faire r茅f茅rence 脿 ce fichier :';
+$lang['mediaview'] = 'Voir fichier original';
+$lang['mediaroot'] = 'root';
+$lang['mediaupload'] = 'Envoyer un fichier dans la cat茅gorie courante ici. Pour cr茅er des sous-cat茅gories, pr茅fixez le nom du fichier par le nom de la sous-cat茅gorie s茅par茅e par un double point.';
+$lang['mediaextchange'] = 'Extension du fichier chang茅e de .%s en .%s !';
+$lang['reference'] = 'R茅f茅rences pour';
+$lang['ref_inuse'] = 'Le fichier ne peut 锚tre effac茅 car il est utilis茅 par les pages suivantes :';
+$lang['ref_hidden'] = 'Des r茅f茅rences existent dans des pages que vous n\'avez pas la permission de lire';
+$lang['hits'] = 'occurrences trouv茅es';
+$lang['quickhits'] = 'Pages trouv茅es ';
+$lang['toc'] = 'Table des mati猫res';
+$lang['current'] = 'version actuelle';
+$lang['yours'] = 'Votre version';
+$lang['diff'] = 'Diff茅rences avec la version actuelle';
+$lang['diff2'] = 'Diff茅rences entre les versions s茅lectionn茅es';
+$lang['line'] = 'Ligne';
+$lang['breadcrumb'] = 'Piste';
+$lang['youarehere'] = 'Vous 锚tes ici';
+$lang['lastmod'] = 'Derni猫re modification';
+$lang['by'] = 'par';
+$lang['deleted'] = 'effac茅e';
+$lang['created'] = 'cr茅茅e';
+$lang['restored'] = 'ancienne r茅vision restaur茅e';
+$lang['external_edit'] = '茅dition externe';
+$lang['summary'] = 'R茅sum茅';
+$lang['noflash'] = 'L"extension <a href="http://www.adobe.com/products/flashplayer/">Adobe Flash Plugin</a> est n茅cessaire pour afficher ce contenu.';
+$lang['download'] = 'T茅l茅charger un extrait';
+$lang['mail_newpage'] = 'page ajout茅e :';
+$lang['mail_changed'] = 'page chang茅e :';
+$lang['mail_new_user'] = 'nouvel utilisateur :';
+$lang['mail_upload'] = 'fichier envoy茅 :';
+$lang['qb_bold'] = 'Gras';
+$lang['qb_italic'] = 'Italique';
+$lang['qb_underl'] = 'Soulign茅';
+$lang['qb_code'] = 'Code';
+$lang['qb_strike'] = 'Texte barr茅';
+$lang['qb_h1'] = 'En-t锚te 1';
+$lang['qb_h2'] = 'En-t锚te 2';
+$lang['qb_h3'] = 'En-t锚te 3';
+$lang['qb_h4'] = 'En-t锚te 4';
+$lang['qb_h5'] = 'En-t锚te 5';
+$lang['qb_h'] = 'Titre';
+$lang['qb_hs'] = 'S茅lectionner la ligne de titre';
+$lang['qb_hplus'] = 'Titre sup茅rieur';
+$lang['qb_hminus'] = 'Titre inf茅rieur';
+$lang['qb_hequal'] = 'Titre de m锚me niveau';
+$lang['qb_link'] = 'Lien interne';
+$lang['qb_extlink'] = 'Lien externe';
+$lang['qb_hr'] = 'Ligne horizontale';
+$lang['qb_ol'] = 'Liste num茅rot茅e';
+$lang['qb_ul'] = 'Liste 脿 puce';
+$lang['qb_media'] = 'Ajouter des images et autres fichiers';
+$lang['qb_sig'] = 'Ins茅rer une signature';
+$lang['qb_smileys'] = '脡moticons';
+$lang['qb_chars'] = 'Caract猫res sp茅ciaux';
+$lang['upperns'] = 'Aller 脿 la cat茅gorie parente';
+$lang['admin_register'] = 'Ajouter un nouvel utilisateur';
+$lang['metaedit'] = '脡diter les m茅tadonn茅es';
+$lang['metasaveerr'] = 'Erreur 脿 l\'茅criture des m茅tadonn茅es';
+$lang['metasaveok'] = 'M茅tadonn茅es enregistr茅es';
+$lang['img_backto'] = 'Retour 脿';
+$lang['img_title'] = 'Titre';
+$lang['img_caption'] = 'L茅gende';
+$lang['img_date'] = 'Date';
+$lang['img_fname'] = 'Nom de fichier';
+$lang['img_fsize'] = 'Taille';
+$lang['img_artist'] = 'Auteur';
+$lang['img_copyr'] = 'Copyright';
+$lang['img_format'] = 'Format';
+$lang['img_camera'] = 'Appareil photo';
+$lang['img_keywords'] = 'Mots clef';
+$lang['subscribe_success'] = 'Ajout de %s 脿 la liste d\'abonn茅s de %s';
+$lang['subscribe_error'] = 'Erreur 脿 l\'ajout de %s 脿 la liste d\'abonn茅s de %s';
+$lang['subscribe_noaddress'] = 'Aucune adresse associ茅e 脿 votre nom d\'utilisateur, impossible de vous ajouter 脿 la liste d\'abonn茅s';
+$lang['unsubscribe_success'] = 'Suppression de %s de la liste d\'abonn茅s de %s';
+$lang['unsubscribe_error'] = 'Erreur 脿 la suppression de %s de la liste d\'abonn茅s de %s';
+$lang['authmodfailed'] = 'Mauvais param茅trage de l\'authentification. Merci d\'informer l\'administrateur du Wiki.';
+$lang['authtempfail'] = 'L\'authentification est temporairement indisponible. Si cela perdure, merci d\'informer l\'administrateur du Wiki.';
+$lang['i_chooselang'] = 'Choisissez votre langue';
+$lang['i_installer'] = 'Installeur DokuWiki';
+$lang['i_wikiname'] = 'Nom du Wiki';
+$lang['i_enableacl'] = 'Activer les ACL (recommand茅)';
+$lang['i_superuser'] = 'Super-utilisateur';
+$lang['i_problems'] = 'L\'installeur a d茅tect茅 les probl猫mes indiqu茅s ci-dessous. Vous ne pouvez poursuivre tant qu\'ils n\'auront pas 茅t茅 corrig茅s.';
+$lang['i_modified'] = 'Pour des raisons de s茅curit茅 ce script ne fonctionne qu\'avec une installation neuve et non modifi茅e de DokuWiki. Vous devriez r茅-extraire les fichiers depuis le paquet t茅l茅charg茅 ou consulter les <a href="http://dokuwiki.org/install">instructions d\'installation de DokuWiki</a>';
+$lang['i_funcna'] = 'La fonction PHP <code>%s</code> n\'est pas disponible. Peut-锚tre que votre h茅bergeur l\'a d茅sactiv茅e ?';
+$lang['i_phpver'] = 'Votre version de PHP (<code>%s</code>) est ant茅rieure 脿 la version requise (<code>%s</code>. Vous devez mettre 脿 jour votre installation de PHP.';
+$lang['i_permfail'] = '<code>%s</code> n\'est pas accessible en 茅criture pour DokuWiki. Vous devez corriger les permissions de ce r茅pertoire !';
+$lang['i_confexists'] = '<code>%s</code> existe d茅j脿';
+$lang['i_writeerr'] = 'Impossible de cr茅er <code>%s</code>. Vous devez v茅rifier les permissions des r茅pertoires/fichiers et cr茅er le fichier manuellement.';
+$lang['i_badhash'] = 'dokuwiki.php non reconnu ou modifi茅 (hash=<code>%s</code>)';
+$lang['i_badval'] = '<code>%s</code> - valeur ill茅gale ou vide';
+$lang['i_success'] = 'L\'installation s\'est termin茅e avec succ猫s. Vous pouvez maintenant supprimer le fichier install.php. Continuer avec <a href="doku.php">votre nouveau DokuWiki</a>.';
+$lang['i_failure'] = 'Des erreurs sont survenues lors de l\'茅criture des fichiers de configuration. Il vous faudra les corriger manuellement avant de pouvoir utiliser <a href="doku.php">votre nouveau DokuWiki</a>.';
+$lang['i_policy'] = 'Politique d\'ACL initiale';
+$lang['i_pol0'] = 'Wiki ouvert (lecture, 茅criture, envoi de fichiers pour tout le monde)';
+$lang['i_pol1'] = 'Wiki public (lecture pour tout le monde, 茅criture et envoi de fichiers pour les utilisateurs enregistr茅s)';
+$lang['i_pol2'] = 'Wiki ferm茅 (lecture, 茅criture, envoi de fichiers pour les utilisateurs enregistr茅s uniquement)';
+$lang['i_retry'] = 'R茅essayer';
+$lang['mu_intro'] = 'Ici vous pouvez envoyer plusieurs fichiers en m锚me temps. Cliquez sur le bouton parcourir pour les ajouter. Cliquez sur envoyer lorsque c\'est pr锚t. ';
+$lang['mu_gridname'] = 'Nom du fichier';
+$lang['mu_gridsize'] = 'Taille';
+$lang['mu_gridstat'] = '脡tat';
+$lang['mu_namespace'] = 'Cat茅gorie';
+$lang['mu_browse'] = 'Parcourir';
+$lang['mu_toobig'] = 'Trop gros';
+$lang['mu_ready'] = 'Pr锚t 脿 envoyer';
+$lang['mu_done'] = 'Termin茅';
+$lang['mu_fail'] = '脡chou茅';
+$lang['mu_authfail'] = 'Session expir茅e';
+$lang['mu_progress'] = '@PCT@% envoy茅';
+$lang['mu_filetypes'] = 'Types de fichiers accept茅s';
+$lang['mu_info'] = 'fichiers envoy茅s.';
+$lang['mu_lasterr'] = 'Derni猫re erreur : ';
+$lang['recent_global'] = 'Vous 锚tes actuellement en train de regarder les modifications au sein du namespace <b>%s</b>. Vous pouvez aussi <a href="%s">voir les r茅centes modifications sur tout le wiki</a>.';
+$lang['years'] = 'il y a %d ans';
+$lang['months'] = 'il y a %d mois';
+$lang['weeks'] = 'il y a %d semaines';
+$lang['days'] = 'il y a %d jours';
+$lang['hours'] = 'il y a %d heures';
+$lang['minutes'] = 'il y a %d minutes';
+$lang['seconds'] = 'il y a %d secondes';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/locked.txt
new file mode 100644
index 000000000..ac8eb4c4b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/locked.txt
@@ -0,0 +1,3 @@
+====== Page bloqu茅e ======
+
+Cette page est actuellement bloqu茅e pour 茅dition par un autre utilisateur. Vous devez attendre que l'autre utilisateur ait termin茅 ou que le blocage de la page expire.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/login.txt
new file mode 100644
index 000000000..c8d40c86d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/login.txt
@@ -0,0 +1,3 @@
+====== Connexion ======
+
+Vous n'锚tes pas connect茅 ! Entrez vos identifiants ci-dessous pour vous connecter. Votre navigateur doit accepter les cookies pour pouvoir vous connecter.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/mailtext.txt
new file mode 100644
index 000000000..0b87616b1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/mailtext.txt
@@ -0,0 +1,18 @@
+Une page dans votre Wiki a 茅t茅 ajout茅e ou modifi茅e. Voici les
+d茅tails :
+
+Date : @DATE@
+Navigateur : @BROWSER@
+Adresse IP : @IPADDRESS@
+Nom d'h么te : @HOSTNAME@
+Ancienne r茅vision : @OLDPAGE@
+Nouvelle r茅vision : @NEWPAGE@
+R茅sum茅 : @SUMMARY@
+Utilisateur : @USER@
+
+@DIFF@
+
+
+--
+Ce message a 茅t茅 g茅n茅r茅 par DokuWiki
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/newpage.txt
new file mode 100644
index 000000000..3d834ffd0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/newpage.txt
@@ -0,0 +1,4 @@
+====== Cette page n'existe pas encore ======
+
+Vous avez suivi un lien vers une page qui n'existe pas encore. Si vos droits sont suffisants, vous pouvez utiliser le bouton ''Cr茅er cette page''.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/norev.txt
new file mode 100644
index 000000000..65984ef9c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/norev.txt
@@ -0,0 +1,4 @@
+====== R茅vision non trouv茅e ======
+
+La r茅vision demand茅e n'existe pas. Utilisez le bouton 'Anciennes r茅visions' pour une liste des r茅visions de ce document.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/preview.txt
new file mode 100644
index 000000000..26fbcd9c2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/preview.txt
@@ -0,0 +1,4 @@
+====== Aper莽u ======
+
+Ceci est un aper莽u de votre document. Attention ! Il n'est **pas encore enregistr茅** !
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/pwconfirm.txt
new file mode 100644
index 000000000..432b5f102
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/pwconfirm.txt
@@ -0,0 +1,15 @@
+Bonjour @FULLNAME@ !
+
+Quelqu'un a demand茅 un nouveau mot de passe pour votre login
+@TITLE@ sur @DOKUWIKIURL@
+
+Si vous n'锚tes pas 脿 l'origine de cette requ锚te d'un nouveau mot de
+passe, ignorez ce message.
+
+Pour confirmer que cette requ锚te 茅mane bien de vous, merci de suivre le lien ci-dessous.
+
+@CONFIRM@
+
+--
+Ce message a 茅t茅 g茅n茅r茅 par DokuWiki
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/read.txt
new file mode 100644
index 000000000..faa756e8b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/read.txt
@@ -0,0 +1,2 @@
+Cette page est en lecture seule. Vous pouvez afficher le texte source, mais pas le modifier. Contactez votre administrateur si vous pensez qu'il s'agit d'une erreur.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/recent.txt
new file mode 100644
index 000000000..b41972fc1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/recent.txt
@@ -0,0 +1,5 @@
+====== Derniers changements ======
+
+Les pages suivantes ont 茅t茅 modifi茅es r茅cemment.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/register.txt
new file mode 100644
index 000000000..e2d02f55c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/register.txt
@@ -0,0 +1,3 @@
+====== S'enregistrer comme nouvel utilisateur ======
+
+Remplissez toutes les informations ci-dessous pour vous cr茅er un compte sur ce Wiki. Assurez-vous de fournir une **adresse de courriel valide** car votre mot de passe sera envoy茅 脿 cette adresse. Le nom d'utilisateur doit 锚tre un [[doku>pagename|nom de page]] valide.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/registermail.txt
new file mode 100644
index 000000000..960aedf2d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/registermail.txt
@@ -0,0 +1,14 @@
+Un nouvel utilisateur s'est enregistr茅. Voici les d茅tails :
+
+Utilisateur : @NEWUSER@
+Nom : @NEWNAME@
+Adresse de courriel : @NEWEMAIL@
+
+Date : @DATE@
+Navigateur : @BROWSER@
+Adresse IP : @IPADDRESS@
+Nom d'h么te : @HOSTNAME@
+
+--
+Ce message a 茅t茅 g茅n茅r茅 par DokuWiki
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/resendpwd.txt
new file mode 100644
index 000000000..2cfbed617
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/resendpwd.txt
@@ -0,0 +1,4 @@
+====== Envoyer un nouveau mot de passe ======
+
+Veuillez compl茅ter les champs ci dessous pour obtenir un nouveau mot de passe pour votre compte dans ce wiki. Un lien de confirmation vous sera envoy茅 脿 l'adresse de courriel utilis茅e lors de votre enregistrement.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/revisions.txt
new file mode 100644
index 000000000..c9149ef9b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/revisions.txt
@@ -0,0 +1,4 @@
+====== Anciennes r茅visions ======
+
+Voici les anciennes r茅visions de la page en cours. Pour revenir 脿 une ancienne r茅vision, s茅lectionnez-la ci-dessous, cliquez sur le bouton ''脡diter cette page'' et enregistrez-la.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/searchpage.txt
new file mode 100644
index 000000000..8355a2f9d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/searchpage.txt
@@ -0,0 +1,5 @@
+====== Recherche ======
+
+Voici les r茅sultats de votre recherche. Si vous n'avez pas trouv茅 ce que vous cherchiez, vous pouvez cr茅er ou 茅diter la page correspondante 脿 votre requ锚te en cliquant sur le bouton appropri茅.
+
+===== R茅sultats =====
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/showrev.txt
new file mode 100644
index 000000000..2e36199b1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/showrev.txt
@@ -0,0 +1,2 @@
+**Ceci est une ancienne r茅vision du document !**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/stopwords.txt
new file mode 100644
index 000000000..981bae26b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/stopwords.txt
@@ -0,0 +1,112 @@
+# Cette liste regroupe des mots ignor茅s par l'indexeur
+# Chaque ligne comporte un mot
+# Les fins de ligne de ce fichier doivent 锚tre de type UNIX
+# Les mots de moins de 3 lettres sont ignor茅s par d茅faut.
+# Cette liste est bas茅e sur http://www.ranks.nl/stopwords/
+alors
+aucuns
+aussi
+autre
+avant
+avec
+avoir
+bon
+car
+cela
+ces
+ceux
+chaque
+comme
+comment
+dans
+des
+dedans
+dehors
+depuis
+deux
+devrait
+doit
+donc
+dos
+droite
+d茅but
+elle
+elles
+encore
+essai
+est
+fait
+faites
+fois
+font
+force
+haut
+hors
+ici
+ils
+juste
+les
+leur
+l脿
+maintenant
+mais
+mes
+mine
+moins
+mon
+mot
+m锚me
+nomm茅s
+notre
+nous
+nouveaux
+o霉
+par
+parce
+parole
+pas
+personnes
+peut
+peu
+pi猫ce
+plupart
+pour
+pourquoi
+quand
+que
+quel
+quelle
+quelles
+quels
+qui
+sans
+ses
+seulement
+sien
+son
+sont
+sous
+soyez
+sujet
+sur
+tandis
+tellement
+tels
+tes
+ton
+tous
+tout
+trop
+tr猫s
+valeur
+voie
+voient
+vont
+votre
+vous
+莽a
+茅taient
+茅tat
+茅tions
+茅t茅
+锚tre
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/subscribermail.txt
new file mode 100644
index 000000000..514f9cf5d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/subscribermail.txt
@@ -0,0 +1,17 @@
+Bonjour !
+
+La page @PAGE@ dans le wiki @TITLE@ a chang茅.
+Voici les modifications :
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+Pour vous d茅sabonner de cette page, connectez vous via
+@DOKUWIKIURL@ et visualisez la page
+@NEWPAGE@
+puis choisissez 'Ne pas notifier les modifications'.
+
+--
+Ce message a 茅t茅 g茅n茅r茅 par Dokuwiki
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/updateprofile.txt
new file mode 100644
index 000000000..623d75e88
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/updateprofile.txt
@@ -0,0 +1,5 @@
+====== Mise 脿 jour de votre profil ======
+
+Ne compl茅tez que les champs que vous souhaitez modifier. Vous ne pouvez pas modifier votre nom d'utilisateur.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/uploadmail.txt
new file mode 100644
index 000000000..3a186b554
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/uploadmail.txt
@@ -0,0 +1,14 @@
+Un fichier a 茅t茅 envoy茅 dans votre DokuWiki. En voici les d茅tails :
+
+Fichier : @MEDIA@
+Date : @DATE@
+Navigateur : @BROWSER@
+Adresse IP : @IPADDRESS@
+Nom d'h么te : @HOSTNAME@
+Taille : @SIZE@
+Type MIME : @MIME@
+Utilisateur : @USER@
+
+--
+Ce message a 茅t茅 g茅n茅r茅 par DokuWiki
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/wordblock.txt
new file mode 100644
index 000000000..ae5962a80
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/fr/wordblock.txt
@@ -0,0 +1,4 @@
+====== Changement bloqu茅 ======
+
+Vos changements n'ont **pas 茅t茅 enregistr茅s** car ils contiennent un ou plusieurs mots bloqu茅s. Si vous avez essay茅 de spammer le Wiki -- mauvaise id茅e ! Si vous pensez que c'est une erreur, contactez l'administrateur de ce Wiki.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/admin.txt
new file mode 100644
index 000000000..a5bb1753d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/admin.txt
@@ -0,0 +1,4 @@
+====== Administraci贸n ======
+
+A continuaci贸n pode encontrar unha lista de tarefas administrativas dispo帽铆beis no DokuWiki.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/adminplugins.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/adminplugins.txt
new file mode 100644
index 000000000..e791265e6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/adminplugins.txt
@@ -0,0 +1 @@
+===== Plugins adicionais ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/backlinks.txt
new file mode 100644
index 000000000..90066d032
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/backlinks.txt
@@ -0,0 +1,4 @@
+====== Ligaz贸ns entrantes ======
+
+Isto 茅 unha listaxe de p谩xinas que parecen estar vinculadas 谩 p谩xina actual.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/conflict.txt
new file mode 100644
index 000000000..e63e5b216
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/conflict.txt
@@ -0,0 +1,6 @@
+====== Hai unha versi贸n m谩is nova ======
+
+Hai unha versi贸n m谩is nova do documento que editou. Isto sucede cando outra persoa usuaria alterou o documento mentres vostede o estaba a editar.
+
+Examine as diferenzas que se mostran abaixo detalladamente e despois decida a versi贸n que quere manter. Se selecciona ''Gardar'', gardarase a s煤a versi贸n. Prema en ''Cancelar'' para manter a versi贸n actual.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/denied.txt
new file mode 100644
index 000000000..8d388a8bb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/denied.txt
@@ -0,0 +1,4 @@
+====== Permiso denegado ======
+
+Sent铆molo, mais non ten os permisos suficientes para continuar. 脡 pos铆bel que esquecese iniciar unha sesi贸n.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/diff.txt
new file mode 100644
index 000000000..5660e4025
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/diff.txt
@@ -0,0 +1,4 @@
+====== Diferenzas ======
+
+Isto mostra as diferenzas entre a revisi贸n seleccionada e a versi贸n actual da p谩xina.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/draft.txt
new file mode 100644
index 000000000..8e2aa19f2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/draft.txt
@@ -0,0 +1,6 @@
+====== Encontrouse un ficheiro de borrador ======
+
+A s煤a 煤ltima sesi贸n de edici贸n desta p谩xina non terminou de modo correcto. O DokuWiki gardou automaticamente un borrador durante o seu traballo que agora pode usar para continuar coa edici贸n. A continuaci贸n pode ver os datos que foron gardados durante a s煤a 煤ltima sesi贸n.
+
+Por favor, escolla entre se se quere //Recuperar// a s煤a sesi贸n de edici贸n perdida, //Eliminar// o borrador gardado automaticamente ou //Cancelar// o proceso de edici贸n.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/edit.txt
new file mode 100644
index 000000000..9e2061b51
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/edit.txt
@@ -0,0 +1,2 @@
+Edite a p谩xina e prema en ''Gardar''. Vexa a p谩xina [[wiki:syntax|sintaxe]] para aprender a sintaxe da Wiki. Edite a p谩xina s贸 se pode **mellorala**. Se quere facer probas, aprenda como efectuar os seus primeiros pasos no [[playground:playground|campo de xogo]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/editrev.txt
new file mode 100644
index 000000000..c582fc3d0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/editrev.txt
@@ -0,0 +1,2 @@
+**Cargou unha revisi贸n antiga do documento!** Se o garda, crear谩 unha versi贸n nova con eses datos.
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/index.txt
new file mode 100644
index 000000000..b0b100bda
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/index.txt
@@ -0,0 +1,4 @@
+====== 脥ndice ======
+
+Isto 茅 un 铆ndice de todas as p谩xinas dispo帽铆beis, ordenadas por [[doku>namespaces|nomes de espazo]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/install.html
new file mode 100644
index 000000000..017abad8f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/install.html
@@ -0,0 +1,25 @@
+<p>Esta p谩xina 茅 unha axuda para a primeira instalaci贸n e configuraci贸n do
+<a href="http://dokuwiki.org">Dokuwiki</a>. Se quere m谩is informaci贸n
+sobre este instalador, est谩 dispo帽铆bel na s煤a propia
+<a href="http://dokuwiki.org/installer">p谩xina de documentaci贸n</a>.</p>
+
+<p>O DokuWiki usa ficheiros normais para o almacenamento das p谩xinas do wiki
+e outra informaci贸n asociada 谩s mesmas (por ex. imaxes, 铆ndices de procura,
+revisi贸ns antigas etc.). Por iso, para poder operar correctamente, o DokuWiki
+<strong>precisa</strong> ter acceso de escritura nos directorios que conte帽en
+eses ficheiros. Este instalador non 茅 quen de configurar os permisos dos directorios.
+Isto debe facerse normalmente de xeito directo na li帽a de comandos ou, se est谩 a
+usar unha hospedaxe, a trav茅s do FTP ou do panel de control da s煤a hospedaxe (por ex.
+o cPanel).</p>
+
+<p>Este instalador configurar谩 o seu DokuWiki para o uso da
+<acronym title="access control list">ACL</acronym>, o cal lle permitir谩 谩 persoa administradora
+iniciar unha sesi贸n e acceder ao men煤 de administraci贸n do DokuWiki para instalar plugins,
+xestionar as persoas usuarias e os accesos 谩s p谩xinas do wiki; ademais de modificar a configuraci贸n.
+Non 茅 imprescind铆bel para o funcionamento do DokuWiki, mais fai moito m谩is doada a
+administraci贸n do mesmo.</p>
+
+<p>As persoas usuarias expertas ou con requisitos especiais de configuraci贸n poden visitar
+as seguintes ligaz贸ns para obter os pormenores relativos 谩s
+<a href="http://dokuwiki.org/install">instrucci贸ns de instalaci贸n</a>
+e 谩 <a href="http://dokuwiki.org/config">configuraci贸n</a>.</p>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/lang.php
new file mode 100644
index 000000000..2f34a02a0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/lang.php
@@ -0,0 +1,243 @@
+<?php
+/**
+ * galician language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author CiberIrmandade da Fala <infoxeral@ciberirmandade.org>
+ * @author Tagen Ata <localizacion@tagenata>
+ * @author Leandro Regueiro <leandro.regueiro@gmail.com>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '鈥';
+$lang['btn_edit'] = 'Editar esta p谩xina';
+$lang['btn_source'] = 'Mostrar o c贸digo da p谩xina';
+$lang['btn_show'] = 'Mostrar a p谩xina';
+$lang['btn_create'] = 'Crear esta p谩xina';
+$lang['btn_search'] = 'Procurar';
+$lang['btn_save'] = 'Gardar';
+$lang['btn_preview'] = 'Previsualizar';
+$lang['btn_top'] = 'Comezo da p谩xina';
+$lang['btn_newer'] = '<< m谩is recente';
+$lang['btn_older'] = 'menos recente >>';
+$lang['btn_revs'] = 'Revisi贸ns antigas';
+$lang['btn_recent'] = 'Cambios recentes';
+$lang['btn_upload'] = 'Subir';
+$lang['btn_cancel'] = 'Cancelar';
+$lang['btn_index'] = '脥ndice';
+$lang['btn_secedit'] = 'Editar';
+$lang['btn_login'] = 'Iniciar unha sesi贸n';
+$lang['btn_logout'] = 'Terminar a sesi贸n';
+$lang['btn_admin'] = 'Administraci贸n';
+$lang['btn_update'] = 'Actualizar';
+$lang['btn_delete'] = 'Borrar';
+$lang['btn_back'] = 'Atr谩s';
+$lang['btn_backlink'] = 'Ligaz贸n entrante';
+$lang['btn_backtomedia'] = 'Volver 谩 Selecci贸n de Ficheiros multimedia';
+$lang['btn_subscribe'] = 'Avisar dos cambios na p谩xina';
+$lang['btn_unsubscribe'] = 'Non avisar dos cambios na p谩xina';
+$lang['btn_subscribens'] = 'Av铆sar dos cambios nos Nomes de espazo';
+$lang['btn_unsubscribens'] = 'Non avisar dos cambios nos Nomes de espazo';
+$lang['btn_profile'] = 'Actualizar o perfil';
+$lang['btn_reset'] = 'Reiniciar';
+$lang['btn_resendpwd'] = 'Enviar un contrasinal novo';
+$lang['btn_draft'] = 'Editar o borrador';
+$lang['btn_recover'] = 'Recuperar o borrador';
+$lang['btn_draftdel'] = 'Eliminar o borrador';
+$lang['btn_revert'] = 'Restaurar';
+$lang['loggedinas'] = 'Iniciou unha sesi贸n como';
+$lang['user'] = 'Nome de persoa usuaria';
+$lang['pass'] = 'Contrasinal';
+$lang['newpass'] = 'Contrasinal novo';
+$lang['oldpass'] = 'Confirmar o contrasinal actual';
+$lang['passchk'] = 'de novo';
+$lang['remember'] = 'L茅mbrame';
+$lang['fullname'] = 'Nome completo';
+$lang['email'] = 'Correo';
+$lang['register'] = 'Rex铆strate';
+$lang['profile'] = 'Perfil de persoa usuaria';
+$lang['badlogin'] = 'Sent铆molo, mais o nome de persoa usuaria ou o contrasinal non son correctos.';
+$lang['minoredit'] = 'Cambios menores';
+$lang['draftdate'] = 'O borrador gardouse automaticamente en';
+$lang['nosecedit'] = 'A p谩xina cambiou, no entanto, a informaci贸n da secci贸n estaba desactualizada, polo que se cargou a p谩xina completa en seu lugar.';
+$lang['regmissing'] = 'Sent铆molo, mais ten que encher todos os campos.';
+$lang['reguexists'] = 'Sent铆molo, mais xa existe unha persoa usuaria con ese nome.';
+$lang['regsuccess'] = 'A persoa usuaria foi creada e o contrasinal enviado por correo electr贸nico.';
+$lang['regsuccess2'] = 'A persoa usuaria foi creada.';
+$lang['regmailfail'] = 'Semella que houbo un erro ao tentar enviar o correo co contrasinal. Contacte coa persoa administradora!';
+$lang['regbadmail'] = 'O enderezo de correo proporcionado semella incorrecto - se considera que isto 茅 un erro, contacte coa persoa administradora';
+$lang['regbadpass'] = 'Os dous contrasinais introducidos non coinciden: T茅nteo de novo.';
+$lang['regpwmail'] = 'O seu contrasinal do DokuWiki';
+$lang['reghere'] = 'A铆nda non ten unha conta? Cree unha';
+$lang['profna'] = 'Esta wiki non permite modificaci贸ns dos perf铆s';
+$lang['profnochange'] = 'Non hai cambios, non hai nada para facer.';
+$lang['profnoempty'] = 'Non se permite un nome ou un enderezo de correo baleiros.';
+$lang['profchanged'] = 'O perfil de persoa usuaria foi actualizado correctamente.';
+$lang['pwdforget'] = 'Esqueceu o seu contrasinal? Obte帽a un novo';
+$lang['resendna'] = 'Esta wiki non permite o reenv铆o de contrasinais.';
+$lang['resendpwd'] = 'Enviar un contrasinal novo a';
+$lang['resendpwdmissing'] = 'Sent铆molo, ten que encher todos os campos.';
+$lang['resendpwdnouser'] = 'Sent铆molo, non encontramos esta persoa usuaria na nosa base de datos.';
+$lang['resendpwdbadauth'] = 'Sent铆molo, mais este c贸digo de autorizaci贸n non 茅 v谩lido. Aseg煤rese de que usou a ligaz贸n completa de confirmaci贸n.';
+$lang['resendpwdconfirm'] = 'Enviouse unha ligaz贸n de confirmaci贸n por correo.';
+$lang['resendpwdsuccess'] = 'O seu novo contrasinal foi enviado por correo.';
+$lang['license'] = 'O contido deste wiki, ag谩s onde se indique o contrario, ofr茅cese baixo a seguinte licenza:';
+$lang['licenseok'] = 'Nota: Ao editar esta p谩xina est谩s a aceptar o licenciamento do contido baixo a seguinte licenza:';
+$lang['searchmedia'] = 'Buscar nome de ficheiro:';
+$lang['searchmedia_in'] = 'Buscar en %s';
+$lang['txt_upload'] = 'Selecciona o arquivo para subir';
+$lang['txt_filename'] = 'Subir como (opcional)';
+$lang['txt_overwrt'] = 'Sobrescribir o arquivo existente';
+$lang['lockedby'] = 'Bloqueado actualmente por';
+$lang['lockexpire'] = 'O bloqueo termina o';
+$lang['willexpire'] = 'O seu bloqueo para editar esta p谩xina vai caducar nun minuto.\nPara de evitar conflitos, use o bot贸n de previsualizaci贸n para reiniciar o contador do tempo de bloqueo.';
+$lang['notsavedyet'] = 'Perderanse os cambios non gardados.\nEst谩 segura/o de que quere continuar?';
+$lang['rssfailed'] = 'Houbo un erro ao tentar obter este f铆o RSS: ';
+$lang['nothingfound'] = 'Non se encontrou nada.';
+$lang['mediaselect'] = 'Ficheiros multimedia';
+$lang['fileupload'] = 'Subir ficheiros multimedia';
+$lang['uploadsucc'] = 'Subiuse correctamente';
+$lang['uploadfail'] = 'Fallou ao subir. 脡 pos铆bel que sexa un problema de permisos?';
+$lang['uploadwrong'] = 'Subida denegada. Esta extensi贸n de ficheiro non est谩 permitida!';
+$lang['uploadexist'] = 'Xa existe o ficheiro. Non se fixo nada.';
+$lang['uploadbadcontent'] = 'O contido subido non concorda coa extensi贸n de ficheiro %s.';
+$lang['uploadspam'] = 'A subida foi bloqueada pola lista negra de correo lixo.';
+$lang['uploadxss'] = 'A subida foi bloqueada por un pos铆bel contido malicioso.';
+$lang['uploadsize'] = 'O ficheiro subido 茅 grande de m谩is. (m谩x. %s)';
+$lang['deletesucc'] = 'O ficheiro "%s" foi eliminado.';
+$lang['deletefail'] = '"%s" non puido ser eliminado - comprobe os permisos.';
+$lang['mediainuse'] = 'O ficheiro "%s" non foi eliminado - a铆nda est谩 en uso.';
+$lang['namespaces'] = 'Nomes de espazos';
+$lang['mediafiles'] = 'Ficheiro dispo帽铆beis en';
+$lang['js']['searchmedia'] = 'Buscar ficheiros';
+$lang['js']['keepopen'] = 'Manter a xanela aberta na selecci贸n';
+$lang['js']['hidedetails'] = 'Ocultar os detalles';
+$lang['js']['nosmblinks'] = 'A ligaz贸n aos compartidos do Windows s贸 funciona co Microsoft Internet Explorer.
+Sempre podes copiar e colar a ligaz贸n.';
+$lang['js']['linkwiz'] = 'Asistente de ligaz贸ns';
+$lang['js']['linkto'] = 'Ligaz贸n a:';
+$lang['js']['del_confirm'] = 'Quere eliminar os elementos seleccionados?';
+$lang['js']['mu_btn'] = 'Subir varios ficheiros dunha vez';
+$lang['mediausage'] = 'Utilice a seguinte sintaxe para referenciar este ficheiro:';
+$lang['mediaview'] = 'Ver o ficheiro orixinal';
+$lang['mediaroot'] = 'ra铆z';
+$lang['mediaupload'] = 'Suba aqu铆 un ficheiro ao nome de espazo actual. Para crear subnomes de espazos deber谩 engadilos ao principio do seu nome de ficheiro en "Subir como", separados por dous puntos.';
+$lang['mediaextchange'] = 'A extensi贸n de ficheiro foi alterada de .%s a .%s!';
+$lang['reference'] = 'Referencias para';
+$lang['ref_inuse'] = 'O ficheiro non pode ser eliminado, xa que a铆nda est谩 a ser usado polas seguintes p谩xinas:';
+$lang['ref_hidden'] = 'Algunhas referencias est谩n en p谩xinas para as cales non ten permisos de lectura';
+$lang['hits'] = 'Visualizaci贸ns';
+$lang['quickhits'] = 'Nomes de p谩xinas coincidentes';
+$lang['toc'] = 'T谩boa de contidos';
+$lang['current'] = 'actual';
+$lang['yours'] = 'A s煤a versi贸n';
+$lang['diff'] = 'Mostrar as diferenzas coa versi贸n actual';
+$lang['diff2'] = 'Mostrar as diferenzas entre as revisi贸ns seleccionadas';
+$lang['line'] = 'Li帽a';
+$lang['breadcrumb'] = 'Trazado';
+$lang['youarehere'] = 'Vostede est谩 aqu铆';
+$lang['lastmod'] = '脷ltima modificaci贸n';
+$lang['by'] = 'por';
+$lang['deleted'] = 'eliminado';
+$lang['created'] = 'creado';
+$lang['restored'] = 'a revisi贸n antiga foi restaurada';
+$lang['external_edit'] = 'edici贸n externa';
+$lang['summary'] = 'Resumo da edici贸n';
+$lang['noflash'] = 'Prec铆sase o <a href="http://www.adobe.com/products/flashplayer/">Plugin Adobe Flash</a> para mostrar este contido.';
+$lang['mail_newpage'] = 'p谩xina engadida:';
+$lang['mail_changed'] = 'p谩xina alterada:';
+$lang['mail_new_user'] = 'Persoa usuaria nova:';
+$lang['mail_upload'] = 'ficheiro subido:';
+$lang['qb_bold'] = 'Texto en negra';
+$lang['qb_italic'] = 'Texto en cursiva';
+$lang['qb_underl'] = 'Texto subli帽ado';
+$lang['qb_code'] = 'Texto de c贸digo';
+$lang['qb_strike'] = 'Texto riscado';
+$lang['qb_h1'] = 'T铆tulo de nivel 1';
+$lang['qb_h2'] = 'T铆tulo de nivel 2';
+$lang['qb_h3'] = 'T铆tulo de nivel 3';
+$lang['qb_h4'] = 'T铆tulo de nivel 4';
+$lang['qb_h5'] = 'T铆tulo de nivel 5';
+$lang['qb_link'] = 'Ligaz贸n interna';
+$lang['qb_extlink'] = 'Ligaz贸n externa';
+$lang['qb_hr'] = 'Li帽a horizontal';
+$lang['qb_ol'] = 'Elemento de lista ordenada';
+$lang['qb_ul'] = 'Elemento de lista desordenada';
+$lang['qb_media'] = 'Engadir imaxes e outros ficheiros';
+$lang['qb_sig'] = 'Inserir unha sinatura';
+$lang['qb_smileys'] = 'Emoticonas';
+$lang['qb_chars'] = 'Caracteres especiais';
+$lang['admin_register'] = 'Engadir unha persoa usuaria nova';
+$lang['metaedit'] = 'Editar os metadatos';
+$lang['metasaveerr'] = 'Non se puideron escribir os metadatos';
+$lang['metasaveok'] = 'Os metadatos foron gardados';
+$lang['img_backto'] = 'Volver a';
+$lang['img_title'] = 'T铆tulo';
+$lang['img_caption'] = 'Lenda';
+$lang['img_date'] = 'Data';
+$lang['img_fname'] = 'Nome do ficheiro';
+$lang['img_fsize'] = 'Tama帽o';
+$lang['img_artist'] = 'Fot贸grafa/o';
+$lang['img_copyr'] = 'Copyright';
+$lang['img_format'] = 'Formato';
+$lang['img_camera'] = 'C谩mara';
+$lang['img_keywords'] = 'Palabras chave';
+$lang['subscribe_success'] = '%s foi engadido 谩 lista de subscrici贸n de cambios de %s';
+$lang['subscribe_error'] = 'Erro ao tentar engadir %s 谩 lista de subscrici贸n de cambios de %s';
+$lang['subscribe_noaddress'] = 'Non hai ning煤n enderezo asociado ao seu nome de persoa usuaria: non pode ser engadida/o 谩 lista de subscrici贸n';
+$lang['unsubscribe_success'] = 'Eliminouse %s da lista de subscrici贸n de cambios de %s';
+$lang['unsubscribe_error'] = 'Erro ao tentar eliminar %s da lista de subscrici贸n de cambios de %s';
+$lang['authmodfailed'] = 'A configuraci贸n de autenticaci贸n de persoa usuaria 茅 incorrecta. Informe 谩 persoa administradora do seu Wiki.';
+$lang['authtempfail'] = 'A autenticaci贸n de persoa usuaria non est谩 dispo帽铆bel de modo temporal. Se esta situaci贸n persiste informe 谩 persoa administradora do seu Wiki.';
+$lang['i_chooselang'] = 'Seleccione o seu idioma';
+$lang['i_installer'] = 'Instalador do DokuWiki';
+$lang['i_wikiname'] = 'Nome do Wiki';
+$lang['i_enableacl'] = 'Activar o lista de control de acceso (ACL) (recomendado)';
+$lang['i_superuser'] = 'Superusuaria/o';
+$lang['i_problems'] = 'O instalador encontrou alg煤ns problemas que se mostran a continuaci贸n. Non poder谩 continuar at茅 que os solucione.';
+$lang['i_modified'] = 'Por raz贸ns de seguridade este script s贸 funcionar谩 cunha instalaci贸n nova e sen modificar do Dokuwiki.
+ Pode ou ben extraer de novo os ficheiros desde o paquete descargado ou consultar as
+ <a href="http://dokuwiki.org/install">instrucci贸ns completas de instalaci贸n do Dokuwiki</a>';
+$lang['i_funcna'] = 'A funci贸n <code>%s</code> de PHP non est谩 dispo帽铆bel. Pode que o seu provedor de hospedaxe a desactivase por alg煤n motivo.';
+$lang['i_phpver'] = 'A s煤a versi贸n <code>%s</code> de PHP 茅 inferior 谩 <code>%s</code> precisa. Debe actualizar a s煤a instalaci贸n de PHP.';
+$lang['i_permfail'] = '<code>%s</code> non 茅 escrib铆bel polo DokuWiki. Debe corrixir a configuraci贸n de permisos deste directorio!';
+$lang['i_confexists'] = '<code>%s</code> xa existe';
+$lang['i_writeerr'] = 'Non se puido crear <code>%s</code>. Ter谩 que comprobar os permisos do directorio/ficheiro e crear o ficheiro de modo manual.';
+$lang['i_badhash'] = 'dokuwiki.php 茅 irreco帽ec铆bel ou foi modificado (hash=<code>%s</code>)';
+$lang['i_badval'] = '<code>%s</code> - ilegal ou valor baleiro';
+$lang['i_success'] = 'A configuraci贸n terminou correctamente. Agora pode borrar o ficheiro install.php. Contin煤e at茅 o
+ <a href="doku.php">seu novo DokuWiki</a>.';
+$lang['i_failure'] = 'Houbo alg煤ns erros ao tentar escribir os ficheiros de configuraci贸n. Pode que precise solucionalos de mod manual antes
+ de que poder usar <a href="doku.php">o seu novo DokuWiki</a>.';
+$lang['i_policy'] = 'Regras iniciais da ACL';
+$lang['i_pol0'] = 'Wiki aberto (lectura, escritura, subida de arquivos para todas as persoas)';
+$lang['i_pol1'] = 'Wiki p煤blico (lectura para todas as persoas, escritura e subida de ficheiros para as persoas usuarias rexistradas)';
+$lang['i_pol2'] = 'Wiki pechado (lectura, escritura, subida de arquivos s贸 para as persoas usuarias rexistradas)';
+$lang['i_retry'] = 'Tentar de novo';
+$lang['mu_intro'] = 'Aqu铆 podes subir varios ficheiros dunha vez. Preme o bot贸n Examinar para engadilos 谩 fila. Preme en Subir cando remates.';
+$lang['mu_gridname'] = 'Nome do ficheiro';
+$lang['mu_gridsize'] = 'Tama帽o';
+$lang['mu_gridstat'] = 'Estado';
+$lang['mu_namespace'] = 'Nome de espazo';
+$lang['mu_browse'] = 'Examinar';
+$lang['mu_toobig'] = 'demasiado grande';
+$lang['mu_ready'] = 'listo para subir';
+$lang['mu_done'] = 'feito';
+$lang['mu_fail'] = 'fallou';
+$lang['mu_authfail'] = 'a sesi贸n caducou';
+$lang['mu_progress'] = '@PCT@% subido';
+$lang['mu_filetypes'] = 'Tipos de ficheiro permitidos';
+$lang['mu_info'] = 'ficheiros subidos.';
+$lang['mu_lasterr'] = '脷ltimo erro:';
+$lang['recent_global'] = 'Agora mesmo est谩 a ver os cambios no nome de espazo <b>%s</b>. Tam茅n pode <a href="%s">ver os cambios recentes en todo o Wiki</a>.';
+$lang['years'] = 'hai %d anos';
+$lang['months'] = 'hai %d meses';
+$lang['weeks'] = 'hai %d semanas';
+$lang['days'] = 'hai %d d铆as';
+$lang['hours'] = 'hai %d horas';
+$lang['minutes'] = 'hai %d minutos';
+$lang['seconds'] = 'hai %d segundos';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/locked.txt
new file mode 100644
index 000000000..14240335e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/locked.txt
@@ -0,0 +1,3 @@
+====== P谩xina bloqueada ======
+
+Esta p谩xina est谩 actualmente bloqueada para a edici贸n por outra persoa usuaria. Ter谩 que agardar at茅 que esa persoa usuaria termine de editar a p谩xina ou a que expire o bloqueo.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/login.txt
new file mode 100644
index 000000000..11719de50
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/login.txt
@@ -0,0 +1,4 @@
+====== Inicio de sesi贸n ======
+
+Actualmente non ten unha sesi贸n iniciada! Insira as s煤as credenciais de autenticaci贸n para iniciar a sesi贸n. Debe ter as cookies activadas para poder iniciar unha sesi贸n.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/mailtext.txt
new file mode 100644
index 000000000..f7c06bc83
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/mailtext.txt
@@ -0,0 +1,17 @@
+Engadiuse ou modificouse unha p谩xina do seu DokuWiki. Aqu铆 van os detalles:
+
+Data : @DATE@
+Navegador : @BROWSER@
+Enderezo IP : @IPADDRESS@
+Nome do host : @HOSTNAME@
+Revisi贸n antiga : @OLDPAGE@
+Revision nova : @NEWPAGE@
+Resumo da edici贸n : @SUMMARY@
+Usuaria/o : @USER@
+
+@DIFF@
+
+
+--
+Este correo foi xerado polo DokuWiki en
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/newpage.txt
new file mode 100644
index 000000000..c79ef6a41
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/newpage.txt
@@ -0,0 +1,4 @@
+====== Este tema a铆nda non existe ======
+
+Seguiu unha ligaz贸n at茅 un tema que a铆nda non existe. Se ten os permisos adecuados, pode creala vostede premendo no bot贸n ''Crear esta p谩xina''.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/norev.txt
new file mode 100644
index 000000000..dd6027165
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/norev.txt
@@ -0,0 +1,4 @@
+====== Non existe esa revisi贸n ======
+
+A revisi贸n especificada non existe. Utilice o bot贸n ''Revisi贸ns antigas'' para obter un listado das revisi贸ns antigas deste documento.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/preview.txt
new file mode 100644
index 000000000..01cc41dcc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/preview.txt
@@ -0,0 +1,4 @@
+====== Previsualizaci贸n ======
+
+Isto 茅 unha previsualizaci贸n de como aparecer谩 o seu texto. Lembre: **A铆nda non est谩 gardado!**
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/pwconfirm.txt
new file mode 100644
index 000000000..e020790d3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/pwconfirm.txt
@@ -0,0 +1,15 @@
+Ola @FULLNAME@!
+
+Algu茅n solicitou un contrasinal novo para o seu inicio de sesi贸n no
+@TITLE@ en @DOKUWIKIURL@
+
+Se non foi vostede quen o solicitou pode ignorar este correo.
+
+Para confirmar que esta solicitude foi realmente enviada por vostede
+por favor, visite a seguinte ligaz贸n.
+
+@CONFIRM@
+
+--
+Este correo foi xerador polo DokuWiki de
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/read.txt
new file mode 100644
index 000000000..912864c31
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/read.txt
@@ -0,0 +1,2 @@
+Esta p谩xina 茅 s贸 de lectura. Podes ver o c贸digo fonte, mais non pode alterala. Com茅ntello 谩 persoa administradora se considera que 茅 un erro.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/recent.txt
new file mode 100644
index 000000000..93f8632dd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/recent.txt
@@ -0,0 +1,5 @@
+====== Cambios recentes ======
+
+As seguintes p谩xinas foron cambiadas recentemente.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/register.txt
new file mode 100644
index 000000000..17d9e0ff4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/register.txt
@@ -0,0 +1,4 @@
+====== Rexistro como persoa usuaria nova ======
+
+Encha toda a informaci贸n requirida a continuaci贸n para crear unha conta nova neste wiki. Aseg煤rese de proporcionar un **enderezo de correo electr贸nico v谩lido** - se non se lle solicita aqu铆 que insira un contrasinal, recibir谩 un contrasinal novo nese enderezo. O nome de persoa usuaria deber谩 ser un [[doku>pagename|nome de p谩xina]] v谩lido.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/registermail.txt
new file mode 100644
index 000000000..e02fe1a1c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/registermail.txt
@@ -0,0 +1,14 @@
+Rexistrouse unha persoa usuaria nova. Estes son os detalles:
+
+Nome de usuaria/o : @NEWUSER@
+Nome completo : @NEWNAME@
+Correo : @NEWEMAIL@
+
+Data : @DATE@
+Navegador : @BROWSER@
+Enderezo IP : @IPADDRESS@
+Nome do host : @HOSTNAME@
+
+--
+Este correo foi xerado polo DokuWiki de
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/resendpwd.txt
new file mode 100644
index 000000000..eb8cf4835
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/resendpwd.txt
@@ -0,0 +1,3 @@
+====== Enviar un contrasinal novo ======
+
+Insira o seu nome de persoa usuaria no seguinte formulario para obter un contrasinal novo para a s煤a conta neste wiki. Enviarase unha ligaz贸n de confirmaci贸n ao seu enderezo de correo rexistrado.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/revisions.txt
new file mode 100644
index 000000000..a08b54685
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/revisions.txt
@@ -0,0 +1,4 @@
+====== Revisi贸ns antigas ======
+
+Estas son as revisi贸ns antigas do documento actual. Para retomar unha revisi贸n antiga: selecci贸nea na seguinte lista, prema en ''Editar esta p谩xina'' e g谩rdea.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/searchpage.txt
new file mode 100644
index 000000000..7157cdcbf
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/searchpage.txt
@@ -0,0 +1,5 @@
+====== Procurar ======
+
+Podes encontrar os resultados da s煤a procura a continuaci贸n. Se non encontrou o que estaba a procurar, pode crear ou editar a p谩xina co nome relacionado coa s煤a procura co bot贸n axeitado.
+
+===== Resultados =====
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/showrev.txt
new file mode 100644
index 000000000..88fb0c39d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/showrev.txt
@@ -0,0 +1,2 @@
+**Esta 茅 unha revisi贸n antiga do documento!**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/stopwords.txt
new file mode 100644
index 000000000..c262147f8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/stopwords.txt
@@ -0,0 +1,246 @@
+# Isto 茅 unha listaxe das palabras que o indexador ignora (stopwords); unha por li帽a
+# Cando edite este ficheiro aseg煤rese de usar os fins de li帽a UNIX (nova li帽a 煤nica)
+# Non precisa inclu铆r palabras de menos de 3 caracteres - estas son ignoradas de todas as formas
+# Esta listaxe est谩 baseada nas encontradas en http://www.ranks.nl/stopwords/ (a铆nda en proceso)
+# Actualizouse a listaxe a partir as stopwords dispo帽ibilizadas por Paulo Malvar en:
+# http://d108.dinaserver.com/hosting/paulomalvar.com/Paulo_Malvar_personal_webpage/Resources_files/Galician_single_and_multiword_stopwords_Verbal_Periphrases_and_Abbreviations.tgz
+# e tam茅n as listaxes de palabras gramaticais na Galipedia: http://gl.wikipedia.org/wiki/Categor铆a_gramatical
+abaixo
+acerca
+ademais
+ag谩s
+a铆nda
+al茅n
+alg煤n
+algunha
+algunhas
+alg煤ns
+amais
+ante
+antes
+ap贸s
+aquel
+aquela
+aquelas
+aqueles
+aqu茅n
+aquilo
+arredor
+bardante
+beira
+canda
+cando
+canto
+car贸n
+causa
+cerca
+como
+conforme
+consonte
+contra
+deica
+dela
+delas
+deles
+dende
+derredor
+derriba
+desde
+despois
+durante
+elas
+eles
+entre
+erades
+eramos
+eran
+eras
+esas
+eses
+esta
+est谩
+estaba
+estabades
+estabamos
+estaban
+estades
+estamos
+est谩n
+estas
+este
+estea
+esteades
+esteamos
+estean
+esteas
+estes
+estivemos
+estiven
+estiver
+estivera
+estiveramos
+estiveran
+estiverdes
+estiveren
+estivermos
+estivese
+estivesemos
+estivesen
+estivo
+estou
+excepto
+fomos
+fora
+foramos
+foran
+fordes
+foren
+formos
+fose
+fosedes
+fosemos
+fosen
+habemos
+haber谩
+haber谩n
+haberedes
+haberei
+haberemos
+haber铆a
+haber铆ades
+haber铆amos
+haxa
+haxades
+haxamos
+haxan
+haxas
+houbemos
+houben
+houber
+houbera
+houberades
+houberamos
+houberan
+houberemos
+houberen
+houber铆an
+houbermos
+houbese
+houbesedes
+houbesemos
+houbesen
+houbo
+isto
+lles
+logo
+mais
+m谩is
+malia
+mediante
+menos
+mesmo
+meus
+mi帽a
+mi帽as
+moito
+nosa
+nosas
+noso
+nosos
+nunha
+onda
+outra
+outro
+para
+perante
+pero
+pois
+pola
+polas
+polo
+polos
+por
+por茅n
+porque
+prol
+quen
+redor
+rente
+respecto
+sacado
+sacando
+salvante
+salvo
+sen贸n
+ser谩
+ser谩n
+ser谩s
+seredes
+serei
+seremos
+ser铆a
+ser铆amos
+ser铆an
+seus
+sexa
+sexades
+sexamos
+sexan
+sexas
+sobre
+sodes
+somos
+s煤as
+tam茅n
+tedes
+temos
+te帽a
+te帽ades
+te帽amos
+te帽an
+te帽as
+te帽o
+ter谩
+ter谩n
+ter谩s
+teredes
+terei
+teremos
+ter铆a
+teriades
+teriamos
+ter铆an
+ter铆as
+teus
+ti帽a
+ti帽ades
+ti帽amos
+ti帽an
+ti帽as
+tiveches
+tivemos
+tiven
+tiver
+tivera
+tiverades
+tiveramos
+tiveran
+tiveras
+tiverdes
+tiveren
+tivermos
+tivese
+tivesedes
+tivesemos
+tivesen
+tiveses
+tivestes
+tivo
+todo
+tras
+trav茅s
+t煤as
+unha
+unhas
+vostede
+vostedes
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/subscribermail.txt
new file mode 100644
index 000000000..0b1c3a662
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/subscribermail.txt
@@ -0,0 +1,19 @@
+Ola!
+
+A p谩xina @PAGE@ na wiki @TITLE@ foi mudada.
+Aqu铆 van as modificaci贸ns:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+Para desubscribirte deste rexistro de cambios de p谩xina
+na wiki en
+@DOKUWIKIURL@
+fai unha visita a
+@NEWPAGE@
+e selecciona 'Non avisar dos cambios'.
+
+--
+Este correo foi xerado polo DokuWiki en
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/updateprofile.txt
new file mode 100644
index 000000000..bfd598117
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/updateprofile.txt
@@ -0,0 +1,5 @@
+====== Actualizar o perfil da s煤a conta ======
+
+S贸 precisa cubrir os campos que desexe cambiar. Non pode cambiar o seu nome de persoa usuaria.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/uploadmail.txt
new file mode 100644
index 000000000..914c3644c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/uploadmail.txt
@@ -0,0 +1,14 @@
+Subiuse un ficheiro ao seu DokuWiki. Aqu铆 van os detalles:
+
+Ficheiro : @MEDIA@
+Data : @DATE@
+Navegador : @BROWSER@
+Enderezo IP : @IPADDRESS@
+Nome do host : @HOSTNAME@
+Tama帽o : @SIZE@
+Tipo MIME : @MIME@
+Usuaria/o : @USER@
+
+--
+Este correo foi xerado polo DokuWiki en
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/wordblock.txt
new file mode 100644
index 000000000..f219f8436
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/gl/wordblock.txt
@@ -0,0 +1,4 @@
+====== Bloqueo por SPAM ======
+
+Os seus cambios **non** foron gardados porque conte帽en unha ou varias palabras bloqueadas. Se tentou introducir spam no wiki -- Nen@ mal@! Se considera que 茅 un erro, contacte coa persoa administradora deste Wiki.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/admin.txt
new file mode 100644
index 000000000..ada73e5d4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/admin.txt
@@ -0,0 +1,4 @@
+====== 谞讬讛讜诇 ======
+
+谞讬转谉 诇诪爪讜讗 诪讟讛 专砖讬诪讛 砖诇 诪砖讬诪讜转 谞讬讛讜诇 讝诪讬谞讜转 讘-DokuWiki.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/backlinks.txt
new file mode 100644
index 000000000..dfcdd22dd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/backlinks.txt
@@ -0,0 +1,3 @@
+====== 拽讬砖讜专讬诐 诇讗讞讜专 ======
+
+讝讜讛讬 专砖讬诪转 讚驻讬诐 讗砖专 谞专讗讛 讻讬 讛诐 诪拽砖专讬诐 诇讚祝 诪诪谞讜 讛讙注转.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/conflict.txt
new file mode 100644
index 000000000..d27a78559
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/conflict.txt
@@ -0,0 +1,6 @@
+====== 讙讬专住讛 注讚讻谞讬转 讬讜转专 砖诇 讛拽讜讘抓 拽讬讬诪转 ======
+
+讙讬专住讛 注讚讻谞讬转 讬讜转专 砖诇 讛诪住诪讱 拽讬讬诪转. 讚讘专 讝讛 拽讜专讛 讻讗砖专 诪砖转诪砖 讗讞专 砖讬谞讛 讗转 讛诪住诪讱 讘讝诪谉 砖注专讻转 讗讜转讜.
+
+诪讜诪诇抓 诇注讬讬谉 讘讛讘讚诇讬诐 转讞转 讛讜讚注讛 讜诇讗讞专 诪讻谉 诇讛讞诇讬讟 讗讬讝讜 讙讬专住讛 讻讚讗讬 诇砖诪讜专. 诇讞讬爪讛 注诇 讛讻驻转讜专 "砖诪讜专" 转砖诪讜专 讗转 讛讙专住讛 砖注专讻转. 诇讞讬爪讛 注诇 讛讻驻转讜专 "讘讟诇" 转砖诪讜专 讗转 讛讙专住讛 讛拽讬讬诪转.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/denied.txt
new file mode 100644
index 000000000..34c8417b4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/denied.txt
@@ -0,0 +1,3 @@
+====== 讛专砖讗讛 谞讚讞转讛 ======
+
+讗谞讜 诪爪讟注专讬诐 讗讱 讗讬谉 诇讱 讛专砖讗讜转 诪转讗讬诪讜转 讻讚讬 诇讛诪砖讬讱. 讗讜诇讬 砖讻讞转 诇讛讻谞住 诇诪注专讻转? \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/diff.txt
new file mode 100644
index 000000000..f1216bb4a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/diff.txt
@@ -0,0 +1,4 @@
+====== 讛讘讚诇讬诐 ======
+
+讻讗谉 诪讜爪讙讬诐 讛讛讘讚诇讬诐 讘讬谉 讛讙专住讛 砖谞讘讞专讛 讜讛讙专住讛 讛谞讜讻讞讬转 砖诇 讛讚祝.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/draft.txt
new file mode 100644
index 000000000..22fc88d9f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/draft.txt
@@ -0,0 +1,5 @@
+====== 谞诪爪讗 拽讜讘抓 讟讬讜讟讗 ======
+
+讛注专讬讻讛 讛讗讞专讜谞讛 砖讘讜爪注讛 诇讚祝 讝讛 诇讗 讛住转讬诪讛 讻讛诇讻讛. DokuWiki 砖诪专 讘讗讜驻谉 讗讜讟讜诪讟讬 讟讬讜讟讛 砖诇 讛注讘讜讚讛 讜讘讗驻砖专讜转讱 诇讛砖转诪砖 讘讛 讻讚讬 诇讛诪砖讬讱 讗转 讛注专讬讻讛. 谞讬转谉 诇专讗讜转 诪讟讛 讗转 讛诪讬讚注 砖谞砖诪专 诪讛驻注诐 讛拽讜讚诪转.
+
+讘讗驻砖专讜转讱 诇讘讞讜专 讘//砖讞讝讜专 讛讟讬讜讟讛// 砖诇 讗讜转讛 注专讬讻讛 //诪讞讬拽转 讛讟讬讜讟讛// 讗讜 //讘讬讟讜诇// 讛注专讬讻讛 讻诇讬诇. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/edit.txt
new file mode 100644
index 000000000..4d8151e9d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/edit.txt
@@ -0,0 +1 @@
+注专讬讻转 讛讚祝 讜诇讞讬爪讛 注诇 讛讻驻转讜专 "砖诪讜专" 转注讚讻谉 讗转 转讜讻谞讜. 诪讜诪诇抓 诇注讬讬谉 讘讚祝 讛[[wiki:syntax|转讞讘讬专]] 讻讚讬 诇讛讻讬专 讗转 讻诇诇讬 转讞讘讬专 讛讜讬拽讬. 谞讗 诇注专讜讱 讗转 讛讚祝 专拽 讗诐 讛讚讘专 谞注砖讛 讻讚讬 **诇砖驻专** 讗讜转讜. 讗诐 讛注专讬讻讛 讛讬讗 诇爪讜专讱 讛转谞住讜转 诪讜诪诇抓 诇讘拽专 讘[[playground:playground|讗专讙讝 讛讞讜诇]].
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/editrev.txt
new file mode 100644
index 000000000..a6c755cba
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/editrev.txt
@@ -0,0 +1,2 @@
+**讛讚祝 砖谞驻转讞 讛讜讗 讙专住讛 讬砖谞讛 砖诇 讛诪住诪讱!** 诇讞讬爪讛 注诇 讛讻驻转讜专 "砖诪讜专" 转砖讞讝专 讗转 讛诪住诪讱 诇讙专住讛 讛诪讜爪讙转 讻注转.
+---- \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/index.txt
new file mode 100644
index 000000000..12b7a960e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/index.txt
@@ -0,0 +1,4 @@
+====== 讗讬谞讚拽住 ======
+
+讝讛讜 拽讜讘抓 讗讬谞讚拽住 讛谞诪爪讗 诪注诇 诇讻诇 讛讚驻讬诐 讛诪讗讜专讙谞讬诐 讘[[讜讬拽讬:讚讜拽讬讜讜讬拽讬]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/install.html
new file mode 100644
index 000000000..7831623c9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/install.html
@@ -0,0 +1,13 @@
+<p>讚祝 讝讛 诪住讬讬注 诇讛转拽谞讛 讜讛讛讙讚专讛 讛专讗砖讜谞讬讜转 砖诇
+<a href="http://dokuwiki.org">Dokuwiki</a>. 诪讬讚注 谞讜住祝 注诇 诪转拽讬谉 讝讛 讝诪讬谉 讘讚祝
+<a href="http://dokuwiki.org/installer">讛转讬注讜讚 砖诇讜</a>.</p>
+
+<p>DokuWiki 注讜砖讛 砖讬诪讜砖 讘拽讘爪讬诐 专讙讬诇讬诐 诇砖诪讬专转 讚驻讬 讜讬拽讬 讜诪讬讚注 谞讜住祝 讛拽砖讜专 诇讚驻讬诐 讗诇讜 (诇讚讜讙诪讛 转诪讜谞讜转, 专砖讬诪讜转 讞讬驻讜砖, 讙专住讗讜转 拽讜讚诪讜转 讜讻讜').
+诇转驻拽讜讚 转拽讬谉 DokuWiki <strong>讞讬讬讘</strong> 讙讬砖讛 诇讻转讬讘讛 诇转讬拽讬讜转 讛诪讻讬诇讜转 拽讘爪讬诐 讗诇讜. 诪转拽讬谉 讝讛 讗讬谞讜 讬讻讜诇 诇拽讘讜注 讛专砖讗讜转 诇转讬拽讬讜转.
+驻注讜诇讛 讝讜 爪专讬讻讛 讘讚"讻 诇讛转讘爪注 讬砖讬专讜转 诪砖讜专转 讛驻拽讜讚讛 讗讜 讘诪拽专讛 砖谞注砖讛 砖讬诪讜砖 讘砖专转 诪讗专讞 讚专讱 FTP 讗讜 诪谞砖拽 讛谞讬讛讜诇 砖诇 讛诪讗专讞 (cPanell 诇讚讜讙诪讛).</p>
+
+<p>诪转拽讬谉 讝讛 讬讙讚讬专 讗转 转爪讜专转 讛-<acronym title="access control list">ACL</acronym> 讘-DokuWiki 砖诇讱
+, 讝讛 讘转讜专讜 诪讗驻砖专 讙讬砖转 诪谞讛诇 诇转驻专讬讟 讛谞讬讛讜诇 砖诇 DokuWiki 讻讚讬 诇讛转拽讬谉 讛专讞讘讜转, 诇谞讛诇 诪砖转诪砖讬诐, 诇谞讛诇 讙讬砖讜转 诇讚驻讬 讜讬拽讬 讜砖讬谞讜讬讬诐 讘讛讙讚专讜转 讛转爪讜专讛.
+讗讬谉 讛讜讗 讛讻专讞讬 诇转驻拽讜讚 DokuWiki 讗讱 讛讜讗 讬讛驻讜讱 讗转 Dokuwiki 拽诇 讬讜转专 诇谞讬讛讜诇.</p>
+
+<p>注诇 诪砖转诪砖讬诐 诪谞讜住讬诐 讗讜 讻讗诇讜 注诐 讚专讬砖讜转 诪讬讜讞讚讜转 诇讛转拽谞讛 诇讛砖转诪砖 讘拽讬砖讜专讬诐 讗诇讜 诇驻专讟讬诐 讘谞讜讙注 诇<a href="http://dokuwiki.org/install">讛讜专讗讜转 讛转拽谞讛</a> 讜<a href="http://dokuwiki.org/config">讛讙讚专讜转 转爪讜专讛</a>.</p>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/lang.php
new file mode 100644
index 000000000..8545d1542
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/lang.php
@@ -0,0 +1,224 @@
+<?php
+/**
+ * Hebrew language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @link http://sourceforge.net/projects/hebdokuwiki/
+ * @author 讙讬讗 砖驻专 <guysoft@ort.org.il>
+ * @author Denis Simakov <akinoame1@gmail.com>
+ * @author DoK <kamberd@yahoo.com>
+ * @author Dotan Kamber <kamberd@yahoo.com>
+ * @author Moshe Kaplan <mokplan@gmail.com>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'rtl';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '\'';
+$lang['btn_edit'] = '注专讬讻讛';
+$lang['btn_source'] = '讛爪讙 讗转 诪拽讜专 讛讚祝';
+$lang['btn_show'] = '讛爪讙 讚祝';
+$lang['btn_create'] = '讬爪讬专转 讚祝';
+$lang['btn_search'] = '讞驻砖';
+$lang['btn_save'] = '砖诪讜专';
+$lang['btn_preview'] = '转爪讜讙讛 诪拽讚讬诪讛';
+$lang['btn_top'] = '讞讝讜专 诇诪注诇讛';
+$lang['btn_newer'] = '<< 讬讜转专 讞讚砖';
+$lang['btn_older'] = '驻讞讜转 讞讚砖 >>';
+$lang['btn_revs'] = '讙专住讗讜转 拽讜讚诪讜转';
+$lang['btn_recent'] = '砖讬谞讜讬讬诐 讗讞专讜谞讬诐';
+$lang['btn_upload'] = '讛注诇讛';
+$lang['btn_cancel'] = '讘讟诇';
+$lang['btn_index'] = '讗讬谞讚拽住';
+$lang['btn_secedit'] = '注专讬讻讛';
+$lang['btn_login'] = '讻谞讬住讛';
+$lang['btn_logout'] = '讬爪讬讗讛';
+$lang['btn_admin'] = '诪谞讛诇';
+$lang['btn_update'] = '注讚讻谉';
+$lang['btn_delete'] = '诪讞拽';
+$lang['btn_back'] = '讞讝讜专';
+$lang['btn_backlink'] = '拽讬砖讜专讬诐 诇讻讗谉';
+$lang['btn_backtomedia'] = '诇讞讝讜专 诇讘讞讬专转 拽讜讘抓 诪讚讬讛';
+$lang['btn_subscribe'] = '注拽讜讘 讗讞专讬 砖讬谞讜讬诐';
+$lang['btn_unsubscribe'] = '讛驻住拽 诇注拽讜讘';
+$lang['btn_subscribens'] = '讛专砖诪讛 诇砖讬谞讜讬讬诐 讘诪专讞讘 讛砖诐';
+$lang['btn_unsubscribens'] = '讛住专转 讛专砖诪讛 诇砖讬谞讜讬讬诐 讘诪讞讘 讛砖诐';
+$lang['btn_profile'] = '注讚讻谉 驻专讜驻讬诇';
+$lang['btn_reset'] = '讗讬驻讜住';
+$lang['btn_resendpwd'] = '砖诇讞 住讬住诪讛 讞讚砖讛';
+$lang['btn_draft'] = '注专讬讻转 讟讬讜讟讛';
+$lang['btn_recover'] = '砖讞讝讜专 讟讬讜讟讛';
+$lang['btn_draftdel'] = '诪讞讬拽转 讟讬讜讟讛';
+$lang['loggedinas'] = '专砖讜诐 讻-';
+$lang['user'] = '砖诐 诪砖转诪砖';
+$lang['pass'] = '住讬住诪讛';
+$lang['newpass'] = '住讬住诪讛 讞讚砖讛';
+$lang['oldpass'] = '讗砖专 讗转 讛住讬住诪讛 讛谞讜讻讞讬转';
+$lang['passchk'] = '砖讜讘';
+$lang['remember'] = '讝讻讜专 讗讜转讬';
+$lang['fullname'] = '砖诐 诪诇讗';
+$lang['email'] = '讚讜讗"诇';
+$lang['register'] = '讛专砖诪讛';
+$lang['profile'] = '驻专讜驻讬诇';
+$lang['badlogin'] = '住诇讬讞讛, 砖诐 讛诪砖转诪砖 讗讜 讛住讬住诪讛 砖讙讜讬讬诐';
+$lang['minoredit'] = '砖讬谞讜讬诐 诪讬谞讜专讬讬诐';
+$lang['draftdate'] = '讟讬讜讟讛 谞砖诪专讛 讘-';
+$lang['regmissing'] = '住诇讬讞讛, 注诇讬讱 诇诪诇讗 讗转 讻诇 讛砖讚讜转';
+$lang['reguexists'] = '住诇讬讞讛, 诪砖转诪砖 讘砖诐 讝讛 讻讘专 谞专砖诐';
+$lang['regsuccess'] = '讛专砖诪讛 讛爪诇讬讞讛, 讛诪砖转诪砖 谞专砖诐 讜讛讜讚注讛 谞砖诇讞讛 讘讚讜讗专';
+$lang['regsuccess2'] = '讛专砖诪讛 讛爪诇讬讞讛, 讛诪砖转诪砖 谞专砖诐.';
+$lang['regmailfail'] = '砖诇讬讞转 讛讜讚注转 讛讚讜讗专 讻砖诇讛, 谞讗 诇讬爪讜专 拽砖专 注诐 诪谞讛诇 讛讗转专';
+$lang['regbadmail'] = '讻转讜讘转 讚讜讗专 讻谞专讗讛 诇讗 转拽驻讛, 讗诐 诇讗 讻讱 讛讬讗 讬砖 诇讬爪讜专 拽砖专 注诐 诪谞讛诇 讛讗转专';
+$lang['regbadpass'] = '砖转讬 讛住讬住诪讜转 讛谉 诇讗 讝讛讜转, 谞讗 诇谞住讜转 砖讜讘';
+$lang['regpwmail'] = '住讬住诪转 讛讚讜拽讜讜讬拽讬 砖诇讱';
+$lang['reghere'] = '注讚讬讬谉 诇诇讗 砖诐-诪砖转诪砖? 讛讛专砖诪讛 讻讗谉';
+$lang['profna'] = '讘讜讜讬拽讬 讛讝讛 诇讗 谞讬转谉 诇砖谞讜转 驻专讜驻讬诇';
+$lang['profnochange'] = '讗讬谉 砖讬谞讜讬诐, 驻专讜驻讬诇 诇讗 注讜讚讻谉';
+$lang['profnoempty'] = '砖诐 讜讻转讜讘转 讚讜讗"诇 诇讗 讬讻讜诇讬诐 诇讛讬讜转 专讬拽讬诐';
+$lang['profchanged'] = '驻专讜驻讬诇 注讜讚讻谉 讘讛爪诇讞讛';
+$lang['pwdforget'] = '砖讻讞转 住讬住诪讛? 拽讘诇 讞讚砖讛';
+$lang['resendna'] = '讛讜讜讬拽讬 讛讝讛 诇讗 转讜诪讱 讘讞讬讚讜砖 住讬住诪讛';
+$lang['resendpwd'] = '砖诇讞 住讬住诪讛 讞讚砖讛 注讘讜专';
+$lang['resendpwdmissing'] = '住诇讬讞讛, 注诇讬讱 诇诪诇讗 讗转 讻诇 讛砖讚讜转';
+$lang['resendpwdnouser'] = '住诇讬讞讛, 诪砖转诪砖 讘砖诐 讝讛 诇讗 谞诪爪讗';
+$lang['resendpwdbadauth'] = '住诇讬讞讛, 拽讜讚 讗讬诪讜转 讝讛 讗讬谞讜 转拽祝. 讬砖 诇讜讚讗 讻讬 谞注砖讛 砖讬诪讜砖 讘诪诇讜讗 拽讬砖讜专 讛讗讬诪讜转.';
+$lang['resendpwdconfirm'] = '拽讬砖讜专 讗讬诪讜转 谞砖诇讞 讘讚讜讗"诇.';
+$lang['resendpwdsuccess'] = '住讬住诪讛 讞讚砖讛 谞砖诇讞讛 讘讚讜讗专';
+$lang['txt_upload'] = '讘讞专 拽讜讘抓 诇讛注诇讜转';
+$lang['txt_filename'] = '讛讻谞住 砖诐 诇讜讜讬拽讬 (讘讞讬专讛)';
+$lang['txt_overwrt'] = '诇讻转讜讘 讘诪拽讜诐 拽讜讘抓 拽讬讬诐';
+$lang['lockedby'] = '谞注讜诇 注诇 讬讚讬';
+$lang['lockexpire'] = '谞注讬诇讛 驻讙讛';
+$lang['willexpire'] = '谞注讬诇讛 转讞诇讜祝 注讜讚 讝诪谉 拽爪专. \n诇诪谞讬注转 讛转谞讙砖讜讬讜转 讬砖 诇讛砖转诪砖 讘讻驻转讜专 讛专注谞讜谉 诪讟讛 讻讚讬 诇讗转讞诇 讗转 讛谞注讬诇讛 砖谞讬转';
+$lang['notsavedyet'] = '拽讬讬诪讬诐 砖讬谞讜讬讬诐 砖讟专诐 谞砖诪专讜 讜讗砖专 讬讗讘讚讜 \n 讛讗诐 诇讛诪砖讬讱?';
+$lang['rssfailed'] = '讻砖诇 讘-RSS';
+$lang['nothingfound'] = '诇讗 谞诪爪讗讜 转讜爪讗讜转';
+$lang['mediaselect'] = '讘讞讬专转 拽讜讘抓 诪讚讬讛';
+$lang['fileupload'] = '讛注诇讗转 拽讜讘抓 诪讚讬讛';
+$lang['uploadsucc'] = '讛注诇讗转 讛拽讜讘抓 讘讜爪注讛 讘讛爪诇讞讛';
+$lang['uploadfail'] = '拽专转讛 砖讙讬讗讛 讘注转 讛注诇讗转 讛拽讜讘抓. 转讬转讻谉 讜讘注讬讬讛 讝讜 谞讜爪专讛 注拽讘 讛专砖讗讜转 砖讙讬讜转.';
+$lang['uploadwrong'] = '讛注诇讗讛 诇讗 讗讜砖专讛. 拽讘爪讬诐 讘住讬讜诪转 讝讜 讗住讜专讬诐';
+$lang['uploadexist'] = '讛拽讜讘抓 讻讘专 拽讬讬诐. 驻注讜诇讛 讘讜讟诇讛';
+$lang['uploadbadcontent'] = '讛转讜讻谉 砖讛讜注诇讛 诇讗 转讗诐 讗转 讛住讬讜诪转 %s 砖诇 讛拽讜讘抓.';
+$lang['uploadspam'] = '讛讛注诇讗讛 谞讞住诪讛 注诇 讬讚讬 讛专砖讬诪讛 讛砖讞讜专讛 砖诇 讛住驻讗诐.';
+$lang['uploadxss'] = '讛讛注诇讗讛 谞讞住诪讛 讘砖诇 讞砖讚 诇转讜讻谉 讝讚讜谞讬.';
+$lang['deletesucc'] = '拽讜讘抓 %s 谞诪讞拽';
+$lang['deletefail'] = '诇讗 讬讻讜诇转讬 诇诪讞讜拽 "%s" -- 讘讚拽讜 讛专砖讗讜转';
+$lang['mediainuse'] = '拽讜讘抓 "%s" 诇讗 谞诪讞拽 - 讛讜讗 注讚讬讬谉 讘砖讬诪讜砖';
+$lang['namespaces'] = '砖诪讜转 诪转讞诐';
+$lang['mediafiles'] = '拽讘爪讬诐 讝诪讬谞讬诐 讘-';
+$lang['js']['searchmedia'] = '讞讬驻讜砖 拽讘爪讬诐';
+$lang['js']['keepopen'] = '讛砖讗专 讞诇讜谉 驻转讜讞 讘讘讞讬专讛';
+$lang['js']['hidedetails'] = '讛住转专 驻专讟讬诐';
+$lang['js']['nosmblinks'] = ':( 拽讬砖讜专 诇诪注专讻转 拽讘爪讬诐 砖诇 讞诇讜谞讜转 驻讜注诇 专拽 讘讚驻讚驻谉 讗讬谞讟专谞讟 讗拽住驻诇讜专专.
+ 讝讛 讘住讚专, 讗讬谉 爪讜专讱 诇注讘讜专. 讗驻砖专 诇讛注转讬拽 讜诇讛讚讘讬拽 讗转 讛拽讬砖讜专';
+$lang['js']['del_confirm'] = '讘讗诪转 诇诪讞讜拽?';
+$lang['js']['mu_btn'] = '讛注诇讗转 拽讘爪讬诐 诪专讜讘讬诐';
+$lang['mediausage'] = '讛砖转诪砖 讘转讞讘讬专 讛讘讗 诇讛转讬讞住讜转 讗诇 拽讜讘抓 讝讛:';
+$lang['mediaview'] = '讛爪讙 讗转 讛拽讜讘抓 讛诪拽讜专讬';
+$lang['mediaroot'] = 'root';
+$lang['mediaupload'] = '讻讗谉 谞讬转谉 诇讛注诇讜转 拽讜讘抓 诇诪专讞讘 讛砖诪讜转 讛谞讜讻讞讬. 诇讬爪讬专转 转转讬-诪专讞讘讬 砖诪讜转 爪专驻诐 讘-"讛注诇讛" 诇转讞讬诇转 砖诐 讛拽讜讘抓 诪讜驻专讚讬诐 讘驻住讬拽讬诐';
+$lang['mediaextchange'] = '住讬讜诪转 讛拽讜讘抓 讛砖转谞转讛 诪-.%s 诇-.%s!';
+$lang['reference'] = '拽讬砖讜专讬诐 诇';
+$lang['ref_inuse'] = '诇讗 讬讻讜诇转讬 诇诪讞讜拽 拽讜讘抓, 讛讚驻讬诐 讛讘讗讬诐 注讚讬讬谉 诪砖转诪砖讬诐 讘讜:';
+$lang['ref_hidden'] = '讬砖 拽讬砖讜专讬诐 诇讚驻讬诐 诇诇讗 讛专砖讗转 拽专讬讗讛';
+$lang['hits'] = '驻讙讬注讜转';
+$lang['quickhits'] = '讚驻讬诐 砖谞诪爪讗讜';
+$lang['toc'] = '转讜讻谉 注谞讬讬谞讬诐';
+$lang['current'] = '讙讬专住讛 谞讜讻讞讬转';
+$lang['yours'] = '讛讙专住讛 砖诇讱';
+$lang['diff'] = '讛爪讙 砖讬谞讜讬诐 诪讙专住讛 讝讜 讜注讚 讛谞讜讻讞讬转';
+$lang['diff2'] = '讛爪讙转 讛讘讚诇讬诐 讘讬谉 讛讙专住讗讜转 砖谞讘讞专讜';
+$lang['line'] = '砖讜专讛';
+$lang['breadcrumb'] = '讘讬拽讜专讬诐 讗讞专讜谞讬诐';
+$lang['youarehere'] = '讗转讛 谞诪爪讛 讻讗谉';
+$lang['lastmod'] = '砖讜谞讛 诇讗讞专讜谞讛 讘';
+$lang['by'] = '注诇 讬讚讬';
+$lang['deleted'] = '谞诪讞拽';
+$lang['created'] = '谞讜爪专';
+$lang['restored'] = '砖讜讞讝专';
+$lang['external_edit'] = '注专讬讻讛 讞讬爪讜谞讬转';
+$lang['summary'] = '转拽爪讬专 讛注专讬讻讛';
+$lang['mail_newpage'] = '讚祝 谞讜住祝:';
+$lang['mail_changed'] = '讚祝 砖讜谞讛:';
+$lang['mail_new_user'] = '诪砖转诪砖 讞讚砖:';
+$lang['mail_upload'] = '拽讜讘抓 讛讜注诇讛:';
+$lang['qb_bold'] = '讟拽住讟 诪讜讚讙砖';
+$lang['qb_italic'] = '讟拽住讟 谞讟讜讬';
+$lang['qb_underl'] = '讟拽住讟 注诐 拽讜 转讞转讜谉';
+$lang['qb_code'] = '拽讜讚';
+$lang['qb_strike'] = '讟拽住讟 诪讞讜拽';
+$lang['qb_h1'] = '讻讜转专转 专诪讛 1';
+$lang['qb_h2'] = '讻讜转专转 专诪讛 2';
+$lang['qb_h3'] = '讻讜转专转 专诪讛 3';
+$lang['qb_h4'] = '讻讜转专转 专诪讛 4';
+$lang['qb_h5'] = '讻讜转专转 专诪讛 5';
+$lang['qb_h'] = '讻讜转专转';
+$lang['qb_hs'] = '讘讞讬专转 讻讜转专转';
+$lang['qb_link'] = '拽讬砖讜专 驻谞讬诪讬';
+$lang['qb_extlink'] = '拽讬砖讜专 讞讬爪讜谞讬';
+$lang['qb_hr'] = '拽讜 讗讜驻拽讬';
+$lang['qb_ol'] = '讗讬讘专 讘专砖讬诪讛 诪诪讜住驻专转';
+$lang['qb_ul'] = '讗讘专 讘专砖讬诪讛 诇讗 诪诪讜住驻专转';
+$lang['qb_media'] = '转诪讜谞讜转 讗讜 拽讜讘抓 讗讞专';
+$lang['qb_sig'] = '讛讝谞转 讞转讬诪讛';
+$lang['qb_smileys'] = '驻专爪讜驻讜谞讬诐';
+$lang['qb_chars'] = '住讬诪谞讬诐 诪讬讜讞讚讬诐';
+$lang['admin_register'] = '诇讛讜住讬祝 诪砖转诪砖 讞讚砖';
+$lang['metaedit'] = '注专讜讱 谞转讜谞讬诐';
+$lang['metasaveerr'] = '讻砖诇 讘砖诪讬专转 谞转讜谞讬诐';
+$lang['metasaveok'] = '谞转讜谞讬诐 谞砖诪专讜';
+$lang['img_backto'] = '讛讝讜专 诇';
+$lang['img_title'] = '讻讜转专转';
+$lang['img_caption'] = '转讬讗讜专';
+$lang['img_date'] = '转讗专讬讱';
+$lang['img_fname'] = '砖诐 讛拽讜讘抓';
+$lang['img_fsize'] = '讙讜讚诇';
+$lang['img_artist'] = '爪诇诐';
+$lang['img_copyr'] = '讝讻讜讬讜转';
+$lang['img_format'] = '驻讜专诪讟';
+$lang['img_camera'] = '诪爪诇诪讛';
+$lang['img_keywords'] = '诪讬诇讜转 诪驻转讞';
+$lang['subscribe_success'] = '%s 谞讜住祝 诇专砖讬诪转 讛诪讻讜转讘讬诐 注讘讜专 %s';
+$lang['subscribe_error'] = '砖讙讬讗讛 讘讛讜住驻转 %s 诇专砖讬诪转 讛诪讻讜转讘讬诐 注讘讜专 %s';
+$lang['subscribe_noaddress'] = '讗讬谉 讻转讜讘转 讛诪砖讜讬讻转 诇专讬砖讜诐 砖诇讱 讜诇讻谉 讗讬谉 讘讗驻砖专讜转讱 诇讛爪讟专祝 诇专砖讬诪转 讛诪讻讜转讘讬诐';
+$lang['unsubscribe_success'] = '%s 讛讜住专 诪专砖讬诪转 讛诪讻讜转讘讬诐 注讘讜专 %s';
+$lang['unsubscribe_error'] = '砖讙讬讗讛 讘讛住专转 %s 诪专砖讬诪转 讛诪讻讜转讘讬诐 注讘讜专 %s';
+$lang['authmodfailed'] = '转爪讜专转 讗讬诪讜转 诪砖转诪砖讬诐 讙专讜注讛. 谞讗 诇讚讜讜讞 诇诪谞讛诇 讛讜讬拽讬.';
+$lang['authtempfail'] = '讗讬诪讜转 诪砖转诪砖讬诐 讗讬谞讜 讝诪讬谉 讻专讙注. 讗诐 诪爪讘 讝讛 谞诪砖讱 谞讗 诇讛讜讚讬注 诇诪谞讛诇 讛讜讬拽讬.';
+$lang['i_chooselang'] = '谞讗 诇讘讞讜专 砖驻讛';
+$lang['i_installer'] = 'DokuWiki Installer';
+$lang['i_wikiname'] = '砖诐 讛讜讬拽讬';
+$lang['i_enableacl'] = '讗驻砖专 ACL (诪讜诪诇抓)';
+$lang['i_superuser'] = '诪砖转诪砖-注诇';
+$lang['i_problems'] = '讛诪转拽讬谉 讝讬讛讛 诪住驻专 讘注讬讜转 讛诪爪讜讬谞讜转 诪讟讛. 讗讬谉 讘讗驻砖专讜转讱 诇讛诪砖讬讱 诇驻谞讬 转讬拽讜谞谉.';
+$lang['i_modified'] = '诪砖讬拽讜诇讬 讗讘讟讞讛 转住专讬讟 讝讛 讬注讘讜讚 讗讱 讜专拽 注诐 讛转拽谞转 DokuWiki 讞讚砖讛 砖诇讗 注讘专讛 讻诇 砖讬谞讜讬.
+ 注诇讬讱 诇讞诇抓 砖谞讬转 讗转 讛拽讘爪讬诐 诪讛讞讘讬诇讛 砖讛讜专讚讛 讗讜 诇讛注讝专 讘讚祝
+ <a href="http://dokuwiki.org/install">Dokuwiki installation instructions</a>';
+$lang['i_funcna'] = '驻讜谞拽爪讬转 讛-PHP <code>%s</code> 讗讬谞讛 讝诪讬谞讛. 讬转讻谉 讻讬 诪讗专讞 讛讗转专 讞住诐 讗讜转讛 诪住讬讘讛 讻诇砖讛讬?';
+$lang['i_phpver'] = '讙专住转 讛-PHP 砖诇讱 <code>%s</code> 谞诪讜讻讛 诪讛讚专讜砖. 注诇讬讱 诇砖讚专讙 讗转 讛转拽谞转 讛-PHP';
+$lang['i_permfail'] = '<code>%s</code> 讗讬谞讛 讘专转 讻转讬讘讛 注诇 讬讚讬 DokuWiki. 注诇讬讱 诇砖谞讜转 讛专砖讗讜转 住驻专讬讛 讝讜!';
+$lang['i_confexists'] = '<code>%s</code> 讻讘专 拽讬讬诐';
+$lang['i_writeerr'] = '讗讬谉 讗驻砖专讜转 诇讬爪讜专 讗转 <code>%s</code>. 谞讗 诇讘讚讜拽 讗转 讛专砖讗讜转 讛拽讜讘抓/住驻专讬讛 讜诇讬爪讜专 讗转 讛拽讜讘抓 讬讚谞讬转.';
+$lang['i_badhash'] = '拽讜讘抓 Dokuwiki.php 诇讗 诪讝讜讛讛 讗讜 砖注讘专 砖讬谞讜讬讬诐 (hash=<code>%s</code>)';
+$lang['i_badval'] = '<code>%s</code> - 注专讱 诇讗 讞讜拽讬 讗讜 专讬拽';
+$lang['i_success'] = '讛讛讙讚专讛 讛住转讬诪讛 讘讛爪诇讞讛. 讘讗驻砖专讜转讱 诇诪讞讜拽 注转讛 讗转 讛拽讜讘抓 install.php 讜诇讛诪砖讬讱 讗诇 <a href="doku.php">DokuWiki 讛讞讚砖 砖诇讱</a>.';
+$lang['i_failure'] = '诪住驻专 砖讙讬讗讜转 讗专注讜 讘注转 讻转讬讘转 拽讘爪讬 讛转爪讜专讛. 讬讬转讻谉 讻讬 讬讛讬讛 爪讜专讱 诇转拽谞诐 讬讚谞讬转 诇驻谞讬 砖谞讬转谉 讬讛讬讛 诇讛砖转诪砖 讘<a href="doku.php">DokuWiki 讛讞讚砖 砖诇讱</a>.';
+$lang['i_policy'] = '诪讚讬谞讬讜转 ACL 转讞讬诇讬转';
+$lang['i_pol0'] = '讜讬拽讬 驻转讜讞 (拽专讬讗讛, 讻转讬讘讛 讜讛注诇讗讛 诇讻讜诇诐)';
+$lang['i_pol1'] = ' 讜讬拽讬 爪讬讘讜专讬 (拽专讬讗讛 诇讻讜诇诐, 讻转讬讘讛 讜讛注诇讗讛 诇诪砖转诪砖讬诐 专砖讜诪讬诐)';
+$lang['i_pol2'] = '讜讬拽讬 住讙讜专 (拽专讬讗讛, 讻转讬讘讛 讜讛注诇讗讛 诇诪砖转诪砖讬诐 专砖讜诪讬诐 讘诇讘讚)';
+$lang['i_retry'] = '谞住讬讜谉 谞讜住祝';
+$lang['mu_intro'] = '讻讗谉 转讜讻诇 诇讛注诇讜转 拽讘爪讬诐 诪专讜讘讬诐. 诇讞抓 注诇 讻驻转讜专 讛讞讬驻讜砖 诇讛讜住讬祝 讗讜转诐 诇诪讞住谞讬转. 诇讞抓 注诇 讛注诇讗讛 诇住讬讜诐.';
+$lang['mu_gridname'] = '砖诐 拽讜讘抓';
+$lang['mu_gridsize'] = '讙讜讚诇';
+$lang['mu_gridstat'] = '住讟讗讟谉住';
+$lang['mu_browse'] = '讞讬驻讜砖';
+$lang['mu_toobig'] = '讙讚讜诇 诪讚讬';
+$lang['mu_ready'] = '诪讜讻谉 诇讛注诇讗讛';
+$lang['mu_done'] = '住讬讬诐';
+$lang['mu_fail'] = '谞讻砖诇';
+$lang['mu_info'] = '讛拽讘爪讬诐 讛讜注诇讜';
+$lang['mu_lasterr'] = '砖讙讬讗讛 讗讞专讜谞讛:';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/locked.txt
new file mode 100644
index 000000000..307874a73
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/locked.txt
@@ -0,0 +1,3 @@
+====== 讚祝 谞注讜诇 ======
+
+讚祝 讝讛 谞注讜诇 讻专讙注 诇注专讬讻讛 注诇 讬讚讬 诪砖转诪砖 讗讞专. 注诇讬讱 诇讛诪转讬谉 注讚 砖讛诪砖转诪砖 讬住讬讬诐 讗转 讛注专讬讻讛 讗讜 注讚 砖讛谞注讬诇讛 转驻讜讙.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/login.txt
new file mode 100644
index 000000000..5a575f1f8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/login.txt
@@ -0,0 +1,3 @@
+====== 讻谞讬住讛 ======
+
+讗讬谞讱 讘专砖讜诪讜转 讛诪注专讻转 讻专讙注! 讬砖 诇讛讝讬谉 讗转 谞转讜谞讬 讛讛讝讚讛讜转 诪讟讛 诇讻谞讬住讛. 讬砖 诇讗驻砖专 注讜讙讬讜转 (cookies) 讻讚讬 诇讛讻谞住.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/mailtext.txt
new file mode 100644
index 000000000..d7990b2b3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/mailtext.txt
@@ -0,0 +1,17 @@
+讚祝 讘DokuWiki 谞讜住祝 讗讜 砖讜谞讛. 讛谞讛 讛驻专讟讬诐:
+
+Date : @DATE@
+Browser : @BROWSER@
+IP-Address : @IPADDRESS@
+Hostname : @HOSTNAME@
+Old Revision: @OLDPAGE@
+New Revision: @NEWPAGE@
+Edit Summary: @SUMMARY@
+User : @USER@
+
+@DIFF@
+
+--
+
+讚祝 讝讛 谞讜爪专 注"讬 DokuWiki 讘-
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/newpage.txt
new file mode 100644
index 000000000..ac6fb7386
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/newpage.txt
@@ -0,0 +1,3 @@
+====== 讚祝 讝讛 注讚讬讬谉 诇讗 拽讬讬诐 ======
+
+讛讚祝 讗诇讬讜 讛讙注转 注讚讬讬谉 诇讗 拽讬讬诐. 诇讞讬爪讛 注诇 讛讻驻转讜专 "讬爪讬专转 讚祝" 转爪讜专 讗讜转讜. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/norev.txt
new file mode 100644
index 000000000..3d08e16db
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/norev.txt
@@ -0,0 +1,4 @@
+====== 讙专住讛 诇讗 拽讬讬诪转 ======
+
+讛讙专住讛 砖讛讜讝谞讛 讗讬谞讛 拽讬讬诪转. 谞讗 诇讛砖转诪砖 讘讻驻转讜专 ''讙专住讗讜转 拽讜讚诪讜转'' 诇讛爪讙转 专砖讬诪转 讛讙专住讗讜转 砖诇 诪住诪讱 讝讛.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/preview.txt
new file mode 100644
index 000000000..1331c23ad
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/preview.txt
@@ -0,0 +1,4 @@
+====== 转爪讜讙讛 诪拽讚讬诪讛 ======
+
+讝讜 转爪讜讙讛 诪拽讚讬诪讛 砖诇 讛讚祝 诇注转讬讚. 诇讛讝讻讬专讱: **讛讚祝 注讚讬讬谉 诇讗 谞砖诪专!**
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/pwconfirm.txt
new file mode 100644
index 000000000..255195c7f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/pwconfirm.txt
@@ -0,0 +1,13 @@
+砖诇讜诐 @FULLNAME@!
+
+诪讬砖讛讜 讘讬拽砖 住讬住诪讛 讞讚砖讛 注讘讜专 讛讻谞讬住讛 砖诇讱 诇-@TITLE@ 讘-@DOKUWIKIURL@
+
+讗诐 诇讗 讘讬拽砖转 住讬住诪讛 讞讚砖讛 驻砖讜讟 讛转注诇诐 诪讚讜讗"诇 讝讛.
+
+讻讚讬 诇讗砖专 砖讛讘拽砖讛 讘讗诪转 谞砖诇讞讛 注诇 讬讚讱 谞讗 讛砖转诪砖 讘拽讬砖讜专 讛讘讗.
+
+@CONFIRM@
+
+--
+讚讜讗专 讝讛 谞讜爪专 注诇 讬讚讬 DokuWiki 讘-
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/read.txt
new file mode 100644
index 000000000..8e4c177ee
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/read.txt
@@ -0,0 +1,2 @@
+讚祝 讝讛 讛讜讗 讚祝 诇拽专讬讗讛 讘诇讘讚. 谞讬转谉 诇爪驻讜转 讘拽讜讚 讛诪拽讜专 砖诇讜, 讗讘诇 诇讗 谞讬转谉 诇注专讜讱 讗讜转讜. 谞讬转谉 诇驻谞讜转 讗诇 诪谞讛诇 讛讜讬拽讬 讗诐 诇讚注转讱 谞驻诇讛 讟注讜转.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/recent.txt
new file mode 100644
index 000000000..0febd96e5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/recent.txt
@@ -0,0 +1,5 @@
+====== 砖讬谞讜讬讬诐 讗讞专讜谞讬诐 ======
+
+讛讚驻讬诐 讛讘讗讬诐 注讘专讜 砖讬谞讜讬讬诐 诇讗讞专讜谞讛.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/register.txt
new file mode 100644
index 000000000..7225b02fd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/register.txt
@@ -0,0 +1,3 @@
+====== 讛专砖诪讛 讻诪砖转诪砖 讞讚砖 ======
+
+讬砖 诇诪诇讗 讗转 讻诇 讛诪讬讚注 诪讟讛 讻讚讬 诇讬爪讜专 讞砖讘讜谉 讞讚砖 讘讜讬拽讬 讝讛. 讬砖 诇讜讚讗 讻讬 诪讜讝谞转 **讻转讜讘转 讚讜讗"诇 转拽驻讛**- 住讬住诪转讱 讛讞讚砖讛 转砖诇讞 诇讻转讜讘转 讝讜\\ 注诇 砖诐 讛诪砖转诪砖 诇讛讬讜转 [[hdoku>讜讬拽讬:砖诐 讚祝|砖诐 讚祝]] 转拽祝.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/registermail.txt
new file mode 100644
index 000000000..bb64a8158
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/registermail.txt
@@ -0,0 +1,14 @@
+诪砖转诪砖 讞讚砖 谞专砖诐. 讛谞讛 讛驻专讟讬诐:
+
+砖诐 诪砖转诪砖 : @NEWUSER@
+砖诐 诪诇讗 : @NEWNAME@
+讚讜讗"诇 : @NEWEMAIL@
+
+转讗专讬讱 : @DATE@
+讚驻讚驻谉 : @BROWSER@
+讻转讜讘转 专砖转 : @IPADDRESS@
+砖诐 讛诪讞砖讘 : @HOSTNAME@
+
+--
+讚讜讗"诇 讝讛 谞讜爪专 注诇 讬讚讬 DokuWiki 讘-
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/resendpwd.txt
new file mode 100644
index 000000000..47e7749c2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/resendpwd.txt
@@ -0,0 +1,4 @@
+====== 砖诇讬讞转 住讬住诪讛 讞讚砖讛 ======
+
+讬砖 诇讛讝讬谉 讗转 砖诐 讛诪砖转诪砖 讘讟讜驻住 诪讟讛 讜诇讘拽砖 住讬住诪讛 讞讚砖讛 诇讞砖讘讜谉 砖诇讱 讘讜讬拽讬 讝讛. 拽讬砖讜专 诇讗讬诪讜转 讬砖诇讞 诇讻转讜讘转 讛讚讜"诇 讗讬转讛 谞专砖诪转.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/revisions.txt
new file mode 100644
index 000000000..6b23402f5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/revisions.txt
@@ -0,0 +1,4 @@
+====== 讙专住讗讜转 讬砖谞讜转 ======
+
+讗诇讛 讙专住讗讜转 诪讜拽讚诪讜转 讬讜转专 砖诇 讛诪住诪讱 讛谞讜讻讞讬. 讻讚讬 诇砖讞讝专 讙专住讛 诪讜拽讚诪转 讬讜转专 讬砖 诇诇讞讜抓 注诇 讛讻驻转讜专 ''注专讬讻讛'' 讜诇砖诪讜专 讗转 讛讚祝.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/searchpage.txt
new file mode 100644
index 000000000..aed23be24
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/searchpage.txt
@@ -0,0 +1,5 @@
+====== 讞讬驻讜砖 ======
+
+谞讬转谉 诇专讗讜转 讗转 转讜爪讗讜转 讛讞讬驻讜砖 诇诪讟讛. 讗诐 诇讗 谞诪爪讗讜 讚驻讬诐 讘讞讬驻讜砖, 诇讞讬爪讛 注诇 讛讻驻转讜专 "注专讬讻讛" 转讬爪讜专 讚祝 讞讚砖 注诇 砖诐 诪讬诇转 讛讞讬驻讜砖 砖讛讜讝谞讛.
+
+===== 转讜爪讗讜转 ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/showrev.txt
new file mode 100644
index 000000000..22ca0c3ae
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/showrev.txt
@@ -0,0 +1,2 @@
+**讝讜 讙专住讛 讬砖谞讛 砖诇 讛诪住诪讱!** 诇讞讬爪讛 注诇 讻讜转专转 讛诪住诪讱 转爪讬讙 讗转 讙专住转讜 讛谞讜讻讞讬转.
+---- \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/stopwords.txt
new file mode 100644
index 000000000..ca85eb2e0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/stopwords.txt
@@ -0,0 +1,29 @@
+# 讝讜讛讬 专砖讬诪转 诪讬诇讬诐 诪诪谞讛 诪转注诇诐 住讜专拽 讛转讜讻谉, 讗讞转 讘讻诇 砖讜专讛
+# 讘注讜专讻讱 拽讜讘抓 讝讛 注诇讬讱 诇讜讚讗 讻讬 谞注砖讛 砖讬诪讜砖 讘住讬诪谞讬 住讜祝 砖讜专讛 砖诇 UNIX (砖讜专讛 讞讚砖讛 诇诇讗 讛讞讝专转 讛住诪谉)
+# 讗讬谉 爪讜专讱 诇讻诇讜诇 诪讬诇讬诐 讘谞讜转 驻讞讜转 诪砖诇讜砖 讗讜转讬讜转 - 讗诇讜 谞驻住讞讜转 讘讻诇 诪拽专讛
+# 专砖讬诪讛 讝讜 诪讘讜住住转 注诇 讗诇讜 讛谞诪爪讗讜转 讘- http://www.ranks.nl/stopwords
+about
+are
+and
+you
+your
+them
+their
+com
+for
+from
+into
+how
+that
+the
+this
+was
+what
+when
+where
+who
+will
+with
+und
+the
+www
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/subscribermail.txt
new file mode 100644
index 000000000..7b05c4f47
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/subscribermail.txt
@@ -0,0 +1,17 @@
+砖诇讜诐!
+
+讛讚祝 @PAGE@ 讘讜讬拽讬 @TITLE@ 讛砖转谞讛.
+讛谞讛 讛砖讬谞讜讬讬诐:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+讻讚讬 诇讛驻住讬拽 讗转 讛讛专砖诪讛 诇讚祝 讝讛 讬砖 诇讛讻谞住 诇讜讬拽讬 讘-
+@DOKUWIKIURL@ 诇讘拽专 讘讚祝
+@NEWPAGE@
+讜诇讘讞讜专 '讛驻住拽 诇注拽讜讘'.
+
+--
+讚讜讗"诇 讝讛 谞讜爪专 注诇 讬讚讬 DokuWiki 讘-
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/updateprofile.txt
new file mode 100644
index 000000000..494d8389d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/updateprofile.txt
@@ -0,0 +1,5 @@
+====== 注讬讚讻讜谉 驻专讟讬 讞砖讘讜谞讱 ======
+
+讗讬谉 爪讜专讱 诇诪诇讗 诪注讘专 诇驻专讟讬诐 讛诪讬讜注讚讬诐 诇砖讬谞讜讬. 诇讗 谞讬转谉 诇砖谞讜转 讗转 砖诐 讛诪砖转诪砖.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/uploadmail.txt
new file mode 100644
index 000000000..fd670796c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/uploadmail.txt
@@ -0,0 +1,14 @@
+拽讜讘抓 讛讜注诇讛 讗诇 讛讚讜拽讜讜讬拽讬 砖诇讱. 讛谞讛 驻专讟讬讜:
+
+拽讜讘抓 : @MEDIA@
+转讗专讬讱 : @DATE@
+讚驻讚驻谉 : @BROWSER@
+讻转讜讘转 IP : @IPADDRESS@
+诪讗专讞 : @HOSTNAME@
+讙讜讚诇 : @SIZE@
+住讬讜讜讙 : @MIME@
+诪砖转诪砖 : @USER@
+
+--
+讚讜讗专 讝讛 谞讜爪专 注诇 讬讚讬 讚讜拽讜讜讬拽讬 讘转讜讘转
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/wordblock.txt
new file mode 100644
index 000000000..b7c3f0a7c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/he/wordblock.txt
@@ -0,0 +1,4 @@
+====== 讛爪驻讛 谞讞住诪讛 ======
+
+讛砖谞讜讬讬诐 砖诇讱 **诇讗** 谞砖诪专讜 诪驻谞讬 砖讛诐 诪讻讬诇讬诐 诪讬诇讛 讞住讜诪讛 讗讜 讬讜转专. 讗诐 讘讗诪转 谞讬住讬转 诇讛爪讬祝 讗转 讛讜讬拽讬 -- 讻诇讘 专注! 讗诐 谞专讗讛 诇讱 讻讬 讝讜 讟注讜转,谞讬转谉 诇讬爪讜专 拽砖专 注诐 诪谞讛诇 讛讜讬拽讬 (诪驻谞讬 砖讗谞讞谞讜 诇讗 专讜爪讬诐 诇拽专讜讗 诇讗谞砖讬诐 讻诇讘讬诐 诇讞讬谞诐, 讝讛 驻讜讙注 讘讻诇讘讬诐).
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hi/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hi/lang.php
new file mode 100644
index 000000000..044d7d5a4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hi/lang.php
@@ -0,0 +1,126 @@
+<?php
+/**
+ * hi language file
+ *
+ * This file was initially built by fetching translations from other
+ * Wiki projects. See the @url lines below. Additional translations
+ * and fixes where done for DokuWiki by the people mentioned in the
+ * lines starting with @author
+ *
+ * @url http://svn.wikimedia.org/viewvc/mediawiki/trunk/phase3/languages/messages/MessagesHi.php?view=co
+ * @author Abhinav Tyagi <abhinavtyagi11@gmail.com>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '鈥';
+$lang['btn_edit'] = '啶す 啶啶粪啶 啶膏啶ぞ啶︵た啶 啶曕ぐ啷囙';
+$lang['btn_source'] = '啶啶粪啶 啶曕ぞ 啶多啶班啶 啶︵た啶栢ぞ啶忇';
+$lang['btn_show'] = '啶啶粪啶 啶︵た啶栢ぞ啶忇';
+$lang['btn_create'] = '啶囙じ 啶啶粪啶 啶曕 啶え啶距く啷囙';
+$lang['btn_search'] = '啶栢啶溹啶';
+$lang['btn_save'] = '啶膏啶班啷嵿し啶苦い 啶曕ぐ啷囙';
+$lang['btn_preview'] = '啶啶班啶掂ぞ啶掂げ啷嬥啶';
+$lang['btn_top'] = '啶掂ぞ啶じ 啶多啶班啶 啶ぐ';
+$lang['btn_newer'] = '<< 啶呧ぇ啶苦 啶掂た啶椸い';
+$lang['btn_older'] = '啶呧ぎ啷傕げ 啶掂た啶椸い >>';
+$lang['btn_revs'] = '啶啶班ぞ啶ㄠ 啶膏啶多啶оえ';
+$lang['btn_recent'] = '啶掂た啶椸い 啶ぐ啶苦さ啶班啶むえ';
+$lang['btn_upload'] = '啶呧お啶侧啶 啶曕ぐ啷囙';
+$lang['btn_cancel'] = '啶班う啷嵿う 啶曕ぐ啷囙';
+$lang['btn_index'] = '啶膏啶氞啶距啶';
+$lang['btn_secedit'] = '啶膏啶ぞ啶︵た啶 啶曕ぐ啷囙';
+$lang['btn_login'] = '啶侧啶 啶囙え';
+$lang['btn_logout'] = '啶侧啶椸啶夃';
+$lang['btn_admin'] = '啶掂啶さ啶膏啶ムぞ啶';
+$lang['btn_update'] = '啶呧う啷嵿く啶むえ 啶曕ぐ啶ㄠぞ';
+$lang['btn_delete'] = '啶た啶熰ぞ啶ㄠぞ';
+$lang['btn_back'] = '啶啶涏';
+$lang['btn_backlink'] = '啶た啶涏げ啷 啶曕ぁ啶监た啶ぞ啶';
+$lang['btn_backtomedia'] = '啶啶∴た啶ぞ 啶ぞ啶囙げ 啶氞く啶 啶ぐ 啶啶涏 啶溹ぞ啶啶';
+$lang['user'] = '啶夃お啶啶椸啶班啶むぞ 啶曕ぞ 啶ㄠぞ啶';
+$lang['pass'] = '啶椸啶啶 啶多が啷嵿う';
+$lang['newpass'] = '啶ㄠさ 啶椸啶啶 啶多が啷嵿う';
+$lang['passchk'] = '啶ぞ啶膏さ啶班啶 啶︵啶ぞ啶班ぞ 啶侧た啶栢啶';
+$lang['remember'] = '啶啶澿 啶膏啶啶 啶班啶ㄠぞ';
+$lang['fullname'] = '啶膏す啷 啶ㄠぞ啶';
+$lang['email'] = '啶堗ぎ啷囙げ';
+$lang['badlogin'] = '啶涏ぎ啶 啶曕ぐ啷囙, 啶夃お啶啶椸啶班啶むぞ 啶曕ぞ 啶ㄠぞ啶 啶 啶椸啶啶 啶多が啷嵿う 啶椸ぜ啶侧い 啶ムぞ |';
+$lang['minoredit'] = '啶呧ぎ啷傕げ 啶氞啶 啶ぐ啶苦さ啶班啶むえ';
+$lang['regmissing'] = '啶涏ぎ啶 啶曕ぐ啷囙, 啶嗋お啶曕 啶膏ぞ啶班 啶班た啶曕啶 啶膏啶ムぞ啶 啶ぐ啶ㄠ 啶ぁ啶监啶傕啷 |';
+$lang['regbadpass'] = '啶︵啶ㄠ 啶︵た啶 啶椸く啷 啶椸啶啶むざ啶啶 啶膏ぎ啶距え 啶ㄠす啷啶 啶灌啶 | 啶︵啶ぞ啶班ぞ 啶啶班く啶距じ 啶曕ぐ啷囙 |';
+$lang['regpwmail'] = '啶嗋お啶曕 啶∴啶曕啶掂た啶曕 啶曕ぞ 啶椸啶啶むざ啶啶';
+$lang['reghere'] = '啶嗋お啶曕 啶ぞ啶 啶呧き啷 啶む 啶曕啶 啶栢ぞ啶むぞ 啶ㄠす啷啶 啶灌? 啶じ 啶忇 啶侧啶 |';
+$lang['profna'] = '啶す 啶掂た啶曕 啶啶班啶ぜ啶距啶 啶膏啶多啶оえ 啶曕ぞ 啶膏ぎ啶班啶ムえ 啶ㄠす啷啶 啶曕ぐ啶むぞ |';
+$lang['profnochange'] = '啶曕啶 啶ぐ啶苦さ啶班啶むえ 啶ㄠす啷啶, 啶曕啶 啶ㄠす啷啶 啶曕ぐ啶ㄠぞ |';
+$lang['resendpwd'] = '啶ㄠさ啶椸啶啶むざ啶啶 啶啶溹啶';
+$lang['resendpwdmissing'] = '啶涏ぎ啶 啶曕ぐ啷囙, 啶嗋お啶曕 啶膏ぞ啶班 啶班た啶曕啶 啶膏啶ムぞ啶 啶ぐ啶ㄠ 啶ぁ啶监啶傕啷 |';
+$lang['resendpwdsuccess'] = '啶嗋お啶曕ぞ 啶ㄠさ啶椸啶啶むざ啶啶 啶堗ぎ啷囙げ 啶︵啶掂ぞ啶班ぞ 啶膏ぎ啷嵿お啷嵿ぐ啷囙し啶苦い 啶曕ぐ 啶︵た啶ぞ 啶椸く啶 啶灌 |';
+$lang['txt_upload'] = '啶呧お啶侧啶 啶曕ぐ啶ㄠ 啶曕 啶侧た啶 啶ぜ啶距啶 啶氞啶ㄠ啶';
+$lang['txt_filename'] = '啶曕 啶班啶 啶啶 啶呧お啶侧啶 啶曕ぐ啷囙 (啶掂啶曕げ啷嵿お啶苦)';
+$lang['txt_overwrt'] = '啶呧ぇ啶苦げ啷囙啶苦い 啶夃お啶膏啶ムた啶 啶ぜ啶距啶';
+$lang['lockedby'] = '啶囙じ 啶膏ぎ啶 啶む 啶啶';
+$lang['lockexpire'] = '啶啶 啶膏ぎ啶距お啷嵿い 啶灌啶椸ぞ';
+$lang['nothingfound'] = '啶曕啶氞啶 啶ㄠす啷啶 啶た啶侧ぞ |';
+$lang['uploadexist'] = '啶ぜ啶距啶 啶す啶侧 啶膏 啶夃お啶膏啶ムた啶 啶灌. 啶曕啶 啶 啶ㄠす啷啶 啶曕た啶ぞ |';
+$lang['mediafiles'] = '啶夃お啶侧が啷嵿ぇ 啶ぞ啶囙げ啷嬥 啶啶';
+$lang['js']['hidedetails'] = '啶掂た啶掂ぐ啶 啶涏た啶ぞ啶忇';
+$lang['mediaview'] = '啶啶 啶ぜ啶距啶 啶︵啶栢啶';
+$lang['reference'] = '啶膏啶︵ぐ啷嵿き 啶曕 啶侧た啶';
+$lang['ref_hidden'] = '啶曕啶氞啶 啶膏啶︵ぐ啷嵿き 啶夃え 啶え啷嵿え啷 啶ぐ 啶灌啶 啶溹た啶ㄠ啷 啶ぁ啶监え啷 啶曕 啶嗋お啶曕 啶呧え啷佮ぎ啶むた 啶ㄠす啷啶 啶灌|';
+$lang['toc'] = '啶掂た啶粪く 啶膏啶氞';
+$lang['current'] = '啶掂ぐ啷嵿い啶ぞ啶';
+$lang['yours'] = '啶嗋お啶曕ぞ 啶膏啶膏啶曕ぐ啶`';
+$lang['diff'] = '啶掂ぐ啷嵿い啶ぞ啶 啶膏啶多啶оえ 啶啶 啶い啶啶 啶︵た啶栢ぞ啶囙く啷 |';
+$lang['diff2'] = '啶氞く啶ㄠた啶 啶膏啶多啶оえ 啶曕 啶啶 啶啶 啶い啶啶 啶︵た啶栢ぞ啶囙く啷 |';
+$lang['line'] = '啶班啶栢ぞ
+';
+$lang['youarehere'] = '啶嗋お 啶す啶距 啶灌啶 |
+
+';
+$lang['lastmod'] = '啶呧啶むた啶 啶ぞ啶 啶膏啶多啶оた啶';
+$lang['by'] = '啶曕 啶︵啶掂ぞ啶班ぞ';
+$lang['deleted'] = '啶灌啶距く啶';
+$lang['created'] = '啶ㄠた啶班啶た啶';
+$lang['restored'] = '啶啶班ぞ啶ㄠ 啶膏啶多啶оえ 啶す啶距げ';
+$lang['external_edit'] = '啶ぞ啶灌啶 啶膏ぎ啷嵿お啶距う啶苦い';
+$lang['summary'] = '啶膏ぞ啶班ぞ啶傕ざ 啶膏啶ぞ啶︵た啶 啶曕ぐ啷囙';
+$lang['mail_newpage'] = '啶啶粪啶 啶溹啶∴ぜ啶:';
+$lang['mail_changed'] = '啶啶粪啶 啶う啶侧ぞ:';
+$lang['mail_new_user'] = '啶ㄠく啷 啶夃お啶啶椸啶班啶むぞ:';
+$lang['mail_upload'] = '啶呧お啶侧啶 啶曕 啶椸 啶ぜ啶距啶:';
+$lang['qb_bold'] = '啶啶侧啶 啶ぞ啶犩啶';
+$lang['qb_h1'] = '啶膏啶むぐ 1 啶多啶班啶粪お啶傕啷嵿い啶';
+$lang['qb_h2'] = '啶膏啶むぐ 2 啶多啶班啶粪お啶傕啷嵿い啶';
+$lang['qb_h3'] = '啶膏啶むぐ 3 啶多啶班啶粪お啶傕啷嵿い啶';
+$lang['qb_h4'] = '啶膏啶むぐ 4 啶多啶班啶粪お啶傕啷嵿い啶';
+$lang['qb_h5'] = '啶膏啶むぐ 5 啶多啶班啶粪お啶傕啷嵿い啶';
+$lang['qb_link'] = '啶嗋啶むぐ啶苦 啶曕ぁ啶监';
+$lang['qb_extlink'] = '啶ぞ啶灌啶 啶曕ぁ啶监';
+$lang['qb_hr'] = '啶栢ぁ啶监 啶班啶栢ぞ';
+$lang['qb_sig'] = '啶灌じ啷嵿い啶距啷嵿し啶 啶∴ぞ啶侧啶';
+$lang['admin_register'] = '啶ㄠく啶 啶夃お啶啶椸啶班啶むぞ 啶溹啶∴ぜ啷囙';
+$lang['img_backto'] = '啶掂ぞ啶じ 啶溹ぞ啶ㄠぞ';
+$lang['img_title'] = '啶多啶班啶粪';
+$lang['img_caption'] = '啶膏す啶多啶班啶粪';
+$lang['img_date'] = '啶むた啶ムた';
+$lang['img_fsize'] = '啶嗋啶距ぐ';
+$lang['img_artist'] = '啶啶熰啶椸啶班ぞ啶ぐ';
+$lang['img_format'] = '啶啶班ぞ啶班啶';
+$lang['img_camera'] = '啶曕啶ぐ啶';
+$lang['i_chooselang'] = '啶呧お啶ㄠ 啶ぞ啶粪ぞ 啶氞啶ㄠ啶';
+$lang['i_installer'] = '啶∴啶曕啶掂た啶曕 啶囙啶膏啶熰啶侧ぐ';
+$lang['i_wikiname'] = '啶掂た啶曕 啶曕ぞ 啶ㄠぞ啶';
+$lang['i_superuser'] = '啶す啶距啶く啷嬥啶曕ぐ啷嵿い啶';
+$lang['i_retry'] = '啶啶ㄠ啶啶班く啶距じ';
+$lang['mu_gridsize'] = '啶嗋啶距ぐ';
+$lang['mu_gridstat'] = '啶膏啶ムた啶むた';
+$lang['mu_browse'] = '啶啶班ぞ啶夃啶
+';
+$lang['mu_toobig'] = '啶す啷佮い 啶ぁ啶监ぞ';
+$lang['mu_ready'] = '啶呧お啶侧啶 啶曕ぐ啶ㄠ 啶曕 啶侧た啶 啶む啶ぞ啶';
+$lang['mu_done'] = '啶啶班啶';
+$lang['mu_fail'] = '啶呧じ啶げ';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/admin.txt
new file mode 100644
index 000000000..15a2a2b13
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/admin.txt
@@ -0,0 +1,3 @@
+====== Administracija ======
+
+Slijedi spisak svih administracijskih poslova koji su trenutno dostupni.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/backlinks.txt
new file mode 100644
index 000000000..e7115a6b6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/backlinks.txt
@@ -0,0 +1,3 @@
+====== Linkovi na stranicu ======
+
+Slijedi spisak svih dokumenata koji imaju link na trenutni.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/conflict.txt
new file mode 100644
index 000000000..e33d7020a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/conflict.txt
@@ -0,0 +1,5 @@
+====== Postoji novija verzija ======
+
+Ve膰 postoji novija verzija dokumenta kojeg ste mijenjali. To se de拧ava jer je neki drugi korisnik snimio dokument za vrijeme dok ste ga Vi mijenjali.
+
+Prou膷ite promjene koje slijede i odaberite koje 啪elite preuzeti. Odaberite ''Snimi'' da biste snimili Va拧u verziju ili ''Poni拧ti'' da ostavite sa膷uvanu trenutnu verziju dokumenta.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/denied.txt
new file mode 100644
index 000000000..216eea582
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/denied.txt
@@ -0,0 +1,5 @@
+====== Niste autorizirani ======
+
+Nemate autorizaciju.
+
+Niste li se mo啪da zaboravili prijaviti u aplikaciju?
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/diff.txt
new file mode 100644
index 000000000..ce6c8c4cb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/diff.txt
@@ -0,0 +1,3 @@
+====== Razlike ======
+
+Slijede sve razlike izme膽u odabrane i trenutne verzije dokumenta
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/edit.txt
new file mode 100644
index 000000000..8cd57d524
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/edit.txt
@@ -0,0 +1 @@
+Nakon 拧to ste napravili sve potrebne promjene - odaberite ''Snimi'' za snimanje dokumenta.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/editrev.txt
new file mode 100644
index 000000000..911855f47
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/editrev.txt
@@ -0,0 +1,2 @@
+**U膷itali ste stariju verziju dokumenta!** Ukoliko je snimite - biti 膰e kreirana nova verzija dokumenta.
+---- \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/index.txt
new file mode 100644
index 000000000..9c30a805c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/index.txt
@@ -0,0 +1 @@
+====== Indeks ======
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/lang.php
new file mode 100644
index 000000000..05a20c25e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/lang.php
@@ -0,0 +1,200 @@
+<?php
+/**
+ * croatian language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Tomo Krajina <aaa@puzz.info>
+ * @author Branko Rihtman <theney@gmail.com>
+ * @author Dra啪en Odoba拧i膰 <dodobasic@gmail.com>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '\'';
+$lang['btn_edit'] = 'Izmijeni dokument';
+$lang['btn_source'] = 'Prika啪i kod dokumenta';
+$lang['btn_show'] = 'Prika啪i dokument';
+$lang['btn_create'] = 'Novi dokument';
+$lang['btn_search'] = 'Pretra啪i';
+$lang['btn_save'] = 'Snimi';
+$lang['btn_preview'] = 'Prika啪i';
+$lang['btn_top'] = 'Na vrh';
+$lang['btn_newer'] = '<< noviji';
+$lang['btn_older'] = 'stariji >>';
+$lang['btn_revs'] = 'Stare ina膷ice';
+$lang['btn_recent'] = 'Nedavne izmjene';
+$lang['btn_upload'] = 'Postavi';
+$lang['btn_cancel'] = 'Odustani';
+$lang['btn_index'] = 'Indeks';
+$lang['btn_secedit'] = 'Izmjeni';
+$lang['btn_login'] = 'Prijavi se';
+$lang['btn_logout'] = 'Odjavi se';
+$lang['btn_admin'] = 'Administriranje';
+$lang['btn_update'] = 'A啪uriraj';
+$lang['btn_delete'] = 'Obri拧i';
+$lang['btn_back'] = 'Povratak';
+$lang['btn_backlink'] = 'Povratni linkovi';
+$lang['btn_backtomedia'] = 'Povratak na Mediafile izbornik';
+$lang['btn_subscribe'] = 'Pretplati se na promjene dokumenta';
+$lang['btn_unsubscribe'] = 'Odjavi pretplatu o promjenama dokumenta';
+$lang['btn_subscribens'] = 'Pretplati se na promjene imenskog prostora';
+$lang['btn_unsubscribens'] = 'Odjavi pretplatu o promjenama imenskog prostora';
+$lang['btn_profile'] = 'A啪uriraj profil';
+$lang['btn_reset'] = 'Poni拧ti promjene';
+$lang['btn_resendpwd'] = 'Po拧alji novu lozinku';
+$lang['btn_draft'] = 'Uredi nacrt dokumenta';
+$lang['btn_recover'] = 'Vrati prija拧nji nacrt dokumenta';
+$lang['btn_draftdel'] = 'Obri拧i nacrt dokumenta';
+$lang['loggedinas'] = 'Prijavljen kao';
+$lang['user'] = 'Korisni膷ko ime';
+$lang['pass'] = 'Lozinka';
+$lang['newpass'] = 'Nova lozinka';
+$lang['oldpass'] = 'Potvrdi trenutnu lozinku';
+$lang['passchk'] = 'Ponoviti';
+$lang['remember'] = 'Zapamti me';
+$lang['fullname'] = 'Ime i prezime';
+$lang['email'] = 'Email';
+$lang['register'] = 'Registracija';
+$lang['profile'] = 'Korisni膷ki profil';
+$lang['badlogin'] = 'Ne ispravno korisni膷ko ime ili lozinka.';
+$lang['minoredit'] = 'Manje izmjene';
+$lang['draftdate'] = 'Nacrt dokumenta je automatski spremljen u ';
+$lang['nosecedit'] = 'Stranica se u me膽uvremenu promijenila. Informacija o odjeljku je ostarila pa je u膷itana kompletna stranica.';
+$lang['regmissing'] = 'Morate popuniti sva polja.';
+$lang['reguexists'] = 'Korisnik s tim korisni膷kim imenom ve膰 postoji.';
+$lang['regsuccess'] = 'Korisnik je uspje拧no stvoren i poslana je lozinka emailom.';
+$lang['regsuccess2'] = 'Korisnik je uspje拧no stvoren.';
+$lang['regmailfail'] = 'Pojavila se gre拧ka prilikom slanja lozinke emailom. Kontaktirajte administratora!';
+$lang['regbadmail'] = 'Email adresa nije ispravna, ukoliko ovo smatrate gre拧kom, kontaktirajte administratora.';
+$lang['regbadpass'] = 'Unesene lozinke nisu jednake, poku拧ajte ponovno.';
+$lang['regpwmail'] = 'Va拧a DokuWiki lozinka';
+$lang['reghere'] = 'Jo拧 uvijek nemate korisni膷ki ra膷un? Registrirajte se.';
+$lang['profna'] = 'Ovaj wiki ne dopu拧ta izmjene korisni膷kog profila.';
+$lang['profnochange'] = 'Nema izmjena.';
+$lang['profnoempty'] = 'Prazno korisni膷ko ime ili email nisu dopu拧teni.';
+$lang['profchanged'] = 'Korisni膷ki profil je uspje拧no izmijenjen.';
+$lang['pwdforget'] = 'Izgubili ste lozinku? Zatra啪ite novu';
+$lang['resendna'] = 'Ovaj wiki ne podr啪ava ponovno slanje lozinke emailom.';
+$lang['resendpwd'] = 'Poslati novu lozinku za';
+$lang['resendpwdmissing'] = 'Ispunite sva polja.';
+$lang['resendpwdnouser'] = 'Nije mogu膰e prona膰i korisnika.';
+$lang['resendpwdbadauth'] = 'Neispravan autorizacijski kod. Provjerite da li ste koristili potpun potvrdni link.';
+$lang['resendpwdconfirm'] = 'Potvrdni link je poslan emailom.';
+$lang['resendpwdsuccess'] = 'Nova lozinka je poslana emailom.';
+$lang['license'] = 'Osim na mjestima gdje je nazna膷eno druga膷ije, sadr啪aj ovog wikija je licenciran sljede膰om licencom:';
+$lang['licenseok'] = 'Pa啪nja: promjenom ovog dokumenta pristajete licencirati sadr啪aj sljede膰om licencom: ';
+$lang['txt_upload'] = 'Odaberite datoteku za postavljanje';
+$lang['txt_filename'] = 'Postaviti kao (nije obavezno)';
+$lang['txt_overwrt'] = 'Prepi拧i postoje膰u datoteku';
+$lang['lockedby'] = 'Zaklju膷ao';
+$lang['lockexpire'] = 'Zaklju膷ano do';
+$lang['willexpire'] = 'Dokument kojeg mijenjate 膰e biti zaklju膷an jo拧 1 minutu.\n Ukoliko 啪elite i dalje raditi izmjene na dokumentu - kliknite na "Pregled".';
+$lang['notsavedyet'] = 'Va拧e izmjene 膰e se izgubiti.\n沤elite li nastaviti?';
+$lang['rssfailed'] = 'Do拧lo je do gre拧ke prilikom preuzimanja feed-a: ';
+$lang['nothingfound'] = 'Tra啪eni dokumetni nisu prona膽eni.';
+$lang['mediaselect'] = 'Mediafile datoteke';
+$lang['fileupload'] = 'Mediafile postavljanje';
+$lang['uploadsucc'] = 'Postavljanje uspje拧no';
+$lang['uploadfail'] = 'Neuspje拧no postavljanje. Mo啪da dozvole na poslu啪itelju nisu ispravne?';
+$lang['uploadwrong'] = 'Postavljanje nije dopu拧teno. Nastavak datoteke je zabranjen!';
+$lang['uploadexist'] = 'Datoteka ve膰 postoji.';
+$lang['uploadbadcontent'] = 'Postavljeni sadr啪aj ne odgovara ekstenziji %s datoteke.';
+$lang['uploadspam'] = 'Postavljanje je blokirano spam crnom listom.';
+$lang['uploadxss'] = 'Postavljanje je blokirano zbog mogu膰eg zlonamjernog sadr啪aja.';
+$lang['uploadsize'] = 'Postavljena datoteka je prevelika (max. %s)';
+$lang['deletesucc'] = 'Datoteka "%s" je obrisana.';
+$lang['deletefail'] = '"%s" se ne mo啪e obrisati - provjerite dozvole na poslu啪itelju.';
+$lang['mediainuse'] = 'Datoteka "%s" nije obrisana - jo拧 uvijek se koristi.';
+$lang['namespaces'] = 'Imenski prostori';
+$lang['mediafiles'] = 'Datoteke u';
+$lang['js']['keepopen'] = 'Ostavi prozor otvoren nakon izbora';
+$lang['js']['hidedetails'] = 'Sakrij detalje';
+$lang['js']['nosmblinks'] = 'Linkovi na dijeljene Windows mape rade samo s Internet Explorerom. Link je jo拧 uvijek mogu膰e kopirati i zalijepiti.';
+$lang['js']['mu_btn'] = 'Postavi vi拧e datoteka odjednom';
+$lang['mediausage'] = 'Koristi sljede膰u sintaksu za referenciranje ove datoteke:';
+$lang['mediaview'] = 'Pregledaj originalnu datoteku';
+$lang['mediaroot'] = 'root';
+$lang['mediaupload'] = 'Postavi datoteku u odabrani imenski prostor. Podimenski prostori se stvaraju dodavanjem istih kao prefiks naziva datoteke u "Postavi kao" polju, tako da se odvoje dvoto膷kama.';
+$lang['mediaextchange'] = 'Ekstenzija datoteke promijenjena iz .%s u .%s!';
+$lang['reference'] = 'Reference za';
+$lang['ref_inuse'] = 'Datoteka se ne mo啪e obrisati jer se jo拧 uvijek koristi u sljede膰im dokumentima:';
+$lang['ref_hidden'] = 'Neke reference se nalaze na dokumentima koje nemate dozvolu 膷itati';
+$lang['hits'] = 'Prona膽eno';
+$lang['quickhits'] = 'Prona膽eno po nazivima dokumenata';
+$lang['toc'] = 'Sadr啪aj';
+$lang['current'] = 'trenutno';
+$lang['yours'] = 'Va拧a ina膷ica';
+$lang['diff'] = 'Prika啪i razlike u odnosu na trenutnu ina膷icu';
+$lang['diff2'] = 'Poka啪i razlike izme膽u odabranih ina膷ica';
+$lang['line'] = 'Redak';
+$lang['breadcrumb'] = 'Putanja';
+$lang['youarehere'] = 'Vi ste ovdje';
+$lang['lastmod'] = 'Zadnja izmjena';
+$lang['by'] = 'od';
+$lang['deleted'] = 'obrisano';
+$lang['created'] = 'stvoreno';
+$lang['restored'] = 'vra膰ena prija拧nja ina膷ica';
+$lang['external_edit'] = 'vanjsko ure膽ivanje';
+$lang['summary'] = 'Sa啪etak izmjena';
+$lang['noflash'] = 'Za prikazivanje ovog sadr啪aja potreban je <a href="http://www.adobe.com/products/flashplayer/">Adobe Flash Plugin</a>';
+$lang['mail_newpage'] = 'stranica dodana:';
+$lang['mail_changed'] = 'stranica izmjenjena:';
+$lang['mail_new_user'] = 'novi korisnik:';
+$lang['mail_upload'] = 'datoteka postavljena:';
+$lang['qb_bold'] = 'Podebljani tekst';
+$lang['qb_italic'] = 'Uko拧eni tekst';
+$lang['qb_underl'] = 'Podcrtani tekst';
+$lang['qb_code'] = 'Kod';
+$lang['qb_strike'] = 'Precrtani tekst';
+$lang['qb_h1'] = 'Naslov - razina 1';
+$lang['qb_h2'] = 'Naslov - razina 2';
+$lang['qb_h3'] = 'Naslov - razina 3';
+$lang['qb_h4'] = 'Naslov - razina 4';
+$lang['qb_h5'] = 'Naslov - razina 5';
+$lang['qb_h'] = 'Naslov';
+$lang['qb_hs'] = 'Odaberite naslov';
+$lang['qb_hplus'] = 'Naslov vi拧e razine';
+$lang['qb_hminus'] = 'Naslov ni啪e razine';
+$lang['qb_hequal'] = 'Naslov iste razine';
+$lang['qb_link'] = 'Interni link';
+$lang['qb_extlink'] = 'Vanjski link';
+$lang['qb_hr'] = 'Vodoravna crta';
+$lang['qb_ol'] = 'Pobrojana lista';
+$lang['qb_ul'] = 'Lista';
+$lang['qb_media'] = 'Dodaj slike i ostale datoteke';
+$lang['qb_sig'] = 'Potpis';
+$lang['qb_smileys'] = 'Smije拧ki膰i';
+$lang['qb_chars'] = 'Posebni znakovi';
+$lang['js']['del_confirm'] = 'Zbilja 啪elite obrisati odabrane stavke?';
+$lang['admin_register'] = 'Dodaj novog korisnika';
+$lang['metaedit'] = 'Uredi metapodatake';
+$lang['metasaveerr'] = 'Neuspje拧no zapisivanje metapodataka';
+$lang['metasaveok'] = 'Spremljeni metapdaci';
+$lang['img_backto'] = 'Povratak na';
+$lang['img_title'] = 'Naziv';
+$lang['img_caption'] = 'Naslov';
+$lang['img_date'] = 'Datum';
+$lang['img_fname'] = 'Ime datoteke';
+$lang['img_fsize'] = 'Veli膷ina';
+$lang['img_artist'] = 'Fotograf';
+$lang['img_copyr'] = 'Autorsko pravo';
+$lang['img_format'] = 'Format';
+$lang['img_camera'] = 'Kamera';
+$lang['img_keywords'] = 'Klju膷ne rije膷i';
+$lang['subscribe_success'] = 'Dodan %s na listu preplata za %s';
+$lang['subscribe_error'] = 'Gre拧ka prilikom dodavanju %s na listu pretplata za %s';
+$lang['subscribe_noaddress'] = 'Nije postavljena email adresa za va拧 korisni膷ki profil, nije Vas mogu膰e dodati na listu pretplata';
+$lang['unsubscribe_success'] = 'Izbrisan %s s liste pretplata za %s';
+$lang['unsubscribe_error'] = 'Gre拧ka prilikom brisanja %s s liste pretplatnika za %s';
+$lang['authmodfailed'] = 'Gre拧ka u konfiguraciji korisni膷ke autentifikacije. Molimo Vas da kontaktirate administratora.';
+$lang['authtempfail'] = 'Autentifikacija korisnika je privremeno nedostupna. Molimo Vas da kontaktirate administratora.';
+$lang['i_chooselang'] = 'Izaberite va拧 jezik';
+$lang['i_installer'] = 'DokuWiki instalacija';
+$lang['i_wikiname'] = 'Naziv Wikija';
+$lang['i_enableacl'] = 'Omogu膰i ACL (preporu膷eno)';
+$lang['i_superuser'] = 'Superkorisnik';
+$lang['i_problems'] = 'Instalacija je prona拧la probleme koji su nazna膷eni ispod. Nije mogu膰e nastaviti dok se ti problemi ne rije拧e.';
+$lang['i_modified'] = 'Zbog sigurnosnih razlog, ova skripta ce raditi samo sa novim i nepromijenjenim instalacijama dokuWikija. Preporucujemo da ili re-ekstraktirate fajlove iz downloadovanog paketa ili konsultujete pune a href="http://dokuwiki.org/install">Instrukcije za instalaciju Dokuwikija</a>';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/locked.txt
new file mode 100644
index 000000000..ff081aad8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/locked.txt
@@ -0,0 +1,3 @@
+====== Dokument zaklju膷an ======
+
+Mijenjanje ovog dokumenta je trenutno onemogu膰eno jer je otvoren od strane nekog drugog korisnika. Morate pri膷ekati da on zavr拧i sa svojim izmjenama.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/login.txt
new file mode 100644
index 000000000..216af130d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/login.txt
@@ -0,0 +1,3 @@
+====== Prijava ======
+
+Upi拧ite korisni膷ko ime i lozinku da biste se prijavili.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/mailtext.txt
new file mode 100644
index 000000000..911f8eade
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/mailtext.txt
@@ -0,0 +1,16 @@
+Dokument na Va拧em wiki-ju je promijenjen ili dodan:
+
+Datum : @DATE@
+Preglednik : @BROWSER@
+IP-Adresa : @IPADDRESS@
+Host : @HOSTNAME@
+Prija拧nja verzija : @OLDPAGE@
+Nova verzija : @NEWPAGE@
+Opis izmjene : @SUMMARY@
+Korisnik : @USER@
+
+@DIFF@
+
+
+--
+Ovaj email je poslan na: @DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/newpage.txt
new file mode 100644
index 000000000..39346580f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/newpage.txt
@@ -0,0 +1,3 @@
+====== Dokument ne postoji ======
+
+Tra啪eni dokument (jo拧) ne postoji. Ukoliko ga 啪elite otvoriti kliknite na ''Novi dokument''.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/norev.txt
new file mode 100644
index 000000000..231fb5edf
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/norev.txt
@@ -0,0 +1,3 @@
+====== Nepostoje膰a verzija ======
+
+Tra啪ena verzija dokumenta ne postoji.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/preview.txt
new file mode 100644
index 000000000..89ae86a71
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/preview.txt
@@ -0,0 +1,3 @@
+====== Pregled ======
+
+Ovo je pregled kako 膰e izgledati Va拧 dokument nakon 拧to se snimi.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/read.txt
new file mode 100644
index 000000000..d036c0a7b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/read.txt
@@ -0,0 +1 @@
+Nije dopu拧teno mijenjati sadr啪aj ove stranice.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/recent.txt
new file mode 100644
index 000000000..4145ca1c5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/recent.txt
@@ -0,0 +1,3 @@
+====== Nedavne izmjene ======
+
+Stranice koje su nedavno promijenjene.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/register.txt
new file mode 100644
index 000000000..32a5489fb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/register.txt
@@ -0,0 +1,3 @@
+====== Prijava novog korisnika ======
+
+Ispunite potrebne podatke da biste dobili korisni膷ki ra膷un na wikiju. Posebno obratite pa啪nju da ste unijeli valjani email.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/resendpwd.txt
new file mode 100644
index 000000000..fe2c72bf3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/resendpwd.txt
@@ -0,0 +1,3 @@
+====== Slanje nove lozinke ======
+
+Ispunite potrebne podatke da biste dobili novu lozinku za Va拧 korisni膷ki ra膷un.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/revisions.txt
new file mode 100644
index 000000000..d224a56f3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/revisions.txt
@@ -0,0 +1,3 @@
+====== Stare verzije ======
+
+Slijedi spisak starih verzija za tra啪eni dokument.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/searchpage.txt
new file mode 100644
index 000000000..91d9f9c0a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/searchpage.txt
@@ -0,0 +1 @@
+====== Rezultati pretra啪ivanja ======
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/showrev.txt
new file mode 100644
index 000000000..aba2c0db0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/showrev.txt
@@ -0,0 +1,2 @@
+**Ovo je stara verzija dokumenta!**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/stopwords.txt
new file mode 100644
index 000000000..bc6eb48ae
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/stopwords.txt
@@ -0,0 +1,29 @@
+# This is a list of words the indexer ignores, one word per line
+# When you edit this file be sure to use UNIX line endings (single newline)
+# No need to include words shorter than 3 chars - these are ignored anyway
+# This list is based upon the ones found at http://www.ranks.nl/stopwords/
+about
+are
+and
+you
+your
+them
+their
+com
+for
+from
+into
+how
+that
+the
+this
+was
+what
+when
+where
+who
+will
+with
+und
+the
+www
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/subscribermail.txt
new file mode 100644
index 000000000..c55b4a288
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/subscribermail.txt
@@ -0,0 +1,16 @@
+Pozdrav!
+
+Stranica @PAGE@ na @TITLE@ je promijenjena.
+Slijede promjene:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+Ukoliko se 啪elite odjaviti s ove pretplate - prijavite se na:
+@DOKUWIKIURL@ zatim odite na
+@NEWPAGE@
+i odaberite 'Odjava'.
+
+--
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/updateprofile.txt
new file mode 100644
index 000000000..8eab906d2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/updateprofile.txt
@@ -0,0 +1,3 @@
+====== Izmjena korisni膷kog profila ======
+
+Ispunite samo polja koja 啪elite mijenjati. Ne mo啪e se mijenjati korisni膷ko ime.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/wordblock.txt
new file mode 100644
index 000000000..7faf03c19
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hr/wordblock.txt
@@ -0,0 +1,3 @@
+====== SPAM blokiranje ======
+
+Va拧e izmjene **nisu** snimljene jer sadr啪e jednu ili vi拧e blokiranih/zabranjenih rije膷i. Ukoliko mislite da je to gre拧ka - molimo Vas da kontaktirate administratora.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/admin.txt
new file mode 100644
index 000000000..03d29243c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/admin.txt
@@ -0,0 +1,3 @@
+===== Adminisztr谩l谩s =====
+
+Itt tal谩lod a DokuWiki adminisztr谩l谩si lehet艖s茅geit.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/adminplugins.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/adminplugins.txt
new file mode 100644
index 000000000..89fe3738a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/adminplugins.txt
@@ -0,0 +1 @@
+===== Tov谩bbi modulok ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/backlinks.txt
new file mode 100644
index 000000000..d457ab769
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/backlinks.txt
@@ -0,0 +1,5 @@
+====== Hivatkoz谩sok ======
+
+Ez azoknak az oldalaknak a list谩ja, amelyek erre az oldalra "visszamutatnak" (hivatkoznak).
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/conflict.txt
new file mode 100644
index 000000000..b823465b3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/conflict.txt
@@ -0,0 +1,7 @@
+====== 脷jabb v谩ltozat l茅tezik ======
+
+Az 谩ltalad szerkesztett dokumentumnak egy 煤jabb v谩ltozata l茅tezik. Ez akkor t枚rt茅nik, ha egy m谩sik felhaszn谩l贸 megv谩ltoztatta a dokumentumot, am铆g szerkesztetted.
+
+N茅zd 谩t gondosan a lenti elt茅r茅seket, azt谩n d枚nts arr贸l, melyik v谩ltozatot tartod meg. Ha ''Ment茅s'' gombot v谩lasztod, akkor a Te v谩ltozatod ker眉l ment茅sre. Nyomj ''M茅gsem'' gombot a jelenlegi v谩ltozat megtart谩s谩hoz.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/denied.txt
new file mode 100644
index 000000000..0b06724df
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/denied.txt
@@ -0,0 +1,4 @@
+====== Hozz谩f茅r茅s megtagadva ======
+
+Sajn谩ljuk, nincs jogod a folytat谩shoz. Esetleg elfelejtett茅l bejelentkezni?
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/diff.txt
new file mode 100644
index 000000000..6a09cdea2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/diff.txt
@@ -0,0 +1,4 @@
+====== K眉l枚nbs茅gek ======
+
+A kiv谩lasztott v谩ltozat 茅s az aktu谩lis verzi贸 k枚z枚tti k眉l枚nbs茅get mutatjuk.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/draft.txt
new file mode 100644
index 000000000..4d12e2e0c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/draft.txt
@@ -0,0 +1,5 @@
+===== Piszkozatot tal谩ltunk =====
+
+Az oldal utols贸 szerkeszt茅s茅t nem fejezted be rendesen. A DokuWiki elmentette piszkozatk茅nt, 铆gy most folytathatod a szerkeszt茅st. Lent l谩that贸, amit az utols贸 szerkeszt茅sb艖l elmentett眉nk.
+
+V谩lassz a //helyre谩ll铆t谩s// vagy a //t枚rl茅s// opci贸k k枚z眉l a piszkozat sors谩t illet艖en. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/edit.txt
new file mode 100644
index 000000000..898387cf2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/edit.txt
@@ -0,0 +1 @@
+Szerkeszd az oldalt majd 眉sd le a ''Ment茅s'' gombot. L谩sd a [[wiki:syntax|nyelvtan]] oldalt a form谩z谩si lehet艖s茅gek茅rt. K茅r眉nk, hogy csak akkor szerkeszd az oldalt ha **jobb铆tani** tudod. Ha ki akarsz pr贸b谩lni dolgokat akkor az els艖 l茅p茅seid a [[playground:playground|j谩tsz贸t茅ren]] (playground) tedd.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/editrev.txt
new file mode 100644
index 000000000..e17662e60
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/editrev.txt
@@ -0,0 +1,2 @@
+**Egy kor谩bbi v谩ltozatot t枚lt枚tt茅l be!** Ha elmented, akkor egy 煤jabb aktu谩lis verzi贸 j枚n l茅tre ezzel a tartalommal.
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/index.txt
new file mode 100644
index 000000000..ebf15148d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/index.txt
@@ -0,0 +1,4 @@
+====== 脕ttekint艖 (index) ======
+
+Az 枚sszes el茅rhet艖 oldal 谩ttekint茅se [[doku>namespaces|n茅vterek]] szerint rendezve.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/install.html
new file mode 100644
index 000000000..1676e9364
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/install.html
@@ -0,0 +1,26 @@
+<p>Ez az oldal seg铆t a <a href="http://dokuwiki.org">DokuWiki</a> kezdeti
+be谩ll铆t谩s谩ban 茅s a konfigur谩l谩sban. Tov谩bbi inform谩ci贸
+<a href="http://dokuwiki.org/installer">ezen az oldalon</a>
+tal谩lhat贸.</p>
+
+<p>A DokuWiki hagyom谩nyos f谩jlokat haszn谩l a wiki oldalak 茅s a hozz谩juk
+kapcsol贸d贸 inform谩ci贸k (pl. k茅pek, keres茅si indexek, kor谩bbi v谩ltozatok stb.)
+t谩rol谩s谩hoz. Emiatt a sikeres m疟k枚d茅s 茅rdek茅ben a DokuWikinek 铆r谩si joggal
+<strong>kell</strong> rendelkeznie azokon a k枚nyvt谩rakon, ahov谩 ezek a
+f谩jlok ker眉lnek. Ez a Be谩ll铆t贸 Var谩zsl贸 nem k茅pes be谩ll铆tani a k枚nyvt谩rakhoz
+a sz眉ks茅ges jogosults谩gokat, azokat k枚zvetlen眉l parancssorb贸l kell megtenni,
+illetve t谩rhelyszolg谩ltat谩s ig茅nybev茅tele eset茅n FTP kliens seg铆ts茅g茅vel,
+vagy a t谩rhelyszolg谩ltat贸 谩ltal rendelkez茅sre bocs谩tott be谩ll铆t贸eszk枚z
+(pl. cPanel) seg铆ts茅g茅vel.</p>
+
+<p>A Be谩ll铆t贸 Var谩zsl贸 felk茅sz铆ti ezt a DokuWikit a hozz谩f茅r茅si list谩k
+(<acronym title="access control list">ACL</acronym>-ek) haszn谩lat谩ra. 脥gy
+a Wiki-gazda felhaszn谩l贸val hozz谩f茅r眉nk az admin men眉h枚z, mellyel
+b艖v铆tm茅nyeket telep铆thet眉nk, felhaszn谩l贸kat 茅s hozz谩f茅r茅si jogokat
+kezelhet眉nk, valamint v谩ltoztathatunk a konfigur谩ci贸s be谩ll铆t谩sokon.
+Ez tulajdonk茅ppen nem sz眉ks茅ges a DokuWiki m疟k枚d茅s茅hez, de megk枚nny铆ti
+az adminisztr谩ci贸t.</p>
+
+<p>Szak茅rt艖k illetve speci谩lis be谩ll铆t谩st ig茅nyl艖 felhaszn谩l贸k tov谩bbi inform谩ci贸kat
+tal谩lnak a k枚vetkez艖 oldalakon <a href="http://dokuwiki.org/install">telep铆t茅ssel</a>
+茅s <a href="http://dokuwiki.org/config">konfigur谩l谩si lehet艖s茅gekkel</a> kapcsolatban.</p>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/lang.php
new file mode 100644
index 000000000..b9218f897
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/lang.php
@@ -0,0 +1,249 @@
+<?php
+/**
+ * Hungarian language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Ziegler G谩bor <gziegler@freemail.hu>
+ * @author Sandor TIHANYI <stihanyi+dw@gmail.com>
+ * @author Siaynoq Siaynoq <siaynoqmage@gmail.com>
+ * @author Siaynoq Mage <siaynoqmage@gmail.com>
+ * @author schilling.janos@gmail.com
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '鈥';
+$lang['btn_edit'] = 'Oldal szerkeszt茅se';
+$lang['btn_source'] = 'Oldalforr谩s megtekint茅se';
+$lang['btn_show'] = 'Oldal megtekint茅se';
+$lang['btn_create'] = 'Oldal l茅trehoz谩sa';
+$lang['btn_search'] = 'Keres茅s';
+$lang['btn_save'] = 'Ment茅s';
+$lang['btn_preview'] = 'El艖n茅zet';
+$lang['btn_top'] = 'Vissza a tetej茅re';
+$lang['btn_newer'] = '<< 脷jabb v谩ltozat';
+$lang['btn_older'] = 'R茅gebbi v谩ltozat >>';
+$lang['btn_revs'] = 'Kor谩bbi v谩ltozatok';
+$lang['btn_recent'] = 'Legfrissebb v谩ltoz谩sok';
+$lang['btn_upload'] = 'Felt枚lt茅s';
+$lang['btn_cancel'] = 'M茅gsem';
+$lang['btn_index'] = '脕ttekint艖';
+$lang['btn_secedit'] = 'Szerkeszt茅s';
+$lang['btn_login'] = 'Bejelentkez茅s';
+$lang['btn_logout'] = 'Kijelentkez茅s';
+$lang['btn_admin'] = 'Admin';
+$lang['btn_update'] = 'Friss铆t茅s';
+$lang['btn_delete'] = 'T枚rl茅s';
+$lang['btn_back'] = 'Vissza';
+$lang['btn_backlink'] = 'Hivatkoz谩sok';
+$lang['btn_backtomedia'] = 'Vissza a m茅diaf谩jlok kezel茅s茅hez';
+$lang['btn_subscribe'] = 'Oldalv谩ltoz谩sok-h铆rlev茅l feliratkoz谩s';
+$lang['btn_unsubscribe'] = 'Oldalv谩ltoz谩sok-h铆rlev茅l leiratkoz谩s';
+$lang['btn_subscribens'] = 'N茅vt茅r-v谩ltoz谩s h铆rlev茅l feliratkoz谩s';
+$lang['btn_unsubscribens'] = 'N茅vt茅r-v谩ltoz谩s h铆rlev茅l leiratkoz谩s';
+$lang['btn_profile'] = 'Szem茅lyes be谩ll铆t谩sok';
+$lang['btn_reset'] = 'Alaphelyzet';
+$lang['btn_resendpwd'] = '脷j jelsz贸 k眉ld茅se';
+$lang['btn_draft'] = 'Piszkozat szerkeszt茅se';
+$lang['btn_recover'] = 'Piszkozat folytat谩sa';
+$lang['btn_draftdel'] = 'Piszkozat t枚rl茅se';
+$lang['btn_revert'] = 'Helyre谩ll铆t谩s';
+$lang['loggedinas'] = 'Bel茅pett felhaszn谩l贸: ';
+$lang['user'] = 'Azonos铆t贸';
+$lang['pass'] = 'Jelsz贸';
+$lang['newpass'] = '脷j jelsz贸';
+$lang['oldpass'] = 'R茅gi jelsz贸';
+$lang['passchk'] = 'm茅g egyszer';
+$lang['remember'] = 'Eml茅kezz r谩m';
+$lang['fullname'] = 'Teljes n茅v';
+$lang['email'] = 'E-Mail';
+$lang['register'] = 'Regisztr谩ci贸';
+$lang['profile'] = 'Szem茅lyes be谩ll铆t谩sok';
+$lang['badlogin'] = 'Sajn谩ljuk, az azonos铆t贸, vagy a jelsz贸 nem j贸.';
+$lang['minoredit'] = 'Apr贸bb v谩ltoz谩sok';
+$lang['draftdate'] = 'Piszkozat elmentve:';
+$lang['nosecedit'] = 'Id艖k枚zben megv谩ltozott az oldal, emiatt a szakasz nem friss. T枚ltse 煤jra az eg茅sz oldalt!';
+$lang['regmissing'] = 'Sajn谩ljuk, az 枚sszes mez艖t ki kell t枚ltened.';
+$lang['reguexists'] = 'Sajn谩ljuk, ilyen azonos铆t贸j煤 felhaszn谩l贸nk m谩r van.';
+$lang['regsuccess'] = 'A felhaszn谩l贸i azonos铆t贸t l茅trehoztuk. A jelsz贸t post谩ztuk.';
+$lang['regsuccess2'] = 'A felhaszn谩l贸i azonos铆t贸t l茅trehoztuk.';
+$lang['regmailfail'] = '脷gy t疟nik hiba t枚rt茅nt a jelsz贸 post谩z谩sa sor谩n. K茅rj眉k l茅pj kapcsolatba a Wiki-gazd谩val!!';
+$lang['regbadmail'] = 'A megadott e-mail c铆m 茅rv茅nytelennek t疟nik. Ha 煤gy gondolod ez hiba, l茅pj kapcsolatba Wiki-gazd谩val!';
+$lang['regbadpass'] = 'A k茅t megadott jelsz贸 nem egyezik, pr贸b谩ld 煤jra!';
+$lang['regpwmail'] = 'A DokuWiki jelszavad';
+$lang['reghere'] = 'M茅g nincs azonos铆t贸d? Itt k茅rhetsz';
+$lang['profna'] = 'Ez a wiki nem t谩mogatja a szem茅lyes be谩ll铆t谩sok m贸dos铆t谩s谩t.';
+$lang['profnochange'] = 'Nem t枚rt茅nt v谩ltoz谩s.';
+$lang['profnoempty'] = 'A n茅v 茅s e-mail mez艖 nem maradhat 眉resen!';
+$lang['profchanged'] = 'A szem茅lyes be谩ll铆t谩sok v谩ltoztat谩sa megt枚rt茅nt.';
+$lang['pwdforget'] = 'Elfelejtetted a jelszavad? Itt k茅rhetsz 煤jat';
+$lang['resendna'] = 'Ez a wiki nem t谩mogatja a jelsz贸 煤jrak眉ld茅st.';
+$lang['resendpwd'] = '脷j jelsz贸 kik眉ld茅se ennek a felhaszn谩l贸nak';
+$lang['resendpwdmissing'] = 'Sajn谩ljuk, az 枚sszes mez艖t ki kell t枚ltened.';
+$lang['resendpwdnouser'] = 'Sajn谩ljuk, ilyen azonos铆t贸j煤 felhaszn谩l贸nk nem l茅tezik.';
+$lang['resendpwdbadauth'] = 'Sajn谩ljuk, ez a meger艖s铆t艖 k贸d nem helyes. Biztos, hogy a teljes meger艖s铆t茅s linket be铆rtad pontosan?';
+$lang['resendpwdconfirm'] = 'A meger艖s铆t茅s linket e-mailben elk眉ldt眉k.';
+$lang['resendpwdsuccess'] = 'Az 煤j jelszavadat elk眉ldt眉k e-mailben.';
+$lang['license'] = 'Hacsak m谩shol nincs egy茅b rendelkez茅s, ezen wiki tartalma a k枚vetkez艖 licenc alatt 茅rhet艖 el:';
+$lang['licenseok'] = 'Megjegyz茅s: az oldal szerkeszt茅s茅vel elfogadja, hogy a tartalom a k枚vetkez艖 licenc alatt lesz el茅rhet艖:';
+$lang['searchmedia'] = 'Keresett f谩jl neve:';
+$lang['searchmedia_in'] = 'Keres茅s a k枚vetkez艖ben: %s';
+$lang['txt_upload'] = 'V谩laszd ki a felt枚ltend艖 f谩jlt';
+$lang['txt_filename'] = 'felt枚lt茅si n茅v (elhagyhat贸)';
+$lang['txt_overwrt'] = 'L茅tez艖 f谩jl fel眉l铆r谩sa';
+$lang['lockedby'] = 'Jelenleg z谩rolta:';
+$lang['lockexpire'] = 'A z谩rol谩s lej谩r:';
+$lang['willexpire'] = 'Az oldalszerkeszt茅si z谩rol谩sod k枚r眉lbel眉l egy percen bel眉l lej谩r.\nAz 眉tk枚z茅sek elker眉l茅se v茅gett haszn谩ld az el艖n茅zet gombot a z谩rol谩si id艖z铆t茅s friss铆t茅s茅hez.';
+$lang['notsavedyet'] = 'Elmentetlen v谩ltoz谩sok vannak, amelyek el fognak veszni.\nT茅nyleg ezt akarod?';
+$lang['rssfailed'] = 'Hiba t枚rt茅nt ennek a bet枚lt茅sekor: ';
+$lang['nothingfound'] = 'Semmit sem tal谩ltam.';
+$lang['mediaselect'] = 'M茅diaf谩jl kiv谩laszt谩sa';
+$lang['fileupload'] = 'M茅diaf谩jl felt枚lt茅se';
+$lang['uploadsucc'] = 'A felt枚lt茅s siker眉lt';
+$lang['uploadfail'] = 'A felt枚lt茅s nem siker眉lt. Tal谩n rosszak a jogosults谩gok?';
+$lang['uploadwrong'] = 'A felt枚lt茅s megtagadva. Ez a f谩jl kiterjeszt茅s tiltott.';
+$lang['uploadexist'] = 'A f谩jl m谩r l茅tezik, nem t枚rt茅nt semmi.';
+$lang['uploadbadcontent'] = 'A felt枚lt枚tt tartalom nem egyezik a %s f谩jl kiterjeszt茅ssel.';
+$lang['uploadspam'] = 'A felt枚lt茅st visszautas铆tottuk spam-gyan煤 miatt.';
+$lang['uploadxss'] = 'A felt枚lt茅st visszautas铆tottuk, mert lehets茅ges, hogy k谩rt茅kony k贸dot tartalmaz.';
+$lang['uploadsize'] = 'A felt枚lt枚tt f谩jl t煤l nagy. (max. %s)';
+$lang['deletesucc'] = 'A "%s" f谩jlt t枚r枚lt眉k.';
+$lang['deletefail'] = 'A "%s" f谩jl nem t枚r枚lhet艖. - Ellen艖rizd a jogosults谩gokat!';
+$lang['mediainuse'] = 'A "%s" f谩jl nem t枚rl艖d枚tt - m茅g haszn谩lat alatt van.';
+$lang['namespaces'] = 'N茅vt茅r';
+$lang['mediafiles'] = 'El茅rhet艖 f谩jlok itt:';
+$lang['js']['searchmedia'] = 'F谩jlok keres茅se';
+$lang['js']['keepopen'] = 'Tartsd nyitva ezt az ablakot a kijel枚l茅shez!';
+$lang['js']['hidedetails'] = 'R茅szletek elrejt茅se';
+$lang['js']['nosmblinks'] = 'A Windows megosztott k枚nyvt谩rak kereszthivatkoz谩sa csak Microsoft Internet Explorerben m疟k枚dik k枚zvetlen眉l.
+A hivatkoz谩st m谩solni 茅s besz煤rni ett艖l f眉getlen眉l mndig tudod.';
+$lang['js']['linkwiz'] = 'Hivatkoz谩s var谩zsl贸';
+$lang['js']['linkto'] = 'Hivatkoz谩s erre:';
+$lang['js']['del_confirm'] = 'Val贸ban t枚r枚lni akarod a kiv谩lasztott elem(ek)et?';
+$lang['js']['mu_btn'] = 'T枚bb f谩jl felt枚lt茅se egyszerre';
+$lang['mediausage'] = 'A k枚vetkez艖 form谩ban hivatkozhatsz erre az 谩llom谩nyra:';
+$lang['mediaview'] = 'Eredeti 谩llom谩ny megtekint茅se';
+$lang['mediaroot'] = 'kiindul谩si hely';
+$lang['mediaupload'] = 'Itt t枚lthetsz fel 谩llom谩nyt az aktu谩lis n茅vt茅rbe. Al-n茅vt茅r l茅trehoz谩s谩hoz a "Felt枚lt茅si n茅v" mez艖ben kell kett艖sponttal elv谩lasztva megadnod azt.';
+$lang['mediaextchange'] = 'Az 谩llom谩ny kiterjeszt茅se err艖l: .%s erre: .%s v谩ltozott!';
+$lang['reference'] = 'Hivatkoz谩sok';
+$lang['ref_inuse'] = 'A f谩jl nem t枚r枚lhet艖, mert a k枚vetkez艖 oldalakon haszn谩lj谩k:';
+$lang['ref_hidden'] = 'Van n茅h谩ny hivatkoz谩s az oldalakon, amelyekhez nincs olvas谩si jogosults谩god';
+$lang['hits'] = 'Tal谩latok';
+$lang['quickhits'] = 'Illeszked艖 oldalnevek';
+$lang['toc'] = 'Tartalomjegyz茅k';
+$lang['current'] = 'aktu谩lis';
+$lang['yours'] = 'A te v谩ltozatod';
+$lang['diff'] = 'a k眉l枚nbs茅geket mutatja az aktu谩lis v谩ltozathoz k茅pest';
+$lang['diff2'] = 'a k眉l枚nbs茅geket mutatja a kiv谩lasztott v谩ltozatok k枚z枚tt';
+$lang['line'] = 'sorsz谩m';
+$lang['breadcrumb'] = 'Nyomvonal';
+$lang['youarehere'] = 'Itt vagy';
+$lang['lastmod'] = 'Utols贸 m贸dos铆t谩s';
+$lang['by'] = 'szerkesztette:';
+$lang['deleted'] = 'elt谩vol铆tva';
+$lang['created'] = 'l茅trehozva';
+$lang['restored'] = 'az el艖z艖 v谩ltozat helyre谩ll铆tva';
+$lang['external_edit'] = 'k眉ls艖 szerkeszt茅s';
+$lang['summary'] = 'A v谩ltoz谩sok 枚sszefoglal谩sa';
+$lang['noflash'] = 'Ennek a tartalomnak a megtekint茅s茅hez <a href="http://www.adobe.com/products/flashplayer/">Adobe Flash Plugin</a> sz眉ks茅ges.';
+$lang['download'] = 'K贸dr茅szlet let枚lt茅se';
+$lang['mail_newpage'] = '煤j oldal j枚tt l茅tre:';
+$lang['mail_changed'] = 'oldal megv谩ltozott:';
+$lang['mail_new_user'] = '脷j felhaszn谩l贸:';
+$lang['mail_upload'] = '谩llom谩nyt t枚lt枚ttek fel:';
+$lang['qb_bold'] = 'F茅lk枚v茅r sz枚veg';
+$lang['qb_italic'] = 'D艖lt sz枚veg';
+$lang['qb_underl'] = 'Al谩h煤zott sz枚veg';
+$lang['qb_code'] = 'Forr谩sk贸d sz枚veg';
+$lang['qb_strike'] = '脕th煤zott sz枚veg';
+$lang['qb_h1'] = '1. szint疟 c铆msor';
+$lang['qb_h2'] = '2. szint疟 c铆msor';
+$lang['qb_h3'] = '3. szint疟 c铆msor';
+$lang['qb_h4'] = '4. szint疟 c铆msor';
+$lang['qb_h5'] = '5. szint疟 c铆msor';
+$lang['qb_h'] = 'C铆msor';
+$lang['qb_hs'] = 'C铆msor kiv谩laszt谩sa';
+$lang['qb_hplus'] = 'Nagyobb c铆msor';
+$lang['qb_hminus'] = 'Kisebb c铆msor';
+$lang['qb_hequal'] = 'Azonos szint疟 c铆msor';
+$lang['qb_link'] = 'Bels艖 hivatkoz谩s';
+$lang['qb_extlink'] = 'K眉ls艖 hivatkoz谩s';
+$lang['qb_hr'] = 'V铆zszintes elv谩laszt贸 vonal';
+$lang['qb_ol'] = 'Sorsz谩mozott lista elem';
+$lang['qb_ul'] = 'Felsorol谩s lista elem';
+$lang['qb_media'] = 'K茅pek 茅s m谩s f谩jlok hozz谩ad谩sa';
+$lang['qb_sig'] = 'Al谩铆r谩s besz煤r谩sa';
+$lang['qb_smileys'] = 'Szm谩jlik';
+$lang['qb_chars'] = 'Speci谩lis karakterek';
+$lang['upperns'] = 'Ugr谩s a sz眉l艖 n茅vt茅rhez';
+$lang['admin_register'] = '脷j felhaszn谩l贸';
+$lang['metaedit'] = 'Meta-adatok szerkeszt茅se';
+$lang['metasaveerr'] = 'A meta-adatok 铆r谩sa meghi煤sult ';
+$lang['metasaveok'] = 'Meta-adatok elmentve';
+$lang['img_backto'] = 'Vissza';
+$lang['img_title'] = 'C铆m';
+$lang['img_caption'] = 'K茅pal谩铆r谩s';
+$lang['img_date'] = 'D谩tum';
+$lang['img_fname'] = 'F谩jln茅v';
+$lang['img_fsize'] = 'M茅ret';
+$lang['img_artist'] = 'K茅sz铆tette';
+$lang['img_copyr'] = 'Copyright';
+$lang['img_format'] = 'Form谩tum';
+$lang['img_camera'] = 'F茅nyk茅pez艖 t铆pusa';
+$lang['img_keywords'] = 'Kulcsszavak';
+$lang['subscribe_success'] = '%s feliratkozott a(z) %s oldal v谩ltoz谩s-k枚vet艖 h铆rlevel茅re';
+$lang['subscribe_error'] = 'Hiba t枚rt茅nt, mik枚zben %s feliratkozni pr贸b谩lt a(z) %s oldal v谩ltoz谩s-k枚vet艖 h铆rlevel茅re';
+$lang['subscribe_noaddress'] = 'Nincs be谩ll铆tva az e-mail c铆med, 铆gy nem tudsz feliratkozni az oldal v谩ltoz谩s-k枚vet艖 h铆rlevel茅re';
+$lang['unsubscribe_success'] = '%s leiratkozott az oldal v谩ltoz谩s-k枚vet艖 h铆rlevel茅r艖l';
+$lang['unsubscribe_error'] = 'Hiba t枚rt茅nt, mik枚zben %s leiratkozni pr贸b谩lt az oldal v谩ltoz谩s-k枚vet艖 h铆rlevel茅r艖l';
+$lang['authmodfailed'] = 'Hib谩s felhaszn谩l贸-aznos铆t谩si m贸dszer van be谩ll铆tva. L茅gy sz铆ves 茅rtes铆tsd a Wiki-gazd谩t!';
+$lang['authtempfail'] = 'A felhaszn谩l贸 azonos铆t谩s 谩tmenetileg nem m疟k枚dik. Ha sok谩ig 铆gy lenne, l茅gy sz铆ves 茅rtes铆tsd a Wiki-gazd谩t!';
+$lang['i_chooselang'] = 'V谩lassz nyelvet';
+$lang['i_installer'] = 'DokuWiki Be谩ll铆t贸 Var谩zsl贸';
+$lang['i_wikiname'] = 'A Wiki neve';
+$lang['i_enableacl'] = 'Hozz谩f茅r茅si list谩k enged茅lyez茅se (aj谩nlott)';
+$lang['i_superuser'] = 'Wiki-gazda';
+$lang['i_problems'] = 'A Be谩ll铆t贸 Var谩zsl贸 a k枚vetkez艖 probl茅m谩k miatt megakadt. Nem tudjuk folytatni, am铆g ezek nincsenek elh谩r铆tva!';
+$lang['i_modified'] = 'Biztons谩gi okokb贸l ez a Var谩zsl贸 csak 煤j 茅s m贸dos铆tatlan DokuWiki v谩ltozaton m疟k枚dik.
+Csomagold ki 煤jra a f谩jlokat a let枚lt枚tt csomagb贸l, vagy n茅zd meg a teljes <a href="http://dokuwiki.org/install">Dokuwiki telep铆t茅si 煤tmutat贸t</a>.';
+$lang['i_funcna'] = 'A <code>%s</code> PHP funkci贸 nem el茅rhet艖. Esetleg a t谩rhelyszolg谩ltat贸 letiltotta biztons谩gi okok miatt?';
+$lang['i_phpver'] = 'A PHP <code>%s</code> verzi贸ja alacsonyabb, mint ami sz眉ks茅ges lenne: <code>%s</code>. Friss铆tsd a PHP-det 煤jabb verzi贸ra!';
+$lang['i_permfail'] = 'A DokiWiki nem tudja 铆rni a <code>%s</code> k枚nyvt谩rat. Be kell 谩ll铆tanod ehhez a k枚nyvt谩rhoz a megfelel艖 jogosults谩gokat!';
+$lang['i_confexists'] = '<code>%s</code> m谩r l茅tezik.';
+$lang['i_writeerr'] = 'Nem tudom ezt l茅trehozni: <code>%s</code>. Ellen艖rizd a k枚nyvt谩r/f谩jl jogosults谩gokat, 茅s hozd l茅tre az 谩llom谩nyt k茅zzel.';
+$lang['i_badhash'] = 'A dokuwiki.php nem felismerhet艖 vagy m贸dos铆tott (hash=<code>%s</code>)';
+$lang['i_badval'] = '<code>%s</code> - nem helyes vagy 眉res 茅rt茅k';
+$lang['i_success'] = 'A be谩ll铆t谩s sikeresen befejez艖d枚tt. Most m谩r let枚r枚lhet艖 az install.php f谩jl. L谩togasd meg az <a href="doku.php">煤j DokuWikidet</a>!';
+$lang['i_failure'] = 'Hiba l茅pett fel a konfigur谩ci贸s 谩llom谩nyok 铆r谩sakor. Ki kell jav铆tanod k茅zzel, miel艖tt haszn谩lni kezded az <a href="doku.php">煤j DokuWikidet</a>.';
+$lang['i_policy'] = 'Kezdeti hozz谩f茅r茅si politika';
+$lang['i_pol0'] = 'Nyitott Wiki (mindenki olvashatja, 铆rhatja, 茅s f谩jlokat t枚lthet fel)';
+$lang['i_pol1'] = 'Publikus Wiki (mindenki olvashatja, de csak regisztr谩lt felhaszn谩l贸k 铆rhatj谩k, 茅s t枚lthetnek fel f谩jlokat)';
+$lang['i_pol2'] = 'Z谩rt Wiki (csak regisztr谩lt felhaszn谩l贸k olvashatj谩k, 铆rhatj谩k 茅s t枚lthetnek fel f谩jlokat)';
+$lang['i_retry'] = '脷jra';
+$lang['mu_intro'] = 'Itt t枚bb f谩jlt is fel tudsz t枚lteni egyszerre. Kattints a "Kiv谩laszt" gombra 茅s add hozz谩 a list谩hoz. Nyomd meg a Felt枚lt茅s gombot, amikor elk茅sz眉lt茅l.';
+$lang['mu_gridname'] = 'F谩jln茅v';
+$lang['mu_gridsize'] = 'M茅ret';
+$lang['mu_gridstat'] = '脕llapot';
+$lang['mu_namespace'] = 'N茅vt茅r';
+$lang['mu_browse'] = 'Kiv谩laszt';
+$lang['mu_toobig'] = 't煤l nagy';
+$lang['mu_ready'] = 'felt枚lt茅sre k茅sz';
+$lang['mu_done'] = 'k茅sz';
+$lang['mu_fail'] = 'hib谩s';
+$lang['mu_authfail'] = 'session lej谩rt';
+$lang['mu_progress'] = '@PCT@% felt枚ltve';
+$lang['mu_filetypes'] = 'Megengedett f谩jlt铆pusok';
+$lang['mu_info'] = 'F谩jlok felt枚ltve.';
+$lang['mu_lasterr'] = 'Utols贸 hiba:';
+$lang['recent_global'] = 'Jelenleg csak a <b>%s</b> n茅vt茅r friss v谩ltoz谩sai l谩tszanak. Megtekinthet艖k <a href="%s">a teljes wiki friss v谩ltoz谩sai</a> is.';
+$lang['years'] = '%d 茅vvel ezel艖tt';
+$lang['months'] = '%d h贸nappal ezel艖tt';
+$lang['weeks'] = '%d h茅ttel ezel艖tt';
+$lang['days'] = '%d nappal ezel艖tt';
+$lang['hours'] = '%d 贸r谩val ezel艖tt';
+$lang['minutes'] = '%d perccel ezel艖tt';
+$lang['seconds'] = '%d m谩sodperccel ezel艖tt';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/locked.txt
new file mode 100644
index 000000000..229141674
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/locked.txt
@@ -0,0 +1,4 @@
+====== Az oldal z谩rolva ======
+
+Ezt az oldalt 茅pp szerkeszti egy m谩sik felhaszn谩l贸. V谩rnod kell, am铆g a m谩sik felhaszn谩l贸 befejezi, vagy am铆g a z谩rol谩si id艖z铆t艖 le nem j谩r.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/login.txt
new file mode 100644
index 000000000..3f7e62e72
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/login.txt
@@ -0,0 +1,5 @@
+====== Bel茅p茅s ======
+
+Nem vagy bejelentkezve! Add meg az azonos铆t谩si adataid a bel茅p茅shez lentebb! A b枚ng茅sz艖dben enged茅lyezned kell a s眉tik (cookies) fogad谩s谩t a bel茅p茅shez.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/mailtext.txt
new file mode 100644
index 000000000..9b0c2921e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/mailtext.txt
@@ -0,0 +1,16 @@
+A DokuWikidben egy oldalt l茅trej枚tt, vagy megv谩ltozott. A r茅szletek:
+
+D谩tum: @DATE@
+B枚ng茅sz艖: @BROWSER@
+IP-c铆m: @IPADDRESS@
+G茅p neve: @HOSTNAME@
+El艖z艖 v谩ltozat: @OLDPAGE@
+脷j v谩ltozat: @NEWPAGE@
+脰sszefoglal贸: @SUMMARY@
+Felhaszn谩l贸: @USER@
+
+@DIFF@
+
+
+--
+Ezt a levelet a @DOKUWIKIURL@ DokuWiki gener谩lta.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/newpage.txt
new file mode 100644
index 000000000..de5a34d8e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/newpage.txt
@@ -0,0 +1,3 @@
+====== Ilyen oldal m茅g nem l茅tezik ======
+
+Egy nem l茅tez艖 oldalra t茅vedt茅l. L茅trehozhatod az ''Oldal l茅trehoz谩sa'' gombra kattintva. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/norev.txt
new file mode 100644
index 000000000..4dd408459
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/norev.txt
@@ -0,0 +1,5 @@
+====== Nincs ilyen v谩ltozat ======
+
+A megadott v谩ltozat nem l茅tezik. Haszn谩ld az ''El艖z艖 v谩ltozatok'' nyom贸gombot az el艖zm茅nyek list谩j谩nak megtekint茅s茅hez.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/preview.txt
new file mode 100644
index 000000000..ad7f7d4b0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/preview.txt
@@ -0,0 +1,4 @@
+====== El艖n茅zet ======
+
+Ez a sz枚veged el艖n茅zete, 铆gy fog kin茅zni. Figyelj j贸l: ez **m茅g nincs elmentve**!
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/pwconfirm.txt
new file mode 100644
index 000000000..617419ee8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/pwconfirm.txt
@@ -0,0 +1,14 @@
+Szia @FULLNAME@!
+
+Te vagy m谩s valaki k茅rt egy 煤j jelsz贸t a @DOKUWIKIURL@
+c铆men l茅v艖 @TITLE@ wiki felhaszn谩l贸dhoz.
+
+Ha nem k茅rt茅l ilyet, hagyd figyelmen k铆v眉l ezt a levelet.
+
+Ha Te volt谩l, az 煤j jelsz贸 k茅relmed meger艖s铆t茅s茅hez a
+k枚vetkez艖 linkre kattints, vagy m谩sold a b枚ng茅sz艖dbe:
+
+@CONFIRM@
+
+--
+Ezt a levelet a @DOKUWIKIURL@ c铆men l茅v艖 DokuWiki gener谩lta.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/read.txt
new file mode 100644
index 000000000..89ac96338
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/read.txt
@@ -0,0 +1,2 @@
+Ez az oldal csak olvashat贸. Megtekintheted a forr谩s谩t, de nem v谩ltoztathatod meg. Ha 煤gy gondolod, hogy ez helytelen, k茅rdezd a Wiki-gazd谩t.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/recent.txt
new file mode 100644
index 000000000..4e0c1ec06
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/recent.txt
@@ -0,0 +1,5 @@
+====== Legut贸bbi v谩ltoz谩sok ======
+
+Az al谩bbi oldalak v谩ltoztak legutolj谩ra.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/register.txt
new file mode 100644
index 000000000..2745c4d77
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/register.txt
@@ -0,0 +1,4 @@
+====== 脷j felhaszn谩l贸 regisztr谩l谩sa ======
+
+T枚ltsd ki az 枚sszes al谩bbi adatot az 煤j Wiki felhaszn谩l贸i azonos铆t贸d l茅trehoz谩s谩hoz. Gy艖z艖dj meg r贸la, hogy **茅rv茅nyes e-mail c铆met** adt谩l meg -- az 煤j jelszavad erre a c铆mre k眉ldj眉k el. Az azonos铆t贸d 茅rv茅nyes [[doku>pagename|oldaln茅v]] kell legyen.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/registermail.txt
new file mode 100644
index 000000000..d45ef0d38
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/registermail.txt
@@ -0,0 +1,13 @@
+Egy 煤j felhaszn谩l贸 regisztr谩lt a k枚vetkez艖 adatokkal:
+
+Felhaszn谩l贸i n茅v: @NEWUSER@
+Teljes n茅v: @NEWNAME@
+E-mail: @NEWEMAIL@
+
+D谩tum: @DATE@
+B枚ng茅sz艖: @BROWSER@
+IP-c铆m : @IPADDRESS@
+G茅p neve: @HOSTNAME@
+
+--
+Ezt a levelet @DOKUWIKIURL@ DokuWiki gener谩lta. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/resendpwd.txt
new file mode 100644
index 000000000..24931a7ed
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/resendpwd.txt
@@ -0,0 +1,3 @@
+===== 脷j jelsz贸 k茅r茅se =====
+
+K茅rlek add meg a felhaszn谩l贸 neved az 煤j jelsz贸 elk眉ld茅s茅hez. A jelsz贸 cser茅j茅hez sz眉ks茅ges meger艖s铆t艖 linket a regisztr谩lt e-mail c铆medre k眉ldj眉k. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/revisions.txt
new file mode 100644
index 000000000..3537fd653
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/revisions.txt
@@ -0,0 +1,3 @@
+====== El艖z艖 v谩ltozatok ======
+
+Ezek az el艖z艖 v谩ltozatai az aktu谩lis dokumentumnak. Egy el艖z艖 v谩ltozathoz val贸 visszat茅r茅shez nyomd meg az ''Oldal szerkeszt茅se'' gombot, majd mentsd el.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/searchpage.txt
new file mode 100644
index 000000000..b1defed84
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/searchpage.txt
@@ -0,0 +1,5 @@
+====== Keres茅s ======
+
+A keres茅s eredm茅ny茅t lentebb l谩thatod. Ha nem tal谩ltad meg amit kerest茅l, akkor l茅trehozhatsz egy 煤j oldalt a keres茅sed alapj谩n ''Az oldal szerkeszt茅se'' gombbal.
+
+===== Eredm茅ny(ek) ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/showrev.txt
new file mode 100644
index 000000000..2131b4dc0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/showrev.txt
@@ -0,0 +1,2 @@
+**Ez a dokumentum egy el艖z艖 v谩ltozata!**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/stopwords.txt
new file mode 100644
index 000000000..a8bd35c7d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/stopwords.txt
@@ -0,0 +1,39 @@
+# Ez egy sz贸-lista (soronk茅nt egy sz贸val), amelyeket az index k茅sz铆t茅sekor nem vesz眉nk figyelembe.
+# Ha szerkeszted ezt a f谩jlt, gy艖z艖dj meg arr贸l, hogy UNIX sorv茅g-jeleket haszn谩lj! (csak NL karakter)
+# Nincs sz眉ks茅g 3 karaktern茅l r枚videbb szavak felsorol谩s谩ra, ezeket egy茅bk茅nt sem vessz眉k figyelembe.
+# Ez a lista a http://www.ranks.nl/stopwords/ oldalon szerepl艖 alapj谩n k茅sz眉lt
+a
+az
+egy
+be
+ki
+le
+fel
+meg
+el
+谩t
+r谩
+ide
+oda
+sz茅t
+枚ssze
+vissza
+de
+h谩t
+茅s
+vagy
+hogy
+van
+lesz
+volt
+csak
+nem
+igen
+mint
+茅n
+te
+艖
+mi
+ti
+艖k
+枚n
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/subscribermail.txt
new file mode 100644
index 000000000..a5e8c96d1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/subscribermail.txt
@@ -0,0 +1,18 @@
+Szia!
+
+A(z) @PAGE@ oldal a(z) @TITLE@ wikiben megv谩ltozott.
+Itt vannak a v谩ltoz谩sok:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+Ha le szeretn茅l iratkozni ennek az oldalnak a v谩ltoz谩s-k枚vet茅s茅r艖l,
+l茅pj be a wikibe ezen a c铆men:
+@DOKUWIKIURL@, majd keresd meg a(z)
+@NEWPAGE@ oldalt
+茅s v谩laszd a 'Oldalv谩ltoz谩sok-h铆rlev茅l leiratkoz谩s' gombot.
+
+--
+Ezt a levelet a @DOKUWIKIURL@ c铆men l茅v艖 DokuWiki alkalmaz谩s gener谩lta.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/updateprofile.txt
new file mode 100644
index 000000000..50df15384
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/updateprofile.txt
@@ -0,0 +1,3 @@
+===== Felhaszn谩l贸i adatok megv谩ltoztat谩sa =====
+
+Csak azt a mez艖t kell kit枚ltened, amit v谩ltoztatni szeretn茅l. A felhaszn谩l贸i nevet nem lehet megv谩ltoztatni.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/uploadmail.txt
new file mode 100644
index 000000000..c772ab220
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/uploadmail.txt
@@ -0,0 +1,13 @@
+F谩jlfelt枚lt茅s t枚rt茅nt a DokuWikidben. R茅szletek:
+
+脕llom谩ny: @MEDIA@
+D谩tum: @DATE@
+B枚ng茅sz艖: @BROWSER@
+IP-c铆m: @IPADDRESS@
+G茅pn茅v: @HOSTNAME@
+M茅ret: @SIZE@
+MIME-t铆pus: @MIME@
+Felhaszn谩l贸: @USER@
+
+--
+Ezt a levelet @DOKUWIKIURL@ DokuWiki gener谩lta. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/wordblock.txt
new file mode 100644
index 000000000..2fe2efa9b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/hu/wordblock.txt
@@ -0,0 +1,6 @@
+====== SPAM sz疟r茅s ======
+
+A v谩ltoztat谩said **nem** mentett眉k, mert egy vagy t枚bb tiltott sz贸t tartalmaz. Ha k茅retlen rekl谩m anyagot ("SPAM") pr贸b谩lt谩l erre a Wikire rakni, akkor sz茅gyelld magad.
+
+Ha azt gondolod, hogy valami hib谩r贸l, vagy f茅lre茅rt茅sr艖l van sz贸, akkor l茅pj kapcsolatba a Wiki-gazd谩val.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/id-ni/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id-ni/lang.php
new file mode 100644
index 000000000..2fc631373
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id-ni/lang.php
@@ -0,0 +1,79 @@
+<?php
+/**
+ * idni language file
+ *
+ * @author Harefa <fidelis@harefa.com>
+ * @author Yustinus Waruwu <juswaruwu@gmail.com>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '鈥';
+$lang['btn_edit'] = 'Haog枚 nga\'枚r枚 da\'a';
+$lang['btn_source'] = 'Oroma\'枚 nga\'枚r枚 sindruhu';
+$lang['btn_show'] = 'Foroma\'枚 nga\'枚r枚';
+$lang['btn_create'] = 'Faz枚khi nga\'枚ro';
+$lang['btn_search'] = 'Alui';
+$lang['btn_save'] = 'Ir枚\'枚';
+$lang['btn_preview'] = 'Foroma\'枚 zikhala';
+$lang['btn_top'] = 'Angawuli ba mb枚r枚ta';
+$lang['btn_newer'] = '<< sibohou';
+$lang['btn_older'] = 'si no ara >>';
+$lang['btn_revs'] = 'nifawu\'a si\'of枚na';
+$lang['btn_recent'] = 'Lahe nibohouni';
+$lang['btn_upload'] = 'Fa\'oeh\'枚';
+$lang['btn_cancel'] = 'L枚 alua';
+$lang['btn_index'] = 'Index';
+$lang['btn_secedit'] = 'Ehaog枚';
+$lang['btn_login'] = 'Felal枚 bakha';
+$lang['btn_logout'] = 'M枚i baero';
+$lang['btn_admin'] = 'Admin';
+$lang['btn_update'] = 'Bohouni';
+$lang['btn_delete'] = 'Heta';
+$lang['btn_back'] = 'Fulifuri';
+$lang['btn_backlink'] = 'Link fangawuli';
+$lang['btn_backtomedia'] = 'Angawuli ba filian枚 Mediafile';
+$lang['btn_profile'] = 'Famohouni p枚rofile';
+$lang['btn_reset'] = 'Fawu\'a';
+$lang['btn_resendpwd'] = 'Fa\'ohe\'枚 kode sibohou';
+$lang['btn_draft'] = 'Fawu\'a wanura';
+$lang['btn_draftdel'] = 'Heta zura';
+$lang['loggedinas'] = 'M枚i bakha zot枚i';
+$lang['user'] = 'T枚i';
+$lang['pass'] = 'Kode';
+$lang['newpass'] = 'Kode sibohou';
+$lang['oldpass'] = 'Faduhu\'枚 kode';
+$lang['passchk'] = 'Sura sakalit枚';
+$lang['remember'] = 'T枚ng枚ni ndra\'o';
+$lang['fullname'] = 'T枚i saf枚nu';
+$lang['email'] = 'Imele';
+$lang['register'] = 'Fasura\'枚';
+$lang['profile'] = 'T枚i p枚rofile';
+$lang['badlogin'] = 'Bolog枚 d枚d枚u, fasala d枚i faoma kode.';
+$lang['minoredit'] = 'Famawu\'a ma\'ifu';
+$lang['regmissing'] = 'Bolog枚 d枚d枚u, si l枚 tola l枚\'枚 枚fo\'枚si fefu nahia si toh枚na.';
+$lang['reguexists'] = 'Bolog枚 d枚d枚u, no so zangoguna\'枚 t枚i da\'a.';
+$lang['regsuccess'] = 'No tefaz枚khi akun枚 ba tefa\'ohe\'枚 kode ba imele.';
+$lang['regsuccess2'] = 'No tefaz枚khi akun枚';
+$lang['regmailfail'] = 'Oroma wa so ma\'ifu zifawuka ba wama\'ohe\'枚 imele kode. Fuli sofu kh枚 admin!';
+$lang['regbadmail'] = 'Imele nibe\'em枚 l枚 atul枚 - na 枚\'ila wa fasala da\'a, sofu kh枚 admin';
+$lang['regbadpass'] = 'Dombuadombua kode nibe\'em枚 l枚 fag枚l枚, fuli sura.';
+$lang['regpwmail'] = 'Kode DokuWiki';
+$lang['reghere'] = 'Hadia no so akun枚m枚? Na l枚\'枚, faz枚khi sambua.';
+$lang['profna'] = 'L枚 teteheg枚 ba wiki da\'a ba wamawu\'a p枚rofile';
+$lang['profnochange'] = 'L枚 had枚i nifawu\'枚, l枚 had枚i ni\'ohal枚w枚g枚i';
+$lang['profnoempty'] = 'L枚 teteheg枚 na l枚 had枚i t枚i ma imele.';
+$lang['profchanged'] = 'P枚rofile zangoguna\'枚 no tebohouni.';
+$lang['pwdforget'] = 'Hadia olifu\'枚 kode? Fuli hal枚 kode';
+$lang['resendna'] = 'L枚 tetehegi ba wiki da\'a wama\'ohe\'枚 kode dua kali.';
+$lang['resendpwd'] = 'Tefa\'ohe\'枚 kode sibahou kh枚';
+$lang['resendpwdmissing'] = 'Bolog枚 d枚d枚u, si l枚 tola l枚\'枚 枚fo\'枚si fefu nahia si toh枚na.';
+$lang['resendpwdnouser'] = 'Bolog枚 d枚d枚u, l枚 mas枚ndra zangoguna da\'a ba database.';
+$lang['resendpwdconfirm'] = 'No tefaohe\'枚 link famaduhu\'枚 ba imele.';
+$lang['resendpwdsuccess'] = 'No tefa\'ohe\'枚 kode sibohou ba imele.';
+$lang['txt_upload'] = 'Fili file ni fa\'ohe\'枚';
+$lang['notsavedyet'] = 'Famawu\'a si l枚 mu\'ir枚\'枚 taya. \nSinduhu 枚tohug枚?';
+$lang['mediaselect'] = 'Media file';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/admin.txt
new file mode 100644
index 000000000..8cb25edb6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/admin.txt
@@ -0,0 +1,4 @@
+====== Administrasi ======
+
+Berikut ini adalah daftar pekerjaan administratif yang dapat Anda temukan di DokuWiki.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/backlinks.txt
new file mode 100644
index 000000000..79c70f30b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/backlinks.txt
@@ -0,0 +1,3 @@
+====== Backlinks ======
+
+Daftar dibawah ini adalah halaman-halaman (lain) yang terhubung ke halaman ini.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/conflict.txt
new file mode 100644
index 000000000..236e8b6e9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/conflict.txt
@@ -0,0 +1,6 @@
+====== Versi terbaru telah Ada ======
+
+Versi terbaru dari dokumen yang baru saja Anda Edit telah ada. Ini terjadi ketika user lain telah selesai mengubah halaman, saat Anda sedang meng-edit.
+
+Pertimbangkan perbedaan yang ditampilkan dibawah ini, kemudian putuskan versi mana yang harus disimpan. Jika Anda memilih "Simpan", versi (tulisan terbaru) Andalah yang akan disimpan. Tekan "Batal" to menggunakan versi tulisan yang telah ada.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/denied.txt
new file mode 100644
index 000000000..bad8f24a6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/denied.txt
@@ -0,0 +1,4 @@
+====== Akses Ditolak ======
+
+Maaf, Anda tidak mempunyai hak akses untuk melanjutkan. Apakah Anda belum login?
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/diff.txt
new file mode 100644
index 000000000..eee1e5a58
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/diff.txt
@@ -0,0 +1,4 @@
+====== Perbedaan ======
+
+Ini menunjukkan perbedaan antara versi yang terpilih dengan versi yang sedang aktif.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/draft.txt
new file mode 100644
index 000000000..d7de1458b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/draft.txt
@@ -0,0 +1,5 @@
+====== File Draft ditemukan ======
+
+Proses pengeditan Anda sebelumnya tidak selesai dengan sempurna. DokuWiki secara otomatis meyimpan draft yang dapat Anda pakai untuk melanjutkan pengeditan. Dibawah ini Anda dapat melihat data yang disimpan pada sesi sebelumnya.
+
+Silahkan pilih jika Anda ingin //recover// sesi pengeditan terakhir atau //hapus// draft, atau //batalkan// proses pengeditan.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/edit.txt
new file mode 100644
index 000000000..a32803c44
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/edit.txt
@@ -0,0 +1,2 @@
+Ubah isi halaman kemudian tekan "Simpan". Lihat [[wiki:syntax]] untuk sintaks-sintaks Wiki. Mohon edit/ubah halaman sesuai dengan judul halamannya. Bila Anda masih ragu untuk menulis di halaman ini, silahkan bermain-main di [[playground:playground|tamanbermain]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/editrev.txt
new file mode 100644
index 000000000..e6d247c7a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/editrev.txt
@@ -0,0 +1,2 @@
+**Anda telah membuka dokumen versi lama!** Jika menyimpannya, berarti Anda akan membuat versi baru dari data ini.
+---- \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/index.txt
new file mode 100644
index 000000000..88bbb12e4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/index.txt
@@ -0,0 +1,4 @@
+====== Index ======
+
+Berikut ini adalah index dari keseluruhan halaman yang ada, diurutkan berdasar [[doku>namespaces|namespaces]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/install.html
new file mode 100644
index 000000000..9a9a8f1e9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/install.html
@@ -0,0 +1,25 @@
+<p>Halaman ini membatu Anda dalam proses instalasi dan konfigurasi pertama kali
+untuk <a href="http://dokuwiki.org">Dokuwiki</a>. Informasi lebih lanjut
+tentang alat instalasi ini tersedia dalam
+<a href="http://dokuwiki.org/installer">halaman dokumentasi</a> sendiri.</p>
+
+<p>DokuWIki menggunakan berkas biasa sebagai media penyimpanan halaman wiki
+dan informasi lainnya yang berhubungan dengan halaman tersebut (contoh: gambar,
+indeks pencarian, revisi lama, dll). Agar bisa menggunakannya DokuWiki
+<strong>harus</strong> memiliki hak akses tulis pada direktori yang menyimpan
+berkas-berkas tersebut. Alat instalasi ini tidak dapat melakukan perubahan
+konfigurasi hak akses pada direktori. Biasanya harus menggunakan command shell
+atau jika Anda pengguna layanan hosting, melalui FTP atau control panel layanan
+hosting Anda (misalnya: cPanel). </p>
+
+<p>Alat instalasi ini akan mengatur konfigurasi DokuWiki Anda untuk
+<acronym title="access control list">ACL</acronym>, yang selanjutnya akan
+memperbolehkan administrator untuk login dan mengakses menu Admin DokuWiki
+untuk menginstal plugin, mengatur pengguna (user), mengatur hak akses ke
+halaman wiki dan perubahan konfigurasi. Ini tidak diawajibkan dalam pengoperasian
+DokuWiki, tetapi dapat membuat DokuWiki lebih mudah untuk dipelihara.</p>
+
+<p>Pengguna berpengalaman atau pengguna dengan kebutuhan instalasi khusus silahkan
+melihat link <a href="http://dokuwiki.org/install">Panduan Instalasi</a>
+and <a href="http://dokuwiki.org/config">Konfigurasi WIki</a>.
+untuk hal-hal yang berhubungan dengan instalasi dan konfigurasi.</p>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/lang.php
new file mode 100644
index 000000000..447abaf1a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/lang.php
@@ -0,0 +1,210 @@
+<?php
+/**
+ * Indonesian language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author mubaidillah <mubaidillah@gmail.com>
+ * @author Irwan Butar Butar <irwansah.putra@gmail.com>
+ * @author Yustinus Waruwu <juswaruwu@gmail.com>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '"';
+$lang['doublequoteclosing'] = '"';
+$lang['singlequoteopening'] = '\'';
+$lang['singlequoteclosing'] = '\'';
+$lang['apostrophe'] = '\'';
+$lang['btn_edit'] = 'Edit halaman ini';
+$lang['btn_source'] = 'Lihat sumber halaman';
+$lang['btn_show'] = 'Tampilkan halaman';
+$lang['btn_create'] = 'Buat halaman baru';
+$lang['btn_search'] = 'Cari';
+$lang['btn_save'] = 'Simpan';
+$lang['btn_preview'] = 'Preview';
+$lang['btn_top'] = 'kembali ke atas';
+$lang['btn_newer'] = '<< lebih lanjut';
+$lang['btn_older'] = 'sebelumnya >>';
+$lang['btn_revs'] = 'Revisi-revisi lama';
+$lang['btn_recent'] = 'Perubahan terbaru';
+$lang['btn_upload'] = 'Upload';
+$lang['btn_cancel'] = 'Batal';
+$lang['btn_index'] = 'Indeks';
+$lang['btn_secedit'] = 'Edit';
+$lang['btn_login'] = 'Login';
+$lang['btn_logout'] = 'Keluar';
+$lang['btn_admin'] = 'Admin';
+$lang['btn_update'] = 'Ubah';
+$lang['btn_delete'] = 'Hapus';
+$lang['btn_back'] = 'Kembali';
+$lang['btn_backlink'] = 'Backlinks';
+$lang['btn_backtomedia'] = 'Kembali ke Pilihan Mediafile';
+$lang['btn_subscribe'] = 'Ikuti Perubahan';
+$lang['btn_unsubscribe'] = 'Berhenti Ikuti Perubahan';
+$lang['btn_profile'] = 'Ubah Profil';
+$lang['btn_reset'] = 'Reset';
+$lang['btn_resendpwd'] = 'Kirim password baru';
+$lang['btn_draft'] = 'Edit draft';
+$lang['btn_draftdel'] = 'Hapus draft';
+$lang['loggedinas'] = 'Login sebagai ';
+$lang['user'] = 'Username';
+$lang['pass'] = 'Password';
+$lang['newpass'] = 'Password baru';
+$lang['oldpass'] = 'Konfirmasi password';
+$lang['passchk'] = 'sekali lagi';
+$lang['remember'] = 'Ingat saya';
+$lang['fullname'] = 'Nama lengkap';
+$lang['email'] = 'E-Mail';
+$lang['register'] = 'Daftar';
+$lang['profile'] = 'Profil User';
+$lang['badlogin'] = 'Maaf, username atau password salah.';
+$lang['minoredit'] = 'Perubahan Minor';
+$lang['draftdate'] = 'Simpan draft secara otomatis';
+$lang['regmissing'] = 'Maaf, Anda harus mengisi semua field.';
+$lang['reguexists'] = 'Maaf, user dengan user login ini telah ada.';
+$lang['regsuccess'] = 'User telah didaftarkan dan password telah dikirim ke email Anda.';
+$lang['regsuccess2'] = 'User telah dibuatkan.';
+$lang['regmailfail'] = 'Kami menemukan kesalahan saat mengirimkan password ke alamat email Anda. Mohon hubungi administrator.';
+$lang['regbadmail'] = 'Alamat email yang Anda masukkan tidak valid - jika menurut Anda hal ini adalah kesalahan sistem, mohon hubungi admin.';
+$lang['regbadpass'] = 'Passwod yang dimasukkan tidak sama. Silahkan ulangi lagi.';
+$lang['regpwmail'] = 'Password DokuWiki Anda';
+$lang['reghere'] = 'Anda belum mempunyai account? silahkan ';
+$lang['profna'] = 'Wiki ini tidak mengijinkan perubahan profil.';
+$lang['profnochange'] = 'Tidak ada perubahan.';
+$lang['profnoempty'] = 'Mohon mengisikan nama atau alamat email.';
+$lang['profchanged'] = 'Profil User berhasil diubah.';
+$lang['pwdforget'] = 'Lupa Password? Dapatkan yang baru';
+$lang['resendna'] = 'Wiki ini tidak mendukung pengiriman ulang password.';
+$lang['resendpwd'] = 'Kirim password baru untuk';
+$lang['resendpwdmissing'] = 'Maaf, Anda harus mengisikan semua field.';
+$lang['resendpwdnouser'] = 'Maaf, user ini tidak ditemukan.';
+$lang['resendpwdbadauth'] = 'Maaf, kode autentikasi tidak valid. Pastikan Anda menggunakan keseluruhan link konfirmasi.';
+$lang['resendpwdconfirm'] = 'Link konfirmasi telah dikirim melalui email.';
+$lang['resendpwdsuccess'] = 'Password baru Anda telah dikirim melalui email.';
+$lang['txt_upload'] = 'File yang akan diupload';
+$lang['txt_filename'] = 'Masukkan nama wiki (opsional)';
+$lang['txt_overwrt'] = 'File yang telah ada akan ditindih';
+$lang['lockedby'] = 'Sedang dikunci oleh';
+$lang['lockexpire'] = 'Penguncian artikel sampai dengan';
+$lang['willexpire'] = 'Halaman yang sedang Anda kunci akan berakhir dalam waktu kurang lebih satu menit.\nUntuk menghindari konflik, gunakan tombol Preview untuk me-reset timer pengunci.';
+$lang['notsavedyet'] = 'Perubahan yang belum disimpan akan hilang.\nYakin akan dilanjutkan?';
+$lang['rssfailed'] = 'Error terjadi saat mengambil feed: ';
+$lang['nothingfound'] = 'Tidak menemukan samasekali.';
+$lang['mediaselect'] = 'Pilihan Mediafile';
+$lang['fileupload'] = 'Mediafile Upload';
+$lang['uploadsucc'] = 'Upload sukses';
+$lang['uploadfail'] = 'Upload gagal. Apakah hak ijinnya salah?';
+$lang['uploadwrong'] = 'Upload ditolak. Ekstensi file ini tidak diperbolehkan!';
+$lang['uploadexist'] = 'File telah ada. Tidak mengerjakan apa-apa.';
+$lang['uploadbadcontent'] = 'Isi file yang diupload tidak cocok dengan ekstensi file %s.';
+$lang['uploadspam'] = 'File yang diupload diblok oleh spam blacklist.';
+$lang['uploadxss'] = 'File yang diupload diblok karena kemungkinan isi yang berbahaya.';
+$lang['deletesucc'] = 'File "%s" telah dihapus.';
+$lang['deletefail'] = '"%s" tidak dapat dihapus - cek hak aksesnya.';
+$lang['mediainuse'] = 'File "%s" belum dihapus - file ini sedang digunakan.';
+$lang['namespaces'] = 'Namespaces';
+$lang['mediafiles'] = 'File tersedia didalam';
+$lang['js']['keepopen'] = 'Biarkan window terbuka dalam pemilihan';
+$lang['js']['hidedetails'] = 'Sembunyikan detil';
+$lang['mediausage'] = 'Gunakan sintaks berikut untuk me-refer ke file ini';
+$lang['mediaview'] = 'Tampilkan file asli';
+$lang['mediaroot'] = 'root';
+$lang['mediaupload'] = 'Upload file ke namespace ini. Untuk menbuat namespace baru, tambahkan namanya didepanpada nama file "Upload as" dipisahkan dengan titik dua (:).';
+$lang['mediaextchange'] = 'Ektensi file berubah dari .%s ke .%s';
+$lang['reference'] = 'Referensi untuk';
+$lang['ref_inuse'] = 'File tidak dapat dihapus karena sedang digunakan oleh halaman:';
+$lang['ref_hidden'] = 'Beberapa referensi ada didalam halaman yang tidak diijinkan untuk Anda baca.';
+$lang['hits'] = 'Hits';
+$lang['quickhits'] = 'Matching pagenames';
+$lang['toc'] = 'Daftar isi';
+$lang['current'] = 'sekarang';
+$lang['yours'] = 'Versi Anda';
+$lang['diff'] = 'Tampilkan perbedaan dengan versi sekarang';
+$lang['diff2'] = 'Tampilkan perbedaan diantara revisi terpilih';
+$lang['line'] = 'Baris';
+$lang['breadcrumb'] = 'Jejak';
+$lang['youarehere'] = 'Anda disini';
+$lang['lastmod'] = 'Terakhir diubah';
+$lang['by'] = 'oleh';
+$lang['deleted'] = 'terhapus';
+$lang['created'] = 'dibuat';
+$lang['restored'] = 'revisi lama ditampilkan kembali';
+$lang['external_edit'] = 'Perubahan eksternal';
+$lang['summary'] = 'Edit summary';
+$lang['mail_newpage'] = 'Halaman ditambahkan:';
+$lang['mail_changed'] = 'Halaman diubah:';
+$lang['mail_new_user'] = 'User baru:';
+$lang['mail_upload'] = 'Berkas di-upload:';
+$lang['js']['nosmblinks'] = "Link ke share Windows hanya bekerja di Microsoft Internet Explorer.\nAnda masih dapat mengcopy and paste linknya.";
+$lang['qb_bold'] = 'Tebal';
+$lang['qb_italic'] = 'Miring';
+$lang['qb_underl'] = 'Garis Bawah';
+$lang['qb_code'] = 'Kode';
+$lang['qb_strike'] = 'Text Tercoret';
+$lang['qb_h1'] = 'Level 1 Headline';
+$lang['qb_h2'] = 'Level 2 Headline';
+$lang['qb_h3'] = 'Level 3 Headline';
+$lang['qb_h4'] = 'Level 4 Headline';
+$lang['qb_h5'] = 'Level 5 Headline';
+$lang['qb_link'] = 'Link Internal';
+$lang['qb_extlink'] = 'Link External';
+$lang['qb_hr'] = 'Garis Horisontal';
+$lang['qb_ol'] = 'Item Berurutan';
+$lang['qb_ul'] = 'Item Tidak Berurutan';
+$lang['qb_media'] = 'Tambahkan gambar atau file lain';
+$lang['qb_sig'] = 'Sisipkan tanda tangan';
+$lang['qb_smileys'] = 'Smileys';
+$lang['qb_chars'] = 'Karakter Khusus';
+$lang['js']['del_confirm'] = 'Hapus tulisan ini?';
+$lang['admin_register'] = 'Tambah user baru';
+$lang['metaedit'] = 'Edit Metadata';
+$lang['metasaveerr'] = 'Gagal menulis metadata';
+$lang['metasaveok'] = 'Metadata tersimpan';
+$lang['img_backto'] = 'Kembali ke';
+$lang['img_title'] = 'Judul';
+$lang['img_caption'] = 'Label';
+$lang['img_date'] = 'Tanggal';
+$lang['img_fname'] = 'Nama file';
+$lang['img_fsize'] = 'Ukuran';
+$lang['img_artist'] = 'Tukang foto';
+$lang['img_copyr'] = 'Hakcipta';
+$lang['img_format'] = 'Format';
+$lang['img_camera'] = 'Kamera';
+$lang['img_keywords'] = 'Katakunci';
+$lang['subscribe_success'] = 'Penambahan %s ke data subsripsi untuk %s';
+$lang['subscribe_error'] = 'Gagal menambahkan %s ke data subsripsi untuk %s';
+$lang['subscribe_noaddress'] = 'Tidak ditemukan alamat yang berhubungan dengan login Anda, Anda tidak dapat menambahkan daftar subscription';
+$lang['unsubscribe_success'] = 'Menghapus %s dari daftar subscription untuk %s';
+$lang['unsubscribe_error'] = 'Gagal menghapus %s dari daftar subscription untuk %s';
+$lang['authmodfailed'] = 'Konfigurasi autentikasi user tidak valid. Harap informasikan admin Wiki Anda.';
+$lang['authtempfail'] = 'Autentikasi user saat ini sedang tidak dapat digunakan. Jika kejadian ini berlanjut, Harap informasikan admin Wiki Anda.';
+$lang['i_chooselang'] = 'Pilih bahasa';
+$lang['i_installer'] = 'Instalasi DokuWiki';
+$lang['i_wikiname'] = 'Nama Wiki';
+$lang['i_enableacl'] = 'Aktifkan ACL (disarankan)';
+$lang['i_problems'] = 'Terdapat beberapa kesalahan seperti berikut. Anda tidak dapat melanjutkan sampai kesalahan tersebut diperbaiki.';
+$lang['i_modified'] = 'Untuk alasan keamanan, skrip ini hanya dapat dijalankan pada instalasi DikuWiki baru dan belum di modifikasi. Silahkan meng-ekstrak kembali berkasi dari halaman dowload, atau lihat <a href="http://dokuwiki.org/install">Dokuwiki installation instructions</a> ';
+$lang['i_funcna'] = 'Fungsi PHP <code>%s</code> tidak tersedia. Mungkin dinonaktifkan oleh layanan hosting Anda?';
+$lang['i_phpver'] = 'Versi PHP Anda <code>%s</code> lebih rendah dari yang dibutuhkan <code>%s</code>. Mohon melakukan upgrade.';
+$lang['i_permfail'] = '<code>%s</code> tidak dapat ditulis oleh DokuWiki. Anda harus memperbaiki konfigurasi hak akses untuk direktori tersebut.';
+$lang['i_confexists'] = '<code>%s</code> sudah ada';
+$lang['i_writeerr'] = 'Tidak dapat membuat <code>%s</code>. Anda harus memeriksa konfigurasi hak akses direktori/berkas dan membuatnya secara manual.';
+$lang['i_badhash'] = 'dokuwiki.php tidak dikenal atau sudah diubah (hash=<code>%s</code>)';
+$lang['i_badval'] = '<code>%s</code> - tidak valid atau belum diisi';
+$lang['i_success'] = 'Konfigurasi telah berhasil. Anda boleh menghapus berkas install.php sekarang. Lanjutkan ke <a href="doku.php">DokuWiki baru Anda</a>.';
+$lang['i_failure'] = 'Terdapat beberapa kesalahan dalam menulis berkas konfigurasi. Anda harus memperbaikinnya sendiri sebelum dapat menggunakan <a href="doku.php">DokuWiki baru Anda</a>.';
+$lang['i_policy'] = 'Policy ACL awal';
+$lang['i_pol0'] = 'Wiki Terbuka (baca, tulis, upload untuk semua orang)';
+$lang['i_pol1'] = 'Wiki Publik (baca untuk semua orang, tulis dan upload untuk pengguna terdaftar)';
+$lang['i_pol2'] = 'Wiki Privat (baca, tulis dan upload hanya untuk pengguna terdaftar)';
+$lang['i_retry'] = 'Coba Lagi';
+$lang['mu_gridname'] = 'Nama file';
+$lang['mu_gridsize'] = 'Ukuran';
+$lang['mu_gridstat'] = 'Status';
+$lang['mu_namespace'] = 'Namaspace';
+$lang['mu_browse'] = 'Jelajah';
+$lang['mu_ready'] = 'Siap untuk uplod';
+$lang['mu_done'] = 'Selesai';
+$lang['mu_fail'] = 'Gagal';
+$lang['mu_authfail'] = 'sesi habis';
+$lang['mu_progress'] = '@PCT@% uploaded';
+$lang['mu_filetypes'] = 'Izinkan tipe file';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/locked.txt
new file mode 100644
index 000000000..8147717fd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/locked.txt
@@ -0,0 +1,3 @@
+====== Halaman Terkunci ======
+
+Halaman ini tertutup (terkunci) untuk diedit oleh user lain. Anda harus menunggu sampai user ini menyelesaikan pengeditan, atau masa berlaku penguncian telah berakhir.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/login.txt
new file mode 100644
index 000000000..f736e882b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/login.txt
@@ -0,0 +1,4 @@
+====== Login ======
+
+Anda belum login! Masukkan data autentifikasi dibawah ini untuk masuk log (login). Cookies harus diaktifkan agar bisa login.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/mailtext.txt
new file mode 100644
index 000000000..7eede9b3c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/mailtext.txt
@@ -0,0 +1,17 @@
+Halaman di DokuWiki Anda telah bertamah atau berubah, dengan detil sebagai berikut:
+
+Date : @DATE@
+Browser : @BROWSER@
+IP-Address : @IPADDRESS@
+Hostname : @HOSTNAME@
+Old Revision: @OLDPAGE@
+New Revision: @NEWPAGE@
+Edit Summary: @SUMMARY@
+User : @USER@
+
+@DIFF@
+
+
+--
+Email ini digenerate oleh DokuWiki di
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/newpage.txt
new file mode 100644
index 000000000..8d3f99d72
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/newpage.txt
@@ -0,0 +1,3 @@
+====== Topik ini belum tersedia ======
+
+Belum ada artikel di halaman ini. Anda dapat membuat tulisan-tulisan baru di halaman ini dengan menekan tombol "Buat Halaman Baru" (lihat dibagian bawah...!)
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/norev.txt
new file mode 100644
index 000000000..5244f8303
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/norev.txt
@@ -0,0 +1,4 @@
+====== Revisi tidak tersedia ======
+
+Revisi yang diinginkan tidak ada. Gunakan tombol ''Revisi Lama'' untuk menampilkan daftar revisi lama dari dokumen ini.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/preview.txt
new file mode 100644
index 000000000..1621946b1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/preview.txt
@@ -0,0 +1,4 @@
+====== Preview ======
+
+Ini adalah preview tentang bagimana tulisan Anda akan ditampilkan. Ingat: tulisan ini **belum disimpan**!
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/pwconfirm.txt
new file mode 100644
index 000000000..19131ee47
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/pwconfirm.txt
@@ -0,0 +1,13 @@
+Hai @FULLNAME@!
+
+Seseorang telah meminta password baru untuk @TITLE@ Anda login ke @DOKUWIKIURL@
+
+Jika Anda tidak meminta password baru, mohon mengacuhkan email ini.
+
+Untuk mengkonfirmasi bahwa permintaan tersebut adalah benar dari Anda, silahkan gunakan link dibawah.
+
+@CONFIRM@
+
+--
+Email ini dibuat otomatis oleh DokuWiki
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/read.txt
new file mode 100644
index 000000000..f78c0eb9d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/read.txt
@@ -0,0 +1,2 @@
+Halaman ini hanya bisa dibaca. Anda bisa melihat sumbernya, tetapi tidak diperkenankan untuk mengubah. Hubungi administrator jika menemukan kesalahan pada halaman ini.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/recent.txt
new file mode 100644
index 000000000..f7cf24443
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/recent.txt
@@ -0,0 +1,5 @@
+====== Perubahan ======
+
+Berikut ini adalah halaman-halaman yang baru saja diubah.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/register.txt
new file mode 100644
index 000000000..dd8c578f0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/register.txt
@@ -0,0 +1,4 @@
+====== Mendaftar sebagai anggota baru ======
+
+Isikan semua informasi dibawah ini untuk membuat account baru di wiki ini. Pastikan Anda telah mengisikan **alamat email yang valid**, karena password akan dikirim melalui email ini. Nama login harus sesuai dengan aturan [[doku>pagename|pagename]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/registermail.txt
new file mode 100644
index 000000000..ed8c97ca3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/registermail.txt
@@ -0,0 +1,14 @@
+User baru telah mendaftar. Berikut detailnya:
+
+User name : @NEWUSER@
+Full name : @NEWNAME@
+E-mail : @NEWEMAIL@
+
+Date : @DATE@
+Browser : @BROWSER@
+IP-Address : @IPADDRESS@
+Hostname : @HOSTNAME@
+
+--
+Email ini dibuat otomatis oleh DokuWIki
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/resendpwd.txt
new file mode 100644
index 000000000..276b2928f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/resendpwd.txt
@@ -0,0 +1,3 @@
+====== Kirim Password Baru ======
+
+Masukkan nama user Anda pada form dibawah untuk permintaan perubahan password account Anda di Wiki ini. Link konfirmasi akan dikirimkan melalui alamat email Anda sewaktu registrasi.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/revisions.txt
new file mode 100644
index 000000000..d82b2735f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/revisions.txt
@@ -0,0 +1,4 @@
+====== Revisi Lama ======
+
+Ini adalah revisi-revisi lama dari dokumen ini. Untuk mengaktifkan kembali revisi lama, pilih dokumen revisi, kemudikan tekan "Edit halaman ini" lalu Simpan.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/searchpage.txt
new file mode 100644
index 000000000..c47bed7dc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/searchpage.txt
@@ -0,0 +1,5 @@
+====== Pencarian ======
+
+Anda dapat menemukan hasil pencarian dibawah ini. Jika Anda tidak menemukan apa yang diinginkan, Anda dapat membuat halaman baru, dengan nama sesuai "text pencarian" Anda. Gunakan tombol "Edit halaman ini".
+
+===== Hasil Pencarian ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/showrev.txt
new file mode 100644
index 000000000..27f0c6421
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/showrev.txt
@@ -0,0 +1,2 @@
+**Ini adalah dokumen versi lama!**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/stopwords.txt
new file mode 100644
index 000000000..73713c838
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/stopwords.txt
@@ -0,0 +1,37 @@
+# This is a list of words the indexer ignores, one word per line
+# When you edit this file be sure to use UNIX line endings (single newline)
+# No need to include words shorter than 3 chars - these are ignored anyway
+# This list is based upon the ones found at http://www.ranks.nl/stopwords/
+about
+are
+and
+you
+your
+them
+their
+com
+for
+from
+into
+how
+that
+the
+this
+was
+what
+when
+where
+who
+will
+with
+und
+the
+www
+yang
+dan
+adalah
+untuk
+lalu
+maka
+kemudian
+jika
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/subscribermail.txt
new file mode 100644
index 000000000..dc04df29a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/subscribermail.txt
@@ -0,0 +1,17 @@
+Halo Dunia!
+
+Halaman @PAGE@ di @TITLE@ wiki telah berubah.
+Perubahannya adalah sebagai berikut:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+Untuk unsubscribe dari halaman ini, silahkan login ke wiki di
+@DOKUWIKIURL@ lalu buka
+@NEWPAGE@
+dan pilih 'Unsubscribe Changes'.
+
+--
+Email ini telah digenerate oleh DokuWiki
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/updateprofile.txt
new file mode 100644
index 000000000..b7f71a198
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/updateprofile.txt
@@ -0,0 +1,3 @@
+====== Ubah Profil Account Anda ======
+
+Anda hanya perlu mengisikan field yang ingin Anda ubah. Anda tidak dapat mengubah username Anda.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/uploadmail.txt
new file mode 100644
index 000000000..dc628fc11
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/uploadmail.txt
@@ -0,0 +1,14 @@
+Sebuah file telah diupload di DokuWiki Anda. Berikut detailnya:
+
+File : @MEDIA@
+Date : @DATE@
+Browser : @BROWSER@
+IP-Address : @IPADDRESS@
+Hostname : @HOSTNAME@
+Size : @SIZE@
+MIME Type : @MIME@
+User : @USER@
+
+--
+Email ini dibuat otomatis oleh DokuWiki
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/wordblock.txt
new file mode 100644
index 000000000..1e40ce381
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/id/wordblock.txt
@@ -0,0 +1,4 @@
+====== SPAM blocked ======
+
+Maaf, tulisan Anda **tidak disimpan** karena terdapat satu atau lebih kata-kata yang **tabu**. Jika Anda mencoba melakukan SPAM wiki ini -- Bangsat lu! Tetapi, jika Anda pikir ini adalah kesalahan sistem, harap hubungi administrator wiki ini.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/is/adminplugins.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/is/adminplugins.txt
new file mode 100644
index 000000000..ce7b9d390
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/is/adminplugins.txt
@@ -0,0 +1 @@
+===== A冒rar vi冒b忙tur ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/is/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/is/diff.txt
new file mode 100644
index 000000000..a6d246ad7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/is/diff.txt
@@ -0,0 +1,3 @@
+===== Breytingar =====
+
+H茅r s茅st hva冒 hefur breyst 谩 milli 煤tg谩fna. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/is/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/is/lang.php
new file mode 100644
index 000000000..ba1ab2c04
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/is/lang.php
@@ -0,0 +1,196 @@
+<?php
+/**
+ * is language file
+ *
+ * This file was initially built by fetching translations from other
+ * Wiki projects. See the @url lines below. Additional translations
+ * and fixes where done for DokuWiki by the people mentioned in the
+ * lines starting with @author
+ *
+ * @url http://svn.wikimedia.org/viewvc/mediawiki/trunk/phase3/languages/messages/MessagesIs.php?view=co
+ * @author Hrannar Baldursson <hrannar.baldursson@gmail.com>
+ * @author 脫lafur Gunnlaugsson <oli@audiotools.com>
+ * @author Erik Bj酶rn Pedersen <erik.pedersen@shaw.ca>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '\'';
+$lang['btn_edit'] = 'Breyta 镁essari s铆冒u';
+$lang['btn_source'] = 'Sko冒a wikik贸冒a';
+$lang['btn_show'] = 'S媒na s铆冒u';
+$lang['btn_create'] = 'B煤a til 镁essa s铆冒u';
+$lang['btn_search'] = 'Leit';
+$lang['btn_save'] = 'Vista';
+$lang['btn_preview'] = 'Forsko冒a';
+$lang['btn_top'] = 'Efst 谩 s铆冒u';
+$lang['btn_newer'] = '<< n媒rra';
+$lang['btn_older'] = 'eldra >>';
+$lang['btn_revs'] = 'breytingaskr谩';
+$lang['btn_recent'] = 'N媒legar breytingar';
+$lang['btn_upload'] = 'Hla冒a upp';
+$lang['btn_cancel'] = 'H忙tta vi冒';
+$lang['btn_index'] = 'Atri冒askr谩';
+$lang['btn_secedit'] = 'Breyta';
+$lang['btn_login'] = 'Innskr谩';
+$lang['btn_logout'] = '脷tskr谩';
+$lang['btn_admin'] = 'Stj贸rnandi';
+$lang['btn_update'] = 'Uppf忙ra';
+$lang['btn_delete'] = 'Ey冒a';
+$lang['btn_back'] = 'Til baka';
+$lang['btn_backlink'] = 'Hva冒 tengist hinga冒';
+$lang['btn_backtomedia'] = 'Aftur til mi冒laskr谩';
+$lang['btn_subscribe'] = 'Vakta';
+$lang['btn_unsubscribe'] = 'Afvakta';
+$lang['btn_subscribens'] = 'Vakta breytingar 谩 nafnr媒mi';
+$lang['btn_unsubscribens'] = 'Afvakta breytingar 谩 nafnr媒mi';
+$lang['btn_profile'] = 'Uppf忙ra notanda';
+$lang['btn_reset'] = 'Endurstilla';
+$lang['btn_resendpwd'] = 'Senda n媒tt a冒gangsor冒 me冒 t枚lvup贸sti';
+$lang['btn_draft'] = 'Breyta uppkasti';
+$lang['btn_recover'] = 'Endurheimta uppkast';
+$lang['btn_draftdel'] = 'Ey冒a uppkasti';
+$lang['btn_revert'] = 'Endurheimta';
+$lang['loggedinas'] = 'Innskr谩ning sem';
+$lang['user'] = 'Notendanafn';
+$lang['pass'] = 'A冒gangsor冒';
+$lang['newpass'] = 'N媒tt a冒gangsor冒';
+$lang['oldpass'] = 'Sta冒festa n煤verandi (gamla) a冒gangsor冒i冒';
+$lang['passchk'] = 'A冒gangsor冒 (aftur)';
+$lang['remember'] = 'Muna.';
+$lang['fullname'] = 'Fullt nafn 镁itt*';
+$lang['email'] = 'T枚lvup贸stfangi冒 镁itt*';
+$lang['register'] = 'Skr谩ning';
+$lang['profile'] = 'Notendastillingar';
+$lang['badlogin'] = '脼v铆 mi冒ur, notandanafn e冒a a冒gangsor冒 var rangur.';
+$lang['minoredit'] = 'Minnih谩ttar breyting';
+$lang['draftdate'] = 'Uppkast vista冒 sj谩lfkrafa';
+$lang['nosecedit'] = 'S铆冒unni var breytt 谩 me冒an, uppl媒singar um sv忙冒i冒 voru 煤reltar og 枚ll s铆冒an 镁v铆 endurhla冒in.';
+$lang['regmissing'] = 'Afsaki冒, en 镁煤 ver冒ur a冒 fylla 煤t 铆 allar ey冒ur.';
+$lang['reguexists'] = 'Afsaki冒, notandi me冒 镁essu nafni er 镁egar skr谩冒ur inn.';
+$lang['regsuccess'] = 'Notandi hefur veri冒 b煤inn til og a冒gangsor冒 sent 铆 t枚lvup贸sti.';
+$lang['regsuccess2'] = 'Notandi hefur veri冒 b煤inn til.';
+$lang['regmailfail'] = '脼a冒 l铆tur 煤t fyrir villu vi冒 sendingu a冒gangsor冒s. Vinsamlegast haf冒u samband vi冒 stj贸rnanda.';
+$lang['regbadmail'] = 'Uppgefinn t枚lvup贸stur vir冒ist 贸gildur - teljir 镁煤 镁etta vera villu, haf冒u 镁谩 samband vi冒 stj贸rnanda.';
+$lang['regbadpass'] = 'A冒gangsor冒in tv枚 eru ekki eins, vinsamlegast reyndu aftur.';
+$lang['regpwmail'] = 'DokuWiki a冒gangsor冒i冒 镁itt';
+$lang['reghere'] = 'Ertu ekki me冒 reikning? Skr谩冒u 镁ig';
+$lang['profna'] = '脼essi wiki leyfir ekki breytingar 谩 notendauppl媒singum';
+$lang['profnochange'] = 'Enga breytingar vista冒ar';
+$lang['profnoempty'] = '脼a冒 er ekki leyfilegt a冒 skilja nafn og p贸stfang eftir 贸煤tfyllt';
+$lang['profchanged'] = 'Notendauppl媒singum breytt';
+$lang['pwdforget'] = 'Gleymt a冒gangsor冒? F谩冒u n媒tt';
+$lang['resendna'] = '脼essi wiki sty冒ur ekki endursendingar a冒gangsor冒s';
+$lang['resendpwd'] = 'Senda n媒tt a冒gangsor冒 fyrir';
+$lang['resendpwdmissing'] = 'Afsaki冒, 镁煤 ver冒ur a冒 煤t ey冒ubla冒i冒 allt';
+$lang['resendpwdnouser'] = 'Afsaki冒, notandi finnst ekki.';
+$lang['resendpwdbadauth'] = 'Afsaki冒, 镁essi sannvottunor冒 er ekki gild. Gakktu 煤r skugga um a冒 镁煤 nota冒ir a冒 lj煤ka sta冒festing hlekkur.';
+$lang['resendpwdconfirm'] = 'Sta冒festing hlekkur hefur veri冒 send me冒 t枚lvup贸sti.';
+$lang['resendpwdsuccess'] = 'N媒ja a冒gangsor冒i冒 hefur veri冒 sent me冒 t枚lvup贸sti.';
+$lang['license'] = 'Nema anna冒 s茅 teki冒 fram, efni 谩 镁essari wiki er leyf冒 undir eftirfarandi leyfi:';
+$lang['licenseok'] = 'Athugi冒: Me冒 镁v铆 a冒 breyta 镁essari s铆冒u sam镁ykkir 镁煤 a冒 leyfisveitandi efni undir eftirfarandi leyfi:';
+$lang['searchmedia'] = 'Leit skr谩rheiti:';
+$lang['searchmedia_in'] = 'Leit 铆 %s';
+$lang['txt_upload'] = 'Veldu skr谩 til innhle冒slu';
+$lang['txt_filename'] = 'Innhla冒a sem (valfrj谩lst)';
+$lang['txt_overwrt'] = 'Skrifa yfir skr谩 sem 镁egar er til';
+$lang['lockedby'] = 'L忙stur af';
+$lang['lockexpire'] = 'L忙sing rennur 煤t eftir';
+$lang['nothingfound'] = 'Ekkert fannst';
+$lang['mediaselect'] = 'Mi冒laskr谩';
+$lang['fileupload'] = 'Hla冒a inn mi冒laskr谩';
+$lang['uploadsucc'] = 'Innhla冒ning t贸kst';
+$lang['uploadfail'] = 'Villa 铆 innhla冒ningu';
+$lang['uploadwrong'] = 'Innhle冒slu neita冒. Skr谩r me冒 镁essari endingu eru ekki leyf冒ar.';
+$lang['uploadexist'] = 'Skr谩 var 镁egar til sta冒ar.';
+$lang['uploadbadcontent'] = 'Innhla冒i冒 efni var ekki vi冒 a冒 %s skr谩rendingu.';
+$lang['uploadspam'] = '脼essi innhla冒ning er 煤tiloku冒 vegna ruslp贸sts svarturlisti.';
+$lang['uploadxss'] = '脼essi innhla冒ning er 煤tiloku冒 vegna hugsanlega ska冒legum efni.';
+$lang['uploadsize'] = 'Innhla冒i冒 skr谩 var of st贸r. (H谩mark eru %s)';
+$lang['deletesucc'] = 'Skr谩 %s hefur veri冒 eytt.';
+$lang['namespaces'] = 'Nafnr媒mar';
+$lang['mediafiles'] = 'Tilt忙kar skr谩r 铆';
+$lang['js']['searchmedia'] = 'Leita a冒 skr谩m';
+$lang['js']['hidedetails'] = 'Fela uppl媒singar';
+$lang['js']['linkwiz'] = 'Tengill-lei冒sagnarforrit';
+$lang['js']['linkto'] = 'Tengja';
+$lang['js']['del_confirm'] = '脕 枚rugglega a冒 ey冒a valdar skr谩r?';
+$lang['mediaview'] = 'Sj谩 upprunalega skr谩';
+$lang['mediaroot'] = 'r贸t';
+$lang['mediaextchange'] = 'Skr谩rending var breytt 煤r .%s til .%s!';
+$lang['reference'] = 'Tilv铆sanir til';
+$lang['ref_inuse'] = 'Ekki h忙gt a冒 ey冒a skr谩in, 镁v铆 镁a冒 er enn nota冒 af eftirfarandi s铆冒um:';
+$lang['ref_hidden'] = 'Sumar tilv铆sanir eru a冒 s铆冒ur sem 镁煤 hefur ekki leyfi til a冒 lesa';
+$lang['hits'] = 'Samsv枚r';
+$lang['quickhits'] = 'Samsv枚run s铆冒un枚fn';
+$lang['toc'] = 'Efnisyfirlit';
+$lang['current'] = 'n煤';
+$lang['yours'] = '脼铆n 煤tg谩fa';
+$lang['diff'] = 'S媒na 谩greiningur til n煤verandi endursko冒un';
+$lang['diff2'] = 'S媒na 谩greiningur me冒al vali冒 endursko冒un';
+$lang['line'] = 'L铆na';
+$lang['breadcrumb'] = 'Snefill';
+$lang['youarehere'] = '脼煤 ert h茅r';
+$lang['lastmod'] = 'S铆冒ast breytt';
+$lang['by'] = 'af';
+$lang['deleted'] = 'eytt';
+$lang['created'] = 'mynda冒';
+$lang['restored'] = 'Breytt aftur til fyrri 煤tg谩fu';
+$lang['external_edit'] = 'utana冒komandi breyta';
+$lang['summary'] = 'Forsko冒a';
+$lang['noflash'] = '脼a冒 镁arf <a href="http://www.adobe.com/products/flashplayer/">Adobe Flash vi冒b贸t</a> til a冒 s媒na sumt efni冒 谩 镁essari s铆冒u';
+$lang['download'] = 'Hla冒a ni冒ur til k贸冒ab煤t';
+$lang['mail_newpage'] = 's铆冒u b忙tt vi冒:';
+$lang['mail_changed'] = 's铆冒u breytt:';
+$lang['mail_new_user'] = 'n媒r notandi:';
+$lang['mail_upload'] = 'Innhla冒i冒 skr谩:';
+$lang['qb_bold'] = 'Feitletra冒ur texti';
+$lang['qb_italic'] = 'Sk谩letra冒ur texti';
+$lang['qb_underl'] = 'Undirstrika冒ur texti';
+$lang['qb_code'] = 'K贸冒atra冒ur texti';
+$lang['qb_strike'] = 'Yfirstrika冒ur texti';
+$lang['qb_h1'] = 'Fyrsta stigs fyrirs枚gn';
+$lang['qb_h2'] = 'Annars stigs fyrirs枚gn';
+$lang['qb_h3'] = '脼ri冒ja stigs fyrirs枚gn';
+$lang['qb_h4'] = 'Fj贸r冒a stigs fyrirs枚gn';
+$lang['qb_h5'] = 'Fimmta stigs fyrirs枚gn';
+$lang['qb_h'] = 'Fyrirs枚gn';
+$lang['qb_hs'] = 'Veldu fyrirs枚gn';
+$lang['qb_hplus'] = 'H忙rra stigs fyrirs枚gn';
+$lang['qb_hminus'] = 'L忙gri stigs fyrirs枚gn';
+$lang['qb_hequal'] = 'Sama stigs fyrirs枚gn';
+$lang['qb_link'] = 'Innri tengill';
+$lang['qb_extlink'] = 'Ytri tengill (muna a冒 setja http:// 谩 undan)';
+$lang['qb_hr'] = 'L谩r茅tt l铆na (notist sparlega)';
+$lang['qb_ol'] = 'N煤mera冒a冒an listatri冒i';
+$lang['qb_ul'] = '脫n煤mera冒a冒an listatri冒i';
+$lang['qb_media'] = 'B忙ta inn myndum og 枚冒rum skr谩m';
+$lang['qb_sig'] = 'Undirskrift 镁铆n auk t铆masetningu';
+$lang['qb_smileys'] = 'Broskallar';
+$lang['qb_chars'] = 'S茅rt忙kir stafir';
+$lang['admin_register'] = 'Setja n媒jan notenda inn';
+$lang['metaedit'] = 'Breyta l媒sig枚gnum';
+$lang['metasaveerr'] = 'Vistun l媒sigagna mist贸kst';
+$lang['metasaveok'] = 'L媒sig枚gn vistu冒';
+$lang['img_backto'] = 'Aftur til';
+$lang['img_title'] = 'Heiti';
+$lang['img_caption'] = 'Sk媒ringartexti';
+$lang['img_date'] = 'Dagsetning';
+$lang['img_fname'] = 'Skr谩rheiti';
+$lang['img_fsize'] = 'St忙r冒';
+$lang['img_artist'] = 'Myndsmi冒ur';
+$lang['img_copyr'] = '脷tg谩fur茅ttur';
+$lang['img_format'] = 'Forsni冒';
+$lang['img_camera'] = 'Myndav茅l';
+$lang['img_keywords'] = 'Lykilor冒ir';
+$lang['i_retry'] = 'Reyna aftur';
+$lang['mu_gridsize'] = 'St忙r冒';
+$lang['mu_toobig'] = 'of st贸r';
+$lang['mu_ready'] = 'tilb煤in til upphle冒slu';
+$lang['mu_done'] = 'loki冒';
+$lang['mu_fail'] = 'mist贸kst';
+$lang['mu_info'] = 'Skr谩r innhla冒nar.';
+$lang['mu_lasterr'] = 'S铆冒asta villa:';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/is/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/is/login.txt
new file mode 100644
index 000000000..81e7e5e32
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/is/login.txt
@@ -0,0 +1,3 @@
+===== Innskr谩ning =====
+
+脼煤 ert ekki skr谩冒ur inn! Skr谩u冒u 镁ig inn h茅r a冒 ne冒an. Athuga冒u a冒 vafrinn sem a冒 镁煤 notar ver冒ur a冒 sty冒ja m贸tt枚ku sm谩kaka. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/is/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/is/recent.txt
new file mode 100644
index 000000000..7d3cf5720
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/is/recent.txt
@@ -0,0 +1,3 @@
+===== N媒legar Breytingar =====
+
+Eftirfarandi s铆冒um hefur n媒lega veri冒 breytt. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/is/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/is/resendpwd.txt
new file mode 100644
index 000000000..b847b1d4d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/is/resendpwd.txt
@@ -0,0 +1,3 @@
+====== Senda n媒tt a冒gangsor冒 ======
+
+Vinsamlegast sl谩冒u inn notendanafn 镁itt 铆 formi冒 h茅r fyrir ne冒an til a冒 bi冒ja um n媒tt a冒gangsor冒 fyrir reikninginn 镁inn 铆 镁essu wiki. A sta冒festing hlekkur ver冒ur sendast 谩 skr谩冒 netfang. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/is/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/is/subscribermail.txt
new file mode 100644
index 000000000..57e5faf86
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/is/subscribermail.txt
@@ -0,0 +1,23 @@
+H忙
+
+@PAGE@ s铆冒an 铆 @TITLE@ hefur breyst.
+H茅r eru breytingarnar:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+Dagsetning : @DATE@
+Notandi : @USER@
+Samantekt : @SUMMARY@
+Eldri 煤tg谩fa: @OLDPAGE@
+N媒 煤tg谩fa: @NEWPAGE@
+
+Ef 镁煤 vilt ekki lengur f谩 tilkynningar um breytingar 谩 s铆冒um, skr谩冒u 镁ig 镁谩 inn 谩
+@DOKUWIKIURL@, heims贸ttu s铆冒an
+@NEWPAGE@
+og afskr谩冒u 镁ig af tilkynningum fyrir s铆冒ur og/ e冒a r媒mi fyrir heiti.
+
+--
+P贸sturinn var mynda冒ur af DokuWiki a冒
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/admin.txt
new file mode 100644
index 000000000..95a611edc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/admin.txt
@@ -0,0 +1,4 @@
+====== Amministrazione ======
+
+Qui sotto puoi trovare una lista delle possibili azioni amministrative attualmente disponibili in Dokuwiki.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/adminplugins.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/adminplugins.txt
new file mode 100644
index 000000000..6a5a30573
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/adminplugins.txt
@@ -0,0 +1 @@
+===== Plugin addizionali ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/backlinks.txt
new file mode 100644
index 000000000..452019db5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/backlinks.txt
@@ -0,0 +1,4 @@
+====== Puntano qui ======
+
+Questa 猫 una lista delle pagine che sembrano avere un collegamento alla pagina corrente.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/conflict.txt
new file mode 100644
index 000000000..44789a365
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/conflict.txt
@@ -0,0 +1,6 @@
+====== Esiste una versione pi霉 recente ======
+
+Esiste una versione pi霉 recente del documento che hai modificato. Questo pu貌 accadere quando un altro utente ha gi脿 modificato il documento durante le tue modifiche.
+
+Esamina le differenze mostrate di seguito, quindi decidi quale versione mantenere. Se scegli ''salva'', la tua versione verr脿 salvata. Clicca su ''annulla'' per mantenere la versione corrente.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/denied.txt
new file mode 100644
index 000000000..e87eeeada
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/denied.txt
@@ -0,0 +1,5 @@
+====== Accesso negato ======
+
+Non hai i diritti per continuare. Hai forse dimenticato di effettuare il login?
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/diff.txt
new file mode 100644
index 000000000..6b48ed44e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/diff.txt
@@ -0,0 +1,4 @@
+====== Differenze ======
+
+Queste sono le differenze tra la revisione selezionata e la versione corrente della pagina.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/draft.txt
new file mode 100644
index 000000000..9932786ba
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/draft.txt
@@ -0,0 +1,6 @@
+====== Trovata Bozza ======
+
+La tua ultima sessione di modifica su questa pagina non 猫 stata completata correttamente. DokuWiki ha salvato in automatico una bozza durante il tuo lavoro, che puoi ora utilizzare per continuare le tue modifiche. Di seguito puoi trovare i dati che sono stati salvati dalla tua ultima sessione.
+
+Decidi se vuoi //recuperare// la sessione di modifica, //cancellare// la bozza salavata in automatico oppure //annullare// le modifiche.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/edit.txt
new file mode 100644
index 000000000..fdfaf463e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/edit.txt
@@ -0,0 +1,2 @@
+Modifica la pagina e clicca su ''Salva''. Vedi [[wiki:syntax]] per la sintassi riconosciuta da Wiki. Modifica questa pagina solo se puoi **apportare dei miglioramenti**. Se vuoi solo fare degli esperimenti ed imparare come fare i primi passi usa [[playground:playground]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/editrev.txt
new file mode 100644
index 000000000..0a309fa24
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/editrev.txt
@@ -0,0 +1,2 @@
+**Hai caricato una precedente revisione del documento!** Se salvi questa pagina creerai una nuova versione con questi dati.
+---- \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/index.txt
new file mode 100644
index 000000000..8d5f00409
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/index.txt
@@ -0,0 +1,4 @@
+====== Indice ======
+
+Questo 猫 un indice di tutte le pagine disponibili ordinate per [[doku>namespaces|categoria]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/install.html
new file mode 100644
index 000000000..5bc4b0dc2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/install.html
@@ -0,0 +1,24 @@
+<p>Questa pagina ti assister脿 durante la prima installazione e configurazione di
+<a href="http://dokuwiki.org">Dokuwiki</a>. Ulteriori informazioni sulla
+procedura di installazione sono reperibili nella
+<a href="http://dokuwiki.org/installer">pagina di documentazione</a>.</p>
+
+<p>DokuWiki utilizza normali file per la memorizzazione di pagine wiki ed altre
+informazioni associate a tali pagine (es. immagini, indici per la ricerca, vecchie
+revisioni, ecc.). Per poter operare correttamente DokuWiki
+<strong>deve</strong> accedere in scrittura alle directory che contengono tali
+file. La procedura di installazione non 猫 in grado di impostare i permessi sulle directory. Questo
+deve normalmente essere fatto direttamente da linea di comando oppure, se stai usando un servizio di hosting,
+attraverso FTP o dal pannello di controllo del servizio di hosting (es. cPanel).</p>
+
+<p>Questa procedura di installazione imposter脿 la configurazione di DokuWiki per l'uso di
+<acronym title="lista controllo accessi">ACL</acronym>, che consente all'amministratore di
+collegarsi e accedere al menu di amministrazione di DokuWiki per installare plugin, gestire
+utenti, gestire gli accessi alle pagine wiki e modificare le impostazioni del wiki.
+Non 猫 necessario per il funzionamento di DokuWiki, ma render脿 Dokuwiki pi霉 facile
+da amministrare.</p>
+
+<p>Gli utenti esperti o con particolari esigenze di installazione dovrebbero far riferimento ai
+seguenti link per i dettagli riguardanti
+<a href="http://dokuwiki.org/install">istruzioni per l'installazione</a>
+and <a href="http://dokuwiki.org/config">parametri di configurazione</a>.</p>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/lang.php
new file mode 100644
index 000000000..827967d0f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/lang.php
@@ -0,0 +1,240 @@
+<?php
+/**
+ * Italian language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Giorgio Vecchiocattivi <giorgio@vecchio.it>
+ * @author Roberto Bolli <http://www.rbnet.it/>
+ * @author Silvia Sargentoni <polinnia@tin.it>
+ * @author Diego Pierotto <ita.translations@tiscali.it>
+ * @author Diego Pierotto ita.translations@tiscali.it
+ * @author ita.translations@tiscali.it
+ * @author Lorenzo Breda <lbreda@gmail.com>
+ * @author snarchio@alice.it
+ * @author robocap <robocap1@gmail.com>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '鈥';
+$lang['btn_edit'] = 'Modifica questa pagina';
+$lang['btn_source'] = 'Mostra sorgente';
+$lang['btn_show'] = 'Mostra pagina';
+$lang['btn_create'] = 'Crea questa pagina';
+$lang['btn_search'] = 'Cerca';
+$lang['btn_save'] = 'Salva';
+$lang['btn_preview'] = 'Anteprima';
+$lang['btn_top'] = 'Torna su';
+$lang['btn_newer'] = '<< pi霉 recenti';
+$lang['btn_older'] = 'meno recenti >>';
+$lang['btn_revs'] = 'Revisioni precedenti';
+$lang['btn_recent'] = 'Ultime modifiche';
+$lang['btn_upload'] = 'Invia file';
+$lang['btn_cancel'] = 'Annulla';
+$lang['btn_index'] = 'Indice';
+$lang['btn_secedit'] = 'Modifica';
+$lang['btn_login'] = 'Entra';
+$lang['btn_logout'] = 'Esci';
+$lang['btn_admin'] = 'Amministrazione';
+$lang['btn_update'] = 'Aggiorna';
+$lang['btn_delete'] = 'Cancella';
+$lang['btn_back'] = 'Indietro';
+$lang['btn_backlink'] = 'Backlinks';
+$lang['btn_backtomedia'] = 'Torna alla selezione file';
+$lang['btn_subscribe'] = 'Sottoscrivi modifiche';
+$lang['btn_unsubscribe'] = 'Cancella sottoscrizione';
+$lang['btn_subscribens'] = 'Sottoscrivi modifiche della categoria';
+$lang['btn_unsubscribens'] = 'Cancella sottoscrizione modifiche della categoria';
+$lang['btn_profile'] = 'Aggiorna profilo';
+$lang['btn_reset'] = 'Annulla';
+$lang['btn_resendpwd'] = 'Invia nuova password';
+$lang['btn_draft'] = 'Modifica bozza';
+$lang['btn_recover'] = 'Ripristina bozza';
+$lang['btn_draftdel'] = 'Cancella bozza';
+$lang['loggedinas'] = 'Collegato come';
+$lang['user'] = 'Nome utente';
+$lang['pass'] = 'Password';
+$lang['newpass'] = 'Nuova password';
+$lang['oldpass'] = 'Conferma password corrente';
+$lang['passchk'] = 'Ripeti password';
+$lang['remember'] = 'Ricorda automaticamente';
+$lang['fullname'] = 'Nome completo';
+$lang['email'] = 'E-Mail';
+$lang['register'] = 'Registrazione';
+$lang['profile'] = 'Profilo utente';
+$lang['badlogin'] = 'Il nome utente o la password non sono validi.';
+$lang['minoredit'] = 'Modifiche minori';
+$lang['draftdate'] = 'Bozza salvata in automatico il';
+$lang['nosecedit'] = 'La pagina nel frattempo 猫 cambiata, la sezione info 猫 scaduta, caricata invece la pagina intera.';
+$lang['regmissing'] = 'Devi riempire tutti i campi.';
+$lang['reguexists'] = 'Il nome utente inserito esiste gi脿 .';
+$lang['regsuccess'] = 'L\'utente 猫 stato creato. La password 猫 stata spedita via e-mail.';
+$lang['regsuccess2'] = 'L\'utente 猫 stato creato.';
+$lang['regmailfail'] = 'Sembra che ci sia stato un errore nell\'invio della e-mail. Per favore contatta il tuo amministratore!';
+$lang['regbadmail'] = 'L\'indirizzo e-mail fornito sembra essere non valido - se pensi che ci sia un errore contatta il tuo amministratore';
+$lang['regbadpass'] = 'Le due password inserite non coincidono, prova di nuovo.';
+$lang['regpwmail'] = 'La tua password DokuWiki';
+$lang['reghere'] = 'Non hai ancora un accesso? Registrati qui.';
+$lang['profna'] = 'Questo wiki non supporta modifiche al profilo';
+$lang['profnochange'] = 'Nessuna modifica, niente da aggiornare.';
+$lang['profnoempty'] = 'Nome o indirizzo email vuoti non sono consentiti.';
+$lang['profchanged'] = 'Aggiornamento del profilo utente riuscito.';
+$lang['pwdforget'] = 'Hai dimenticato la password? Richiedine una nuova';
+$lang['resendna'] = 'Questo wiki non supporta l\'invio di nuove password.';
+$lang['resendpwd'] = 'Invia nuova password per';
+$lang['resendpwdmissing'] = 'Devi riempire tutti i campi.';
+$lang['resendpwdnouser'] = 'Impossibile trovare questo utente nel database.';
+$lang['resendpwdbadauth'] = 'Spiacente, questo codice di autorizzazione non 猫 valido. Assicurati di aver usato il link completo di conferma.';
+$lang['resendpwdconfirm'] = 'Un link di conferma 猫 stato spedito via email.';
+$lang['resendpwdsuccess'] = 'La nuova password 猫 stata spedita via email.';
+$lang['license'] = 'Ad eccezione da dove 猫 diversamente indicato, il contenuto di questo wiki 猫 sotto la seguente licenza:';
+$lang['licenseok'] = 'Nota: modificando questa pagina accetti di rilasciare il contenuto sotto la seguente licenza:';
+$lang['txt_upload'] = 'Seleziona un file da caricare';
+$lang['txt_filename'] = 'Inserisci un "wikiname" (opzionale)';
+$lang['txt_overwrt'] = 'Sovrascrivi file esistente';
+$lang['lockedby'] = 'Attualmente bloccato da';
+$lang['lockexpire'] = 'Il blocco scade alle';
+$lang['willexpire'] = 'Il tuo blocco su questa pagina scadr脿 tra circa un minuto.\nPer evitare incongruenze usa il pulsante di anteprima per prolungare il periodo di blocco.';
+$lang['notsavedyet'] = 'Le modifiche non salvate andranno perse.\nContinuare?';
+$lang['rssfailed'] = 'Si 猫 verificato un errore cercando questo feed: ';
+$lang['nothingfound'] = 'Nessun risultato trovato.';
+$lang['mediaselect'] = 'Selezione dei file';
+$lang['fileupload'] = 'File caricato';
+$lang['uploadsucc'] = 'Invio riuscito';
+$lang['uploadfail'] = 'Invio fallito. Contatta l\'amministratore.';
+$lang['uploadwrong'] = 'Invio rifiutato. Questa estensione di file non 猫 ammessa';
+$lang['uploadexist'] = 'Il file esiste gi脿 . Invio annullato.';
+$lang['uploadbadcontent'] = 'Il contenuto caricato non corrisponde all\'estensione del file %s.';
+$lang['uploadspam'] = 'Il caricamento 猫 stato bloccato dalla lista nera di spam.';
+$lang['uploadxss'] = 'Il caricamento 猫 stato bloccato perch猫 il contenuto potrebbe essere malizioso.';
+$lang['uploadsize'] = 'Il file caricato 猫 troppo grande. (massimo %s)';
+$lang['deletesucc'] = 'Il file "%s" 猫 stato cancellato.';
+$lang['deletefail'] = '"%s" non pu貌 essere cancellato - verifica i permessi.';
+$lang['mediainuse'] = 'Il file "%s" non 猫 stato cancellato - 猫 ancora in uso.';
+$lang['namespaces'] = 'Categorie';
+$lang['mediafiles'] = 'File disponibili in';
+$lang['js']['keepopen'] = 'Tieni la finestra aperta durante la selezione';
+$lang['js']['hidedetails'] = 'Nascondi Dettagli';
+$lang['js']['nosmblinks'] = 'I collegamenti con le risorse condivise di Windows funzionano solo con Microsoft Internet Explorer.
+Puoi fare un copia/incolla di questo collegamento.';
+$lang['js']['mu_btn'] = 'Carica pi霉 di un file alla volta';
+$lang['mediausage'] = 'Usa la seguente sintassi per riferirti a questo file:';
+$lang['mediaview'] = 'Mostra file originale';
+$lang['mediaroot'] = 'directory principale';
+$lang['mediaupload'] = 'Carica un file nella categoria corrente. Per creare sottocategorie, falle precedere al nome del file nella casella "Carica come", separandole da due punti (:).';
+$lang['mediaextchange'] = 'Estensione del file modificata da .%s a .%s!';
+$lang['reference'] = 'Riferimenti a';
+$lang['ref_inuse'] = 'Il file non pu貌 essere cancellato in quanto 猫 ancora utilizzato dalle seguenti pagine:';
+$lang['ref_hidden'] = 'Sono presenti alcuni riferimenti a pagine per le quali non hai i permessi di lettura';
+$lang['hits'] = 'Occorrenze trovate';
+$lang['quickhits'] = 'Pagine trovate';
+$lang['toc'] = 'Indice';
+$lang['current'] = 'versione corrente';
+$lang['yours'] = 'la tua versione';
+$lang['diff'] = 'differenze con la versione attuale';
+$lang['diff2'] = 'differenze tra le versioni selezionate';
+$lang['line'] = 'Linea';
+$lang['breadcrumb'] = 'Traccia';
+$lang['youarehere'] = 'Ti trovi qui';
+$lang['lastmod'] = 'Ultima modifica';
+$lang['by'] = 'da';
+$lang['deleted'] = 'cancellata';
+$lang['created'] = 'creata';
+$lang['restored'] = 'versione precedente ripristinata';
+$lang['external_edit'] = 'modifica esterna';
+$lang['summary'] = 'Oggetto della modifica';
+$lang['noflash'] = 'E\' necessario <a href="http://www.adobe.com/products/flashplayer/">Adobe Flash Plugin</a> per visualizzare questo contenuto.';
+$lang['download'] = 'Scarica lo "snippet"';
+$lang['mail_newpage'] = 'pagina aggiunta:';
+$lang['mail_changed'] = 'pagina modificata:';
+$lang['mail_new_user'] = 'Nuovo utente:';
+$lang['mail_upload'] = 'file caricato:';
+$lang['qb_bold'] = 'Grassetto';
+$lang['qb_italic'] = 'Corsivo';
+$lang['qb_underl'] = 'Sottolineato';
+$lang['qb_code'] = 'Codice';
+$lang['qb_strike'] = 'Barrato';
+$lang['qb_h1'] = 'Intestazione di livello 1';
+$lang['qb_h2'] = 'Intestazione di livello 2';
+$lang['qb_h3'] = 'Intestazione di livello 3';
+$lang['qb_h4'] = 'Intestazione di livello 4';
+$lang['qb_h5'] = 'Intestazione di livello 5';
+$lang['qb_h'] = 'Titolo';
+$lang['qb_hs'] = 'Seleziona il titolo';
+$lang['qb_hplus'] = 'Titolo superiore';
+$lang['qb_hminus'] = 'Titolo inferiore';
+$lang['qb_hequal'] = 'Titolo dello stesso livello';
+$lang['qb_link'] = 'Collegamento interno';
+$lang['qb_extlink'] = 'Collegamento esterno';
+$lang['qb_hr'] = 'Riga orizzontale';
+$lang['qb_ol'] = 'Elenco numerato';
+$lang['qb_ul'] = 'Elenco puntato';
+$lang['qb_media'] = 'Inserisci immagini o altri file';
+$lang['qb_sig'] = 'Inserisci la firma';
+$lang['qb_smileys'] = 'Smiley';
+$lang['qb_chars'] = 'Caratteri speciali';
+$lang['js']['del_confirm'] = 'Cancellare questa voce?';
+$lang['admin_register'] = 'Aggiungi un nuovo utente';
+$lang['metaedit'] = 'Modifica metadati';
+$lang['metasaveerr'] = 'Scrittura metadati fallita';
+$lang['metasaveok'] = 'Metadati salvati';
+$lang['img_backto'] = 'Torna a';
+$lang['img_title'] = 'Titolo';
+$lang['img_caption'] = 'Descrizione';
+$lang['img_date'] = 'Data';
+$lang['img_fname'] = 'Nome File';
+$lang['img_fsize'] = 'Dimensione';
+$lang['img_artist'] = 'Autore';
+$lang['img_copyr'] = 'Copyright';
+$lang['img_format'] = 'Formato';
+$lang['img_camera'] = 'Camera';
+$lang['img_keywords'] = 'Parole chiave';
+$lang['subscribe_success'] = '%s 猫 stato aggiunto alla lista di sottoscrizione per la pagina %s';
+$lang['subscribe_error'] = 'Si 猫 verificato un errore durante l\'aggiunta di %s alla lista di sottoscrizione per la pagina %s';
+$lang['subscribe_noaddress'] = 'Non c\'猫 alcun indirizzo associato con il tuo profilo, non puoi sottoscriverti ad alcuna lista';
+$lang['unsubscribe_success'] = '%s 猫 stato rimosso dalla lista di sottoscrizione per la pagina %s';
+$lang['unsubscribe_error'] = 'Si 猫 verificato un errore durante la rimozione di %s dalla lista di sottoscrizione per la pagina %s';
+$lang['authmodfailed'] = 'La configurazione dell\'autenticazione non 猫 corretta. Per favore informa l\'amministratore di questo Wiki.';
+$lang['authtempfail'] = 'L\'autenticazione 猫 temporaneamente non disponibile. Se questa situazione persiste, per favore informa l\'amministratore di questo Wiki.';
+$lang['i_chooselang'] = 'Scegli la lingua';
+$lang['i_installer'] = 'Installazione DokuWiki';
+$lang['i_wikiname'] = 'Nome Wiki';
+$lang['i_enableacl'] = 'Abilita ACL (consigliato)';
+$lang['i_superuser'] = 'Amministratore';
+$lang['i_problems'] = 'Si sono verificati problemi durante l\'installazione, indicati di seguito. Non 猫 possibile continuare finch茅 non saranno risolti.';
+$lang['i_modified'] = 'Per motivi di sicurezza questa procedura funziona solamente con un\'installazione Dokuwiki nuova e non modificata.
+ Dovresti ri-estrarre i file dal pacchetto scaricato oppure consultare tutte le
+ <a href="http://dokuwiki.org/install">istruzioni per l\'installazione di Dokuwiki</a>';
+$lang['i_funcna'] = 'La funzione PHP <code>%s</code> non 猫 disponibile. Forse il tuo provider l\'ha disabilitata per qualche motivo?';
+$lang['i_phpver'] = 'La versione di PHP <code>%s</code> 猫 inferiore a quella richiesta <code>%s</code>. Devi aggiornare l\'installazione di PHP.';
+$lang['i_permfail'] = 'DokuWiki non pu貌 scrivere <code>%s</code>. E\' necessario correggere i permessi per questa directory!';
+$lang['i_confexists'] = '<code>%s</code> esiste gi脿';
+$lang['i_writeerr'] = 'Impossibile creare <code>%s</code>. E\' necessario verificare i permessi della directory/file e creare il file manualmente.';
+$lang['i_badhash'] = 'dokuwiki.php (hash=<code>%s</code>) non riconosciuto o modificato';
+$lang['i_badval'] = '<code>%s</code> - valore vuoto o non valido';
+$lang['i_success'] = 'La configurazione 猫 stata completata correttamente. E\' ora possibile cancellare il file install.php. Continuare con
+ <a href="doku.php">il nuovo DokuWiki</a>.';
+$lang['i_failure'] = 'Si sono verificati errori durante la scrittura dei file di configurazione. Potrebbe essere necessario correggerli manualmente prima di poter utilizzare <a href="doku.php">il nuovo DokuWiki</a>.';
+$lang['i_policy'] = 'Policy di accesso iniziali';
+$lang['i_pol0'] = 'Wiki Aperto (lettura, scrittura, caricamento file per tutti)';
+$lang['i_pol1'] = 'Wiki Pubblico (lettura per tutti, scrittura e caricamento file per gli utenti registrati)';
+$lang['i_pol2'] = 'Wiki Chiuso (lettura, scrittura, caricamento file solamente per gli utenti registrati)';
+$lang['i_retry'] = 'Riprova';
+$lang['mu_intro'] = 'Qui si possono caricare pi霉 di un file alla volta. Cliccare su "Sfoglia..." per aggiungere i file in coda. Cliccare "Carica" quando si 猫 pronti.';
+$lang['mu_gridname'] = 'Nome del file';
+$lang['mu_gridsize'] = 'Dimensione';
+$lang['mu_gridstat'] = 'Stato';
+$lang['mu_namespace'] = 'Namespace';
+$lang['mu_browse'] = 'Sfoglia...';
+$lang['mu_toobig'] = 'troppo grande';
+$lang['mu_ready'] = 'pronto per l\'upload';
+$lang['mu_done'] = 'completo';
+$lang['mu_fail'] = 'fallito';
+$lang['mu_authfail'] = 'sessione scaduta';
+$lang['mu_progress'] = '@PCT@% caricato';
+$lang['mu_filetypes'] = 'Tipi di file permessi';
+$lang['mu_info'] = 'file caricati.';
+$lang['recent_global'] = 'Stai attualmente vedendo le modifiche dentro l\'area <b>%s</b>. Puoi anche <a href="%s">vedere le modifiche recenti dell\'intero wiki</a>.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/locked.txt
new file mode 100644
index 000000000..a655ffcd3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/locked.txt
@@ -0,0 +1,3 @@
+====== Pagina bloccata ======
+
+Questa pagina 猫 attualmente bloccata poich茅 un altro utente sta effettuando delle modifiche. Devi attendere che l'utente concluda le modifiche o che il blocco scada.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/login.txt
new file mode 100644
index 000000000..6487c8537
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/login.txt
@@ -0,0 +1,4 @@
+====== Login ======
+
+Non sei ancora collegato! Inserisci il tuo nome utente e la tua password per autenticarti. E' necessario che il tuo browser abbia i cookie abilitati.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/mailtext.txt
new file mode 100644
index 000000000..ee6e958b9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/mailtext.txt
@@ -0,0 +1,16 @@
+Una pagina su DokuWiki 猫 stata aggiunta o modificata. Questi sono i dettagli:
+
+Data : @DATE@
+Browser : @BROWSER@
+Indirizzo IP : @IPADDRESS@
+Nome host : @HOSTNAME@
+Vecchia revisione : @OLDPAGE@
+Nuova revisione : @NEWPAGE@
+Oggetto della modifica : @SUMMARY@
+
+@DIFF@
+
+
+--
+Questa e-mail 猫 stata generata da DokuWiki su
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/newpage.txt
new file mode 100644
index 000000000..d41601cfb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/newpage.txt
@@ -0,0 +1,3 @@
+====== Questo argomento non esiste ancora ======
+
+Hai seguito un collegamento ad un argomento che non 猫 ancora stato creato. Se vuoi puoi crearlo tu stesso usando il pulsante ''Crea questa pagina''.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/norev.txt
new file mode 100644
index 000000000..91ef751f9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/norev.txt
@@ -0,0 +1,3 @@
+====== Revisione inesistente ======
+
+La revisione richiesta non esiste. Usa il pulsante ''Revisioni precedenti'' per ottenere una lista di revisioni precedenti di questo documento.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/preview.txt
new file mode 100644
index 000000000..c3cf35246
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/preview.txt
@@ -0,0 +1,5 @@
+====== Anteprima ======
+
+Questa 猫 un'anteprima di come apparir脿 il tuo testo. Attenzione: **la pagina non 猫 ancora stata salvata**!.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/pwconfirm.txt
new file mode 100644
index 000000000..5437d077c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/pwconfirm.txt
@@ -0,0 +1,15 @@
+Ciao @FULLNAME@!
+
+Qualcuno ha richiesto una nuova password per il tuo @TITLE@
+login su @DOKUWIKIURL@
+
+Se non hai richiesto tu la nuova password ignora questa email.
+
+Per confermare che la richiesta 猫 stata realmente inviata da te per favore usa il
+seguente link.
+
+@CONFIRM@
+
+--
+Questa mail 猫 stata generata da DokuWiki su
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/read.txt
new file mode 100644
index 000000000..0a7245404
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/read.txt
@@ -0,0 +1 @@
+Questa pagina 猫 in sola lettura. Puoi visualizzare il sorgente, ma non puoi modificarlo. Contatta l'amministratore se pensi che ci sia un errore.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/recent.txt
new file mode 100644
index 000000000..4c29a9d52
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/recent.txt
@@ -0,0 +1,4 @@
+====== Ultime modifiche ======
+
+Queste sono le ultime pagine modificate.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/register.txt
new file mode 100644
index 000000000..973aead78
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/register.txt
@@ -0,0 +1,4 @@
+====== Registrazione nuovo utente ======
+
+Riempi tutte le informazioni seguenti per creare un nuovo account in questo wiki. Assicurati di inserire un **indirizzo e-mail valido** - la tua nuova password ti sar脿 inviata con un messaggio di posta elettronica. La login dovrebbe essere un [[doku>pagename|nome di pagina]] valido.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/registermail.txt
new file mode 100644
index 000000000..5a9f89344
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/registermail.txt
@@ -0,0 +1,14 @@
+Un nuovo utente 猫 stato registrato. Ecco i dettagli:
+
+Nome utente : @NEWUSER@
+Nome completo : @NEWNAME@
+E-Mail : @NEWEMAIL@
+
+Data : @DATE@
+Browser : @BROWSER@
+Indirizzo IP : @IPADDRESS@
+Nome macchina : @HOSTNAME@
+
+--
+Questa mail 猫 stata generata da DokuWiki su
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/resendpwd.txt
new file mode 100644
index 000000000..fc3f09414
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/resendpwd.txt
@@ -0,0 +1,3 @@
+====== Invia nuova password ======
+
+Riempi tutte le informazioni seguenti per ottenere una nuova password per il tuo account su questo wiki. La nuova password sar脿 inviata al tuo indirizzo di posta elettronica registrato. Il nome utente deve essere il tuo nome utente di questo wiki.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/revisions.txt
new file mode 100644
index 000000000..984b4a068
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/revisions.txt
@@ -0,0 +1,3 @@
+====== Versione precedente ======
+
+Queste sono le precedenti versioni del documento corrente. Per ripristinare una versione precedente, seleziona la versione, modificala usando il pulsante ''Modifica questa pagina'' e salvala.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/searchpage.txt
new file mode 100644
index 000000000..60a019c3e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/searchpage.txt
@@ -0,0 +1,5 @@
+====== Cerca ======
+
+Questi sono i risultati della ricerca. Se non hai trovato quello che cercavi, puoi creare una nuova pagina con questo titolo usando il pulsante ''Crea questa pagina''.
+
+===== Risultati =====
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/showrev.txt
new file mode 100644
index 000000000..7c184f206
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/showrev.txt
@@ -0,0 +1,2 @@
+**Questa 猫 una vecchia versione del documento!**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/stopwords.txt
new file mode 100644
index 000000000..a6aa1cfc6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/stopwords.txt
@@ -0,0 +1,119 @@
+# This is a list of words the indexer ignores, one word per line
+# When you edit this file be sure to use UNIX line endings (single newline)
+# No need to include words shorter than 3 chars - these are ignored anyway
+# This list is based upon the ones found at http://www.ranks.nl/stopwords/
+adesso
+alla
+allo
+allora
+altre
+altri
+altro
+anche
+ancora
+avere
+aveva
+avevano
+ben
+buono
+che
+chi
+cinque
+comprare
+con
+consecutivi
+consecutivo
+cosa
+cui
+del
+della
+dello
+dentro
+deve
+devo
+doppio
+due
+ecco
+fare
+fine
+fino
+fra
+gente
+giu
+hai
+hanno
+indietro
+invece
+lavoro
+lei
+loro
+lui
+lungo
+meglio
+molta
+molti
+molto
+nei
+nella
+noi
+nome
+nostro
+nove
+nuovi
+nuovo
+oltre
+ora
+otto
+peggio
+pero
+persone
+piu
+poco
+primo
+promesso
+qua
+quarto
+quasi
+quattro
+quello
+questo
+qui
+quindi
+quinto
+rispetto
+sara
+secondo
+sei
+sembra
+sembrava
+senza
+sette
+sia
+siamo
+siete
+solo
+sono
+sopra
+soprattutto
+sotto
+stati
+stato
+stesso
+su
+subito
+sul
+sulla
+tanto
+tempo
+terzo
+tra
+tre
+triplo
+ultimo
+una
+uno
+va
+vai
+voi
+volte
+vostro
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/subscribermail.txt
new file mode 100644
index 000000000..a211ef2e5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/subscribermail.txt
@@ -0,0 +1,18 @@
+Ciao!
+
+La pagina @PAGE@ di @TITLE@ wiki 猫 stata aggiornata.
+Qui sotto sono elencate le modifiche apportate:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+Per cancellare la tua sottoscrizione alla lista di controllo
+per questa pagina collegati al wiki
+@DOKUWIKIURL@ poi spostati su
+@NEWPAGE@
+e seleziona 'Cancella sottoscrizione'.
+
+--
+Questa email 猫 stata generata da DokuWiki su
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/updateprofile.txt
new file mode 100644
index 000000000..71157a2ed
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/updateprofile.txt
@@ -0,0 +1,3 @@
+====== Aggiorna il profilo del tuo account ======
+
+E' necessario compilare solo i campi che desideri modificare. Non puoi cambiare il tuo nome utente.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/uploadmail.txt
new file mode 100644
index 000000000..56cebc6b1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/uploadmail.txt
@@ -0,0 +1,14 @@
+Un file 猫 stato caricato sul tuo DokuWiki. Seguono i dettagli:
+
+File : @MEDIA@
+Data : @DATE@
+Browser : @BROWSER@
+Indirizzo IP : @IPADDRESS@
+Hostname : @HOSTNAME@
+Dimensione : @SIZE@
+MIME Type : @MIME@
+Utente : @USER@
+
+--
+Questa email 猫 stata generata dal DokuWiki di
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/wordblock.txt
new file mode 100644
index 000000000..510d6521d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/it/wordblock.txt
@@ -0,0 +1,4 @@
+====== Modifica bloccata ======
+
+Le tue modifiche **non sono state salvate** perch茅 contengono una o pi霉 parole vietate. Se hai cercato di spammare il Wiki -- bambino cattivo! Se pensi che sia un errore contatta l'amministratore di questo Wiki.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/admin.txt
new file mode 100644
index 000000000..b0c6d34ab
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/admin.txt
@@ -0,0 +1,4 @@
+====== 绠$悊鑰呫儭銉嬨儱銉 ======
+
+DokuWiki銇х鐞嗐仹銇嶃倠銈裤偣銈伄涓瑕с仹銇
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/adminplugins.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/adminplugins.txt
new file mode 100644
index 000000000..1708bbb3c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/adminplugins.txt
@@ -0,0 +1 @@
+===== 杩藉姞銉椼儵銈般偆銉 ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/backlinks.txt
new file mode 100644
index 000000000..69644b79d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/backlinks.txt
@@ -0,0 +1,4 @@
+====== 銉愩儍銈儶銉炽偗 ======
+
+鍏堛伝銇┿伄鏂囨浉銇儶銉炽偗銇椼仸銇勩倠鏂囨浉銇儶銈广儓銇с仚銆
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/conflict.txt
new file mode 100644
index 000000000..099b5989a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/conflict.txt
@@ -0,0 +1,6 @@
+====== 鏂般仐銇勩儛銉笺偢銉с兂銇屽瓨鍦ㄣ仐銇俱仚 ======
+
+绶ㄩ泦涓伀浠栥伄銉︺兗銈躲兗銇屻亾銇枃鏇搞倰鏇存柊銇椼仧銇熴倎銆佹柊銇椼亜銉愩兗銈搞儳銉炽伄鏂囨浉銇屽瓨鍦ㄣ仐銇俱仚銆
+
+浠ヤ笅銇枃鏇搁枔銇樊鍒嗐倰琛ㄧず銇欍倠銇仹銆併仼銇°倝銇嬨伄鏂囨浉銈掗伕鎶炪仐銇︺亸銇犮仌銇勩''淇濆瓨'' 銈掗伕鎶炪仚銈嬨仺鐝惧湪绶ㄩ泦涓伄鏂囨浉銇屼繚瀛樸仌銈屻伨銇欍''銈儯銉炽偦銉'' 銇法闆嗕腑銇枃鏇搞亴鐮存銇曘倢銇俱仚銆
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/denied.txt
new file mode 100644
index 000000000..d170aebe4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/denied.txt
@@ -0,0 +1,4 @@
+====== 銈€偗銈汇偣銇屾嫆鍚︺仌銈屻伨銇椼仧 ======
+
+瀹熻銇欍倠妯╅檺銇屻亗銈娿伨銇涖倱銆傘儹銈般偆銉炽仌銈屻仸銇勩倠銇嬬⒑瑾嶃仐銇︺亸銇犮仌銇勩
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/diff.txt
new file mode 100644
index 000000000..fe5f6b165
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/diff.txt
@@ -0,0 +1,4 @@
+====== 宸垎 ======
+
+銇撱伄鏂囨浉銇従鍦ㄣ伄銉愩兗銈搞儳銉炽仺閬告姙銇椼仧銉愩兗銈搞儳銉炽伄宸垎銈掕〃绀恒仐銇俱仚銆
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/draft.txt
new file mode 100644
index 000000000..af3160b8c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/draft.txt
@@ -0,0 +1,6 @@
+====== 銉夈儵銉曘儓銉曘偂銈ゃ儷銇屽瓨鍦ㄣ仐銇俱仚 ======
+
+銇撱伄銉氥兗銈搞伀瀵俱仚銈嬫渶寰屻伄绶ㄩ泦銇銇椼亸绲備簡銇曘倢銇俱仜銈撱仹銇椼仧銆 銇濄伄绶ㄩ泦浣滄キ銈掑紩銇嶇稓銇嶈銇堛倠銈堛亞銆佷互涓嬨伀绀恒仚鍐呭銇岃嚜鍕曠殑銇繚瀛樸仌銈屻仸銇勩伨銇欍
+
+銇撱伄鑷嫊鐨勩伀淇濆瓨銇曘倢銇熺法闆嗗唴瀹广伀瀵俱仐銇︺//寰╁厓銇欍倠//銆//鍓婇櫎銇欍倠//銆 銈傘仐銇忋伅銇撱伄銉氥兗銈搞伄绶ㄩ泦銈//銈儯銉炽偦銉//銇椼仸涓嬨仌銇勩
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/edit.txt
new file mode 100644
index 000000000..e7a8f9720
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/edit.txt
@@ -0,0 +1,4 @@
+绶ㄩ泦銇椼仸''淇濆瓨''銈掋偗銉儍銈仐銇︺亸銇犮仌銇勩俉iki銇鏂囥伀銇ゃ亜銇︺伅 [[wiki:syntax]] 銈掑弬鑰冦伀銇椼仸銇忋仩銇曘亜
+
+褰撶劧銇亾銇ㄣ仹銇欍亴銆併亾銇枃鏇搞伄璩倰 **鍚戜笂** 銇曘仜銈嬪牬鍚堛伄銇跨法闆嗐仐銇︺亸銇犮仌銇勩傘倐銇楃法闆嗘柟娉曘倓妲嬫枃銈掔反缈掋仐銇熴亜銇仹銇傘倢銇 [[playground:playground]] 銈掑埄鐢ㄣ仐銇︺亸銇犮仌銇勩
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/editrev.txt
new file mode 100644
index 000000000..7c984131c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/editrev.txt
@@ -0,0 +1,2 @@
+**鍙ゃ亜銉儞銈搞儳銉炽伄鏂囨浉銈掗枊銇勩仸銇勩伨銇** 銈傘仐銇撱伄銇俱伨淇濆瓨銇欍倠銇ㄣ併亾銇枃鏇搞亴鏈鏂般仺銇倞銇俱仚銆
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/index.txt
new file mode 100644
index 000000000..b5fbac97d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/index.txt
@@ -0,0 +1,4 @@
+====== 绱㈠紩 ======
+
+[[doku>namespaces|鍚嶅墠绌洪枔]] 銇熀銇ャ亸銆佸叏銇︺伄鏂囨浉銇储寮曘仹銇欍
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/install.html
new file mode 100644
index 000000000..7439d27e1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/install.html
@@ -0,0 +1,14 @@
+<p>銇撱伄銉氥兗銈搞伅銆<a href="http://dokuwiki.org">Dokuwiki</a>銇偆銉炽偣銉堛兗銉仺鍒濇湡瑷畾銈掋偟銉濄兗銉堛仐銇俱仚銆
+銇撱伄銈ゃ兂銈广儓銉笺儵銉笺伀闁€仚銈嬭┏绱般伅 <a href="http://dokuwiki.org/installer">documentation page</a> 銈掑弬鑰冦伀銇椼仸銇忋仩銇曘亜銆</p>
+
+<p>DokuWiki銇侀氬父銇儠銈°偆銉伀Wiki銉氥兗銈搞伄鍐呭銇ㄩ枹閫c仚銈嬫儏鍫憋紙渚嬨亪銇般佺敾鍍忋佹绱€偆銉炽儑銉冦偗銈广佸彜銇勩儶銉撱偢銉с兂銇仼锛夈倰淇濆瓨銇椼伨銇欍
+銇濄伄銇熴倎銆丏okuWiki銈掍娇鐢ㄣ仚銈嬨仧銈併伀銇併仢銈屻倝銇儠銈°偆銉倰淇濆瓨銇欍倠銉囥偅銉偗銉堛儶銇浉銇嶈炯銇裤伄妯╅檺銇<strong>蹇呫仛</strong>蹇呰銇ㄣ仾銈娿伨銇欍
+銇撱伄銈ゃ兂銈广儓銉笺儵銉笺仹銇儑銈c儸銈儓銉伄妯╅檺銇鏇淬伅琛屻亪銇亜銇熴倎銆併偝銉炪兂銉夈偡銈с儷銇фī闄愩伄澶夋洿銈掔洿鎺ヨ銇嗐亱銆
+銉涖偣銉嗐偅銉炽偘銈点兗銉撱偣銈掑埄鐢ㄣ仐銇︺亜銈嬪牬鍚堛伅銇濄伄銈炽兂銉堛儹銉笺儷銉戙儘銉倐銇椼亸銇疐TP銈掗氥仐銇︺佹ī闄愩伄澶夋洿銈掕銇c仸銇忋仩銇曘亜銆</p>
+
+<p>DokuWiki銇併儣銉┿偘銈ゃ兂銆併儲銉笺偠銉笺乄iki銉氥兗銈搞伕銇偄銈偦銈瑰埗闄愩佽ō瀹氥伄澶夋洿銈掔鐞嗐仚銈嬫鑳姐倰鏈夈仐銇︺亰銈娿
+銇濄伄姗熻兘銈掓湁鍔广伀銇欍倠銇熴倎銇繀瑕併仾 <acronym title="access control list">ACL</acronym> 銇ō瀹氥亴銆併亾銇偆銉炽偣銉堛兗銉┿兗銇倛銇c仸琛屻倧銈屻伨銇欍
+銇撱伄绠$悊姗熻兘銇丏okuWiki銈掍娇鐢ㄣ仚銈嬩笂銇у繀瑕併仹銇亗銈娿伨銇涖倱銇屻丏okuWiki銇鐞嗐倰绨″崢銇仐銇︺亸銈屻伨銇欍</p>
+
+<p>寰撴潵銇儛銉笺偢銉с兂銈掍娇鐢ㄣ仐銇︺亜銈嬨儲銉笺偠銉笺倓鐗瑰垾銇偦銉冦儓銈€儍銉椼亴蹇呰銇牬鍚堛伅銆佹銇儶銉炽偗鍏堛倰鍙傝冦伀銇椼仸涓嬨仌銇
+锛<a href="http://dokuwiki.org/install">installation instructions</a>, <a href="http://dokuwiki.org/config">configuration settings</a>锛夈</p>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/lang.php
new file mode 100644
index 000000000..1f6681af1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/lang.php
@@ -0,0 +1,249 @@
+<?php
+/**
+ * japanese language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Yuji Takenaka <webmaster@davilin.com>
+ * @author Ikuo Obataya <i.obataya@gmail.com>
+ * @author Daniel Dupriest <kououken@gmail.com>
+ * @author Kazutaka Miyasaka <kazmiya@gmail.com>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '鈥';
+$lang['btn_edit'] = '鏂囨浉銇法闆';
+$lang['btn_source'] = '銈姐兗銈广伄琛ㄧず';
+$lang['btn_show'] = '鏂囨浉銇〃绀';
+$lang['btn_create'] = '鏂囨浉銇綔鎴';
+$lang['btn_search'] = '妞滅储';
+$lang['btn_save'] = '淇濆瓨';
+$lang['btn_preview'] = '銉椼儸銉撱儱銉';
+$lang['btn_top'] = '鏂囨浉銇厛闋伕';
+$lang['btn_newer'] = '<< 銈堛倞鏂般仐銇';
+$lang['btn_older'] = '銈堛倞鍙ゃ亜 >>';
+$lang['btn_revs'] = '浠ュ墠銇儶銉撱偢銉с兂';
+$lang['btn_recent'] = '鏈杩戙伄澶夋洿';
+$lang['btn_upload'] = '銈€儍銉椼儹銉笺儔';
+$lang['btn_cancel'] = '銈儯銉炽偦銉';
+$lang['btn_index'] = '绱㈠紩';
+$lang['btn_secedit'] = '绶ㄩ泦';
+$lang['btn_login'] = '銉偘銈ゃ兂';
+$lang['btn_logout'] = '銉偘銈€偊銉';
+$lang['btn_admin'] = '绠$悊';
+$lang['btn_update'] = '鏇存柊';
+$lang['btn_delete'] = '鍓婇櫎';
+$lang['btn_back'] = '鎴汇倠';
+$lang['btn_backlink'] = '銉愩儍銈儶銉炽偗';
+$lang['btn_backtomedia'] = '銉°儑銈c偄銉曘偂銈ゃ儷閬告姙銇埢銈';
+$lang['btn_subscribe'] = '澶夋洿灞ユ閰嶄俊銇櫥閷';
+$lang['btn_unsubscribe'] = '澶夋洿灞ユ閰嶄俊銇В闄';
+$lang['btn_subscribens'] = '鍚嶅墠绌洪枔澶夋洿閰嶄俊銇櫥閷';
+$lang['btn_unsubscribens'] = '鍚嶅墠绌洪枔澶夋洿閰嶄俊銇В闄';
+$lang['btn_profile'] = '銉︺兗銈躲兗鎯呭牨銇洿鏂';
+$lang['btn_reset'] = '銉偦銉冦儓';
+$lang['btn_resendpwd'] = '銉戙偣銉兗銉夊啀鐧鸿';
+$lang['btn_draft'] = '銉夈儵銉曘儓銈掔法闆';
+$lang['btn_recover'] = '銉夈儵銉曘儓銈掑京鍏';
+$lang['btn_draftdel'] = '銉夈儵銉曘儓銈掑墛闄';
+$lang['btn_revert'] = '鍏冦伀鎴汇仚';
+$lang['loggedinas'] = '銈堛亞銇撱仢';
+$lang['user'] = '銉︺兗銈躲兗鍚';
+$lang['pass'] = '銉戙偣銉兗銉';
+$lang['newpass'] = '鏂般仐銇勩儜銈广儻銉笺儔';
+$lang['oldpass'] = '鐝惧湪銇儜銈广儻銉笺儔';
+$lang['passchk'] = '纰鸿獚';
+$lang['remember'] = '銉︺兗銈躲兗鍚嶃仺銉戙偣銉兗銉夈倰瑷樻喍銇欍倠';
+$lang['fullname'] = '銉曘儷銉嶃兗銉';
+$lang['email'] = '銉°兗銉偄銉夈儸銈';
+$lang['register'] = '銉︺兗銈躲兗鐧婚尣';
+$lang['profile'] = '銉︺兗銈躲兗鎯呭牨';
+$lang['badlogin'] = '銉︺兗銈躲兗鍚嶃亱銉戙偣銉兗銉夈亴閬曘亜銇俱仚銆';
+$lang['minoredit'] = '灏忓鏇';
+$lang['draftdate'] = '銉夈儵銉曘儓淇濆瓨鏃ユ檪锛';
+$lang['nosecedit'] = '銉氥兗銈稿唴瀹广亴澶夋洿銇曘倢銇︺亜銇俱仚銇屻偦銈偡銉с兂鎯呭牨銇屽彜銇勩仧銈併佷唬銈忋倞銇儦銉笺偢鍏ㄤ綋銈掋儹銉笺儔銇椼伨銇椼仧銆';
+$lang['regmissing'] = '鍏ㄣ仸銇爡鐩倰鍏ュ姏銇椼仸銇忋仩銇曘亜銆';
+$lang['reguexists'] = '銇撱伄銉︺兗銈躲兗鍚嶃伅鏃€伀瀛樺湪銇椼仸銇勩伨銇欍';
+$lang['regsuccess'] = '鏂般仐銇勩儲銉笺偠銉笺亴浣滄垚銇曘倢銇俱仐銇熴傘儜銈广儻銉笺儔銇櫥閷层仐銇熴儭銉笺儷銈€儔銉偣瀹涖仸銇佷粯銇曘倢銇俱仚銆';
+$lang['regsuccess2'] = '鏂般仐銇勩儲銉笺偠銉笺亴浣滄垚銇曘倢銇俱仐銇熴';
+$lang['regmailfail'] = '銉戙偣銉兗銉夈伄銉°兗銉佷俊銇け鏁椼仐銇俱仐銇熴傘亰鎵嬫暟銇с仚銇岀鐞嗚呫伨銇чg怠銈掋亰椤樸亜銇椼伨銇欍';
+$lang['regbadmail'] = '銉°兗銉偄銉夈儸銈广亴鏈夊姽銇с伅銇傘倞銇俱仜銈撱';
+$lang['regbadpass'] = '纰鸿獚鐢ㄣ伄銉戙偣銉兗銉夈亴姝c仐銇忋亗銈娿伨銇涖倱銆';
+$lang['regpwmail'] = '銇傘仾銇熴伄 DokuWiki 銉戙偣銉兗銉';
+$lang['reghere'] = '銇旇嚜鍒嗙敤銇偄銈偊銉炽儓銈掑彇銇c仸銇裤仸銇浣曘仹銇欍亱锛';
+$lang['profna'] = '銉︺兗銈躲兗鎯呭牨銇鏇淬伅鍑烘潵銇俱仜銈';
+$lang['profnochange'] = '澶夋洿鐐广伅銇傘倞銇俱仜銈撱仹銇椼仧銆';
+$lang['profnoempty'] = '銉︺兗銈躲兗鍚嶃仺銉°兗銉偄銉夈儸銈广倰鍏ュ姏銇椼仸涓嬨仌銇勩';
+$lang['profchanged'] = '銉︺兗銈躲兗鎯呭牨銇洿鏂般仌銈屻伨銇椼仧銆';
+$lang['pwdforget'] = '銉戙偣銉兗銉夈倰銇婂繕銈屻仹銇欍亱锛熴儜銈广儻銉笺儔鍐嶇櫤琛';
+$lang['resendna'] = '銉戙偣銉兗銉夈伄鍐嶇櫤琛屻伅鍑烘潵銇俱仜銈撱';
+$lang['resendpwd'] = '鏂般仐銇勩儜銈广儻銉笺儔銈掗佷俊銇椼伨銇欙細';
+$lang['resendpwdmissing'] = '鍏ㄣ仸銇爡鐩倰鍏ュ姏銇椼仸涓嬨仌銇勩';
+$lang['resendpwdnouser'] = '鍏ュ姏銇曘倢銇熴儲銉笺偠銉笺亴瑕嬨仱銇嬨倞銇俱仜銈撱仹銇椼仧銆';
+$lang['resendpwdbadauth'] = '鐢炽仐瑷炽亗銈娿伨銇涖倱銆傘亾銇⒑瑾嶃偝銉笺儔銇湁鍔广仹銇亗銈娿伨銇涖倱銆傘儭銉笺儷鍐呫伀瑷樿級銇曘倢銇熴儶銉炽偗銈掔⒑瑾嶃仐銇︺亸銇犮仌銇勩';
+$lang['resendpwdconfirm'] = '纰鸿獚鐢ㄣ伄銉兂銈倰鍚倱銇犮儭銉笺儷銈掗佷俊銇椼伨銇椼仧銆';
+$lang['resendpwdsuccess'] = '鏂般仐銇勩儜銈广儻銉笺儔銇屻儭銉笺儷銇ч佷俊銇曘倢銇俱仐銇熴';
+$lang['license'] = '鐗广伀鏄庣ず銇曘倢銇︺亜銇亜闄愩倞銆佹湰Wiki銇唴瀹广伅娆°伄銉┿偆銈汇兂銈广伀寰撱亜銇俱仚锛';
+$lang['licenseok'] = '娉ㄦ剰锛 鏈儦銉笺偢銈掔法闆嗐仚銈嬨亾銇ㄣ伅銆併亗銇仧銇法闆嗐仐銇熷唴瀹广亴娆°伄銉┿偆銈汇兂銈广伀寰撱亞銇撱仺銇悓鎰忋仐銇熴倐銇仺銇裤仾銇椼伨銇欙細';
+$lang['searchmedia'] = '妞滅储銉曘偂銈ゃ儷鍚嶏細';
+$lang['searchmedia_in'] = '%s 鍐呫倰妞滅储';
+$lang['txt_upload'] = '銈€儍銉椼儹銉笺儔銇欍倠銉曘偂銈ゃ儷銈掗伕銈撱仹銇忋仩銇曘亜銆';
+$lang['txt_filename'] = '鍚嶅墠銈掑鏇淬仐銇︺偄銉冦儣銉兗銉夛紙銈儣銈枫儳銉筹級';
+$lang['txt_overwrt'] = '鏃㈠瓨銇儠銈°偆銉倰涓婃浉銇';
+$lang['lockedby'] = '銇撱伄鏂囨浉銇銇儲銉笺偠銇倛銇c仸銉儍銈仌銈屻仸銇勩伨銇';
+$lang['lockexpire'] = '銉儍銈湡闄愶細';
+$lang['willexpire'] = '绶ㄩ泦涓伄鏂囨浉銇儹銉冦偗鏈熼檺銈掗亷銇庛倛銇嗐仺銇椼仸銇勩伨銇欍傘亾銇伨銇俱儹銉冦偗銇欍倠鍫村悎銇佷竴搴︽枃鏇搞伄纰鸿獚銈掕銇c仸鏈熼檺銈掋儶銈汇儍銉堛仐銇︺亸銇犮仌銇勩';
+$lang['notsavedyet'] = '澶夋洿銇繚瀛樸仌銈屻伨銇涖倱銆傘亾銇伨銇惧嚘鐞嗐倰缍氥亼銇︺倛銈嶃仐銇勩仹銇欍亱锛';
+$lang['rssfailed'] = 'RSS銇彇銈婂嚭銇椼伀澶辨晽銇椼伨銇椼仧锛';
+$lang['nothingfound'] = '瑭插綋鏂囨浉銇亗銈娿伨銇涖倱銇с仐銇熴';
+$lang['mediaselect'] = '銉°儑銈c偄銉曘偂銈ゃ儷銈掗伕鎶';
+$lang['fileupload'] = '銉°儑銈c偄銉曘偂銈ゃ儷銈掋偄銉冦儣銉兗銉';
+$lang['uploadsucc'] = '銈€儍銉椼儹銉笺儔瀹屼簡';
+$lang['uploadfail'] = '銈€儍銉椼儹銉笺儔銇け鏁椼仐銇俱仐銇熴傛ī闄愩亴銇傘倞銇俱仜銈撱';
+$lang['uploadwrong'] = '銈€儍銉椼儹銉笺儔銇嫆鍚︺仌銈屻伨銇椼仧銆傘亾銇嫛寮靛瓙銇ū鍙仌銈屻仸銇勩伨銇涖倱銆';
+$lang['uploadexist'] = '鍚屽悕銇儠銈°偆銉亴瀛樺湪銇欍倠銇熴倎銆併偄銉冦儣銉兗銉夈仹銇嶃伨銇涖倱銆';
+$lang['uploadbadcontent'] = '銈€儍銉椼儹銉笺儔銇曘倢銇熴儠銈°偆銉伄鍐呭銇佹嫛寮靛瓙 %s 銇ㄤ竴鑷淬仐銇俱仜銈撱';
+$lang['uploadspam'] = '銈广儜銉犮儢銉┿儍銈儶銈广儓銇倛銈娿偄銉冦儣銉兗銉夈亴閬柇銇曘倢銇俱仐銇熴';
+$lang['uploadxss'] = '鎮剰銇亗銈嬪唴瀹广仹銇傘倠鍙兘鎬с伀銈堛倞銆併偄銉冦儣銉兗銉夈亴閬柇銇曘倢銇俱仐銇熴';
+$lang['uploadsize'] = '銈€儍銉椼儹銉笺儔銇椼倛銇嗐仺銇椼仧銉曘偂銈ゃ儷銇ぇ銇嶃仚銇庛伨銇欙紙鏈澶 %s锛夈';
+$lang['deletesucc'] = '銉曘偂銈ゃ儷 "%s" 銇墛闄ゃ仌銈屻伨銇椼仧銆';
+$lang['deletefail'] = '銉曘偂銈ゃ儷 "%s" 銇屽墛闄ゃ仹銇嶃伨銇涖倱銆傛ī闄愩倰纰鸿獚銇椼仸涓嬨仌銇勩';
+$lang['mediainuse'] = '銉曘偂銈ゃ儷 "%s" 銇娇鐢ㄤ腑銇仧銈併佸墛闄ゃ仌銈屻伨銇涖倱銇с仐銇熴';
+$lang['namespaces'] = '鍚嶅墠绌洪枔';
+$lang['mediafiles'] = '鏈夊姽銇儠銈°偆銉細';
+$lang['js']['searchmedia'] = '銉曘偂銈ゃ儷妞滅储';
+$lang['js']['keepopen'] = '閬告姙涓伅銈︺偅銉炽儔銈︺倰闁夈仒銇亜';
+$lang['js']['hidedetails'] = '瑭崇窗銈掗潪琛ㄧず';
+$lang['js']['nosmblinks'] = '銈︺偅銉炽儔銈︺偤銇叡鏈夈儠銈┿儷銉銇搞儶銉炽偗銇 Microsoft Internet Explorer 銇с伄銇垮彲鑳姐仺銇倞銇俱仚銆
+褰撶劧銆併偒銉冦儓銈€兂銉夈儦銉笺偣銉堛亴浣跨敤銇с亶銇俱仚銆';
+$lang['js']['linkwiz'] = '銉兂銈偊銈c偠銉笺儔';
+$lang['js']['linkto'] = '銉兂銈厛锛';
+$lang['js']['del_confirm'] = '閬告姙銇椼仧闋呯洰銈掓湰褰撱伀鍓婇櫎銇椼伨銇欍亱锛';
+$lang['js']['mu_btn'] = '瑜囨暟銇儠銈°偆銉倰涓搴︺伀銈€儍銉椼儹銉笺儔';
+$lang['mediausage'] = '銇撱伄銉曘偂銈ゃ儷銈掍娇鐢ㄣ仚銈嬨仧銈併伀銇銇枃娉曘倰浣跨敤銇欍倠锛';
+$lang['mediaview'] = '銈儶銈搞儕銉儠銈°偆銉倰闁茶Η';
+$lang['mediaroot'] = '銉兗銉';
+$lang['mediaupload'] = '銉曘偂銈ゃ儷銈掔従鍦ㄣ伄鍚嶅墠绌洪枔銇偄銉冦儣銉兗銉夈仐銇俱仚銆傚壇鍚嶅墠绌洪枔銈掍娇鐢ㄣ仚銈嬪牬鍚堛伀銇併儠銈°偆銉悕銇墠銇偝銉兂銇у尯鍒囥仯銇﹁拷鍔犮仐銇︺亸銇犮仌銇勩';
+$lang['mediaextchange'] = '鎷″嫉瀛愩亴 .%s 銇嬨倝 .%s 銇稿鏇淬仌銈屻伨銇椼仧銆';
+$lang['reference'] = '鍙傜収鍏';
+$lang['ref_inuse'] = '銇撱伄銉曘偂銈ゃ儷銇佹銇儦銉笺偢銇т娇鐢ㄤ腑銇仧銈佸墛闄ゃ仹銇嶃伨銇涖倱銆';
+$lang['ref_hidden'] = '銇撱伄銉氥兗銈搞伀瀛樺湪銇欍倠銇勩亸銇ゃ亱銇弬鐓у厛銇佹ī闄愩亴鐒°亜銇熴倎瑾個銇撱仺銇屻仹銇嶃伨銇涖倱銆';
+$lang['hits'] = '銉掋儍銉';
+$lang['quickhits'] = '銉炪儍銉併仐銇熸枃鏇稿悕';
+$lang['toc'] = '鐩';
+$lang['current'] = '鐝惧湪';
+$lang['yours'] = '銇傘仾銇熴伄銉愩兗銈搞儳銉';
+$lang['diff'] = '鐝惧湪銇儶銉撱偢銉с兂銇ㄣ伄宸垎銈掕〃绀';
+$lang['diff2'] = '閬告姙銇椼仧銉儞銈搞儳銉抽枔銇樊鍒嗐倰琛ㄧず';
+$lang['line'] = '銉┿偆銉';
+$lang['breadcrumb'] = '銉堛儸銉笺偣';
+$lang['youarehere'] = '鐝惧湪浣嶇疆';
+$lang['lastmod'] = '鏈绲傛洿鏂';
+$lang['by'] = 'by';
+$lang['deleted'] = '鍓婇櫎';
+$lang['created'] = '浣滄垚';
+$lang['restored'] = '浠ュ墠銇儛銉笺偢銉с兂銈掑京鍏';
+$lang['external_edit'] = '澶栭儴绶ㄩ泦';
+$lang['summary'] = '绶ㄩ泦銇瑕';
+$lang['noflash'] = '銇撱伄鍐呭銈掕〃绀恒仚銈嬨仧銈併伀銇 <a href="http://www.adobe.com/products/flashplayer/">Adobe Flash Plugin</a> 銇屽繀瑕併仹銇欍';
+$lang['download'] = '銇撱伄閮ㄥ垎銈掋儉銈︺兂銉兗銉';
+$lang['mail_newpage'] = '鏂囨浉銇拷鍔狅細';
+$lang['mail_changed'] = '鏂囨浉銇鏇达細';
+$lang['mail_new_user'] = '鏂拌銉︺兗銈躲兗锛';
+$lang['mail_upload'] = '銉曘偂銈ゃ儷銇偄銉冦儣銉兗銉夛細';
+$lang['qb_bold'] = '澶瓧';
+$lang['qb_italic'] = '鏂滀綋';
+$lang['qb_underl'] = '涓嬬窔';
+$lang['qb_code'] = '銈炽兗銉';
+$lang['qb_strike'] = '鎵撴秷绶';
+$lang['qb_h1'] = '绗竴瑕嬪嚭銇';
+$lang['qb_h2'] = '绗簩瑕嬪嚭銇';
+$lang['qb_h3'] = '绗笁瑕嬪嚭銇';
+$lang['qb_h4'] = '绗洓瑕嬪嚭銇';
+$lang['qb_h5'] = '绗簲瑕嬪嚭銇';
+$lang['qb_h'] = '瑕嬪嚭銇';
+$lang['qb_hs'] = '瑕嬪嚭銇楅伕鎶';
+$lang['qb_hplus'] = '涓娿伄闅庡堡銇鍑恒仐';
+$lang['qb_hminus'] = '涓嬨伄闅庡堡銇鍑恒仐';
+$lang['qb_hequal'] = '鍚屻仒闅庡堡銇鍑恒仐';
+$lang['qb_link'] = '鍐呴儴銉兂銈';
+$lang['qb_extlink'] = '澶栭儴銉兂銈';
+$lang['qb_hr'] = '妯将绶';
+$lang['qb_ol'] = '瑷樺彿浠樸亶銉偣銉';
+$lang['qb_ul'] = '瑷樺彿銇仐銉偣銉';
+$lang['qb_media'] = '銈ゃ儭銉笺偢銈勩儠銈°偆銉伄杩藉姞';
+$lang['qb_sig'] = '缃插悕銇尶鍏';
+$lang['qb_smileys'] = '銈广優銈ゃ儶銉';
+$lang['qb_chars'] = '鐗规畩鏂囧瓧';
+$lang['upperns'] = '涓娿伄闅庡堡銇悕鍓嶇┖闁撱伕';
+$lang['admin_register'] = '鏂拌銉︺兗銈躲兗浣滄垚';
+$lang['metaedit'] = '銉°偪銉囥兗銈跨法闆';
+$lang['metasaveerr'] = '銉°偪銉囥兗銈裤伄鏇搞亶杈笺伩銇け鏁椼仐銇俱仐銇';
+$lang['metasaveok'] = '銉°偪銉囥兗銈裤伅淇濆瓨銇曘倢銇俱仐銇';
+$lang['img_backto'] = '鎴汇倠';
+$lang['img_title'] = '銈裤偆銉堛儷';
+$lang['img_caption'] = '瑕嬪嚭銇';
+$lang['img_date'] = '鏃ヤ粯';
+$lang['img_fname'] = '銉曘偂銈ゃ儷鍚';
+$lang['img_fsize'] = '銈点偆銈';
+$lang['img_artist'] = '浣滄垚鑰';
+$lang['img_copyr'] = '钁椾綔妯';
+$lang['img_format'] = '銉曘偐銉笺優銉冦儓';
+$lang['img_camera'] = '浣跨敤銈儭銉';
+$lang['img_keywords'] = '銈兗銉兗銉';
+$lang['subscribe_success'] = '澶夋洿灞ユ閰嶄俊銇櫥閷层亴瀹屼簡銇椼伨銇椼仧銆';
+$lang['subscribe_error'] = '澶夋洿灞ユ閰嶄俊銇櫥閷层伀澶辨晽銇椼伨銇椼仧銆';
+$lang['subscribe_noaddress'] = '銉偘銈ゃ兂銇椼仸銇勩仾銇勩仧銈併佸鏇村饱姝撮厤淇°伀鐧婚尣銇欍倠銇撱仺銇仹銇嶃伨銇涖倱銆';
+$lang['unsubscribe_success'] = '澶夋洿灞ユ閰嶄俊銇В闄ゃ亴瀹屼簡銇椼伨銇椼仧銆';
+$lang['unsubscribe_error'] = '澶夋洿灞ユ閰嶄俊銇В闄ゃ伀澶辨晽銇椼伨銇椼仧銆';
+$lang['authmodfailed'] = '銉︺兗銈躲兗瑾嶈銇ō瀹氥亴姝c仐銇忋亗銈娿伨銇涖倱銆俉iki銇鐞嗚呫伀閫g怠銇椼仸涓嬨仌銇勩';
+$lang['authtempfail'] = '銉︺兗銈躲兗瑾嶈銇屼竴鏅傜殑銇娇鐢ㄣ仹銇嶃仾銇忋仾銇c仸銇勩伨銇欍傘亾銇姸鎱嬨亴缍氥亜銇︺亜銈嬨倛銇嗐仹銇傘倢銇般乄iki銇鐞嗚呫伀閫g怠銇椼仸涓嬨仌銇勩';
+$lang['i_chooselang'] = '浣跨敤瑷瑾炪倰閬告姙銇椼仸銇忋仩銇曘亜';
+$lang['i_installer'] = 'DokuWiki 銈ゃ兂銈广儓銉笺儵銉';
+$lang['i_wikiname'] = 'Wiki鍚';
+$lang['i_enableacl'] = 'ACL锛堛偄銈偦銈圭鐞嗭級銈掍娇鐢ㄣ仚銈嬶紙鎺ㄥエ锛';
+$lang['i_superuser'] = '銈广兗銉戙兗銉︺兗銈躲兗';
+$lang['i_problems'] = '鍟忛銇岀櫤瑕嬨仌銈屻伨銇椼仧銆備互涓嬨伀绀恒仚鍟忛銈掕В姹恒仚銈嬨伨銇с併偆銉炽偣銉堛兗銉倰缍氳銇с亶銇俱仜銈撱';
+$lang['i_modified'] = '銈汇偔銉ャ儶銉嗐偅銇悊鐢便亱銈夈佹柊瑕忋倐銇椼亸銇偒銈广偪銉炪偆銈恒仐銇︺亜銇亜 DokuWiki 銇銇椼仸銇伩銆併亾銇偣銈儶銉椼儓銇湁鍔广仹銇欍
+ 銉銈︺兂銉兗銉夈仐銇熴儜銉冦偙銉笺偢銈掑啀瑙e噸銇椼仸浣跨敤銇欍倠銇嬨
+ <a href="http://dokuwiki.org/install">Dokuwiki 銈ゃ兂銈广儓銉笺儷銈偆銉</a>銈掑弬鑰冦伀銇椼仸銈ゃ兂銈广儓銉笺儷銇椼仸銇忋仩銇曘亜銆';
+$lang['i_funcna'] = 'PHP銇枹鏁 <code>%s</code> 銇屼娇鐢ㄣ仹銇嶃伨銇涖倱銆傘儧銈广儐銈c兂銈颁細绀俱亴浣曘倝銇嬨伄鐞嗙敱銇х劇鍔广伀銇椼仸銇勩倠鎭愩倢銇屻亗銈娿伨銇欍';
+$lang['i_phpver'] = 'PHP銇儛銉笺偢銉с兂 <code>%s</code> 銇屽繀瑕併仾銉愩兗銈搞儳銉 <code>%s</code> 銈堛倞浠ュ墠銇倐銇仹銇欍侾HP銇偄銉冦儣銈般儸銉笺儔銇屽繀瑕併仹銇欍';
+$lang['i_permfail'] = '<code>%s</code> 銇浉銇嶈炯銇裤仹銇嶃伨銇涖倱銆傘亾銇儑銈c儸銈儓銉伄妯╅檺銈掔⒑瑾嶃仐銇︿笅銇曘亜銆';
+$lang['i_confexists'] = '<code>%s</code> 銇棦銇瓨鍦ㄣ仐銇俱仚';
+$lang['i_writeerr'] = '<code>%s</code> 銈掍綔鎴愩仹銇嶃伨銇涖倱銆傘儑銈c儸銈儓銉仺銉曘偂銈ゃ儷銇ī闄愩倰纰鸿獚銇椼併仢銈屻倝銈掓墜鍕曘仹浣滄垚銇欍倠蹇呰銇屻亗銈娿伨銇欍';
+$lang['i_badhash'] = 'dokuwiki.php 銇岃獚璀樸仹銇嶃仾銇勩亱銆佺法闆嗐仌銈屻仸銇勩伨銇欙紙hash=<code>%s</code>锛';
+$lang['i_badval'] = '<code>%s</code> - 姝c仐銇忋仾銇勩併倐銇椼亸銇ゃ亴绌恒仹銇';
+$lang['i_success'] = '瑷畾銉曘偂銈ゃ儷銇銇椼亸浣滄垚銇曘倢銇俱仐銇熴<a href="doku.php">浣滄垚銇椼仧 DokuWiki</a>銈掍娇鐢ㄣ仚銈嬨伀銇 install.php 銈掑墛闄ゃ仐銇︺亸銇犮仌銇勩';
+$lang['i_failure'] = '瑷畾銉曘偂銈ゃ儷銇綔鎴愪腑銇偍銉┿兗銇岀櫤鐢熴仐銇俱仐銇熴<a href="doku.php">浣滄垚銇椼仧 DokuWiki</a>銈掍娇鐢ㄣ仚銈嬪墠銇併仢銈屻倝銇晱椤屻倰鎵嬪嫊銇т慨姝c仚銈嬪繀瑕併亴銇傘倞銇俱仚銆';
+$lang['i_policy'] = 'ACL鍒濇湡瑷畾';
+$lang['i_pol0'] = '銈兗銉椼兂 Wiki锛堝叏銇︺伄浜恒伀銆侀柌瑕с兓鏇搞亶杈笺伩銉汇偄銉冦儣銉兗銉夈倰瑷卞彲锛';
+$lang['i_pol1'] = '銉戙儢銉儍銈 Wiki锛堥柌瑕с伅鍏ㄣ仸銇汉銇屽彲鑳姐佹浉銇嶈炯銇裤兓銈€儍銉椼儹銉笺儔銇櫥閷层儲銉笺偠銉笺伄銇匡級';
+$lang['i_pol2'] = '銈儹銉笺偤銉 Wiki (鐧婚尣銉︺兗銈躲兗銇伄銇夸娇鐢ㄣ倰瑷卞彲)';
+$lang['i_retry'] = '鍐嶈│琛';
+$lang['mu_intro'] = '瑜囨暟銇儠銈°偆銉倰涓搴︺伀銈€儍銉椼儹銉笺儔銇с亶銇俱仚銆傘儢銉┿偊銈恒儨銈裤兂銈掋偗銉儍銈仐銇︺儠銈°偆銉倰杩藉姞銇椼仸銇忋仩銇曘亜銆傝拷鍔犮仐銇熴倝銆併偄銉冦儣銉兗銉夈儨銈裤兂銈掋偗銉儍銈仐銇︺亸銇犮仌銇勩';
+$lang['mu_gridname'] = '銉曘偂銈ゃ儷鍚';
+$lang['mu_gridsize'] = '銈点偆銈';
+$lang['mu_gridstat'] = '銈广儐銉笺偪銈';
+$lang['mu_namespace'] = '鍚嶅墠绌洪枔';
+$lang['mu_browse'] = '銉栥儵銈︺偤';
+$lang['mu_toobig'] = '澶с亶銇欍亷銇俱仚';
+$lang['mu_ready'] = '銈€儍銉椼儹銉笺儔銇с亶銇俱仚';
+$lang['mu_done'] = '瀹屼簡';
+$lang['mu_fail'] = '澶辨晽';
+$lang['mu_authfail'] = '銈汇儍銈枫儳銉虫湡闄愬垏銈';
+$lang['mu_progress'] = '@PCT@% 銈€儍銉椼儹銉笺儔瀹屼簡';
+$lang['mu_filetypes'] = '浣跨敤銇с亶銈嬨儠銈°偆銉舰寮';
+$lang['mu_info'] = '銉曘偂銈ゃ儷銈€儍銉椼儹銉笺儔瀹屼簡';
+$lang['mu_lasterr'] = '鐩磋繎銇偍銉┿兗锛';
+$lang['recent_global'] = '鐝惧湪銆<b>%s</b> 鍚嶅墠绌洪枔鍐呫伄澶夋洿鐐广倰闁茶Η涓仹銇欍<a href="%s">Wiki鍏ㄤ綋銇渶杩戙伄澶夋洿鐐广倰纰鸿獚銇欍倠</a>銇撱仺銈傚彲鑳姐仹銇欍';
+$lang['years'] = '%d骞村墠';
+$lang['months'] = '%d銈湀鍓';
+$lang['weeks'] = '%d閫遍枔鍓';
+$lang['days'] = '%d鏃ュ墠';
+$lang['hours'] = '%d鏅傞枔鍓';
+$lang['minutes'] = '%d鍒嗗墠';
+$lang['seconds'] = '%d绉掑墠';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/locked.txt
new file mode 100644
index 000000000..1c37c93d1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/locked.txt
@@ -0,0 +1,3 @@
+====== 鏂囨浉銉儍銈腑 ======
+
+銇撱伄鏂囨浉銇粬銇儲銉笺偠銉笺伀銈堛仯銇︺儹銉冦偗銇曘倢銇︺亜銇俱仚銆傜法闆嗐亴瀹屼簡銇欍倠銇嬨併儹銉冦偗銇湡闄愩亴鍒囥倢銈嬨伄銈掑緟銇c仸涓嬨仌銇勩
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/login.txt
new file mode 100644
index 000000000..ef18d378e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/login.txt
@@ -0,0 +1,4 @@
+====== 銉偘銈ゃ兂 ======
+
+銉︺兗銈躲兗鍚嶃仺銉戙偣銉兗銉夈倰鍏ュ姏銇椼仸銉偘銈ゃ兂銇椼仸銇忋仩銇曘亜锛堛偗銉冦偔銉笺倰鏈夊姽銇仚銈嬪繀瑕併亴銇傘倞銇俱仚锛夈
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/mailtext.txt
new file mode 100644
index 000000000..09688cbb7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/mailtext.txt
@@ -0,0 +1,17 @@
+DokuWiki 鍐呫伄鏂囨浉銇岃拷鍔犮倐銇椼亸銇鏇淬仌銈屻伨銇椼仧銆傝┏绱般伅浠ヤ笅銇氥倞銇с仚銆
+
+鏃ヤ粯 : @DATE@
+銉栥儵銈︺偠 : @BROWSER@
+IP銈€儔銉偣 : @IPADDRESS@
+銉涖偣銉堝悕 : @HOSTNAME@
+鍓嶃儶銉撱偢銉с兂: @OLDPAGE@
+鏂般儶銉撱偢銉с兂: @NEWPAGE@
+绶ㄩ泦銇偟銉炪儶: @SUMMARY@
+銉︺兗銈躲兗鍚 : @USER@
+
+@DIFF@
+
+
+--
+銇撱伄銉°兗銉伅娆°伄DokuWiki銈堛倞鑷嫊鐨勩伀閫佷俊銇曘倢銇︺亜銇俱仚銆
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/newpage.txt
new file mode 100644
index 000000000..d03169f8a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/newpage.txt
@@ -0,0 +1,4 @@
+====== 銇撱伄銉堛償銉冦偗銇伅鏂囨浉銇屽瓨鍦ㄣ仐銇俱仜銈 ======
+
+銇撱伄銉堛償銉冦偗銇枃鏇搞亴浣滄垚銇曘倢銇︺亜銇俱仜銈撱 銈傘仐銆佹枃鏇镐綔鎴愩伄妯╅檺銇屻亗銈嬪牬鍚堛伅銆''鏂囨浉銇綔鎴''銈掋偗銉儍銈仐銇 鏈鍒濄伄鏂囨浉銈掍綔鎴愩仚銈嬨亾銇ㄣ亴銇с亶銇俱仚銆
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/norev.txt
new file mode 100644
index 000000000..48ccde700
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/norev.txt
@@ -0,0 +1,4 @@
+====== 銉儞銈搞儳銉炽亴瀛樺湪銇椼伨銇涖倱 ======
+
+鎸囧畾銇曘倢銇熴儶銉撱偢銉с兂瀛樺湪銇椼伨銇涖倱銆''浠ュ墠銇儶銉撱偢銉с兂''銈掋偗銉儍銈仐銇︾⒑瑾嶃仐銇︺亸銇犮仌銇勩
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/preview.txt
new file mode 100644
index 000000000..ee839cd06
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/preview.txt
@@ -0,0 +1,4 @@
+====== 銉椼儸銉撱儱銉 ======
+
+绶ㄩ泦涓伄鏂囨浉銇儣銉儞銉ャ兗銇с仚銆傜⒑瑾嶇敤銇伄銇**淇濆瓨銇曘倢銇︺亜銇亜**銇撱仺銇敞鎰忋仐銇︺亸銇犮仌銇勩
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/pwconfirm.txt
new file mode 100644
index 000000000..98ccfcdea
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/pwconfirm.txt
@@ -0,0 +1,13 @@
+銇撱倱銇仭銇 @FULLNAME@ 銇曘倱
+
+@TITLE@锛園DOKUWIKIURL@锛夈伀鏂拌銉戙偣銉兗銉夌櫤琛屻伄銉偗銈ㄣ偣銉堛亴銇傘倞銇俱仐銇熴
+
+銈傘仐銇撱伄銉偗銈ㄣ偣銉堛伀瑕氥亪銇岀劇銇戙倢銇般併亾銇儭銉笺儷銇劇瑕栥仐銇︺亸銇犮仌銇勩
+
+銇撱伄銉偗銈ㄣ偣銉堛倰琛屻仯銇熸湰浜恒仹銇傘倢銇般佷互涓嬨伄銉兂銈亱銈変綔妤倰瀹屼簡銇曘仜銇︺亸銇犮仌銇勩
+
+@CONFIRM@
+
+--
+銇撱伄銉°兗銉伅娆°伄DokuWiki銈堛倞鑷嫊鐨勩伀閫佷俊銇曘倢銇︺亜銇俱仚銆
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/read.txt
new file mode 100644
index 000000000..14137cc14
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/read.txt
@@ -0,0 +1,2 @@
+銇撱伄鏂囨浉銇鍙栧皞鐢ㄣ仹銇欍傛枃鏇搞伄銈姐兗銈广倰闁茶Η銇欍倠銇撱仺銇彲鑳姐仹銇欍亴銆佸鏇淬伅銇с亶銇俱仜銈撱傘倐銇楀鏇淬仐銇熴亜鍫村悎銇鐞嗚呫伀閫g怠銇椼仸銇忋仩銇曘亜銆
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/recent.txt
new file mode 100644
index 000000000..d18fd1bc2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/recent.txt
@@ -0,0 +1,5 @@
+====== 鏈杩戙伄澶夋洿 ======
+
+浠ヤ笅銇枃鏇搞伅鏈杩戞洿鏂般仌銈屻仧銈傘伄銇с仚銆
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/register.txt
new file mode 100644
index 000000000..b242d1e88
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/register.txt
@@ -0,0 +1,4 @@
+====== 鏂拌銉︺兗銈躲兗鐧婚尣 ======
+
+銇撱伄Wiki銇儲銉笺偠銉肩櫥閷层倰琛屻亞銇熴倎銇伅銆佷互涓嬨伄鎯呭牨銈掑叏銇﹀叆鍔涖仐銇︿笅銇曘亜銆 銈傘仐浠ヤ笅銇爡鐩伀銉戙偣銉兗銉夈亴瀛樺湪銇椼仾銇勫牬鍚堛併儜銈广儻銉笺儔銇儭銉笺儷銇仸閫佷俊銇曘倢銇俱仚銇仹銆 蹇呫仛**鏈夊姽銇**銉°兗銉偄銉夈儸銈广倰鍏ュ姏銇椼仸銇忋仩銇曘亜銆 銇俱仧銆併儹銈般偆銉冲悕銇 [[doku>pagename|pagename]] 銇簴鎷犮仐銇︺亜銇亼銈屻伆銇倞銇俱仜銈撱
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/registermail.txt
new file mode 100644
index 000000000..2b272de40
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/registermail.txt
@@ -0,0 +1,14 @@
+鏂般仐銇勩儲銉笺偠銉笺亴鐧婚尣銇曘倢銇俱仐銇熴傘儲銉笺偠銉兼儏鍫便伅浠ヤ笅銇氥倞銇с仚銆
+
+銉︺兗銈躲兗鍚 : @NEWUSER@
+銉曘儷銉嶃兗銉 : @NEWNAME@
+銉°兗銉偄銉夈儸銈 : @NEWEMAIL@
+
+鐧婚尣鏃 : @DATE@
+銉栥儵銈︺偠 : @BROWSER@
+IP銈€儔銉偣 : @IPADDRESS@
+銉涖偣銉堝悕 : @HOSTNAME@
+
+--
+銇撱伄銉°兗銉伅娆°伄DokuWiki銈堛倞鑷嫊鐨勩伀閫佷俊銇曘倢銇︺亜銇俱仚銆
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/resendpwd.txt
new file mode 100644
index 000000000..23dd6ff1f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/resendpwd.txt
@@ -0,0 +1,4 @@
+====== 銉戙偣銉兗銉夊啀鐧鸿 ======
+
+銇撱伄Wiki銇т娇鐢ㄣ仚銈嬫柊銇椼亜銉戙偣銉兗銉夈倰銉偗銈ㄣ偣銉堛仚銈嬨仧銈併伀銆併儲銉笺偠銉煎悕銈掑叆鍔涖仐銇︿笅銇曘亜銆 鏂般儜銈广儻銉笺儔鐧鸿銉偗銈ㄣ偣銉堛伄纰鸿獚銉°兗銉亴銆佺櫥閷层仌銈屻仸銇勩倠銉°兗銉偄銉夈儸銈广伀閫佷俊銇曘倢銇俱仚銆
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/revisions.txt
new file mode 100644
index 000000000..e43731ccd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/revisions.txt
@@ -0,0 +1,4 @@
+====== 浠ュ墠銇儶銉撱偢銉с兂 ======
+
+浠ヤ笅銇亾銇枃鏇搞伄浠ュ墠銇儶銉撱偢銉с兂銇с仚銆傚京鍏冦仚銈嬨伀銇''鏂囨浉銇法闆''銈掋偗銉儍銈併仢銇緦淇濆瓨銇椼仸銇忋仩銇曘亜銆
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/searchpage.txt
new file mode 100644
index 000000000..af312728b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/searchpage.txt
@@ -0,0 +1,5 @@
+====== 妞滅储 ======
+
+浠ヤ笅銇绱㈢祼鏋溿倰琛ㄧず銇椼伨銇欍傘倐銇椼佹帰銇椼仸銇勩倠銈傘伄銇岃銇ゃ亱銈夈仾銇勫牬鍚堛 妞滅储銈兗銉兗銉夈伀銇°仾銈撱仩鍚嶅墠銇枃鏇搞倰浣滄垚銈傘仐銇忋伅绶ㄩ泦銈掕銇c仸銇忋仩銇曘亜銆
+
+===== 绲愭灉 =====
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/showrev.txt
new file mode 100644
index 000000000..d8ce4784e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/showrev.txt
@@ -0,0 +1,2 @@
+**浠ュ墠銇儶銉撱偢銉с兂銇枃鏇搞仹銇**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/stopwords.txt
new file mode 100644
index 000000000..628e46ebe
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/stopwords.txt
@@ -0,0 +1,29 @@
+# 浠ヤ笅銇併偆銉炽儑銉冦偗銈逛綔鎴愭檪銇劇瑕栥仚銈嬭獮鍙ャ伄銉偣銉堛仹銇欍備竴琛屻伀涓鍗樿獮銇氥仱瑷樺叆銇椼仸銇忋仩銇曘亜銆
+# UNIX銇х敤銇勩倝銈屻倠鏀硅銈炽兗銉夛紙LF锛夈倰浣跨敤銇椼仸銇忋仩銇曘亜
+# 3鏂囧瓧銈堛倞鐭亜瑾炲彞銇嚜鍕曠殑銇劇瑕栥仌銈屻倠銇仹銆併儶銈广儓銇姞銇堛倠蹇呰銇亗銈娿伨銇涖倱銆
+# 銇撱伄銉偣銉堛伅娆°伄銈点偆銉堛倰銈傘仺銇綔鎴愩仌銈屻仸銇勩伨銇欙紙http://www.ranks.nl/stopwords/锛
+about
+are
+and
+you
+your
+them
+their
+com
+for
+from
+into
+how
+that
+the
+this
+was
+what
+when
+where
+who
+will
+with
+und
+the
+www
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/subscribermail.txt
new file mode 100644
index 000000000..d18ffe36d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/subscribermail.txt
@@ -0,0 +1,16 @@
+銇撱倱銇仭銇
+
+@TITLE@ 鍐呫伄銉氥兗銈 @PAGE@ 銇鏇淬仌銈屻伨銇椼仧銆
+澶夋洿鍐呭銇互涓嬨伄閫氥倞銇с仚銆
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+銉氥兗銈稿鏇村饱姝撮厤淇°偟銉笺儞銈广伄瑙i櫎銇
+@DOKUWIKIURL@ 銇 @NEWPAGE@
+銇亗銈'澶夋洿灞ユ閰嶄俊銇В闄'銇ц銇嗐亾銇ㄣ亴銇с亶銇俱仚銆
+
+--
+銇撱伄銉°兗銉伅娆°伄DokuWiki銈堛倞鑷嫊鐨勩伀閫佷俊銇曘倢銇︺亜銇俱仚銆
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/updateprofile.txt
new file mode 100644
index 000000000..e83d92965
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/updateprofile.txt
@@ -0,0 +1,5 @@
+====== 銈€偒銈︺兂銉堟儏鍫辨洿鏂 ======
+
+澶夋洿銇椼仧銇勯爡鐩倰鍏ュ姏銇椼仸涓嬨仌銇勩傘儲銉笺偠銉煎悕銇鏇淬仹銇嶃伨銇涖倱銆
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/uploadmail.txt
new file mode 100644
index 000000000..53f30db61
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/uploadmail.txt
@@ -0,0 +1,14 @@
+銇婁娇銇勩伄DokuWiki銇儠銈°偆銉亴銈€儍銉椼儹銉笺儔銇曘倢銇俱仐銇熴傝┏绱般伅浠ヤ笅銇氥倞銇с仚銆
+
+銉曘偂銈ゃ儷 : @MEDIA@
+鏃ヤ粯 : @DATE@
+銉栥儵銈︺偠 : @BROWSER@
+IP銈€儔銉偣 : @IPADDRESS@
+銉涖偣銉堝悕 : @HOSTNAME@
+銈点偆銈 : @SIZE@
+MIME銈裤偆銉 : @MIME@
+銉︺兗銈躲兗鍚 : @USER@
+
+--
+銇撱伄銉°兗銉伅娆°伄DokuWiki銈堛倞鑷嫊鐨勩伀閫佷俊銇曘倢銇︺亜銇俱仚銆
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/wordblock.txt
new file mode 100644
index 000000000..d7edd8765
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ja/wordblock.txt
@@ -0,0 +1,4 @@
+====== 銈广儜銉 銉栥儹銉冦偗 ======
+
+闄ゅ銇欍倠鍗樿獮銇屽惈銇俱倢銇︺亜銈嬨仧銈併佸鏇淬伅**淇濆瓨銇曘倢銇俱仜銈撱仹銇椼仧**銆 銈傘仐鎰忓洺銇椼仧銈广儜銉犺鐐恒仹銇亜銇仹銇傘倢銇般佺鐞嗚呫伀閫g怠銇椼仸銇忋仩銇曘亜銆
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/admin.txt
new file mode 100644
index 000000000..29338b2e7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/admin.txt
@@ -0,0 +1,3 @@
+====== 釣⑨煉釣撫瀫釣傖煉釣氠焺釣 ======
+釣佱灦釣勧瀫釤掅灇釤勧灅釣囜灦釣斸煉釣氠瀶釣斸灁釤掅灇釣娽灦釣氠灁釣熱煁釣⑨煉釣撫瀫釣傖煉釣氠焺釣 釣屷焺釣傖灱釣溼灨釣傖灨釤
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/backlinks.txt
new file mode 100644
index 000000000..f28068a58
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/backlinks.txt
@@ -0,0 +1,5 @@
+====== 釣佱煉釣熱焸釣娽焼釣庒灇釣釤掅灇釤勧灆 ======
+釣撫焷釤囜瀲釣夺瀾釤嗎灃釤愥灇釣娽焸釣涐灅釣夺灀釣娽焼釣庒灇釣斸瀻釤掅瀼釣栣灨釣戓焼釣栣煇釣氠灔釣♂灱釣溼煍
+====== Backlinks ======
+This is a list of pages that seem to link back to the current page.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/conflict.txt
new file mode 100644
index 000000000..7b95fda65
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/conflict.txt
@@ -0,0 +1,3 @@
+====== 釣樶灦釣撫灁釣会灀釣氠灦釣栣煉釣氠灩釣忈煉釣忈灧釣愥煉釣樶灨釤 ======
+釣樶灦釣撫灁釣会灀釣氠灦釣栣煉釣氠灩釣忈煉釣忈灧釣愥煉釣樶灨
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/denied.txt
new file mode 100644
index 000000000..58b10ee86
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/denied.txt
@@ -0,0 +1,3 @@
+====== 釣斸瀶釣丰灍釤佱瀿釣⑨灀釣会瀴釤掅瀴釣夺瀼 ======
+釣熱灱釣樶瀾釣会灍 釣⑨煉釣撫瀫釣傖煉釣樶灦釣撫灑釣撫灮釣夅煉釣夅灦釣忈瀾釤呩灁釣庒煉釣忈瀾釤佱煍
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/edit.txt
new file mode 100644
index 000000000..516ea3779
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/edit.txt
@@ -0,0 +1,3 @@
+釣釤傖瀼釣樶煉釣氠灱釣溼瀾釤嗎灃釤愥灇釣撫焷釤囜灎釣踞灆 釣呩灮釣吢灇釣釤掅灍釣夺瀼釣会瀫禄釤 釣樶灳釣 [[wiki:syntax|釣溼灦釣釤掅灆鈥嬦灍釣樶煉釣栣灀釤掅瀿]] 釣囜灦釣釣樶煉釣撫灱釣溼灨釣傖灨釤
+釣熱焼釣釤傖灍釣樶煉釣氠灲釣涐灁釣踞灑釤掅灀釣釣⑨灦釣**釣呩煉釣撫焹釣呩灇釣踞灀**釣溼灦釤 釣斸灳釣⑨煉釣撫瀫釣呩瀯釤嬦灍釣夺瀫釣栣灧釣熱焺釣忈灑釤掅灉釣羔灅釣结灆 釣熱焼釣氠焵釣撫灀釤呩瀫釤掅灀釣会瀯
+[[playground:playground|playground]]釤
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/editrev.txt
new file mode 100644
index 000000000..097c1dae1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/editrev.txt
@@ -0,0 +1,2 @@
+**釣⑨煉釣撫瀫 釣瀫釤掅灍釣夺灇釣呩灦釣熱煁!** 釣斸灳釣⑨煉釣撫瀫釣氠瀫釤掅灍釣夺灉釣 釣⑨煉釣撫瀫釣傖灮釣勧煁釣忈焸釣斸瀯釤掅瀫釣踞瀼 釣愥煉釣樶灨釣囜灦釣樶灲釣欋瀾釣丰灀釤掅灀釣撫煇釣欋灀釤佱焽釤
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/index.txt
new file mode 100644
index 000000000..350050837
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/index.txt
@@ -0,0 +1,2 @@
+====== 釣涐灧釣斸灧釣釤掅灇釣 ======
+釣撫焷釤囜瀲釣夺灈釣丰灁釣丰瀫釤掅灇釣樶瀾釤嗎灃釤愥灇釣戓灦釤嗎瀯釣♂灦釣欋灁釣夅煉釣囜灦釣娽焺釣 [[doku>wiki:namespaces|釣撫灦釣樶瀽釣夺灀]]釤
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/lang.php
new file mode 100644
index 000000000..a8257255f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/lang.php
@@ -0,0 +1,230 @@
+<?php
+/**
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Anika Henke <henke@cosmocode.de>
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ * @author Ratana Lim <aerorat@yahoo.com>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '芦';
+$lang['doublequoteclosing'] = '禄';
+$lang['singlequoteopening'] = '鈥';//&lsquo;
+$lang['singlequoteclosing'] = '鈥';//&rsquo;
+$lang['apostrophe'] = '鈥';//&rsquo;
+
+$lang['btn_edit'] = '釣釤傖瀾釤嗎灃釤愥灇釣撫焷釤';
+$lang['btn_source'] = '釣斸瀯釤掅灎釣夺瀯釣斸煉釣氠灄釣栣瀾釤嗎灃釤愥灇';
+$lang['btn_show'] = '釣斸瀯釤掅灎釣夺瀯釣戓焼釣栣煇釣';
+$lang['btn_create'] = '釣斸瀯釤掅瀫釣踞瀼釣戓焼釣栣煇釣氠灀釤佱焽';
+$lang['btn_search'] = '釣熱煉釣溼焸釣勧灇釣';
+$lang['btn_save'] = '釣氠瀫釤掅灍釣夺瀾釣会瀫';
+$lang['btn_preview']= '釣斸瀯釤掅灎釣夺瀴';
+$lang['btn_top'] = '釣戓焻釣涐灳';
+$lang['btn_newer'] = '<<釣戓焼釣栣煇釣氠瀾釤嗎灀釣踞灁';
+$lang['btn_older'] = '釣戓焼釣栣煇釣氠瀽釤掅灅釤傖灍釣樶煇釣>>';
+$lang['btn_revs'] = '釣戓焼釣栣煇釣氠瀰釣夺灍釤嬦煑';
+$lang['btn_recent'] = '釣戓焼釣栣煇釣氠瀽釤掅灅釣羔煑';
+$lang['btn_upload'] = '釣娽灦釣釣涐灳釣';
+$lang['btn_cancel'] = '釣斸焺釤囜灁釣勧煁';
+$lang['btn_index'] = '釣涐灧釣斸灧釣釤掅灇釣';
+$lang['btn_secedit']= '釣釤';
+$lang['btn_login'] = '釣釣忈煁釣呩灱釣';
+$lang['btn_logout'] = '釣釣忈煁釣呩焷釣';
+$lang['btn_admin'] = '釣⑨煉釣撫瀫釣傖煉釣氠灁釤嬦瀭釤掅灇釣';
+$lang['btn_update'] = '釣釤傖灐釣踞瀯';
+$lang['btn_delete'] = '釣涐灮釣斸瀰釤勧灈';
+$lang['btn_back'] = '釣忈煉釣氠灐釣斸煁';
+$lang['btn_backlink'] = '釣佱煉釣熱焸釣呩焼釣庒瀯釣釤掅灇釤勧灆';
+$lang['btn_backtomedia'] = '釣戓焻釣斸煉釣氠灃釤愥灀釣瀫釣熱灦釣溼灧釣';
+$lang['btn_subscribe'] = '釣娽灦釣釤嬦瀶釤嗎瀻釣贯瀯釣曖煉釣涐灍釤嬦灁釤掅瀼釣坚灇';
+$lang['btn_unsubscribe'] = '釣娽瀫釣娽焼釣庒灩釣勧灂釤掅灈釣熱煁釣斸煉釣忈灱釣';
+$lang['btn_profile'] = '釣釤傖灁釤掅灇釣溼瀼釤掅瀼釣丰灇釣坚灁';
+$lang['btn_reset'] = '釣釣樶瀻釣忈煁釣♂灳釣勧灇釣丰瀴';
+$lang['btn_resendpwd'] = '釣曖煉釣夅灳釣栣灦釣釤掅灍釣熱灅釤掅瀯釣夺瀼釤';
+$lang['btn_draft'] = '釣釤傖瀭釤嗎灇釤勧瀯';
+$lang['btn_recover'] = '釣熱煉釣氠焺釤囜瀭釤嗎灇釤勧瀯釣♂灳釣';
+$lang['btn_draftdel'] = '釣涐灮釣斸瀭釤嗎灇釤勧瀯';
+
+$lang['loggedinas'] = '釣⑨煉釣撫瀫釣斸煉釣氠灳';
+$lang['user'] = '釣撫灦釣樶灁釣樶煉釣氠灳';
+$lang['pass'] = '釣栣灦釣釤掅灍釣熱灅釤掅瀯釣忈煁';
+$lang['newpass'] = '釣栣灦釣釤掅灍釣熱灅釤掅瀯釣夺瀼釤嬦瀽釤掅灅釣';
+$lang['oldpass'] = '釣斸灀釤掅瀲釣夺瀫釣栣灦釣釤掅灍釣熱灅釤掅瀯釣夺瀼釤';
+$lang['passchk'] = '釣樶煉釣娽瀯釣戓灦釣';
+$lang['remember'] = '釣呩焼釣庒灦釤嗎瀬釤掅瀴釣会焼';
+$lang['fullname'] = '釣撫灦釣樶瀼釤掅灇釣傖焺釣';
+$lang['email'] = '釣⑨煀釣羔灅釤傖灈';
+$lang['register'] = '釣呩灮釤囜瀳釤掅灅釤勧焽';//'Register';
+$lang['profile'] = '釣斸煉釣氠灉釣忈煉釣忈灧釣氠灱釣';// 'User Profile';
+$lang['badlogin'] = '釣熱灮釤嗎灑釣夺瀾釤勧灍鈥 釣撫灦釣樶灁釤嗎灇釣 釣 釣栣灦釣釤掅灍釣熱灅釤掅瀯釣夺瀼釣樶灧釣撫瀼釤掅灇釣溼瀾釤佱煍';
+$lang['minoredit'] = '釣釤傖灁釣斸瀻釤掅瀼釣丰瀰釣斸瀻釤掅瀼釣结瀰';// 'Minor Changes';
+$lang['draftdate'] = '釣傖焼釣氠焺釣 釣釣忈煁釣熱煉釣溼煇釣欋灁釤掅灇釣溼瀼釤掅瀼';
+
+$lang['regmissing'] = '釣熱灮釤嗎灑釣夺瀾釤勧灍鈥 釣⑨煉釣撫瀫釣忈煉釣氠灉釣斸焼釣栣焷釣夅瀫釤掅灇釣斸灉釣夺灈釤';
+$lang['reguexists'] = '釣熱灮釤嗎灑釣夺瀾釤勧灍鈥 釣撫灦釣樶灁釤掅灇釣踞灀釤佱焽釣樶灦釣撫灇釣结瀰釣犪灳釤';
+$lang['regsuccess'] = '釣⑨煉釣撫瀫釣斸煉釣氠灳釣斸灦釣撫灁釣勧煉釣釣踞瀼釣犪灳釣 釣撫灧釣勧灃釣夺瀫釤掅灍釣熱灅釤掅瀯釣夺瀼釣釤忈灁釣夺灀釣曖煉釣夅灳釣戓焵釣忈煍';
+$lang['regsuccess2']= '釣⑨煉釣撫瀫釣斸煉釣氠灳釣斸灦釣撫灁釣勧煉釣釣踞瀼釣犪灳釣欋煍';
+$lang['regmailfail']= '釣樶灳釣涐瀾釤呩瀶釣会瀰釣囜灦釣樶灦釣撫瀫釤嗎灎釣会灍釣釤掅灀釣会瀯....釣熱灮釤嗎瀾釣夺瀫釣戓瀯釣⑨煉釣撫瀫釣釤掅灇釣斸瀫釤掅灇釣';
+$lang['regbadmail'] = '釣⑨煀釣羔灅釤佱灈釣⑨煉釣撫瀫釣熱灦釣熱焷釣樶灧釣撫瀼釤掅灇釣坚灉釣斸瀴釤掅瀲釣&mdash;釣斸灳釣⑨煉釣撫瀫釣釣丰瀼釣愥灦釣撫焷釤囜瀲釣夺瀫釤嗎灎釣会灍釣斸瀶釣丰灁釣忈煉釣忈灧 釣熱灮釤嗎瀾釣夺瀫釣戓瀯釣⑨煉釣撫瀫釣釤掅灇釣斸瀭釤掅灇釤勧瀯釤';
+$lang['regbadpass'] = '釣傖灱釣栣灦釣釤掅灍釣熱灅釤掅瀯釣夺瀼釣樶灧釣撫瀶釣坚瀰釣傖煉釣撫灦釣戓焷 釣熱灅釣熱灦釣釣戓焵釣忈煍';
+$lang['regpwmail'] = '釣栣灦釣釤掅灍釣熱灅釤掅瀯釣夺瀼釣⑨煉釣撫瀫';
+$lang['reghere'] = '釣⑨煉釣撫瀫釣メ瀼釣樶灦釣撫灁釣夅煉釣囜灨釣撫灦釣樶灁釣樶煉釣氠灳釣戓焷? 釣熱灮釤嗎瀰釣涐煁釣呩灮釤囜瀳釤掅灅釤勧焽釣掅煉釣溼灳釣傖瀻釣撫灨釣熱灅釤掅灇釣斸灁釤掅灇釣踞灁釤掅灇釣';
+
+$lang['profna'] = '釣樶灧釣撫灑釣夺瀰釣釤';
+$lang['profnochange'] = '釣メ瀼釣曖煉釣涐灦釣熱煁釣斸煉釣娽灱釣 釣釤掅灅釣夺灀釣⑨煉釣溼灨釣掅煉釣溼灳釣戓焷釤';
+$lang['profnoempty'] = '釣撫灦釣樶焷釣灑釣羔灅釤佱灈釣戓瀾釤';
+$lang['profchanged'] = '釣斸煉釣氠灉釣忈煉釣忈灧釣氠灱釣斸灑釤掅灀釣釣斸煉釣氠灳釣斸灦釣 釤';
+
+$lang['pwdforget'] = '釣椺煉釣涐灧釣呩灃釣夺瀫釤掅灍釣熱灅釤掅瀯釣夺瀼釤 釣欋瀫釣樶灲釣欋瀾釣夺瀼釤';
+$lang['resendna'] = '釣溼灨釣傖灨釣撫焷釤囜灅釣丰灀釣п灁釣氠焼釣曖煉釣夅灳釣栣灦釣釤掅灍釣熱灅釤掅瀯釣夺瀼釣樶煉釣娽瀯釣戓焵釣忈瀾釤佱煍';
+$lang['resendpwd'] = '釣曖煉釣夅灳釣栣灦釣釤掅灍釣熱灅釤掅瀯釣夺瀼釣册煉釣';
+$lang['resendpwdmissing'] = '釣熱灮釤嗎灑釣夺瀾釤勧灍鈥 釣⑨煉釣撫瀫釣忈煉釣氠灉釣斸焼釣栣焷釣夅灉釣夺灈釤';
+$lang['resendpwdnouser'] = '釣熱灮釤嗎灑釣夺瀾釤勧灍鈥 釣欋灦釣勧灇釣釣⑨煉釣撫瀫釣斸煉釣氠灳釣樶灧釣撫瀮釣踞瀯釣戓焷釤';
+$lang['resendpwdbadauth'] = '釣熱灮釤嗎灑釣夺瀾釤勧灍鈥 釣氠灎釣熱煉釣熱灈釤佱瀬釣⑨灀釣会瀴釤掅瀴釣夺瀼釣栣焼釣⑨灦釣呩灁釤掅灇釣踞灁釣夺灀釣戓焷釤 釣佱煉釣熱焸釣斸灀釤掅瀼';
+$lang['resendpwdconfirm'] ='釣佱煉釣熱焸釣斸灀釤掅瀼';
+$lang['resendpwdsuccess'] = '釣栣灦釣釤掅灍釣熱灅釤掅瀯釣夺瀼釣⑨煉釣撫瀫釣斸灦釣撫灂釤掅瀴釣踞灎釣踞灆釤';
+
+$lang['txt_upload'] = '釣囜煉釣氠灳釣熱灟釣釣熱灦釣氠瀶釤傖灈釣氠灮釣夆嬦灐釣踞瀯';
+$lang['txt_filename'] = '釣氠灮釣夅灐釣踞瀯釣囜灦 (釣熱煉釣氠焷釣呪嬦瀰釣丰瀼釤掅瀼)';
+$lang['txt_overwrt'] = '釣釣忈煁釣栣灨釣涐灳';//'Overwrite existing file';
+$lang['lockedby'] = '釣メ灐釣坚灉釣撫焷釤囜瀰釣釣囜灦釣斸煁鈥';
+$lang['lockexpire'] = '釣熱焺釣囜灦釣斸煁釣曖灮釣忊嬦瀫釤嗎瀻釣忈煁釣樶焿釤勧瀯';
+$lang['willexpire'] = '釣熱焺釣⑨煉釣撫瀫釣呩焼釣栣焺釤囜瀫釤傖瀼釣樶煉釣氠灱釣溼瀾釤嗎灃釤愥灇釣撫焷釤 釣犪灲釣熱灃釤傖灈釣釤掅灀釣会瀯釣樶灲釣欋灀釣夺瀾釣羔煍\n釣釣会焼釣册煉釣欋灅釣夺灀釣囜灅釤掅灈釤勧焽 釣斸煉釣氠灳 芦釣斸瀯釤掅灎釣夺瀴禄鈥 釣戓焻釣釤嗎瀻釣忈煁鈥嬦灐釣踞瀯鈥嬦灉釣丰瀴釤';
+
+$lang['notsavedyet'] = '釣釣樶煉釣氠焸釣樶灧釣撫瀾釣夺灀釣氠灮釣釤掅灍釣夺瀾釣釣忈煉釣氠灱釣溼灁釤勧焽釣斸瀯釤嬦煍\n釣斸灀釤掅瀼釣戓焻釣戓灦釣瀾釤?';
+$lang['rssfailed'] = '釣樶灦釣撫瀫釤嗎灎釣会灍釣栣焷釣涐瀾釤呪嬦灁釤掅灇釣樶灱釣涒嬦灆釣釣樶瀼釣封嬦灃釤愥瀼釤屷灅釣夺灀釤 ';
+$lang['nothingfound']= '釣氠瀫釣樶灧釣撫瀮釣踞瀴釣⑨煉釣溼灨釣戓焷釤';
+
+$lang['mediaselect'] = '釣瀫釣熱灦釣氠灅釣羔瀸釤';
+$lang['fileupload'] = '釣氠灮釣夅灟釣釣熱灦釣氠灅釣羔瀸釤釣♂灳釣';
+$lang['uploadsucc'] = '釣氠灮釣夅瀰釣坚灈釣樶灦釣撫瀲釤愥灆';
+$lang['uploadfail'] = '釣氠灮釣夅灐釣踞瀯釣斸灇釣夺瀲釤愥灆釤 釣斸煉釣氠灎釤傖灈釣佱灮釣熱灍釣丰瀾釤掅瀷釣夺灀釣会瀴釤掅瀴釣夺瀼?';
+$lang['uploadwrong'] = '釣氠灮釣夅灐釣踞瀯釣忈煉釣氠灱釣溾嬦灁釣夺灀鈥嬦灁釣娽灧釣熱焷釣掅煍 釣瀫釣熱灦釣';
+$lang['uploadexist'] = '釣瀫釣熱灦釣氠灅釣夺灀釣犪灳釣欋煍 釣メ瀼釣樶灦釣撫瀿釤掅灉釣踞灑釤掅灉釣羔瀾釤佱煍';
+$lang['uploadbadcontent'] = '釣掅灦釣忈灮釣呩焼釣氠灮釣夅灐釣踞瀯釣樶灧釣撫瀼釤掅灇釣坚灉釣釣撫煉釣戓灮釣欋灟釣釣熱灦釣 %s 釣戓焷釤';
+$lang['uploadspam'] = '釣呩焼釣氠灮釣夅灐釣踞瀯 釣斸瀯釤掅瀬釣夺焼釣 釣娽焻釣 ';
+$lang['uploadxss'] = '釣呩焼釣氠灮釣夅灐釣踞瀯 釣斸瀯釤掅瀬釣夺焼釣 ';
+$lang['deletesucc'] = '釣瀫釣熱灦釣 芦%s禄 釣斸灦釣撫灈釣会灁釣犪灳釣欋煍';
+$lang['deletefail'] = '芦%s禄 釣樶灧釣撫灑釣夺瀰釣涐灮釣斸瀾釤&mdash釣樶灳釣';
+$lang['mediainuse'] = '釣瀫釣熱灦釣 芦%s禄 釣メ瀼釣戓灦釣撫灈釣会灁釣戓焷&mdash釣樶灦釣撫瀭釤佱瀫釤嗎灄釣勧瀾釤佱瀲釣夺灁釤嬦灁釤掅灇釣踞煍';
+$lang['namespaces'] = '釣撫灦釣樶瀶釤掅瀷釣夺灀';
+$lang['mediafiles'] = '釣瀫釣熱灦釣氠瀾釤嗎灀釤佱灀釤呩瀫釤掅灀釣会瀯';
+
+$lang['js']['keepopen'] = '釣戓灮釣釣斸瀯釤掅灑釣结瀰釣斸灳釣 釣栣焷釣涐瀫釣夺灇釣囜灅釤掅灇釣踞灍';
+$lang['js']['hidedetails'] = '釣斸灦釤嗎瀯';
+$lang['mediausage'] = '釣斸煉釣氠灳';
+$lang['mediaview'] = '釣樶灳釣涐灟釣釣熱灦釣氠瀶釣踞灅';
+$lang['mediaroot'] = '釣灍';
+$lang['mediaupload'] = '釣氠灮釣夅灟釣釣熱灦釣氠灐釣踞瀯釣戓焻釣撫灦釣樶瀶釤掅瀷釣夺灀釣撫焷釤囜煍 釣撫灦釣樶瀶釤掅瀷釣夺灀 芦釣氠灮釣夅灐釣踞瀯禄';
+$lang['mediaextchange'] = '釣釣撫煉釣戓灮釣欋灟釣釣熱灦釣氠灂釤掅灈釣夺灍釣栣灨 芦%s禄 釣戓焻 芦%s禄!';
+
+$lang['reference'] = '釣⑨灀釣会灍釣夺灍釣撫瀰釤嗎灃釤勧焽';
+$lang['ref_inuse'] = '釣瀫釣熱灦釣氠灅釣丰灀釣⑨灦釣呩灈釣会灁釣栣灨釣栣煉釣氠焺釤囜灉釣夺灀釤呩瀰釣夺灁釤嬦灁釤掅灇釣踞瀶釤呩瀾釤嗎灃釤愥灇釤';
+$lang['ref_hidden'] = '釣⑨灀釣会灍釣夺灍釣撫瀬釤掅灈釤囜灀釤呩灈釣踞瀾釤嗎灃釤愥灇釣⑨煉釣撫瀫釣傖煉釣樶灦釣撫灍釤佱瀿釣⑨灀釣会瀴釤掅瀴釣夺瀼';
+
+$lang['hits'] = '釣忈煉釣氠灱釣';
+$lang['quickhits'] = '釣堘煉釣樶焺釤囜瀾釤嗎灃釤愥灇釣斸煉釣氠焵釣斸瀶釣坚瀰';
+$lang['toc'] = '釣樶灦釣忈灧釣釣';
+$lang['current'] = '釣メ灐釤呩灉';
+$lang['yours'] = '釣忈焼釣庒焸釣⑨煉釣撫灦釣';
+$lang['diff'] = '釣斸瀯釤掅灎釣夺瀯釣⑨灍釣戓灧釣熱灄釣夺灃釣囜灦釣樶灲釣欋瀼釤嗎瀻釤傖灔釣♂灱釣 ';
+$lang['line'] = '釣佱煉釣熱焸';
+$lang['breadcrumb'] = '釣娽灦釣';
+$lang['youarehere'] = '釣娽灦釣';
+$lang['lastmod'] = '釣栣焷釣涐瀫釤傖瀰釣会瀯釣釤掅灇釤勧灆';
+$lang['by'] = '釣撫灧釣栣灀釤掅瀷釣娽焺釣';
+$lang['deleted'] = '釣欋瀫釣呩焷釣';
+$lang['created'] = '釣斸瀯釤掅瀫釣踞瀼';
+$lang['restored'] = '釣熱煉釣娽灦釣氠灁釣会灀釣氠灦釣栣煉釣氠灩釣忈瀰釣夺灍釤';
+$lang['external_edit'] = '釣釤嗎灇釤佱灃釣羔瀫釤掅灇釤';
+$lang['summary'] = '釣釤傖瀼釣樶煉釣氠灦';
+
+$lang['mail_newpage'] = '釣愥焸釣樶瀾釤嗎灃釤愥灇';
+$lang['mail_changed'] = '釣戓焼釣栣煇釣氠灁釤掅灇釤傖灁釤掅灇釣结灈';
+$lang['mail_new_user'] = '釣⑨煉釣撫瀫釣斸煉釣氠灳釣愥煉釣樶灨';
+$lang['mail_upload'] = '釣氠灮釣夅灑釣釤掅灍釣夺灈釣踞瀯';
+
+$lang['nosmblinks'] = '釣佱煉釣熱焸釣斸灀釤掅瀼釣戓焻 芦Windows share禄 釣斸煉釣氠灳釣斸灦釣撫瀫釤掅灀釣会瀯 芦Microsoft IE禄釤 釣⑨煉釣撫瀫釣⑨灦釣 釣釣夺瀼釤嬦灛釣斸灧釣 釣佱煉釣熱焸釣撫焷釤囜煍';
+
+$lang['qb_bold'] = '釣冡煉釣溼灦釣樶瀫釤掅灇釣';
+$lang['qb_italic'] = '釣冡煉釣溼灦釣樶瀲釤掅灇釤釣';
+$lang['qb_underl'] = '釣冡煉釣溼灦釣';
+$lang['qb_code'] = '釣冡煉釣溼灦釣樶瀫釤掅灁釣结灀';
+$lang['qb_strike'] = '釣冡煉釣溼灦釣';
+$lang['qb_h1'] = '釣撫灧釣溼焷釣戓灀釤嶀瀾釣羔煛';
+$lang['qb_h2'] = '釣撫灧釣溼焷釣戓灀釤嶀瀾釣羔煝';
+$lang['qb_h3'] = '釣撫灧釣溼焷釣戓灀釤嶀瀾釣羔煟';
+$lang['qb_h4'] = '釣撫灧釣溼焷釣戓灀釤嶀瀾釣羔煠';
+$lang['qb_h5'] = '釣撫灧釣溼焷釣戓灀釤嶀瀾釣羔煡';
+$lang['qb_link'] = '釣佱煉釣熱焸釣斸灀釤掅瀼釣釤掅灀釣会瀯';
+$lang['qb_extlink'] = '釣佱煉釣熱焸釣斸灀釤掅瀼釣釤掅灇釤';
+$lang['qb_hr'] = '釣斸灀釤掅瀾釣夺灂釤掅瀶釤佱瀫';
+$lang['qb_ol'] = '釣忈灦釣氠灦釣勧瀼釤掅灇釤釣';
+$lang['qb_ul'] = '釣忈灦釣氠灦釣勧灑釣忈煉釣氠焵釣';
+$lang['qb_media'] = '釣斸灀釤掅瀽釤傖灅釣氠灱釣撫灩釣勧灟釣釣熱灦釣氠灔釣戓焵釣';
+$lang['qb_sig'] = '釣熱煀釣釣犪瀼釤掅瀽釣涐焷釣佱灦';
+$lang['qb_smileys'] = '釣熱瀴釤掅瀴釣夺灑釣夺灇釣樶煉釣樶瀻釤';
+$lang['qb_chars'] = '釣⑨瀫釤掅瀬釣氠焾釣栣灧釣熱焷釣';
+
+$lang['js']['del_confirm']= '釣涐灮釣';
+$lang['admin_register']= '釣忈焸釣樶灑釤掅灀釣釣斸煉釣氠灳';//'Add new user';
+
+$lang['spell_start'] = '釣栣灧釣撫灧釣忈煉釣欋灑釣釤掅瀬釣氠灦釣溼灧釣氠灮釣戓煉釣';//'Check Spelling';
+$lang['spell_stop'] = '釣斸瀻釤掅瀼釣釤嗎灇釤'; 'Resume Editing';
+$lang['spell_wait'] = '釣熱灱釣樶瀰釣夺焼';//'Please wait...';
+$lang['spell_noerr'] = '釣メ瀼釣樶灦釣撫瀬釣会焽釣戓焷';
+$lang['spell_nosug'] = '釣メ瀼釣樶灦釣撫灆釤勧灁釣涐煁';
+$lang['spell_change']= '釣娽灱釣氠瀲釤嗎灀釣结灍';//'Change';
+
+$lang['metaedit'] = '釣釤傖瀾釣丰灀釤掅灀釣撫煇釣欋灑釣氠灱釣';//'Edit Metadata';
+$lang['metasaveerr'] = '釣栣焼釣⑨灦釣呩瀫釣忈煁釣氠瀾釣丰灀釤掅灀釣撫煇釣欋灑釣氠灱釣';//'Writing metadata failed';
+$lang['metasaveok'] = '釣戓灧釣撫煉釣撫灀釤愥灆釣⑨灇釣坚灁';
+$lang['img_backto'] = '釣愥灆釣釤掅灇釤勧灆';
+$lang['img_title'] = '釣⑨灄釣丰瀿釤佱灆釤掅灆';
+$lang['img_caption'] = '釣呩焼釣庒瀯釣囜灳釣';
+$lang['img_date'] = '釣愥煉釣勧焹釣佱焸';//'Date';
+$lang['img_fname'] = '釣堘煉釣樶焺釤囜灟釣釣熱灦釣';
+$lang['img_fsize'] = '釣戓焼釣犪焼';//'Size';
+$lang['img_artist'] = '釣⑨煉釣撫瀫釣愥瀼釣氠灱釣';
+$lang['img_copyr'] = '釣氠瀫釤掅灍釣垛嬦灍釣丰瀾釤掅瀿釣';
+$lang['img_format'] = '釣掅灮釣撫灁釤掅灇釣椺焷釣';
+$lang['img_camera'] = '釣傖煉釣氠灴釣勧瀽釣';
+$lang['img_keywords']= '釣樶焷釣栣灦釣釤掅灍';//'Keywords';
+
+$lang['subscribe_success'] = ' 釣娽瀫釣愥焸釣 %s 釣呩灱釣涐灁釣夅煉釣囜灨釣斸灇釣丰灉釣丰灍釣釣樶瀰釤嗎灃釤勧焽 %s';
+$lang['subscribe_error'] = '釣樶灦釣撫瀫釤嗎灎釣会灍釣栣焷釣涐灁釣撫煉釣愥焸釣 %s 釣呩灱釣涐灁釣夅煉釣囜灨釣斸灇釣丰灉釣丰灍釣釣樶瀰釤嗎灃釤勧焽 %s';
+$lang['subscribe_noaddress']= '釣メ瀼釣樶灦釣撫灑釣夺灍釣欋瀶釤掅瀷釣夺灀 釣⑨煉釣撫瀫釣樶灧釣撫灑釣夺瀰釣釣忈煁釣呩灮釣';
+$lang['unsubscribe_success']= '釣娽瀫 %s 釣呩焷釣夅灃釣羔灁釣夅煉釣囜灨釣斸灇釣丰灉釣丰灍釣釣樶瀰釤嗎灃釤勧焽 %s';
+$lang['unsubscribe_error'] = '釣樶灦釣撫瀫釤嗎灎釣会灍釣栣焷釣涐瀶釣 %s鈥 釣呩焷釣夅灃釣羔灁釣夅煉釣囜灨釣斸灇釣丰灉釣丰灍釣釣樶瀰釤嗎灃釤勧焽 %s';
+
+/* auth.class language support */
+$lang['authmodfailed'] = '釣撫灧釣撫灂釤掅瀾釤釣勧灂釤掅瀾釣夺瀼釤嬧嬦灄釣夺灃鈥嬧嬦瀼釤掅灇釣贯灅釣忈煉釣氠灱釣溼灁釣夺灀釣戓焷釤 釣熱灮釤嗎瀾釣夺瀫釣戓瀯釣⑨煉釣撫瀫釣釤掅灇釣斸瀭釤掅灇釤勧瀯釤';
+$lang['authtempfail'] = '釣釣夺灇釣曖煉釣戓焵釣勧灂釤掅瀾釣夺瀼釤嬧嬦灄釣夺灃鈥嬧嬦瀼釤掅灇釣贯灅釣忈煉釣氠灱釣溼灔釣忈瀶釤嗎灀釤佱煍 釣斸煉釣氠灳 ....';
+
+/* installer strings */
+$lang['i_chooselang'] = '釣氠灳釣熱灃釣夺灍釤掅灍釣夺灑釤掅灀釣';
+$lang['i_installer'] = '釣娽焼釣♂灳釣勧瀸釤勧瀭釣坚灉釣羔瀭釣';
+$lang['i_wikiname'] = '釣撫灦釣樶灉釣羔瀭釣';
+$lang['i_enableacl'] = '釣斸灳釣釣斸煉釣氠灳 (釣⑨灀釣会灍釣夺灍)';
+$lang['i_superuser'] = '釣⑨煉釣撫瀫釣釤嗎灃釣坚灈';
+$lang['i_problems'] = '釣釣樶煉釣樶灉釣丰瀿釣糕嬦瀶釤嗎灐釣踞瀯釣斸灦釣撫灁釤夅焽釣п灁釣熱瀭釤掅瀭釤 釣⑨煉釣撫瀫釣樶灧釣撫灑釣夺瀰釣斸灀釤掅瀼釣戓焻釣戓焵釣 釣娽灈釤嬦灑釤掅灀釣釣囜灲釣熱瀲釣会灈釣溼灦釤';
+$lang['i_modified'] = '';
+$lang['i_funcna'] = '<code>%s</code> ';
+$lang['i_phpver'] = 'PHP 釣斸煉釣氠灉釣忈煁釣涐焷釣<code>%s</code> 釣囜灦';
+$lang['i_permfail'] = '<code>%s</code> 釣樶灧釣撫灑釣夺瀰釣熱灦釣';
+$lang['i_confexists'] = '<code>%s</code> 釣樶灦釣撫灎釣夺灆';
+$lang['i_writeerr'] = '釣樶灧釣撫灑釣夺瀰釣斸瀻釤掅瀫釣<code>%s</code>釤 釣⑨煉釣撫瀫釣忈煉釣氠灉釣釣夺灇釣栣灧釣撫灧釣忈煉釣欋灑釣掅灧釣釤掅灇釣贯瀼釣丰灇釣斸灍釤嬦瀽釣忈灀釣贯瀯釣瀫釣熱灦釣氠煍';
+$lang['i_badhash'] = '(hash=<code>%s</code>)';
+$lang['i_badval'] = '<code>%s</code>&mdash;';
+$lang['i_success'] = '';
+$lang['i_failure'] = '釣栣灈釣熱灦釣熱灦釣';
+$lang['i_policy'] = '釣斸瀴釤掅瀲釣羔灑釣撫灮釣夅煉釣夅瀼釣曖煉釣娽灳釣';
+$lang['i_pol0'] = '釣溼灨釣傖灨釣斸灳釣釣呩焼釣';
+$lang['i_pol1'] = '釣溼灨釣傖灨釣熱瀿釣夺灇釣庒焾';
+$lang['i_pol2'] = '釣溼灨釣傖灨釣斸灧釣戓瀲釣丰瀼';
+
+$lang['i_retry'] = '釣樶煉釣忈瀯釣戓焵釣';
+
+//Setup VIM: ex: et ts=2 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/login.txt
new file mode 100644
index 000000000..2149d9c32
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/login.txt
@@ -0,0 +1,5 @@
+====== 釣釣忈煁釣呩灱釣 ======
+
+釣⑨煉釣撫瀫釣樶灧釣撫瀾釣夺灀釤嬦煍
+釣⑨煉釣撫瀫釣忈煉釣氠灱釣溼瀫釣夺灇釣⑨灀釣会瀴釤掅瀴釣夺灢釤掅灆釣釣忈煁釣忈灀釤嗎灁釣夺灀釣呩灱釣涐煍
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/newpage.txt
new file mode 100644
index 000000000..4b2b4e2d8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/newpage.txt
@@ -0,0 +1,4 @@
+====== 釣メ瀼釣戓灦釣撫灅釣夺灀釣戓焷 ======
+釣⑨煉釣撫瀫釣忈灦釣樶瀼釤掅灇釣熱焹釣佱煉釣熱焸釣娽焸釣涐瀭釤掅灅釣夺灀釣戓焼釣栣煇釣氠煍
+釣斸灳
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/norev.txt
new file mode 100644
index 000000000..7ca11893e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/norev.txt
@@ -0,0 +1,2 @@
+====== 釣メ瀼釣樶灦釣撫灁釣会灀釣氠灦釣栣煉釣氠灩釣忈煉釣忈灧釣戓焷 ======
+釣斸灮釣撫灇釣夺灃釤掅灇釣贯瀼釤掅瀼釣丰灃釣会焼釣樶灦釣撫瀾釤佱煍 釣熱灮釤嗎灁釤掅灇釣 芦釣戓焼釣栣煇釣氠瀰釣夺灍釤嬦煑禄 釣戓焻釣樶灳釣涐灁釣夅煉釣囜灨釣斸煉釣氠灉釣忈煉釣忈瀾釤嗎灃釤愥灇釣呩灦釣熱煁釣氠灱釣斸灍釤嬦灑釣忈煉釣愥灁釣戓灀釤佱焽釤
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/pwconfirm.txt
new file mode 100644
index 000000000..7c6a3ac97
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/pwconfirm.txt
@@ -0,0 +1,13 @@
+釣熱灲釣熱煉釣娽灨 @FULLNAME@!
+
+釣樶灦釣撫瀭釤佱灍釣会灅釣熱煉釣撫灳釣栣灦釣釤掅灆鈥嬦灍釣樶煉釣勧灦釣忈煁鈥嬦灇釣会灁釣熱灢釤掅灆@TITLE@ 釣撫焻 @DOKUWIKIURL@釤
+釣斸灳釣⑨煉釣撫瀫釣樶灧釣撫瀲釣夺灑釤掅灀釣釣熱灮釣樶灃釣夺瀫釤掅灆鈥嬦灍釣樶煉釣勧灦釣忈煁釣戓焷 釣熱灮釤嗎灁釣熱煁釣呩灈釤嬦灑釤娽灨釣樶焷釣涐灀釤佱焽釤
+
+
+釣熱灮釤嗎灑釤囜灑釣夺瀯釣娽焸釣涐灍釤嗎瀻釣踞灀釤佱焽釣娽焺釣欋瀾釤呩瀼釣夺灅釣佱煉釣熱焸
+
+@CONFIRM@
+
+--
+釣⑨煀釣羔灅釤佱灈釣撫焷釤囜灁釣勧煉釣釣踞瀼釣栣灨釣屷瀫釤掅瀭釣坚灉釣羔瀭釣羔灀釤
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/recent.txt
new file mode 100644
index 000000000..14449ea49
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/recent.txt
@@ -0,0 +1,3 @@
+====== 釣斸煉釣氠灉釣忈煉釣忈灧釣戓焼釣栣煇釣氠灁釣呩煉釣呩灮釣斸煉釣斸灀釤掅灀 ======
+釣戓焼釣栣煇釣氠瀾釣夺焼釣勧灀釤佱焽釣傖灪釣戓焼釣栣煇釣氠瀫釤傖灁釤掅灇釤
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/register.txt
new file mode 100644
index 000000000..b850c2ec3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/register.txt
@@ -0,0 +1,7 @@
+====== 釣⑨煉釣撫瀫釣斸煉釣氠灳釣愥煉釣樶灨 ======
+
+Fill in all the information below to create a new account in this wiki.
+Make sure you supply a **valid e-mail address** - if you are not asked
+to enter a password here, a new one will be sent to that address.
+The login name should be a valid [[doku>wiki:pagename|pagename]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/revisions.txt
new file mode 100644
index 000000000..a15186df8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/km/revisions.txt
@@ -0,0 +1,4 @@
+====== 釣斸煉釣氠灉釣忈煉釣忈灧釣戓焼釣栣煇釣氠瀰釣夺灍釤 ======
+釣戓灦釤嗎瀯釣撫焷釤囜瀭釣横灁釤掅灇釣溼瀼釤掅瀼釣丰瀾釤嗎灃釤愥灇釣呩灦釣熱煁釣氠灮釣斸灍釣⑨瀼釤掅瀽釣斸瀾釣撫焷釤囜煍
+釣囜煉釣氠灳釣熱瀬釤掅灍釤傖瀾釤嗎灃釤愥灇釣栣灨釣佱灦釣勧瀫釤掅灇釤勧灅釣犪灳釣 釣呩灮釣 芦釣釤傖瀾釤嗎灃釤愥灇釣撫焷釤嚶 釣氠灲釣呩灎釣踞灆釣氠瀫釤掅灍釣夺灉釣夺瀾釣会瀫釤
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/admin.txt
new file mode 100644
index 000000000..7dd0f58b3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/admin.txt
@@ -0,0 +1,4 @@
+====== 甏毽 鞛戩梾 ======
+
+DokuWiki鞐愳劀 靷毄臧電ロ暅 甏毽 鞛戩梾 氇╇鞚 鞎勲灅鞐愳劀 彀眷潉 靾 鞛堨姷雼堧嫟.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/backlinks.txt
new file mode 100644
index 000000000..1711945e4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/backlinks.txt
@@ -0,0 +1,4 @@
+====== 氚彪韥 ======
+
+順勳灛 韼橃澊歆搿 氚彪韥悩電 韼橃澊歆 氇╇鞛呺媹雼.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/conflict.txt
new file mode 100644
index 000000000..529296359
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/conflict.txt
@@ -0,0 +1,6 @@
+====== 靸 氩勳爠 鞛堨潓 ======
+
+韼胳頃橃嫚 氍胳劀鞚 靸 氩勳爠鞚 鞛堨姷雼堧嫟. 雼轨嫚鞚 韼胳頃橁碃 鞛堧姅 霃欖晥 雼るジ 靷瀸鞚 霃欖澕頃 韺岇澕鞚 韼胳頃橃榾鞚 瓴届毎 鞚措煱 鞚检澊 靸濌父 靾 鞛堨姷雼堧嫟.
+
+鞎勲灅鞚 彀澊鞝愳潉 氅措皜頌 瓴韱犿晿鞁滉碃, 鞏措枻 氩勳爠鞚 鞝鞛ロ晿鞁れ 瓴办爼頃橃嫮鞁滌槫. **鞝鞛**鞚 靹犿儩頃橃嫓氅, 雼轨嫚鞚 氩勳爠鞚 鞝鞛ル惄雼堧嫟. **旆唽** 毳 靹犿儩頃橃嫓氅 順勳灛 氩勳爠鞚 鞙犾霅╇媹雼.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/denied.txt
new file mode 100644
index 000000000..316a660c0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/denied.txt
@@ -0,0 +1,4 @@
+====== 甓岉暅 瓯办爤 ======
+
+瓿勳啀頃 靾 鞛堧姅 甓岉暅鞚 鞐嗢姷雼堧嫟. 搿滉犯鞚疙晿鞁嫓鞓.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/diff.txt
new file mode 100644
index 000000000..8cfb1da43
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/diff.txt
@@ -0,0 +1,5 @@
+====== 彀澊鞝 ======
+
+鞚 韼橃澊歆鞚 靹犿儩頃 鞚挫爠 氩勳爠瓿 順勳灛 氩勳爠 靷澊鞚 彀澊鞝愳潉 氤挫棳欷嶋媹雼.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/draft.txt
new file mode 100644
index 000000000..3df8a5e86
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/draft.txt
@@ -0,0 +1,6 @@
+====== 氍胳劀 齑堨晥鞚 鞛堨姷雼堧嫟. ======
+
+鞚 韼橃澊歆鞚 毵堨毵 韼胳 靹胳厴鞚 鞝曥儊鞝侅溂搿 雭濍倶歆 鞎婌晿鞀惦媹雼. DokuWiki電 鞛戩梾 霃勳 鞛愲彊鞙茧 鞝鞛ル悳 氍胳劀 齑堨晥鞚 靷毄頃橃棳 韼胳鞚 瓿勳啀 頃 靾 鞛堨姷雼堧嫟. 毵堨毵 靹胳厴霃欖晥 鞝鞛ル悳 氍胳劀 齑堨晥鞚 鞎勲灅鞐愳劀 氤 靾 鞛堨姷雼堧嫟.
+
+頇曥嫟頃橁矊 牍勳爼靸侅爜鞙茧 膦呺霅 靹胳厴鞚 //氤店惮//頃犾 鞐秬毳 瓴办爼頃橁碃, 鞛愲彊鞙茧 鞝鞛ル悩鞐堧崢 齑堨晥鞚 //靷牅//頃橁卑雮 韼胳 瓿检爼鞚 旆唽頃橁赴 氚旊瀺雼堧嫟.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/edit.txt
new file mode 100644
index 000000000..d73f935fe
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/edit.txt
@@ -0,0 +1,2 @@
+韼橃澊歆毳 韼胳頃橁碃 **鞝鞛**鞚 雸勲ゴ鞁嫓鞓. 鞙勴偆 甑鞚 [[wiki:syntax]] 順轨潃 [[syntax|(頃滉竴) 甑]]鞚 彀戈碃頃橃嫮鞁滌槫. 鞚 韼橃澊歆毳 **雿 雮矊 毵岆摛 鞛愳嫚鞚 鞛堨潉** 霑岇棎毵 韼胳頃橃嫮鞁滌槫. 鞁ろ棙鞚 頃橁碃 鞁鹅潉 霑岇棎電, 毹检爛 [[playground:playground|鞐办姷鞛] 鞐 臧靹 鞐办姷頃 氤挫嫮鞁滌槫.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/editrev.txt
new file mode 100644
index 000000000..2715448d3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/editrev.txt
@@ -0,0 +1,2 @@
+**氍胳劀鞚 鞚挫爠 氩勳爠鞚 靹犿儩頃橃榾鞀惦媹雼!** 鞝鞛ロ暊 瓴届毎 鞚 鞛愲鞚 靸 氩勳爠鞚 毵岆摥雼堧嫟.
+---- \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/index.txt
new file mode 100644
index 000000000..7ca9488e0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/index.txt
@@ -0,0 +1,4 @@
+====== Index ======
+
+鞚 韼橃澊歆電 [[doku>namespaces|雱れ瀯鞀ろ帢鞚挫姢]] 鞐愳劀 鞝曤牞頃 氇摖 韼橃澊歆鞚 氇╇鞛呺媹雼.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/install.html
new file mode 100644
index 000000000..6b1bfaf75
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/install.html
@@ -0,0 +1,17 @@
+<p>鞚 韼橃澊歆電 <a href="http://dokuwiki.org">Dokuwiki</a> 靹れ箻鞕 頇橁步 靹れ爼鞚 霃勳檧欷嶋媹雼.
+. 靹れ箻 瓿检爼鞐 雽頃 雿 鞛愳劯頃 鞝曤炒電 <a href="http://dokuwiki.org/ko:install">頃滉竴 靹れ箻氍胳劀</a>鞕
+<a href="http://dokuwiki.org/install">鞓侂 靹れ箻氍胳劀</a>毳 彀戈碃頃橁赴 氚旊瀺雼堧嫟.
+</p>
+
+<p>DokuWiki電 鞙勴偆 韼橃澊歆鞕 韼橃澊歆鞕 甏霠悳 鞝曤炒(攴鸽,靸夓澑, 鞚挫爠 氩勳爠 氍胳劀 霌彪摫)毳 鞝鞛ロ晿旮 鞙勴暣 鞚茧皹鞝侅澑 韰嶌姢韸 韺岇澕霌れ潉 靷毄頃╇媹雼. 鞝曥儊鞝侅溂搿 DokuWiki毳 靷毄頃橂牑氅 鞚 韺岇澕霌れ潉 雼搓碃 鞛堧姅 霐旊爥韱犽Μ霌れ棎 雽頃 鞊瓣赴 甓岉暅鞚 臧歆瓿 鞛堨柎鞎 頃╇媹雼.
+順勳灛 靹れ箻 瓿检爼 欷戩棎電 霐旊爥韱犽Μ 甓岉暅 靹れ爼鞚 攵堦皜電ロ暕雼堧嫟. 氤错喌 歆侅爲 靿 氇呺牴鞏措ゼ 靷毄頃橁卑雮, 順胳姢韺呾潉 靷毄頃滊嫟氅 FTP雮 順胳姢韺 鞝滌柎韺(鞓. CPanel)鞚 靷毄頃挫劀 靹れ爼頃挫暭 頃╇媹雼.</p>
+
+<p>順勳灛 靹れ箻 瓿检爼欷戩棎 甏毽瀽搿 搿滉犯鞚 頉 DokuWiki鞚 甏毽 氅旊壌(頂岆煬攴胳澑 靹れ箻, 靷毄鞛 甏毽, 鞙勴偆 韼橃澊歆 鞝戧芳 甓岉暅 甏毽, 鞓奠厴 靹れ爼)毳 臧電ロ晿瓴 <acronym title="鞝戧芳 鞝滌柎 氇╇">ACL</acronym>鞐 雽頃 頇橁步 靹れ爼鞚 靾橅枆頃╇媹雼.
+鞚 瓴冹潃 DokuWiki臧 霃欖瀾頃橂姅雿 頃勳殧頃 靷暛鞚 鞎勲媹歆毵, 鞏挫皩霅橃棃霌 雿 靿疥矊 甏毽瀽臧 甏毽暊 靾 鞛堧弰搿 頃挫雼堧嫟.</p>
+
+<p>靾欕牗霅 靷毄鞛愲摛鞚措倶 韸闺硠頃 靹れ箻 瓿检爼鞚 頃勳殧頃 瓴届毎鞐 雼れ潓 毵來伂霌れ潉 彀胳“頃橁赴 氚旊瀺雼堧嫟:
+<a href="http://dokuwiki.org/ko:install">靹れ箻 瓿检爼(頃滉竴)</a>
+瓿 <a href="http://dokuwiki.org/ko:config">頇橁步 靹れ爼(頃滉竴),</a>
+<a href="http://dokuwiki.org/install">靹れ箻 瓿检爼(鞓侂)</a>
+瓿 <a href="http://dokuwiki.org/config">頇橁步 靹れ爼(鞓侂)</a>
+</p>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/lang.php
new file mode 100644
index 000000000..f11ec95fd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/lang.php
@@ -0,0 +1,229 @@
+<?php
+/**
+ * korean language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Hyun Kim <lawfully@gmail.com>
+ * @author jk Lee
+ * @author dongnak@gmail.com
+ * @author Song Younghwan <purluno@gmail.com>
+ * @author SONG Younghwan <purluno@gmail.com>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '鈥';
+$lang['btn_edit'] = '韼橃澊歆 韼胳';
+$lang['btn_source'] = '靻岇姢 氤搓赴';
+$lang['btn_show'] = '韼橃澊歆 氤搓赴';
+$lang['btn_create'] = '韼橃澊歆 毵岆摛旮';
+$lang['btn_search'] = '彀娟赴';
+$lang['btn_save'] = '鞝鞛';
+$lang['btn_preview'] = '氙鸽Μ氤搓赴';
+$lang['btn_top'] = '毵渼搿';
+$lang['btn_newer'] = '<< 斓滉芳';
+$lang['btn_older'] = '鞚挫爠 >>';
+$lang['btn_revs'] = '鞚挫爠 氩勳爠霌';
+$lang['btn_recent'] = '斓滉芳 氤瓴 氇╇';
+$lang['btn_upload'] = '鞐呺霌';
+$lang['btn_cancel'] = '旆唽';
+$lang['btn_index'] = '靸夓澑';
+$lang['btn_secedit'] = '韼胳';
+$lang['btn_login'] = '搿滉犯鞚';
+$lang['btn_logout'] = '搿滉犯鞎勳泝';
+$lang['btn_admin'] = '甏毽';
+$lang['btn_update'] = '氤瓴';
+$lang['btn_delete'] = '靷牅';
+$lang['btn_back'] = '霋る';
+$lang['btn_backlink'] = '鞚挫爠 毵來伂';
+$lang['btn_backtomedia'] = '氙鸽敂鞏 韺岇澕 靹犿儩鞙茧 霃岇晞臧旮';
+$lang['btn_subscribe'] = '甑弲 鞁犾箔';
+$lang['btn_unsubscribe'] = '甑弲 鞁犾箔 頃挫';
+$lang['btn_subscribens'] = '雱れ瀯鞀ろ帢鞚挫姢 甑弲 鞁犾箔';
+$lang['btn_unsubscribens'] = '雱れ瀯鞀ろ帢鞚挫姢 甑弲 鞁犾箔 頃挫';
+$lang['btn_profile'] = '臧滌澑鞝曤炒 氤瓴';
+$lang['btn_reset'] = '齑堦赴頇';
+$lang['btn_resendpwd'] = '靸 韺姢鞗岆摐 氤措偞旮';
+$lang['btn_draft'] = '氍胳劀齑堨晥 韼胳';
+$lang['btn_recover'] = '氍胳劀齑堨晥 氤店惮';
+$lang['btn_draftdel'] = '氍胳劀齑堨晥 靷牅';
+$lang['loggedinas'] = '雼れ潓 靷毄鞛愲 搿滉犯鞚';
+$lang['user'] = '靷毄鞛';
+$lang['pass'] = '韺姢鞗岆摐';
+$lang['newpass'] = '靸堧鞖 韺姢鞗岆摐';
+$lang['oldpass'] = '順勳灛 韺姢鞗岆摐 頇曥澑';
+$lang['passchk'] = '韺姢鞗岆摐 雼れ嫓 頇曥澑';
+$lang['remember'] = '旮办柕頃橁赴';
+$lang['fullname'] = '鞁れ牅 鞚措';
+$lang['email'] = '鞚措⿺鞚';
+$lang['register'] = '霌彪';
+$lang['profile'] = '臧滌澑 鞝曤炒';
+$lang['badlogin'] = '鞛橂霅 靷毄鞛 鞚措鞚搓卑雮 韺姢鞗岆摐鞛呺媹雼.';
+$lang['minoredit'] = '鞚茧秬 雮挫毄 氤瓴';
+$lang['draftdate'] = '氍胳劀 齑堨晥 鞛愲彊鞝鞛 鞁滉皠';
+$lang['nosecedit'] = '韼橃澊歆臧 靾橃爼霅橃柎 靹轨厴鞝曤炒臧 雼澕鞝 韼橃澊歆 鞝勲秬毳 雼れ嫓 鞚届姷雼堧嫟.';
+$lang['regmissing'] = '氇摖 頃鞚 鞛呺牓頃挫暭 頃╇媹雼.';
+$lang['reguexists'] = '臧欖潃 鞚措鞚 靷毄頃橂姅 靷毄鞛愱皜 鞛堨姷雼堧嫟.';
+$lang['regsuccess'] = '靷毄鞛愲ゼ 毵岆摛鞐堨姷雼堧嫟. 韺姢鞗岆摐電 鞚措⿺鞚茧 氤措儓鞀惦媹雼.';
+$lang['regsuccess2'] = '靷毄鞛愲ゼ 毵岆摛鞐堨姷雼堧嫟.';
+$lang['regmailfail'] = '韺姢鞗岆摐毳 鞚措⿺鞚茧 鞝勳啞頃 霑 鞓る臧 氚滌儩頄堨姷雼堧嫟. 甏毽瀽鞐愱矊 氍胳潣頃橁赴 氚旊瀺雼堧嫟!';
+$lang['regbadmail'] = '鞚措⿺鞚 欤检唽臧 韹霠胳姷雼堧嫟. - 鞓る霛缄碃 靸濌皝霅橂┐ 甏毽瀽鞐愱矊 氍胳潣頃橁赴 氚旊瀺雼堧嫟.';
+$lang['regbadpass'] = '靸堧鞖 韺姢鞗岆摐霌れ澊 鞚检箻頃橃 鞎婌姷雼堧嫟. 雼れ嫓 鞛呺牓頃橁赴 氚旊瀺雼堧嫟.';
+$lang['regpwmail'] = 'DokuWiki 韺姢鞗岆摐';
+$lang['reghere'] = '鞎勳 霌彪頃橃 鞎婌晿雼る┐ 霌彪頃橁赴 氚旊瀺雼堧嫟.';
+$lang['profna'] = '鞚 鞙勴偆電 臧滌澑 鞝曤炒 靾橃爼鞚 項堨毄頃橃 鞎婌姷雼堧嫟.';
+$lang['profnochange'] = '氤瓴届偓頃澊 鞐嗢姷雼堧嫟.';
+$lang['profnoempty'] = '鞚措鞚措倶 鞚措⿺鞚 欤检唽臧 牍勳棃鞀惦媹雼.';
+$lang['profchanged'] = '臧滌澑鞝曤炒 氤瓴届澊 靹标车頄堨姷雼堧嫟.';
+$lang['pwdforget'] = '韺姢鞗岆摐毳 鞛婌柎氩勲Π 瓴届毎 靸堧 氚滉笁氚涭潉 靾 鞛堨姷雼堧嫟.';
+$lang['resendna'] = '鞚 鞙勴偆電 韺姢鞗岆摐 鞛皽旮夓潉 歆鞗愴晿歆 鞎婌姷雼堧嫟.';
+$lang['resendpwd'] = '靸堧鞖 韺姢鞗岆摐毳 氤措儏雼堧嫟.';
+$lang['resendpwdmissing'] = '靸堧鞖 韺姢鞗岆摐毳 鞛呺牓頃挫暭頄‰媹雼.';
+$lang['resendpwdnouser'] = '霌彪霅 靷毄鞛愱皜 鞎勲嫏雼堧嫟. 雼れ嫓 頇曥澑 氚旊瀺雼堧嫟.';
+$lang['resendpwdbadauth'] = '鞚胳 旖旊摐臧 韹毽诫媹雼. 鞛橂霅 毵來伂鞚胳 頇曥澑 氚旊瀺雼堧嫟.';
+$lang['resendpwdconfirm'] = '頇曥澑 毵來伂毳 鞚措⿺鞚茧 氤措儓鞀惦媹雼.';
+$lang['resendpwdsuccess'] = '靸堧鞖 韺姢鞗岆摐電 鞚措⿺鞚茧 氤措偞霌滊雼堧嫟.';
+$lang['license'] = '鞚 鞙勴偆鞚 雮挫毄鞚 雼れ潓鞚 霛检澊靹检姢鞐 霐半雼堧嫟 :';
+$lang['licenseok'] = '欤检潣 : 鞚 韼橃澊歆毳 靾橃爼頃滊嫟電 雼れ潓鞚 霛检澊靹检姢鞐 霃欖潣頃潉 鞚橂頃╇媹雼 :';
+$lang['txt_upload'] = '鞐呺霌 韺岇澕鞚 靹犿儩頃╇媹雼.';
+$lang['txt_filename'] = '鞐呺霌 韺岇澕 鞚措鞚 鞛呺牓頃╇媹雼.(靹犿儩 靷暛)';
+$lang['txt_overwrt'] = '靸堧鞖 韺岇澕搿 鞚挫爠 韺岇澕鞚 甑愳泊頃╇媹雼.';
+$lang['lockedby'] = '順勳灛 鞛犼笀 靷毄鞛';
+$lang['lockexpire'] = '鞛犼笀 頃挫牅 鞁滉皠';
+$lang['willexpire'] = '鞛犾嫓 頉 韼胳 鞛犼笀鞚 頃挫牅霅╇媹雼.\n韼胳 於╇弻鞚 頂柬晿霠る┐ 氙鸽Μ氤搓赴毳 雸岆煬 鞛犼笀 鞁滉皠鞚 雼れ嫓 靹れ爼頃橁赴 氚旊瀺雼堧嫟.';
+$lang['notsavedyet'] = '鞝鞛ロ晿歆 鞎婌潃 氤瓴届潃 歆鞗岇雼堧嫟.\n瓿勳啀頃橃嫓瓴犾姷雼堦箤?';
+$lang['rssfailed'] = 'feed 臧鞝胳槫旮 鞁ろ尐: ';
+$lang['nothingfound'] = '鞎勲 瓴冸弰 鞐嗢姷雼堧嫟.';
+$lang['mediaselect'] = '氙鸽敂鞏 韺岇澕 靹犿儩';
+$lang['fileupload'] = '氙鸽敂鞏 韺岇澕 鞐呺霌';
+$lang['uploadsucc'] = '鞐呺霌 靹标车';
+$lang['uploadfail'] = '鞐呺霌 鞁ろ尐. 鞛橂霅 甓岉暅 霑岆鞚检霃 氇雼堧嫟.';
+$lang['uploadwrong'] = '鞐呺霌 瓯半秬. 旮堨霅 頇曥灔鞛愳瀰雼堧嫟!';
+$lang['uploadexist'] = '鞚措 韺岇澕鞚 臁挫灛頃╇媹雼.';
+$lang['uploadbadcontent'] = '鞐呺霌滊悳 韺岇澕鞚 韺岇澕 頇曥灔鞛 %s鞕 鞚检箻頃橃 鞎婌姷雼堧嫟.';
+$lang['uploadspam'] = '鞀ろ尭 彀嫧旮瓣皜 鞐呺霌滊ゼ 旆唽頃橃榾鞀惦媹雼.';
+$lang['uploadxss'] = '鞎呾劚 旖旊摐鞚 臧電レ劚鞚 鞛堨柎 鞐呺霌滊ゼ 旆唽頃橃榾鞀惦媹雼.';
+$lang['uploadsize'] = '鞐呺霌滍暅 韺岇澕鞚 雱堧 韥诫媹雼. (斓滊寑 %s)';
+$lang['deletesucc'] = '"%s" 韺岇澕鞚 靷牅霅橃棃鞀惦媹雼.';
+$lang['deletefail'] = '"%s" 韺岇澕鞚 靷牅頃 靾 鞐嗢姷雼堧嫟. - 靷牅 甓岉暅鞚 鞛堧姅歆 頇曥澑頃橁赴 氚旊瀺雼堧嫟.';
+$lang['mediainuse'] = '"%s" 韺岇澕鞚 靷牅頃 靾 鞐嗢姷雼堧嫟. - 鞎勳 靷毄 欷戩瀰雼堧嫟.';
+$lang['namespaces'] = '雱れ瀯鞀ろ帢鞚挫姢';
+$lang['mediafiles'] = '靷毄 臧電ロ暅 韺岇澕 氇╇';
+$lang['js']['keepopen'] = '靹犿儩頃 霑 鞙堧弰鞖半ゼ 鞐挫柎雴撿溂鞁滉赴 氚旊瀺雼堧嫟.';
+$lang['js']['hidedetails'] = '鞛愳劯頃 鞝曤炒 臧愳稊旮';
+$lang['js']['nosmblinks'] = '鞙堧弰鞖 瓿奠湢 韺岇澕瓿检潣 鞐瓣舶鞚 MS 鞚疙劙雱 鞚奠姢頂岆霟棎靹滊 霃欖瀾頃╇媹雼.
+攴鸽煬雮 毵來伂毳 氤奠偓頃橁卑雮 攵欖棳雱j赴毳 頃 靾 鞛堨姷雼堧嫟.';
+$lang['js']['mu_btn'] = '鞐煬 韺岇澕霌れ潉 頃滊矆鞐 鞐呺霌滍暕雼堧嫟.';
+$lang['mediausage'] = '鞚 韺岇澕鞚 彀胳“頃橂牑氅 雼れ潓 氍鸽矔鞚 靷毄頃橁赴 氚旊瀺雼堧嫟:';
+$lang['mediaview'] = '鞗愲掣 韺岇澕 氤搓赴';
+$lang['mediaroot'] = '耄姼(root)';
+$lang['mediaupload'] = '韺岇澕鞚 順勳灛 雱れ瀯鞀ろ帢鞚挫姢搿 鞐呺霌滍暕雼堧嫟. 頃橃渼 雱れ瀯鞀ろ帢鞚挫姢毳 毵岆摛霠る┐ 韺岇澕 鞚措 鞎烄棎 旖滊(:)鞙茧 甑秳霅橂姅 鞚措鞚 攵欖澊氅 霅╇媹雼.';
+$lang['mediaextchange'] = '韺岇澕 頇曥灔鞛愱皜 .%s鞐愳劀 .%s鞙茧 氤瓴诫悙鞀惦媹雼!';
+$lang['reference'] = '彀胳“';
+$lang['ref_inuse'] = '雼れ潓 韼橃澊歆霌れ棎靹 鞎勳 靷毄 欷戩澊氙搿 韺岇澕鞚 靷牅頃 靾 鞐嗢姷雼堧嫟:';
+$lang['ref_hidden'] = '韼橃澊歆霌れ潣 氇囯獓 彀胳“電 鞚届潉 靾 鞛堧姅 甓岉暅鞚 鞐嗢姷雼堧嫟.';
+$lang['hits'] = '頌堩姼 靾';
+$lang['quickhits'] = '鞚检箻頃橂姅 韼橃澊歆 鞚措';
+$lang['toc'] = '氇╈皑';
+$lang['current'] = '順勳灛';
+$lang['yours'] = '氩勳爠';
+$lang['diff'] = '順勳灛 氩勳爠瓿检潣 彀澊 氤搓赴';
+$lang['diff2'] = '靹犿儩霅 氩勳爠霌 臧 彀澊 氤搓赴';
+$lang['line'] = '欷';
+$lang['breadcrumb'] = '於旍爜';
+$lang['youarehere'] = '順勳灛 鞙勳箻';
+$lang['lastmod'] = '毵堨毵 靾橃爼';
+$lang['by'] = '鞛戩劚鞛';
+$lang['deleted'] = '靷牅';
+$lang['created'] = '靸堧 毵岆摝';
+$lang['restored'] = '鞓 氩勳爠 氤店惮';
+$lang['external_edit'] = '鞕鸽秬 韼胳旮';
+$lang['summary'] = '韼胳 鞖旍暯';
+$lang['noflash'] = '鞚 旎厫旄犽ゼ 響滌嫓頃橁赴 鞙勴暣靹 <a href="http://www.adobe.com/products/flashplayer/">Adobe Flash Plugin</a>鞚 頃勳殧頃╇媹雼.';
+$lang['mail_newpage'] = '韼橃澊歆 於旉皜:';
+$lang['mail_changed'] = '韼橃澊歆 氤瓴:';
+$lang['mail_new_user'] = '靸堧鞖 靷毄鞛:';
+$lang['mail_upload'] = '韺岇澕 觳秬:';
+$lang['qb_bold'] = '甑奠潃 旮';
+$lang['qb_italic'] = '鞚错儰毽泊 旮';
+$lang['qb_underl'] = '氚戩 攴胳柎歆 旮';
+$lang['qb_code'] = '旖旊摐搿 響滌嫓霅 旮';
+$lang['qb_strike'] = '旆唽 響滌嫓霅 旮';
+$lang['qb_h1'] = '1雼硠 項る摐霛检澑';
+$lang['qb_h2'] = '2雼硠 項る摐霛检澑';
+$lang['qb_h3'] = '3雼硠 項る摐霛检澑';
+$lang['qb_h4'] = '4雼硠 項る摐霛检澑';
+$lang['qb_h5'] = '5雼硠 項る摐霛检澑';
+$lang['qb_link'] = '雮措秬 毵來伂';
+$lang['qb_extlink'] = '鞕鸽秬 毵來伂';
+$lang['qb_hr'] = '靾橅弶靹';
+$lang['qb_ol'] = '靾瀽 氇╇';
+$lang['qb_ul'] = '氇╇';
+$lang['qb_media'] = '鞚措歆鞕 旮绊儉 韺岇澕 於旉皜';
+$lang['qb_sig'] = '靹滊獏 於旉皜';
+$lang['qb_smileys'] = '鞚措韹办綐';
+$lang['qb_chars'] = '韸轨垬氍胳瀽';
+$lang['js']['del_confirm'] = '鞝曤搿 靹犿儩霅 頃(霌)鞚 靷牅頃橃嫓瓴犾姷雼堦箤?';
+$lang['admin_register'] = '靸堧鞖 靷毄鞛 於旉皜';
+$lang['metaedit'] = '氅旐儉 雿办澊韮毳 韼胳頃╇媹雼.';
+$lang['metasaveerr'] = '氅旐儉 雿办澊韮 鞊瓣赴臧 鞁ろ尐頄堨姷雼堧嫟.';
+$lang['metasaveok'] = '氅旐儉 雿办澊韮臧 鞝鞛ル悩鞐堨姷雼堧嫟.';
+$lang['img_backto'] = '霋る';
+$lang['img_title'] = '鞚措';
+$lang['img_caption'] = '靹る獏';
+$lang['img_date'] = '雮犾';
+$lang['img_fname'] = '韺岇澕 鞚措';
+$lang['img_fsize'] = '韥赴';
+$lang['img_artist'] = '毵岆摖鞚';
+$lang['img_copyr'] = '鞝鞛戧秾';
+$lang['img_format'] = '韽Х';
+$lang['img_camera'] = '旃措⿺霛';
+$lang['img_keywords'] = '韨れ泴霌';
+$lang['subscribe_success'] = '%s毳 於旉皜頄堨姷雼堧嫟. (%s鞚 甑弲 氇╇)';
+$lang['subscribe_error'] = '%s毳 於旉皜頃橂姅雿 鞁ろ尐頄堨姷雼堧嫟.(%s鞚 甑弲 氇╇)';
+$lang['subscribe_noaddress'] = '搿滉犯鞚 鞝曤炒鞐 鞚措⿺鞚 欤检唽臧 鞐嗢姷雼堧嫟, 甑弲 氇╇鞐 於旉皜頃 靾 鞐嗢姷雼堧嫟.';
+$lang['unsubscribe_success'] = '%s毳 鞝滌櫢鞁滌及鞀惦媹雼. (%s鞚 甑弲 氇╇)';
+$lang['unsubscribe_error'] = '%s毳 鞝滌櫢鞁滍偆電旊嵃 鞁ろ尐頄堨姷雼堧嫟.(%s鞚 甑弲 氇╇)';
+$lang['authmodfailed'] = '鞛橂霅 靷毄鞛 鞚胳 靹れ爼鞛呺媹雼. 甏毽瀽鞐愱矊 氍胳潣頃橁赴 氚旊瀺雼堧嫟.';
+$lang['authtempfail'] = '靷毄鞛 鞚胳鞚 鞚检嫓鞝侅溂搿 攵堦皜電ロ暕雼堧嫟. 毵岇澕 瓿勳啀頃挫劀 氍胳牅臧 氚滌儩頃橂┐ 甏毽瀽鞐愱矊 氍胳潣頃橁赴 氚旊瀺雼堧嫟.';
+$lang['i_chooselang'] = '靷毄頃橂姅 鞏胳柎毳 靹犿儩頃╇媹雼.';
+$lang['i_installer'] = 'DokuWiki 靹れ箻';
+$lang['i_wikiname'] = '鞙勴偆 鞚措';
+$lang['i_enableacl'] = 'ACL旮半姤 靷毄(甓岇灔 靷暛)';
+$lang['i_superuser'] = '鞀堩嵓 鞙犾爛';
+$lang['i_problems'] = '靹れ箻 欷 鞎勲灅鞕 臧欖潃 氍胳牅臧 氚滌儩頄堨姷雼堧嫟. 氍胳牅毳 頃搓舶頃 頉 靹れ箻毳 瓿勳啀頃橁赴 氚旊瀺雼堧嫟.';
+$lang['i_modified'] = '氤挫晥 靸侅潣 鞚挫湢搿 鞎勲灅 鞀ろ伂毽巾姼電 靾橃爼霅橃 鞎婌潃 靸 Dokuwiki靹れ箻鞐愳劀毵 霃欖瀾霅╇媹雼.
+雼れ毚搿滊摐霅 鞎曥稌 韺偆歆毳 雼れ嫓 靹れ箻頃橁卑雮 <a href="http://dokuwiki.org/install"> DokuWiki 靹れ箻 瓿检爼</a>鞚 彀戈碃頃挫劀 靹れ箻頃橁赴 氚旊瀺雼堧嫟.';
+$lang['i_funcna'] = 'PHP頃垬 <code>%s</code> 靷毄鞚 攵堦皜電ロ暕雼堧嫟. 順胳姢韸 鞝滉车鞛愱皜 鞏措枻 鞚挫湢鞐愳劀鞚胳 毵夓晞 雴撿晿鞚勳 氇雼堧嫟.';
+$lang['i_phpver'] = 'PHP <code>%s</code>氩勳爠鞚 頃勳殧頃 <code>%s</code>氩勳爠氤措嫟 鞓る灅霅橃棃鞀惦媹雼.PHP毳 鞐呹犯霠堨澊霌滍暊 頃勳殧臧 鞛堨姷雼堧嫟.';
+$lang['i_permfail'] = 'DokuWiki電 <code>%s</code>鞐 鞊瓣赴 臧電 甓岉暅鞚 鞐嗢姷雼堧嫟. 毹检爛 鞚 霐旊爥韱犽Μ鞐 鞊瓣赴 甓岉暅鞚 靹れ爼霅橃柎鞎 頃╇媹雼!';
+$lang['i_confexists'] = '<code>%s</code>電 鞚措 臁挫灛頃╇媹雼.';
+$lang['i_writeerr'] = '<code>%s</code>鞚 毵岆摛 靾 鞐嗢姷雼堧嫟. 毹检爛 霐旊爥韱犽Μ/韺岇澕 甓岉暅鞚 頇曥澑頃橁碃 韺岇澕鞚 靾橂彊鞙茧 毵岆摛旮 氚旊瀺雼堧嫟.';
+$lang['i_badhash'] = 'dokuwiki.php毳 鞚胳嫕頃 靾 鞐嗞卑雮 鞗愲掣 韺岇澕鞚 鞎勲嫏雼堧嫟. (hash=<code>%s</code>)';
+$lang['i_badval'] = '<code>%s</code> - 鞙犿毃頃橃 鞎婈卑雮 牍 臧掛瀰雼堧嫟.';
+$lang['i_success'] = '頇橁步 靹れ爼鞚 靹标车鞝侅溂搿 雭濍偓鞀惦媹雼. install.php毳 歆鞗岆弰 靸侁磤鞐嗢姷雼堧嫟.
+ <a href="doku.php">靸堧鞖 DokuWiki</a>.';
+$lang['i_failure'] = '頇橁步 靹れ爼 韺岇澕鞐 鞊半姅 霃勳 鞐愲煬臧 氚滌儩頄堨姷雼堧嫟.
+靸堧鞖 <a href="doku.php"> DokuWiki</a>毳 靷毄頃橁赴 鞝勳棎 靾橂彊鞙茧 氍胳牅毳 頃搓舶頃 頃勳殧臧 鞛堨姷雼堧嫟.';
+$lang['i_policy'] = '齑堦赴 ACL 鞝曥眳';
+$lang['i_pol0'] = '臧滊癌順 鞙勴偆 (雸勱惮雮 鞚疥赴/鞊瓣赴/鞐呺霌滉皜 臧電ロ暕雼堧嫟.)';
+$lang['i_pol1'] = '瓿店皽順 鞙勴偆 (雸勱惮雮 鞚届潉 靾 鞛堨毵, 霌彪霅 靷毄鞛愲 鞊瓣赴/鞐呺霌滉皜 臧電ロ暕雼堧嫟.)';
+$lang['i_pol2'] = '韽愳噭順 鞙勴偆 (霌彪霅 靷毄鞛愲 鞚疥赴/鞊瓣赴/鞐呺霌滉皜 臧電ロ暕雼堧嫟.)';
+$lang['i_retry'] = '雼れ嫓 鞁滊弰';
+$lang['mu_intro'] = '鞐煬 韺岇澕鞚 頃滊矆鞐 鞐呺霌滍暊 靾 鞛堨姷雼堧嫟. 韺岇澕 氇╇鞐 於旉皜頃橂牑氅 "彀娟赴" 氩勴娂鞚 韥措Ν頃╇媹雼. 韺岇澕 氇╇ 於旉皜 鞛戩梾鞚 雭濍倶氅 "鞐呺霌" 氩勴娂鞚 韥措Ν頃橁赴 氚旊瀺雼堧嫟. ';
+$lang['mu_gridname'] = '韺岇澕氇';
+$lang['mu_gridsize'] = '韥赴';
+$lang['mu_gridstat'] = '靸來儨';
+$lang['mu_namespace'] = '雱れ瀯鞀ろ帢鞚挫姢';
+$lang['mu_browse'] = '彀娟赴';
+$lang['mu_toobig'] = '鞐呺霌 臧電 韥赴毳 齑堦臣頄堨姷雼堧嫟.';
+$lang['mu_ready'] = '鞐呺霌滉皜 臧電ロ暕雼堧嫟.';
+$lang['mu_done'] = '鞐呺霌滉皜 鞕勲霅橃棃鞀惦媹雼.';
+$lang['mu_fail'] = '鞐呺霌滉皜 鞁ろ尐頄堨姷雼堧嫟.';
+$lang['mu_authfail'] = '靹胳厴 旮瓣皠鞚 膦呺霅橃棃鞀惦媹雼.';
+$lang['mu_progress'] = '@PCT@% 鞐呺霌滊悩鞐堨姷雼堧嫟.';
+$lang['mu_filetypes'] = '項堨毄霅 韺岇澕韮鞛';
+$lang['recent_global'] = '<b>%s</b> 雱れ瀯鞀ろ帢鞚挫姢毳 甑弲欷戩瀰雼堧嫟. <a href="%s">鞝勳泊鞙勴偆 氤瓴届偓頃 </a>霃 氤挫嫟靾 鞛堨姷雼堧嫟.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/locked.txt
new file mode 100644
index 000000000..24525fc46
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/locked.txt
@@ -0,0 +1,3 @@
+====== 韼橃澊歆 鞛犼笀 ======
+
+雼るジ 靷毄鞛愱皜 鞚 韼橃澊歆 韼胳鞚 鞙勴暣 鞛犼笀鞚 鞁ろ枆頃橃榾鞀惦媹雼. 頃措嫻 靷毄鞛愱皜 韼胳鞚 雭濍偞瓯半倶 鞛犼笀鞚 頃挫牅霅 霑岅箤歆 旮半嫟毽嫮鞁滌槫.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/login.txt
new file mode 100644
index 000000000..1aae449df
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/login.txt
@@ -0,0 +1,4 @@
+====== 搿滉犯鞚 ======
+
+搿滉犯鞚疙晿歆 鞎婌晿鞀惦媹雼! 鞎勲灅鞐愳劀 搿滉犯鞚疙晿鞁嫓鞓. 搿滉犯鞚疙晿霠る┐ 炜犿偆毳 氚涬弰搿 靹れ爼頃橃棳鞎 頃╇媹雼.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/mailtext.txt
new file mode 100644
index 000000000..5c496435e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/mailtext.txt
@@ -0,0 +1,17 @@
+DokuWiki 韼橃澊歆臧 靾橃爼 順轨潃 於旉皜霅橃棃鞀惦媹雼. 靸侅劯頃 鞝曤炒電 雼れ潓瓿 臧欖姷雼堧嫟.
+
+雮犾 : @DATE@
+敫岆澕鞖办爛 : @BROWSER@
+IP 欤检唽 : @IPADDRESS@
+順胳姢韸鸽獏 : @HOSTNAME@
+鞓涬偁氩勳爠 : @OLDPAGE@
+靸堧矂鞝 : @NEWPAGE@
+韼胳 鞖旍暯 : @SUMMARY@
+靷毄鞛 : @USER@
+
+@DIFF@
+
+
+--
+鞚 氅旍澕鞚 @DOKUWIKIURL@ 鞚 DokuWiki 臧 靸濎劚頃
+鞚措⿺鞚检瀰雼堧嫟.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/newpage.txt
new file mode 100644
index 000000000..f8380bd84
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/newpage.txt
@@ -0,0 +1,3 @@
+====== 鞚 韱犿斀鞚 鞎勳 鞐嗢姷雼堧嫟 ======
+
+鞎勳 鞐嗠姅 韱犿斀 毵來伂毳 霐半澕鞓れ叏鞀惦媹雼. **韼橃澊歆 毵岆摛旮** 氩勴娂鞚 鞚挫毄頃橃棳 靸堧 毵岆摛 靾 鞛堨姷雼堧嫟.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/norev.txt
new file mode 100644
index 000000000..e1b4093b4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/norev.txt
@@ -0,0 +1,3 @@
+====== 歆鞝曧暅 氩勳爠 鞐嗢潓 ======
+
+歆鞝曧暅 氩勳爠鞚 鞐嗢姷雼堧嫟. **瓿缄卑 氩勳爠** 氩勴娂鞚 靷毄頃橃棳 鞚 氍胳劀鞚 氩勳爠 氇╇鞚 氤挫嫮鞁滌槫.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/preview.txt
new file mode 100644
index 000000000..8bcc6a1eb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/preview.txt
@@ -0,0 +1,4 @@
+====== 氙鸽Μ氤搓赴 ======
+
+鞚搓矁鞚 鞛呺牓頃橃嫚 雮挫毄鞚 鞏措柣瓴 氤挫澕歆 氙鸽Μ氤搓赴頃橂姅 瓿踌瀰雼堧嫟. 鞎勳鞚 **鞝鞛ル悩歆 鞎婌晿雼**電 鞝愳潉 旮办柕頃橃嫮鞁滌槫.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/pwconfirm.txt
new file mode 100644
index 000000000..c022a52a9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/pwconfirm.txt
@@ -0,0 +1,11 @@
+鞎堧厱頃橃劯鞖. @FULLNAME@!
+
+@DOKUWIKIURL@鞐 鞛戩劚頃橃嫚 @TITLE@鞚 氤措牑氅 靸 韺姢鞗岆摐臧 頃勳殧頃橂嫟電 鞖旍箔鞚 雸勱蛋臧 氚涭晿雼り碃 頃╇媹雼.
+
+靸堧鞖 韺姢鞗岆摐毳 鞖旍箔頃 鞝侅澊 鞐嗠嫟氅 鞚 鞚措⿺鞚检潉 氍挫嫓頃措矂毽劯鞖.
+
+@CONFIRM@鞐愳劀 鞝曤搿 氤胳澑鞚 攴鸽煱 鞖旍箔鞚 頄堨棃電旍 頇曥澑頃 氤搓赴 氚旊瀺雼堧嫟.
+
+--
+
+@DOKUWIKIURL@鞚 DokuWiki臧 鞛愲彊鞙茧 毵岆摛鞏措偢 氅旍澕鞛呺媹雼.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/read.txt
new file mode 100644
index 000000000..6b5d7b3db
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/read.txt
@@ -0,0 +1,2 @@
+鞚 韼橃澊歆電 鞚疥赴 鞝勳毄鞛呺媹雼. 靻岇姢毳 氤 靾橂姅 鞛堨毵, 靾橃爼頃 靾橂姅 鞐嗢姷雼堧嫟. 鞐办姷鞚 [[public:playground|鞐办姷鞛]鞐愳劀 頃橃嫮鞁滌槫. 氍胳牅臧 鞛堧嫟瓿 靸濌皝頃橃嫓氅 甏毽瀽鞐愱矊 氍胳潣頃橃嫮鞁滌槫.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/recent.txt
new file mode 100644
index 000000000..f693c4bf1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/recent.txt
@@ -0,0 +1,5 @@
+====== 斓滉芳 氤瓴 ======
+
+鞎勲灅鞚 韼橃澊歆電 斓滉芳鞐 氤瓴诫悳 瓴冹瀰雼堧嫟.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/register.txt
new file mode 100644
index 000000000..999073a1d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/register.txt
@@ -0,0 +1,4 @@
+====== 靸 靷毄鞛 霌彪 ======
+
+鞚 鞙勴偆鞐 靸 瓿勳爼鞚 毵岆摛霠る┐ 鞎勲灅鞚 氇摖 雮挫毄鞚 鞛呺牓頃橃嫮鞁滌槫. **鞝滊寑搿 霅 鞚措⿺鞚 欤检唽**毳 靷毄頃橃嫮鞁滌槫. 攴鸽煬雮, 鞎勲灅 雮挫毄鞚 鞛呺牓頄堧嫟瓿 頃挫劀 瓿勳爼鞚 毵岆摛 靾 鞛堨溂毽澕瓿犽姅 氙快 毵堨嫮鞁滌槫. 鞚搓吵鞚 雮搓皜 臧滌澑鞝侅溂搿 靷毄頃橂姅 瓿踌澊氅, 瓿勳爼鞚 毵岆摛鞏 欤缄碃 鞎堨<瓿犽姅 雮 毵堨潓鞛呺媹雼. 彀澕毽, 雮搓矊 鞚措⿺鞚检潉 氤措偞靹 鞁犾箔頃橂姅 韼胳澊 雿 雮橃潉 瓴冹瀰雼堧嫟. 韺姢鞗岆摐電 鞚 鞚措⿺鞚茧 氤措偞歆戨媹雼. 靷毄鞛愲獏鞚 鞓皵毳 [[doku>pagename|pagename]] 鞚挫柎鞎 頃╇媹雼.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/registermail.txt
new file mode 100644
index 000000000..4b1aa20a5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/registermail.txt
@@ -0,0 +1,14 @@
+靸堧鞖 靷毄鞛愱皜 霌彪霅橃棃鞀惦媹雼:
+
+靷毄鞛 鞚措 : @NEWUSER@
+靷毄鞛 鞁れ牅 鞚措 : @NEWNAME@
+鞚措⿺鞚 : @NEWEMAIL@
+
+雮犾 : @DATE@
+敫岆澕鞖办爛 : @BROWSER@
+IP欤检唽 : @IPADDRESS@
+順胳姢韸 鞚措 : @HOSTNAME@
+
+--
+
+@DOKUWIKIURL@鞚 DokuWiki臧 鞛愲彊鞙茧 毵岆摛鞏措偢 氅旍澕鞛呺媹雼.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/resendpwd.txt
new file mode 100644
index 000000000..b06163e92
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/resendpwd.txt
@@ -0,0 +1,4 @@
+====== 靸堧鞖 韺姢鞗岆摐 鞝勳啞 ======
+
+鞚 鞙勴偆 瓿勳爼鞐 雽頃 靸 韺姢鞗岆摐毳 鞖旉惮頃橁赴 鞙勴暣 鞎勲灅 韽检棎靹 靷毄鞛 鞚措鞚 鞛呺牓頃橃劯鞖. 頇曥澑 毵來伂電 靸堧 霌彪霅 鞚措⿺鞚 欤检唽搿 氚滌啞霅╇媹雼.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/revisions.txt
new file mode 100644
index 000000000..12d11894d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/revisions.txt
@@ -0,0 +1,4 @@
+====== 鞚挫爠 氩勳爠 ======
+
+鞚 氍胳劀鞚 鞓涬偁 氩勳爠鞚 雼れ潓瓿 臧欖姷雼堧嫟. 鞚挫爠 氩勳爠鞙茧 霃岇晞臧霠る┐, 鞎勲灅鞐愳劀 靹犿儩頃 雼れ潓, **韼橃澊歆 韼胳**鞚 韥措Ν頃 鞎勴泟 鞝鞛ロ晿鞁嫓鞓.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/searchpage.txt
new file mode 100644
index 000000000..198d9a428
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/searchpage.txt
@@ -0,0 +1,5 @@
+====== 彀娟赴 ======
+
+彀娟赴 瓴瓣臣毳 鞎勲灅鞐愳劀 氤 靾 鞛堨姷雼堧嫟. 毵岇澕 鞗愴晿電 瓴冹潉 彀眷 氇豁晿鞓雼る┐, **韼橃澊歆 韼胳** 氩勴娂鞚 鞚挫毄頃橃棳 歆堨潣 雮挫毄瓿 臧欖潃 鞚措鞚 韼橃澊歆毳 毵岆摛 靾 鞛堨姷雼堧嫟.
+
+===== 瓴瓣臣 =====
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/showrev.txt
new file mode 100644
index 000000000..084d82737
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/showrev.txt
@@ -0,0 +1,2 @@
+**鞚搓矁鞚 氍胳劀鞚 鞚挫爠 氩勳爠鞛呺媹雼!**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/stopwords.txt
new file mode 100644
index 000000000..bdb41deba
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/stopwords.txt
@@ -0,0 +1,29 @@
+# 靸夓澑鞚 毵岆摛鞏 歆歆 鞎婋姅 雼柎 氇╇鞛呺媹雼.(頃滌鞐 頃滊嫧鞏)
+# 鞚 韺岇澕鞚 韼胳頃滊嫟氅 UNIX欷 膦呺氍胳瀽毳 靷毄頃挫暭頃╇媹雼.(雼澕 臧滍枆氍胳瀽)
+# 3氍胳瀽鞚错晿 雼柎電 鞛愲彊鞙茧 氍挫嫓霅橂瘈搿 3氍胳瀽氤措嫟 歆ъ潃 雼柎電 韽暔鞁滍偓 頃勳殧臧 鞐嗢姷雼堧嫟.
+# http://www.ranks.nl/stopwords/鞚 旮办鞙茧 毵岆摛鞏挫 氇╇鞛呺媹雼.
+about
+are
+and
+you
+your
+them
+their
+com
+for
+from
+into
+how
+that
+the
+this
+was
+what
+when
+where
+who
+will
+with
+und
+the
+www
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/subscribermail.txt
new file mode 100644
index 000000000..9bd0d9011
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/subscribermail.txt
@@ -0,0 +1,15 @@
+鞎堧厱頃橃劯鞖!
+
+@TITLE@ 鞙勴偆鞚 @PAGE@ 韼橃澊歆臧 氤瓴诫惉鞀惦媹雼.
+
+氤瓴 雮挫毄鞚 鞎勲灅鞕 臧欖姷雼堧嫟.
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+@DOKUWIKIURL@鞚 鞚 韼橃澊歆 甑弲鞁犾箔鞚 頃挫頃橂牑氅 @NEWPAGE@毳 氚╇頃橃棳
+'甑弲鞁犾箔 頃挫'毳 靹犿儩頃橃劯鞖.
+
+--
+
+@DOKUWIKIURL@鞚 DokuWiki臧 鞛愲彊鞙茧 毵岆摛鞏措偢 氅旍澕鞛呺媹雼.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/updateprofile.txt
new file mode 100644
index 000000000..5ea331c05
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/updateprofile.txt
@@ -0,0 +1,5 @@
+====== 臧滌澑 鞝曤炒 靾橃爼 ======
+
+氤瓴巾晿瓿 鞁鹅潃 頃鞚 鞛呺牓頃橁赴 氚旊瀺雼堧嫟. 靷毄鞛 鞚措鞚 氚旉靖瓿 鞁鹅 鞎婈矤歆鞖?
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/uploadmail.txt
new file mode 100644
index 000000000..46c66a66b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/uploadmail.txt
@@ -0,0 +1,15 @@
+DokuWiki臧 韺岇澕鞚 鞐呺霌滍晿鞓鞀惦媹雼.
+
+鞛愳劯頃 鞝曤炒:
+
+韺岇澕 : @MEDIA@
+雮犾 : @DATE@
+鞗 敫岆澕鞖办爛 : @BROWSER@
+IP 欤检唽 : @IPADDRESS@
+順胳姢韸鸽獏 : @HOSTNAME@
+韥赴 : @SIZE@
+韺岇澕 膦呺 : @MIME@
+靷毄鞛 : @USER@
+
+--
+鞚 氅旍澕鞚 @DOKUWIKIURL@鞚 DokuWiki臧 靸濎劚頃 氅旍澕鞛呺媹雼. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/wordblock.txt
new file mode 100644
index 000000000..35e251187
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ko/wordblock.txt
@@ -0,0 +1,4 @@
+====== 鞀ろ尭 彀嫧 ======
+
+頃橂倶 順轨潃 攴 鞚挫儊鞚 彀嫧霅 雼柎臧 韽暔霅橃柎 鞛堨溂氙搿 氤瓴 雮挫毄鞚 鞝鞛ル悩歆 **鞎婌晿鞀惦媹雼.** 雮橃仠 搿滊磭 臧欖溂雼! 鞀ろ尭鞚措倶 於旉皜頃橂牑 頃橁碃! 毵岇澕 搿滊磭鞚 鞎勲媹霛 靷瀸鞚搓碃, 鞓る霛缄碃 靸濌皝頃橃嫚雼る┐, 甏毽瀽鞐愱矊 氍胳潣頃橃嫮鞁滌槫.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/admin.txt
new file mode 100644
index 000000000..cfd21b217
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/admin.txt
@@ -0,0 +1,4 @@
+====== Administration ======
+
+Below you can find a list of administrative tasks available in DokuWiki.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/backlinks.txt
new file mode 100644
index 000000000..5fa2ddfda
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/backlinks.txt
@@ -0,0 +1,4 @@
+====== Gir锚dan锚n pa艧 ======
+
+Di r没pel锚n di v锚 l卯stey锚 de gir锚dan锚n ji v锚 r没pel锚 re hene.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/conflict.txt
new file mode 100644
index 000000000..e139dce26
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/conflict.txt
@@ -0,0 +1,6 @@
+====== Guhertoyeke n没tir heye ======
+
+Guhertoyeke n没tir a belgeya ku tu biguher卯n卯 heye. Sedema w锚, bikarh锚nerk锚/卯 din di hema dem锚 de belge diguher卯ne.
+
+Examine the differences shown below thoroughly, then decide which version to keep. If you choose ''save'', your version will be saved. Hit ''cancel'' to keep the current version.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/denied.txt
new file mode 100644
index 000000000..3ac72820c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/denied.txt
@@ -0,0 +1,4 @@
+====== Permission Denied ======
+
+Sorry, you don't have enough rights to continue. Perhaps you forgot to login?
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/diff.txt
new file mode 100644
index 000000000..934ffb67e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/diff.txt
@@ -0,0 +1,4 @@
+====== Cuyawaz卯 ======
+
+Li v锚 der锚 cuyawaziy锚n nav rev卯ziyona hilbijart卯 没 verziyona aniha t锚n n卯艧an dan.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/edit.txt
new file mode 100644
index 000000000..3a259dcf7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/edit.txt
@@ -0,0 +1,2 @@
+R没pel锚 biguher卯ne 没 ''Tomar bike'' bitik卯ne. Ji bo s卯ntaksa w卯kiy锚 binih锚re [[wiki:syntax]]. Ji kerema xwe r没pel锚 bi ten锚 biguher卯ne, heke t没 dikar卯 **ba艧tir** bik卯. Heke tu dixwaz卯 莽end ti艧tan bicerib卯n卯, bi莽e [[wiki:playground]]. Li v锚 der锚 tu dikar卯 her ti艧t卯 bicerib卯n卯.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/editrev.txt
new file mode 100644
index 000000000..e6995713b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/editrev.txt
@@ -0,0 +1,2 @@
+**You've loaded an old revision of the document!** If you save it, you will create a new version with this data.
+---- \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/index.txt
new file mode 100644
index 000000000..401404484
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/index.txt
@@ -0,0 +1,3 @@
+====== 脦ndeks ======
+
+Ev 卯ndeksa hem没 r没pel锚n hey卯 ye. R没pel li gora [[doku>namespaces|namespace]] hatin bir锚zkirin. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/lang.php
new file mode 100644
index 000000000..946954b98
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/lang.php
@@ -0,0 +1,163 @@
+<?php
+/**
+ * kurdish language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @translator Erdal Ronah卯 <erdal.ronahi@gmail.com>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+
+$lang['btn_edit'] = 'V锚 r没pel锚 biguher卯ne';
+$lang['btn_source'] = '脟avkaniya r没pel锚 n卯艧an bide';
+$lang['btn_show'] = 'R没pel锚 n卯艧an bide';
+$lang['btn_create'] = 'V锚 r没pel锚 biafir卯ne';
+$lang['btn_search'] = 'L锚bigere';
+$lang['btn_save'] = 'Tomar bike';
+$lang['btn_preview']= 'P锚艧d卯tin';
+$lang['btn_top'] = 'Bi莽e ser';
+$lang['btn_newer'] = '<< n没tir';
+$lang['btn_older'] = 'kevntir >>';
+$lang['btn_revs'] = 'Rev卯ziyon锚n kevn';
+$lang['btn_recent'] = 'Guherandin锚n daw卯';
+$lang['btn_upload'] = 'Bar bike';
+$lang['btn_cancel'] = 'Betal';
+$lang['btn_index'] = '脦ndeks';
+$lang['btn_secedit']= 'Biguher卯ne';
+$lang['btn_login'] = 'T锚keve';
+$lang['btn_logout'] = 'Derkeve';
+$lang['btn_admin'] = 'Admin';
+$lang['btn_update'] = 'Rojanekirin';
+$lang['btn_delete'] = 'J锚 bibe';
+$lang['btn_back'] = 'Pa艧';
+$lang['btn_backlink'] = "Gir锚dan锚n pa艧";
+$lang['btn_backtomedia'] = 'Back to Mediafile Selection';
+$lang['btn_subscribe'] = 'Subscribe Changes';
+$lang['btn_unsubscribe'] = 'Unsubscribe Changes';
+
+$lang['loggedinas'] = 'Logged in as';
+$lang['user'] = 'Username';
+$lang['pass'] = 'Password';
+$lang['passchk'] = 'once again';
+$lang['remember'] = 'Remember me';
+$lang['fullname'] = 'Full name';
+$lang['email'] = 'E-Mail';
+$lang['register'] = 'Register';
+$lang['badlogin'] = 'Sorry, username or password was wrong.';
+
+$lang['regmissing'] = 'Sorry, you must fill in all fields.';
+$lang['reguexists'] = 'Sorry, a user with this login already exists.';
+$lang['regsuccess'] = 'The user has been created and the password was sent by email.';
+$lang['regsuccess2']= 'The user has been created.';
+$lang['regmailfail']= 'Looks like there was an error on sending the password mail. Please contact the admin!';
+$lang['regbadmail'] = 'The given email address looks invalid - if you think this is an error, contact the admin';
+$lang['regbadpass'] = 'The two given passwords are not identically, please try again.';
+$lang['regpwmail'] = 'Your DokuWiki password';
+$lang['reghere'] = 'You don\'t have an account yet? Just get one';
+
+$lang['txt_upload'] = 'Select file to upload';
+$lang['txt_filename'] = 'Enter wikiname (optional)';
+$lang['txt_overwrt'] = 'Overwrite existing file';
+$lang['lockedby'] = 'Currently locked by';
+$lang['lockexpire'] = 'Lock expires at';
+$lang['willexpire'] = 'Your lock for editing this page is about to expire in a minute.\nTo avoid conflicts use the preview button to reset the locktimer.';
+
+$lang['notsavedyet'] = 'Unsaved changes will be lost.\nReally continue?';
+
+$lang['rssfailed'] = 'An error occured while fetching this feed: ';
+$lang['nothingfound']= 'Ti艧tek nehat d卯tin.';
+
+$lang['mediaselect'] = 'Mediafile Selection';
+$lang['fileupload'] = 'Mediafile Upload';
+$lang['uploadsucc'] = 'Upload successful';
+$lang['uploadfail'] = 'Upload failed. Maybe wrong permissions?';
+$lang['uploadwrong'] = 'Upload denied. This file extension is forbidden!';
+$lang['uploadexist'] = 'File already exists. Nothing done.';
+$lang['deletesucc'] = 'The file "%s" has been deleted.';
+$lang['deletefail'] = '"%s" couldn\'t be deleted - check permissions.';
+$lang['mediainuse'] = 'The file "%s" hasn\'t been deleted - it is still in use.';
+$lang['namespaces'] = 'Namespace';
+$lang['mediafiles'] = 'Available files in';
+
+$lang['reference'] = 'Referansa';
+$lang['ref_inuse'] = 'The file can\'t be deleted, because it\'s still used by the following pages:';
+$lang['ref_hidden'] = 'Some references are on pages you don\'t have permission to read';
+
+$lang['hits'] = 'Hits';
+$lang['quickhits'] = 'Matching pagenames';
+$lang['toc'] = 'Tabloya Nav锚rok锚';
+$lang['current'] = 'current';
+$lang['yours'] = 'Your Version';
+$lang['diff'] = 'show differences to current version';
+$lang['line'] = 'R锚z';
+$lang['breadcrumb'] = '艦op';
+$lang['lastmod'] = 'Guherandina daw卯';
+$lang['by'] = 'by';
+$lang['deleted'] = 'hat j锚 birin';
+$lang['created'] = 'hat afirandin';
+$lang['restored'] = 'old revision restored';
+$lang['summary'] = 'Kurteya guhartin锚';
+
+$lang['mail_newpage'] = 'page added:';
+$lang['mail_changed'] = 'page changed:';
+
+$lang['js']['nosmblinks'] = "Linking to Windows shares only works in Microsoft Internet Explorer.\nYou still can copy and paste the link.";
+
+$lang['qb_bold'] = 'Bold Text';
+$lang['qb_italic'] = 'Italic Text';
+$lang['qb_underl'] = 'Underlined Text';
+$lang['qb_code'] = 'Code Text';
+$lang['qb_strike'] = 'Strike-through Text';
+$lang['qb_h1'] = 'Level 1 Headline';
+$lang['qb_h2'] = 'Level 2 Headline';
+$lang['qb_h3'] = 'Level 3 Headline';
+$lang['qb_h4'] = 'Level 4 Headline';
+$lang['qb_h5'] = 'Level 5 Headline';
+$lang['qb_link'] = 'Internal Link';
+$lang['qb_extlink'] = 'External Link';
+$lang['qb_hr'] = 'Horizontal Rule';
+$lang['qb_ol'] = 'Ordered List Item';
+$lang['qb_ul'] = 'Unordered List Item';
+$lang['qb_media'] = 'Add Images and other files';
+$lang['qb_sig'] = 'Insert Signature';
+
+$lang['js']['del_confirm']= 'Delete this entry?';
+
+$lang['admin_acl'] = 'Access Control List Management...';
+$lang['admin_register']= 'Add new user...';
+
+$lang['acl_group'] = 'Group';
+$lang['acl_user'] = 'User';
+$lang['acl_perms'] = 'Permissions for';
+$lang['page'] = 'R没pel';
+$lang['namespace'] = 'Namespace';
+
+$lang['acl_perm1'] = 'Bixw卯ne';
+$lang['acl_perm2'] = 'Biguher卯ne';
+$lang['acl_perm4'] = 'Biafir卯ne';
+$lang['acl_perm8'] = 'Upload';
+$lang['acl_perm16'] = 'J锚 bibe';
+$lang['acl_new'] = 'Add new Entry';
+
+$lang['metaedit'] = 'Edit Metadata';
+$lang['metasaveerr'] = 'Writing metadata failed';
+$lang['metasaveok'] = 'Metadata saved';
+$lang['img_backto'] = 'Back to';
+$lang['img_title'] = 'Title';
+$lang['img_caption'] = 'Caption';
+$lang['img_date'] = 'Date';
+$lang['img_fname'] = 'Filename';
+$lang['img_fsize'] = 'Size';
+$lang['img_artist'] = 'Photographer';
+$lang['img_copyr'] = 'Copyright';
+$lang['img_format'] = 'Format';
+$lang['img_camera'] = 'Camera';
+$lang['img_keywords']= 'Keywords';
+
+$lang['subscribe_success'] = 'Added %s to subscription list for %s';
+$lang['subscribe_error'] = 'Error adding %s to subscription list for %s';
+$lang['subscribe_noaddress']= 'There is no address associated with your login, you cannot be added to the subscription list';
+$lang['unsubscribe_success']= 'Removed %s from subscription list for %s';
+$lang['unsubscribe_error'] = 'Error removing %s from subscription list for %s';
+
+//Setup VIM: ex: et ts=2 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/locked.txt
new file mode 100644
index 000000000..af6347a96
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/locked.txt
@@ -0,0 +1,3 @@
+====== Page locked ======
+
+This page is currently locked for editing by another user. You have to wait until this user finishes editing or the lock expires.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/login.txt
new file mode 100644
index 000000000..2004ea198
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/login.txt
@@ -0,0 +1,4 @@
+====== Login ======
+
+You are currently not logged in! Enter your authentication credentials below to log in. You need to have cookies enabled to log in.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/mailtext.txt
new file mode 100644
index 000000000..44a3f6553
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/mailtext.txt
@@ -0,0 +1,17 @@
+A page in your DokuWiki was added or changed. Here are the details:
+
+Date : @DATE@
+Browser : @BROWSER@
+IP-Address : @IPADDRESS@
+Hostname : @HOSTNAME@
+Old Revision: @OLDPAGE@
+New Revision: @NEWPAGE@
+Edit Summary: @SUMMARY@
+User : @USER@
+
+@DIFF@
+
+
+--
+This mail was generated by DokuWiki at
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/newpage.txt
new file mode 100644
index 000000000..6d256f06e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/newpage.txt
@@ -0,0 +1,3 @@
+====== Ev r没pel h卯n nehat niv卯sandin ======
+
+R没pela tu hat卯 h卯n nehat niv卯sandin. Tu dikar卯 niha dest bi niv卯sandina v锚 r没pel锚 bik卯. Ji bo v锚, ''Dest p锚 bike'' bitik卯ne.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/norev.txt
new file mode 100644
index 000000000..0b21bf3f0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/norev.txt
@@ -0,0 +1,4 @@
+====== No such revision ======
+
+The specified revision doesn't exist. Use the ''Old revisions'' button for a list of old revisions of this document.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/preview.txt
new file mode 100644
index 000000000..da8f4cb44
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/preview.txt
@@ -0,0 +1,3 @@
+====== P锚艧d卯tin ======
+
+Li v锚 der锚 tu dikar卯 bib卯ni ku niv卯sa te d锚 莽awa xuya bibe. Ji b卯r neke: H卯n **nehat tomar kirin**! \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/read.txt
new file mode 100644
index 000000000..9f56d81ad
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/read.txt
@@ -0,0 +1,2 @@
+This page is read only. You can view the source, but not change it. Ask your administrator if you think this is wrong.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/recent.txt
new file mode 100644
index 000000000..268c89ab2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/recent.txt
@@ -0,0 +1,3 @@
+====== Guherandin锚n daw卯 ======
+
+Ev r没pel di dema n锚z卯k de hatin guherandin.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/register.txt
new file mode 100644
index 000000000..b65683bc2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/register.txt
@@ -0,0 +1,4 @@
+====== Register as new user ======
+
+Fill in all the information below to create a new account in this wiki. Make sure you supply a **valid e-mail address** - your new password will be sent to it. The login name should be a valid [[doku>pagename|pagename]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/revisions.txt
new file mode 100644
index 000000000..dd5f35b8e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/revisions.txt
@@ -0,0 +1,4 @@
+====== Old Revisions ======
+
+These are the older revisons of the current document. To revert to an old revision, select it from below, click ''Edit this page'' and save it.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/searchpage.txt
new file mode 100644
index 000000000..6646228d5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/searchpage.txt
@@ -0,0 +1,5 @@
+====== L锚bigere ======
+
+J锚r encam锚n l锚gerandina te t锚n n卯艧an dan. Heke ti艧tek nehatibe d卯tin, tu dikar卯 dest bi niv卯sandina r没pelek锚 n没 bik卯. Ji bo v锚, ''V锚 r没pel锚 biguher卯ne'' bitik卯ne.
+
+===== Encam ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/showrev.txt
new file mode 100644
index 000000000..3608de36b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/showrev.txt
@@ -0,0 +1,2 @@
+**This is an old revision of the document!**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/stopwords.txt
new file mode 100644
index 000000000..bc6eb48ae
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/stopwords.txt
@@ -0,0 +1,29 @@
+# This is a list of words the indexer ignores, one word per line
+# When you edit this file be sure to use UNIX line endings (single newline)
+# No need to include words shorter than 3 chars - these are ignored anyway
+# This list is based upon the ones found at http://www.ranks.nl/stopwords/
+about
+are
+and
+you
+your
+them
+their
+com
+for
+from
+into
+how
+that
+the
+this
+was
+what
+when
+where
+who
+will
+with
+und
+the
+www
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/subscribermail.txt
new file mode 100644
index 000000000..0c8c2637f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/subscribermail.txt
@@ -0,0 +1,17 @@
+Hello!
+
+The page @PAGE@ in the @TITLE@ wiki changed.
+Here are the changes:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+To unsubscribe from this page log into the wiki at
+@DOKUWIKIURL@ then visit
+@NEWPAGE@
+and choose 'Unsubscribe Changes'.
+
+--
+This mail was generated by DokuWiki at
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/wordblock.txt
new file mode 100644
index 000000000..f0f7d759d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ku/wordblock.txt
@@ -0,0 +1,4 @@
+====== SPAM blocked ======
+
+Your changes were **not** saved because it contains one or more blocked words. If you tried to spam the Wiki -- Bad dog! If you think this is an error, contact the administrator of this Wiki.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/admin.txt
new file mode 100644
index 000000000..fd9ae9a87
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/admin.txt
@@ -0,0 +1,4 @@
+====== Administracija ======
+
+沤emiau matote veiksm懦, kuriuos gali atlikti administratorius, s膮ra拧膮.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/backlinks.txt
new file mode 100644
index 000000000..ad0d5b83a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/backlinks.txt
@@ -0,0 +1,4 @@
+====== Atgalin臈s nuorodos ======
+
+膶ia matote s膮ra拧膮 puslapi懦, kuriuose yra nuorodos 寞 esam膮 puslap寞.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/conflict.txt
new file mode 100644
index 000000000..be0c5ff4f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/conflict.txt
@@ -0,0 +1,6 @@
+====== Egzistuoja naujesn臈 versija ======
+
+Rasta naujesn臈 dokumento, kur寞 redagavote, versija. Tai atsitinka tada, kai kitas vartotojas modifikuoja dokument膮 tuo metu, kai j奴s j寞 redaguojate.
+
+Atid啪iai per啪velkite 啪emiau esan膷ius skirtumus ir nuspr臋skite, kuri膮 versij膮 i拧saugoti. Paspausdami ''I拧saugoti'' i拧saugosite savi拧k臋 versij膮. Paspausdami ''At拧aukti'' i拧saugosite esam膮 versij膮.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/denied.txt
new file mode 100644
index 000000000..c25fb5f0c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/denied.txt
@@ -0,0 +1,4 @@
+====== Pri臈jimas u啪draustas ======
+
+J奴s neturite reikiam懦 teisi懦, kad gal臈tum臈te t臋sti. Turb奴t pamir拧ote prisijungti :-).
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/diff.txt
new file mode 100644
index 000000000..dc5e59f89
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/diff.txt
@@ -0,0 +1,4 @@
+====== Skirtumai ======
+
+膶ia matote skirtumus tarp pasirinktos versijos ir esamo dokumento.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/edit.txt
new file mode 100644
index 000000000..8fadf97ad
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/edit.txt
@@ -0,0 +1,2 @@
+Modifikuokite 拧寞 puslap寞 ir paspauskite ''I拧saugoti''. Apie wiki sintaks臋 galite paskaityti [[wiki:syntax|膷ia]]. Pra拧ome redaguoti 拧寞 puslap寞 tik tada, kai galite j寞 **patobulinti**. Jei tik norite i拧bandyti wiki galimybes, pra拧ytume tai daryti [[playground:playground|膷ia]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/editrev.txt
new file mode 100644
index 000000000..9e5eaeece
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/editrev.txt
@@ -0,0 +1,2 @@
+**J奴s naudojate sen膮 拧io dokumento versij膮!** jei j膮 i拧saugosite, su 拧iais duomenimis sukursite nauj膮 versij膮.
+---- \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/index.txt
new file mode 100644
index 000000000..d13683c47
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/index.txt
@@ -0,0 +1,4 @@
+====== Indeksas ======
+
+膶ia matote vis懦 拧iuo metu egzistuojan膷i懦 puslapi懦 s膮ra拧膮. Jie i拧r奴拧iuoti pagal [[doku>namespaces|pavadinim膮]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/lang.php
new file mode 100644
index 000000000..151964e03
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/lang.php
@@ -0,0 +1,207 @@
+<?php
+/**
+ * lithuanian language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Linas Valiukas <shirshegsm@gmail.com>
+ * @author Edmondas Girkantas <eg@zemaitija.net>
+ * @author Ar奴nas Vaitek奴nas <aras@fan.lt>
+ * @author audrius.klevas@gmail.com
+ * @author Arunas Vaitekunas <aras@fan.lt>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '鈥';
+$lang['btn_edit'] = 'Redaguoti 拧寞 puslap寞';
+$lang['btn_source'] = 'Parodyti puslapio kod膮';
+$lang['btn_show'] = 'Parodyti puslap寞';
+$lang['btn_create'] = 'Sukurti 拧寞 puslap寞';
+$lang['btn_search'] = 'Paie拧ka';
+$lang['btn_save'] = 'I拧saugoti';
+$lang['btn_preview'] = 'Per啪i奴ra';
+$lang['btn_top'] = '漠 vir拧懦';
+$lang['btn_newer'] = '<< naujesn臈';
+$lang['btn_older'] = 'senesn臈 >>';
+$lang['btn_revs'] = 'Senos versijos';
+$lang['btn_recent'] = 'Naujausi keitimai';
+$lang['btn_upload'] = 'Atsi懦sti byl膮';
+$lang['btn_cancel'] = 'At拧aukti';
+$lang['btn_index'] = 'Indeksas';
+$lang['btn_secedit'] = 'Redaguoti';
+$lang['btn_login'] = 'Prisijungti';
+$lang['btn_logout'] = 'Atsijungti';
+$lang['btn_admin'] = 'Administracija';
+$lang['btn_update'] = 'Atnaujinti';
+$lang['btn_delete'] = 'I拧trinti';
+$lang['btn_back'] = 'Atgal';
+$lang['btn_backlink'] = 'Atgalin臈s nuorodos';
+$lang['btn_backtomedia'] = 'Atgal 寞 Mediabylos i拧sirinkim膮';
+$lang['btn_subscribe'] = 'U啪sisakyti keitim懦 prenumerat膮';
+$lang['btn_unsubscribe'] = 'Atsisakyti keitim懦 prenumeratos';
+$lang['btn_subscribens'] = 'U啪sakyti vard懦 srities prenumerat膮';
+$lang['btn_unsubscribens'] = 'Atsisakyti vard懦 srities prenumeratos';
+$lang['btn_profile'] = 'Atnaujinti profil寞';
+$lang['btn_reset'] = 'Atstata';
+$lang['btn_resendpwd'] = 'I拧si懦sti nauj膮 slapta啪od寞';
+$lang['btn_draft'] = 'Redaguoti juodra拧t寞';
+$lang['btn_recover'] = 'Atkurti juodra拧t寞';
+$lang['btn_draftdel'] = '艩alinti juodra拧t寞';
+$lang['loggedinas'] = 'Prisijung臋s kaip';
+$lang['user'] = 'Vartotojo vardas';
+$lang['pass'] = 'Slapta啪odis';
+$lang['newpass'] = 'Naujas slapta啪odis';
+$lang['oldpass'] = 'Patvirtinti esam膮 slapta啪od寞';
+$lang['passchk'] = 'dar kart膮';
+$lang['remember'] = 'Prisiminti mane';
+$lang['fullname'] = 'Visas vardas';
+$lang['email'] = 'El. pa拧to adresas';
+$lang['register'] = 'Registruotis';
+$lang['profile'] = 'Vartotojo profilis';
+$lang['badlogin'] = 'Nurod臈te blog膮 vartotojo vard膮 arba slapta啪od寞.';
+$lang['minoredit'] = 'Nedidelis pataisymas';
+$lang['draftdate'] = 'Juodra拧tis automati拧kai i拧saugotas';
+$lang['nosecedit'] = 'Puslapis buvo ka啪kieno pataisytas, teksto dalies informacija tapo pasenusi, tod臈l pakrautas visas puslapis.';
+$lang['regmissing'] = 'Turite u啪pildyti visus laukus.';
+$lang['reguexists'] = 'Vartotojas su pasirinktu prisijungimo vardu jau egzistuoja.';
+$lang['regsuccess'] = 'Vartotojas sukurtas, slapta啪odis i拧si懦stas el. pa拧tu.';
+$lang['regsuccess2'] = 'Vartotojas sukurtas.';
+$lang['regmailfail'] = 'Siun膷iant slapta啪od寞 el. pa拧tu 寞vyko klaida - susisiekite su administracija!';
+$lang['regbadmail'] = 'Nurodytas el. pa拧to adresas yra neteisingas - jei manote, kad tai klaida, susisiekite su administracija';
+$lang['regbadpass'] = '漠vesti slapta啪od啪iai nesutampa, bandykite dar kart膮.';
+$lang['regpwmail'] = 'J奴s懦 DokuWiki slapta啪odis';
+$lang['reghere'] = 'Dar neu啪siregistravote? Padarykite tai dabar';
+$lang['profna'] = '艩i vikisvetain臈 neleid啪ia pakeisti profilio';
+$lang['profnochange'] = 'N臈ra pakeitim懦, tod臈l n臈ra k膮 atlikti.';
+$lang['profnoempty'] = 'Tu拧膷ias vardo arba el. pa拧to adreso laukas n臈ra leid啪iamas.';
+$lang['profchanged'] = 'Vartotojo profilis s臈kmingai atnaujintas.';
+$lang['pwdforget'] = 'Pamir拧ote slapta啪od寞? Gaukite nauj膮';
+$lang['resendna'] = '艩i vikisvetain臈 neleid啪ia persi懦sti slapta啪od啪i懦.';
+$lang['resendpwd'] = 'Atsi懦sti nauj膮 slapta啪od寞';
+$lang['resendpwdmissing'] = 'J奴s turite u啪pildyti visus laukus.';
+$lang['resendpwdnouser'] = 'Tokio vartotojo n臈ra duomen懦 baz臈je.';
+$lang['resendpwdbadauth'] = 'Atsipra拧ome, bet 拧is tapatyb臈s nustatymo kodas netinkamas. 漠sitikinkite, kad panaudojote piln膮 patvirtinimo nuorod膮.';
+$lang['resendpwdconfirm'] = 'Patvirtinimo nuoroda i拧si懦sta el. pa拧tu.';
+$lang['resendpwdsuccess'] = 'J奴s懦 naujas slapta啪odis buvo i拧si懦stas el. pa拧tu.';
+$lang['license'] = 'Jei nenurodyta kitaip, 拧io wiki turinys ginamas tokia licencija:';
+$lang['licenseok'] = 'Pastaba: Redaguodami 拧寞 puslap寞 j奴s sutinkate jog j奴s懦 turinys atitinka licencijavima pagal 拧i膮 licencij膮';
+$lang['txt_upload'] = 'I拧sirinkite atsiun膷iam膮 byl膮';
+$lang['txt_filename'] = '漠veskite wikivard膮 (neb奴tina)';
+$lang['txt_overwrt'] = 'Perra拧yti egzistuojan膷i膮 byl膮';
+$lang['lockedby'] = 'U啪rakintas vartotojo';
+$lang['lockexpire'] = 'U啪raktas bus nuimtas';
+$lang['willexpire'] = '艩io puslapio redagavimo u啪rakto galiojimo laikas baigsis po minut臈s.\nNor臈dami i拧vengti nesklandum懦 naudokite per啪i奴ros mygtuk膮 ir u啪raktas atsinaujins.';
+$lang['notsavedyet'] = 'Pakeitimai nebus i拧saugoti.\nTikrai t臋sti?';
+$lang['rssfailed'] = 'Siun膷iant 拧寞 feed\'膮 寞vyko klaida: ';
+$lang['nothingfound'] = 'Paie拧kos rezultat懦 n臈ra.';
+$lang['mediaselect'] = 'Mediabylos i拧sirinkimas';
+$lang['fileupload'] = 'Mediabylos atsiuntimas';
+$lang['uploadsucc'] = 'Atsiuntimas pavyko';
+$lang['uploadfail'] = 'Atsiuntimas nepavyko. Blogi pri臈jimo leidimai??';
+$lang['uploadwrong'] = 'Atsiuntimas atmestas. Bylos tipas neleistinas';
+$lang['uploadexist'] = 'Tokia byla jau egzistuoja. Veiksmai at拧aukti.';
+$lang['uploadbadcontent'] = '漠keltas turinys neatitinka %s failo i拧pl臈timo.';
+$lang['uploadspam'] = '漠k臈limas blokuotas pagal 拧iuk拧lintoj懦 juodaj寞 拧膮ra拧膮.';
+$lang['uploadxss'] = '漠k臈limas blokuotas grei膷iausiai d臈l netinkamo teksto.';
+$lang['uploadsize'] = '漠keltas failas per didelis (maks. %s)';
+$lang['deletesucc'] = 'Byla "%s" i拧trinta.';
+$lang['deletefail'] = 'Byla "%s" negali b奴ti i拧trinta - patikrinkite leidimus.';
+$lang['mediainuse'] = 'Byla "%s" nebuvo i拧trinta - ji vis dar naudojama.';
+$lang['namespaces'] = 'Pavadinimai';
+$lang['mediafiles'] = 'Prieinamos bylos';
+$lang['js']['keepopen'] = 'Pa啪ym臈jus palikti lang膮 atvert膮';
+$lang['js']['hidedetails'] = 'Pasl臈pti Detales';
+$lang['js']['nosmblinks'] = 'Nurodos 寞 "Windows shares" veikia tik su Microsoft Internet Explorer nar拧ykle.
+Vis d臈lto, j奴s galite nukopijuoti 拧i膮 nuorod膮.';
+$lang['js']['mu_btn'] = 'Pateikite kelis failus vienu ypu';
+$lang['mediausage'] = 'Failo nuorodai u啪ra拧yti naudokite toki膮 sintaks臋:';
+$lang['mediaview'] = '沤i奴r臈ti pirmin寞 fail膮';
+$lang['mediaroot'] = 'prad啪ia (root)';
+$lang['mediaextchange'] = 'Failo gal奴n臈 pasikeit臈 i拧 .%s 寞 .%s!';
+$lang['reference'] = 'Pamin臈jimai';
+$lang['ref_inuse'] = 'Byla negali b奴ti i拧trinta, nes ji vis dar yra naudojama 拧iuose puslapiuose:';
+$lang['ref_hidden'] = 'Kai kurie pamin臈jimai yra puslapiuose, kuri懦 jums neleista skaityti.';
+$lang['hits'] = 'Atidarymai';
+$lang['quickhits'] = 'Sutampantys pavadinimai';
+$lang['toc'] = 'Turinys';
+$lang['current'] = 'esamas';
+$lang['yours'] = 'J奴s懦 versija';
+$lang['diff'] = 'rodyti skirtumus tarp 拧ios ir esamos versijos';
+$lang['diff2'] = 'Parodyti skirtumus tarp pasirinkt懦 versij懦';
+$lang['line'] = 'Linija';
+$lang['breadcrumb'] = 'Kelias';
+$lang['youarehere'] = 'J奴s esate 膷ia';
+$lang['lastmod'] = 'Keista';
+$lang['by'] = 'vartotojo';
+$lang['deleted'] = 'i拧trintas';
+$lang['created'] = 'sukurtas';
+$lang['restored'] = 'atstatyta sena versija';
+$lang['external_edit'] = 'redaguoti papildomomis priemon臈mis';
+$lang['summary'] = 'Redaguoti santrauk膮';
+$lang['noflash'] = '<a href="http://www.adobe.com/products/flashplayer/">Adobe Flash Plugin</a> reikalingas 拧ios med啪iagos per啪i奴rai.';
+$lang['mail_newpage'] = '[DokuWiki] puslapis prid臈tas:';
+$lang['mail_changed'] = '[DokuWiki] puslapis pakeistas:';
+$lang['mail_new_user'] = 'naujas vartotojas:';
+$lang['mail_upload'] = 'failas 寞keltas:';
+$lang['qb_bold'] = 'Pusjuodis';
+$lang['qb_italic'] = 'Kursyvas';
+$lang['qb_underl'] = 'Pabrauktas';
+$lang['qb_code'] = 'Kodas';
+$lang['qb_strike'] = 'Perbraukta';
+$lang['qb_h1'] = 'Pirmo lygio antra拧t臈';
+$lang['qb_h2'] = 'Antro lygio antra拧t臈';
+$lang['qb_h3'] = 'Tre膷io lygio antra拧t臈';
+$lang['qb_h4'] = 'Ketvirto lygio antra拧t臈';
+$lang['qb_h5'] = 'Penkto lygio antra拧t臈';
+$lang['qb_link'] = 'Vidin臈 nuoroda';
+$lang['qb_extlink'] = 'I拧orin臈 nuoroda';
+$lang['qb_hr'] = 'Horizontali linija';
+$lang['qb_ol'] = 'Numeruotas s膮ra拧as';
+$lang['qb_ul'] = 'Nenumetuotas s膮ra拧as';
+$lang['qb_media'] = 'Paveiksl臈liai ir kitos bylos';
+$lang['qb_sig'] = '漠terpti para拧膮';
+$lang['qb_smileys'] = '艩ypsen臈l臈s';
+$lang['qb_chars'] = 'Special奴s simboliai';
+$lang['js']['del_confirm'] = 'Ar tikrai i拧trinti pa啪ym臈t膮(us) 寞ra拧膮(us)?';
+$lang['admin_register'] = 'Sukurti nauj膮 vartotoj膮';
+$lang['metaedit'] = 'Redaguoti metaduomenis';
+$lang['metasaveerr'] = 'Nepavyko i拧saugoti metaduomen懦';
+$lang['metasaveok'] = 'Metaduomenys i拧saugoti';
+$lang['img_backto'] = 'Atgal 寞';
+$lang['img_title'] = 'Pavadinimas';
+$lang['img_caption'] = 'Antra拧t臈';
+$lang['img_date'] = 'Data';
+$lang['img_fname'] = 'Bylos pavadinimas';
+$lang['img_fsize'] = 'Dydis';
+$lang['img_artist'] = 'Fotografas';
+$lang['img_copyr'] = 'Autorin臈s teis臈s';
+$lang['img_format'] = 'Formatas';
+$lang['img_camera'] = 'Kamera';
+$lang['img_keywords'] = 'Raktiniai 啪od啪iai';
+$lang['subscribe_success'] = '%s u啪sakyta "%s" prenumerata';
+$lang['subscribe_error'] = '%s u啪sakant "%s" prenumerat膮 寞vyko klaida';
+$lang['subscribe_noaddress'] = 'J奴s nesat臈 nurod臋s el. pa拧to adreso, tod臈l negalima Jums u啪sakyti prenumeratos';
+$lang['unsubscribe_success'] = '%s i拧trintas i拧 "%s" prenumeratos';
+$lang['unsubscribe_error'] = '%s trinant i拧 "%s" prenumeratos 寞vyko klaida';
+$lang['authmodfailed'] = 'Bloga vartotojo tapatumo nustatymo konfig奴racija. Prane拧kite apie tai savo administratoriui.';
+$lang['authtempfail'] = 'Vartotojo tapatumo nustatymas laikinai nepasiekiamas. Jei 拧i situacija kartojasi, tai prane拧kite savo administratoriui.';
+$lang['i_chooselang'] = 'Pasirinkite kalb膮';
+$lang['i_installer'] = 'DokuWiki Instaliatorius';
+$lang['i_wikiname'] = 'Wiki vardas';
+$lang['i_enableacl'] = '漠jungti ACL (rekomenduojama)';
+$lang['i_superuser'] = 'Supervartotojas';
+$lang['i_problems'] = 'Instaliavimo metu buvo klaid懦, kurios pateiktos 啪emiau. T臋sti negalima, kol nebus pa拧alintos prie啪astys.';
+$lang['mu_gridname'] = 'Failo vardas';
+$lang['mu_gridsize'] = 'Dydis';
+$lang['mu_gridstat'] = 'Statusas';
+$lang['mu_namespace'] = 'Vard懦 sritis';
+$lang['mu_browse'] = 'Browse';
+$lang['mu_toobig'] = 'perdidelis';
+$lang['mu_ready'] = 'paruo拧ta 寞krovimui';
+$lang['mu_done'] = 'u啪baigta';
+$lang['mu_fail'] = 'nepavyko';
+$lang['mu_authfail'] = 'sesija nutraukta';
+$lang['mu_filetypes'] = 'Leid啪iami fail懦 tipai';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/locked.txt
new file mode 100644
index 000000000..3f6d000f6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/locked.txt
@@ -0,0 +1,3 @@
+====== Puslapis u啪rakintas ======
+
+艩is puslapis yra apsaugotas (u啪rakintas) nuo kit懦 vartotoj懦 pakeitim懦. Nor臈dami redaguoti puslap寞, tur臈site palaukti, kol kitas vartotojas baigs tai daryti arba 鈥瀠啪rakto鈥 galiojimo laikas pasibaigs.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/login.txt
new file mode 100644
index 000000000..2a6e21db2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/login.txt
@@ -0,0 +1,5 @@
+====== Prisijungimas ======
+
+艩iuo metu j奴s nesate prisijung臋s. 漠veskite savo prisijungimo duomenis 啪emiau. 鈥濩ookies鈥 palaikymas j奴s懦 nar拧ykl臈je turi b奴ti 寞jungtas.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/mailtext.txt
new file mode 100644
index 000000000..63b2f591e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/mailtext.txt
@@ -0,0 +1,18 @@
+J奴s懦 DokuWiki buvo sukurtas arba pakeistas puslapis. Detal臈s:
+
+Data : @DATE@
+Nar拧ykl臈 : @BROWSER@
+IP adresas : @IPADDRESS@
+Host'as : @HOSTNAME@
+Sena versija: @OLDPAGE@
+Nauja versija: @NEWPAGE@
+Redagavimo apra拧as: @SUMMARY@
+Vartotojas : @USER@
+
+Pakeitimo diff'as:
+
+@DIFF@
+
+
+--
+艩is lai拧kas buvo sugeneruotas DokuWiki (@DOKUWIKIURL@).
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/newpage.txt
new file mode 100644
index 000000000..c28e30bd4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/newpage.txt
@@ -0,0 +1,4 @@
+====== 艩is puslapis dar neegzistuoja ======
+
+Nuoroda, kuri膮 j奴s paspaud臈te, atved臈 寞 dar neegzistuojant寞 puslap寞. J奴s galite j寞 sukurti paspausdami ''Sukurti 拧寞 puslap寞'' mygtuk膮.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/norev.txt
new file mode 100644
index 000000000..028ebe7f2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/norev.txt
@@ -0,0 +1,5 @@
+====== Tokios versijos n臈ra ======
+
+Nurodyta versija neegzistuoja. Nor臈dami pamatyti visas dokumento versijas, paspauskite ''Senos versijos'' mygtuk膮
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/preview.txt
new file mode 100644
index 000000000..2d24e2164
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/preview.txt
@@ -0,0 +1,5 @@
+====== Per啪i奴ra ======
+
+膶ia matote, kaip atrodo j奴s懦 pakeitimai. **Pakeitimai dar n臈ra i拧saugoti!**
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/read.txt
new file mode 100644
index 000000000..91ea7e656
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/read.txt
@@ -0,0 +1,3 @@
+艩寞 puslap寞 galima tik skaityti. J奴s galite per啪velgti jo kod膮 (source), bet negalite jo keisti. Jei manote, kad tai klaida - susisiekite su administratoriumi.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/recent.txt
new file mode 100644
index 000000000..506538629
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/recent.txt
@@ -0,0 +1,5 @@
+====== Naujausi keitimai ======
+
+艩ie puslapiai buvo neseniai pakeisti.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/register.txt
new file mode 100644
index 000000000..f595826f3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/register.txt
@@ -0,0 +1,4 @@
+====== Naujo vartotojo registracija ======
+
+Nor臈dami tapti nauju registruotu 拧io tinklalapio vartotoju, u啪pildykite 啪emiau esan膷i膮 form膮. B奴tinai turite nurodyti **veikiant寞 el. pa拧to adres膮**, nes j奴s懦 slapta啪odis bus i拧si懦stas pastaruoju adresu. Prisijungimo vardas tur臈t懦 b奴ti sukurtas pagal [[doku>pagename|puslapio pavadinimo]] taisykles.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/resendpwd.txt
new file mode 100644
index 000000000..753827108
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/resendpwd.txt
@@ -0,0 +1,3 @@
+====== Si懦sti nauj膮 slapta啪od寞 ======
+
+Naujo slapta啪od啪io gavimui, u啪pildykite visus 啪emiau esan膷ius laukus. Naujas slapta啪odis bus atsi懦stas 寞 j奴s懦 u啪registruot膮 el. pa拧to adres膮. Vartotojo vardas turi b奴ti toks pat kaip ir wiki sistemoje.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/revisions.txt
new file mode 100644
index 000000000..9999767bd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/revisions.txt
@@ -0,0 +1,4 @@
+====== Senos versijos ======
+
+膶ia matote senas 拧io dokumento versijas. Jei norite atstatyti dokument膮 寞 jo senesni膮j膮 versij膮, paspauskite "Redaguoti 拧寞 puslap寞" prie norimos versijos ir i拧saugokite j膮.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/searchpage.txt
new file mode 100644
index 000000000..a83a6a58a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/searchpage.txt
@@ -0,0 +1,5 @@
+====== Paie拧ka ======
+
+沤emiau matote J奴s懦 atliktos paie拧kos rezultatus. Jeigu neradote to, ko ie拧kojote, galite sukurti nauj膮 puslap寞 拧iuo pavadinimu paspausdami "Redaguoti 拧寞 puslap寞".
+
+===== Rezultatai ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/showrev.txt
new file mode 100644
index 000000000..ed774244a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/showrev.txt
@@ -0,0 +1,2 @@
+**膶ia yra sena dokumento versija!**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/subscribermail.txt
new file mode 100644
index 000000000..8f101bcd7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/subscribermail.txt
@@ -0,0 +1,17 @@
+Sveiki!
+
+Prane拧ame, kad Wiki tinklalapyje @TITLE@ pakeistas puslapis @PAGE@.
+艩tai pakeitimai:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+Nor臈dami nutraukti 拧i膮 prenumerat膮, apsilankykite
+@DOKUWIKIURL@, tada atverkite
+@NEWPAGE@
+ir i拧sirinkite 'Atsisakyti keitim懦 prenumeratos'.
+
+--
+艩is lai拧kas sugeneruotas DokuWiki (@DOKUWIKIURL@).
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/updateprofile.txt
new file mode 100644
index 000000000..7ede1a00a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/updateprofile.txt
@@ -0,0 +1,4 @@
+====== Redaguoti savo profil寞 ======
+
+U啪pildykite tik tuos laukus, kuriuos norite pakeisti. Vartotojo vardo keisti neb奴tina.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/wordblock.txt
new file mode 100644
index 000000000..43ac79bbf
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lt/wordblock.txt
@@ -0,0 +1,4 @@
+====== NESPAM'INK! ======
+
+J奴s懦 pakeitimai **nebuvo i拧saugoti**, nes juose rasta vienas ar daugiau u啪blokuot懦 啪od啪i懦. Jeigu manote, kad tai klaida, susisiekite su administracija. Jeigu sugalvojote 膷ia spam'inti - pyzdink nachui i拧 膷ia, byby bled!
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/admin.txt
new file mode 100644
index 000000000..3b37fa389
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/admin.txt
@@ -0,0 +1,6 @@
+====== Administr膿拧ana ======
+
+DokuWiki pieejamas 拧膩das administr膿拧anas iesp膿jas:
+
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/adminplugins.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/adminplugins.txt
new file mode 100644
index 000000000..e8d208d24
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/adminplugins.txt
@@ -0,0 +1 @@
+===== Papildu modu募i ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/backlinks.txt
new file mode 100644
index 000000000..19bebf7b2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/backlinks.txt
@@ -0,0 +1,5 @@
+====== Saist墨t膩s lapas ======
+
+Nor膩de uz 拧o lapu ir atrodama dokumentos:
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/conflict.txt
new file mode 100644
index 000000000..5aa6442c9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/conflict.txt
@@ -0,0 +1,8 @@
+====== Ir jaun膩ka versija ======
+
+Tevis labotajam dokumentam jau ir jaun膩ka versija. T膩 gad膩s, ja cits lietot膩js tavas labo拧anas laik膩 ir paguvis veikt savus labojumus.
+
+R奴p墨gi p膩rl奴ko 拧eit par膩d墨t膩s at拧姆ir墨bas un tad izlem, kuru variantu patur膿t. Ja nospied墨si ''Saglab膩t'', saglab膩sies tavs teksts. Ja nospied墨si ''Atlikt'' paliks pa拧reiz膿jais variants.
+
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/denied.txt
new file mode 100644
index 000000000..c7df462c8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/denied.txt
@@ -0,0 +1,6 @@
+====== Piek募uve aizliegta ======
+
+Atvaino, tev nav ties墨bu turpin膩t. Varb奴t aizmirsi ielogoties?
+
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/diff.txt
new file mode 100644
index 000000000..40e1b5405
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/diff.txt
@@ -0,0 +1,7 @@
+====== At拧姆ir墨bas ======
+
+Nor膩d墨tais vecais variants no patreiz膿j膩s lapas at拧姆iras ar:
+
+
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/draft.txt
new file mode 100644
index 000000000..525f7cbb2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/draft.txt
@@ -0,0 +1,5 @@
+====== Atrasts melnraksta fails ======
+
+Iepriek拧膿j膩 拧墨s lapas labo拧ana nav pabeigta. DokuWiki darba laik膩 autom膩tiski saglab膩ja melnrakstu, kuru tagad var labot t膩l膩k. Zem膩k redzami iepriek拧膿j膩s labo拧anas dati.
+
+Nolem, vai vajag //atjaunot// zudu拧os labojumus, //dz膿st// saglab膩to melnrakstu vai //atlikt// labo拧anu.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/edit.txt
new file mode 100644
index 000000000..9da6f2d23
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/edit.txt
@@ -0,0 +1,2 @@
+Labo lapu un uzklik拧姆ini uz ''Saglab膩t''. Par lietojamo sintaksi skaties rakstu [[wiki:syntax]]. L奴dzu labo tika tad, ja vari lapu **uzlabot**. Ja gribi tikai kaut ko izm膿模in膩t, izmanto [[wiki:playground|smil拧ukasti]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/editrev.txt
new file mode 100644
index 000000000..6fa7a4c05
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/editrev.txt
@@ -0,0 +1 @@
+---- **Tu skaties vecu dokumenta versiju!** Ja to saglab膩si, tad izveidosies jauns dokuments ar 拧o veco saturu. ----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/index.txt
new file mode 100644
index 000000000..6baa2a3e2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/index.txt
@@ -0,0 +1,4 @@
+====== R膩d墨t膩js ======
+
+Visu pieejamo lapu r膩d墨t膩js. Sak膩rtots p膿c [[doku>namespaces|sada募膩m]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/install.html
new file mode 100644
index 000000000..9967d2edd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/install.html
@@ -0,0 +1,12 @@
+<p>艩墨 lapa pal墨dz <a href="http://dokuwiki.org">Dokuwiki</a>pirmaj膩 instal膩cij膩 un konfigur膿拧an膩.
+Vair膩k par instalatoru var las墨t t膩
+<a href="http://dokuwiki.org/installer">document膩cijas lap膩</a>.</p>
+
+<p>DokuWiki lapu un ar to saist墨to datu (piem.: att膿lu, mekl膿拧anas indeksu, veco versiju utt.) glab膩拧anai lieto parastus failus. Lai Dokuwiki veiksm墨gi darbotos <strong>vajag</strong> rakst墨拧anas ties墨bas direktorij膩s, kur 拧ie faili glab膩jas. Instalators ties墨bas nomain墨t nesp膿j. Tas parasti jums j膩izdara komandrind膩 vai ar FTP vad墨bas paneli (piem. cPanel).</p>
+
+<p>Instalators konfigur膿s DokuWiki <acronym title="access control list">ACL</acronym> lieto拧anai, kas 募auj administratoram ielogoties un piek募奴t DokuWiki administr膿拧anas izv膿lnei, lai instal膿tu modu募us, p膩rvald墨tu lietot膩jus, notiektu piek募uves ties墨bas Wiki lap膩m un main墨tu DokuWiki konfigur膩ciju.
+Tas nav vajadz墨gs, lai DokuWiki darbotos, bet ar to var viegl膩k administr膿t.</p>
+
+<p>Pieredz膿ju拧iem lietot膩jiem ar 墨pa拧膩m pras墨b膩m j膩mekl膿 s墨k膩kas zi艈as
+<a href="http://dokuwiki.org/install">uzst膩d墨拧anas instrukcij膩</a>
+un <a href="http://dokuwiki.org/config">konfigur膿拧anas padomos</a>.</p> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/lang.php
new file mode 100644
index 000000000..5de7a0cc6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/lang.php
@@ -0,0 +1,244 @@
+<?php
+/**
+ * latvian language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Aivars Mi拧ka <allefm@gmail.com>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '鈥';
+$lang['btn_edit'] = 'Labot lapu';
+$lang['btn_source'] = 'Par膩d墨t lapas kodu';
+$lang['btn_show'] = 'Par膩d墨t lapu';
+$lang['btn_create'] = 'Izveidot lapu';
+$lang['btn_search'] = 'Mekl膿t';
+$lang['btn_save'] = 'Saglab膩t';
+$lang['btn_preview'] = 'Priek拧skats';
+$lang['btn_top'] = 'Atpaka募 uz s膩kumu';
+$lang['btn_newer'] = '<< jaun膩ki';
+$lang['btn_older'] = 'vec膩ki >>';
+$lang['btn_revs'] = 'Vec膩s versijas';
+$lang['btn_recent'] = 'Jaun膩kie groz墨jumi';
+$lang['btn_upload'] = 'Aug拧upiel膩d膿t';
+$lang['btn_cancel'] = 'Atlikt';
+$lang['btn_index'] = 'R膩d墨t膩js';
+$lang['btn_secedit'] = 'Labot';
+$lang['btn_login'] = 'Ieiet';
+$lang['btn_logout'] = 'Iziet';
+$lang['btn_admin'] = 'Administr膿t';
+$lang['btn_update'] = 'Atjaunot';
+$lang['btn_delete'] = 'Dz膿st';
+$lang['btn_back'] = 'Atpaka募';
+$lang['btn_backlink'] = 'Nor膩des uz lapu';
+$lang['btn_backtomedia'] = 'Atpaka募 uz m膿diju failu izv膿li';
+$lang['btn_subscribe'] = 'Abon膿t izmai艈u pazi艈ojumus';
+$lang['btn_unsubscribe'] = 'Atteikties no izmai艈u pazi艈ojumiem';
+$lang['btn_subscribens'] = 'Abon膿t noda募as izmai艈u pazi艈ojumus';
+$lang['btn_unsubscribens'] = 'Atteikties no noda募as izmai艈u pazi艈ojumiem';
+$lang['btn_profile'] = 'Labot savu profilu';
+$lang['btn_reset'] = 'Atsaukt izmai艈as';
+$lang['btn_resendpwd'] = 'Nos奴t墨t jaunu paroli';
+$lang['btn_draft'] = 'Labot melnrakstu';
+$lang['btn_recover'] = 'Atjaunot melnrakstu';
+$lang['btn_draftdel'] = 'Dz膿st melnrakstu';
+$lang['btn_revert'] = 'Atjaunot';
+$lang['loggedinas'] = 'Pieteicies k膩';
+$lang['user'] = 'Lietot膩jv膩rds';
+$lang['pass'] = 'Parole';
+$lang['newpass'] = 'Jaun膩 parole';
+$lang['oldpass'] = 'Atk膩rto patreiz膿jo paroli';
+$lang['passchk'] = 'v膿lreiz';
+$lang['remember'] = 'Atceries mani';
+$lang['fullname'] = 'Pilns v膩rds';
+$lang['email'] = 'E-pasts';
+$lang['register'] = 'Re模istr膿ties';
+$lang['profile'] = 'Lietot膩ja v膩rds';
+$lang['badlogin'] = 'Atvaino, lietot膩jv膩rds vai parole aplama.';
+$lang['minoredit'] = 'S墨ki labojumi';
+$lang['draftdate'] = 'Melnraksts autom膩tiski saglab膩ts';
+$lang['nosecedit'] = 'Lapa pa 拧o laiku ir main墨jusies, sekcijas inform膩cija novecojusi. Iel膩d膿ta lapas piln膩s versija.';
+$lang['regmissing'] = 'Atvaino, j膩aizpilda visas ailes.';
+$lang['reguexists'] = 'Atvaino, t膩ds lietot膩js jau ir.';
+$lang['regsuccess'] = 'Lietot膩js izveidots. Parole nos奴t墨ta pa pastu.';
+$lang['regsuccess2'] = 'Lietot膩js izveidots.';
+$lang['regmailfail'] = '艩姆iet, ka ir probl膿mas nos奴t墨t pastu. L奴dzu sazinies ar administratoru!';
+$lang['regbadmail'] = 'Uzdot膩 epasta adrese izskat膩s aplama. Ja tas nav tiesa, sazinies ar administratoru.';
+$lang['regbadpass'] = 'Abas ierakst墨t膩s paroles nav vien膩das, l奴dzu atk膩rto.';
+$lang['regpwmail'] = 'Tava DokuWiki parole';
+$lang['reghere'] = 'Tev v膿l nav sava konta? Izveido!';
+$lang['profna'] = 'Labot profilu nav iesp膿jams';
+$lang['profnochange'] = 'Izmai艈u nav. Nav, ko dar墨t.';
+$lang['profnoempty'] = 'Bez v膩rda vai e-pasta adreses nevar.';
+$lang['profchanged'] = 'Profils veiksm墨gi izlabots.';
+$lang['pwdforget'] = 'Aizmirsi paroli? Sa艈em jaunu';
+$lang['resendna'] = 'Paro募u izs奴t墨拧anu nepied膩v膩ju.';
+$lang['resendpwd'] = 'Nos奴t墨t jaunu paroli lietot膩jam';
+$lang['resendpwdmissing'] = 'Atvaino, j膩izpilda visas ailes.';
+$lang['resendpwdnouser'] = 'Atvaino, t膩da lietot膩ja nav.';
+$lang['resendpwdbadauth'] = 'Atvaino, 拧is autoriz膩cijas kods nav der墨gs. P膩rliecinies, ka lietoji pilnu apstiprin膩拧anas adresi.';
+$lang['resendpwdconfirm'] = 'Apstiprin膩拧anas adrese nos奴t墨ta pa epastu.';
+$lang['resendpwdsuccess'] = 'Jaun膩 parole nos奴t墨ta pa e-pastu.';
+$lang['license'] = 'Ja nav nor膩d墨ts cit膩di, viki saturs pieejams ar 拧膩das licenzes noteikumiem:';
+$lang['licenseok'] = 'Iev膿ro: Labojot lapu, tu piekr墨ti 拧膩diem licenzes noteikumiem.';
+$lang['searchmedia'] = 'Mekl膿tais faila v膩rds: ';
+$lang['searchmedia_in'] = 'Mekl膿t iek拧 %s';
+$lang['txt_upload'] = 'Nor膩di aug拧upiel膩d膿jamo failu';
+$lang['txt_filename'] = 'Ievadi vikiv膩rdu (nav oblig膩ts)';
+$lang['txt_overwrt'] = 'Aizst膩t eso拧o failu';
+$lang['lockedby'] = 'Patlaban blo姆膿jis ';
+$lang['lockexpire'] = 'Blo姆膿jums beigsies ';
+$lang['willexpire'] = 'Tavs blo姆膿jums uz 拧o lapu p膿c min奴tes beigsies.\nLai izvair墨tos no konflikta, nospied Iepriek拧apskata pogu\n un blo姆膿juma laiku s膩ks skait墨t no jauna.';
+$lang['notsavedyet'] = 'Veiktas bet nav saglab膩tas izmai艈as.\nVai tie拧膩m t膩s nevajag?';
+$lang['rssfailed'] = 'K募奴da sa艈emot saturu no ';
+$lang['nothingfound'] = 'Nekas nav atrasts.';
+$lang['mediaselect'] = 'M膿diju faila izv膿le';
+$lang['fileupload'] = 'M膿diju faila aug拧upiel膩de';
+$lang['uploadsucc'] = 'Veiksm墨gi iel膩d膿ts';
+$lang['uploadfail'] = 'Iel膩des k募奴me. Varb奴t aplamas ties墨bas?';
+$lang['uploadwrong'] = 'Iel膩de aizliegta. Neat募auts faila papla拧in膩jums';
+$lang['uploadexist'] = 'Neko nedar墨ju, jo fails jau ir.';
+$lang['uploadbadcontent'] = 'Aug拧upiel膩d膿t膩 saturs neatbilst faila papla拧in膩jumam %s.';
+$lang['uploadspam'] = 'Aug拧upiel膩de blo姆膿ta ar melno sarakstu.';
+$lang['uploadxss'] = 'Aug拧upiel膩de blo姆膿ta iesp膿jama slikta satura d膿募.';
+$lang['uploadsize'] = 'Aug拧up l膩d膿tais fails p膩r膩k liels. Maksimums ir %s.';
+$lang['deletesucc'] = 'Fails "%s" dz膿sts.';
+$lang['deletefail'] = 'Nevar dz膿st "%s". P膩rbaudi ties墨bas.';
+$lang['mediainuse'] = 'Fails "%s" nav izdz膿sts, to lieto.';
+$lang['namespaces'] = 'Noda募as';
+$lang['mediafiles'] = 'Pieejamie faili';
+$lang['js']['searchmedia'] = 'Mekl膿t failus';
+$lang['js']['keepopen'] = 'P膿c faila izv膿les logu patur膿t atv膿rtu';
+$lang['js']['hidedetails'] = 'Sl膿pt deta募as';
+$lang['js']['nosmblinks'] = 'Saites uz Windows resursiem darbojas tikai Microsoft Internet Explorer.
+Protams, ka vari saiti kop膿t un iespraust cit膩 programm膩.';
+$lang['js']['linkwiz'] = 'Sai拧u vednis';
+$lang['js']['linkto'] = 'Saite uz: ';
+$lang['js']['del_confirm'] = 'Dz膿st 拧o 拧姆irkli?';
+$lang['js']['mu_btn'] = 'Aug拧upl膩d膿t uzreiz vair膩kus failus.';
+$lang['mediausage'] = 'Atsaucei uz failu lietot 拧膩du sintaksi:';
+$lang['mediaview'] = 'Skat墨t ori模in膩lo failu';
+$lang['mediaroot'] = 'sakne';
+$lang['mediaupload'] = 'Aug拧upiel膩d膿t failu patreiz膿j膩 noda募膩. Lai izveidotu apak拧noda募u, pieraksti to, atdalot ar kolu, pirms aug拧upiel膩d膿jam膩 faila v膩rda.';
+$lang['mediaextchange'] = 'Faila papla拧in膩jums main墨ts no .%s uz .%s!';
+$lang['reference'] = 'Nor膩des uz failu';
+$lang['ref_inuse'] = 'Failu nevar dz膿st, jo izmanto 拧膩das lapas:';
+$lang['ref_hidden'] = 'Da啪as nor膩des ir lap膩s, ko nav ties墨bu skat墨t';
+$lang['hits'] = 'Apmekl膿jumi';
+$lang['quickhits'] = 'Atbilsto拧膩s lapas';
+$lang['toc'] = 'Satura r膩d墨t膩js';
+$lang['current'] = 'patlaban';
+$lang['yours'] = 'Tava versija';
+$lang['diff'] = 'at拧姆ir墨bas no patreiz膿jas versijas';
+$lang['diff2'] = 'nor膩d墨to versiju at拧姆ir墨bas';
+$lang['line'] = 'Rinda';
+$lang['breadcrumb'] = 'Ce募拧';
+$lang['youarehere'] = 'Tu atrodies 拧eit';
+$lang['lastmod'] = 'Labota';
+$lang['by'] = ', labojis';
+$lang['deleted'] = 'dz膿sts';
+$lang['created'] = 'izveidots';
+$lang['restored'] = 'vec膩 versija atjaunota';
+$lang['external_edit'] = '膩rpussist膿mas labojums';
+$lang['summary'] = 'Anot膩cija';
+$lang['noflash'] = 'Lai att膿lotu lapas saturu, vajag <a href="http://www.adobe.com/products/flashplayer/">Adobe Flash Plugin</a>.';
+$lang['mail_newpage'] = 'lapa pievienota:';
+$lang['mail_changed'] = 'lapa main墨ta:';
+$lang['mail_new_user'] = 'Jauns lietot膩js:';
+$lang['mail_upload'] = 'aug拧upiel膩d膿tais fails:';
+$lang['qb_bold'] = 'Treknin膩jums';
+$lang['qb_italic'] = 'Kurs墨vs';
+$lang['qb_underl'] = 'Pasv墨trojums';
+$lang['qb_code'] = 'Vienplatuma burti';
+$lang['qb_strike'] = 'P膩rsv墨trots teksts';
+$lang['qb_h1'] = '1. l墨me艈a virsraksts';
+$lang['qb_h2'] = '2. l墨me艈a virsraksts';
+$lang['qb_h3'] = '3. l墨me艈a virsraksts';
+$lang['qb_h4'] = '4. l墨me艈a virsraksts';
+$lang['qb_h5'] = '5. l墨me艈a virsraksts';
+$lang['qb_h'] = 'Virsraksts';
+$lang['qb_hs'] = 'Izraudz墨ties virsrakstu';
+$lang['qb_hplus'] = 'Liel膩ks virsraksts';
+$lang['qb_hminus'] = 'Maz膩ks virsraksts';
+$lang['qb_hequal'] = 'T膩ds pats virsraksts';
+$lang['qb_link'] = 'Iek拧膿ja saite';
+$lang['qb_extlink'] = '膧r膿ja saite';
+$lang['qb_hr'] = 'Horizont膩la l墨nija';
+$lang['qb_ol'] = 'Numur膿ts saraksts';
+$lang['qb_ul'] = 'Nenumur膿ts saraksts';
+$lang['qb_media'] = 'Pielikt att膿lus un citus failus.';
+$lang['qb_sig'] = 'Ievietot parakstu';
+$lang['qb_smileys'] = 'Emotikoni';
+$lang['qb_chars'] = '莫pa拧膩s z墨mes';
+$lang['upperns'] = 'vienu noda募u l墨meni augst膩k';
+$lang['admin_register'] = 'Pievienot jaunu lietot膩ju';
+$lang['metaedit'] = 'Labot metadatus';
+$lang['metasaveerr'] = 'Metadati nav saglab膩ti';
+$lang['metasaveok'] = 'Metadati saglab膩ti';
+$lang['img_backto'] = 'Atpaka募 uz';
+$lang['img_title'] = 'Virsraksts';
+$lang['img_caption'] = 'Apraksts';
+$lang['img_date'] = 'Datums';
+$lang['img_fname'] = 'Faila v膩rds';
+$lang['img_fsize'] = 'Izm膿rs';
+$lang['img_artist'] = 'Fotogr膩fs';
+$lang['img_copyr'] = 'Autorties墨bas';
+$lang['img_format'] = 'Form膩ts';
+$lang['img_camera'] = 'Fotoapar膩ts';
+$lang['img_keywords'] = 'Atsl膿gv膩rdi';
+$lang['subscribe_success'] = '%s pievienots %s abonentu sarakstam';
+$lang['subscribe_error'] = 'K募奴me pievienojot %s %s abonentu sarakstam';
+$lang['subscribe_noaddress'] = 'Nav zin膩ma adrese, ko pievienot abonentu sarakstam';
+$lang['unsubscribe_success'] = ' %s sv墨trots no %s abonentu saraksta';
+$lang['unsubscribe_error'] = 'K募奴me sv墨trojot %s no %s abonentu saraksta';
+$lang['authmodfailed'] = 'Aplami konfigur膿ta lietot膩ju autentifik膩cija. L奴dzo zi艈o Wiki administratoram.';
+$lang['authtempfail'] = 'Lietot膩ju autentifik膩cija pa拧laik nedarbojas. Ja tas turpin膩s ilgsto拧i, l奴duz zi艈o Wiki administratoram.';
+$lang['i_chooselang'] = 'Izv膿lies valodu';
+$lang['i_installer'] = 'DokuWiki instal膿t膩js';
+$lang['i_wikiname'] = 'Wiki v膩rds';
+$lang['i_enableacl'] = 'Lietot ACL (ieteikts)';
+$lang['i_superuser'] = 'Superuser';
+$lang['i_problems'] = 'Instal膿t膩js atrada zem膩k min膿t膩s probl膿mas. Kam膿r t膩s nenov膿r拧, nav iesp膿jam turpin膩t.';
+$lang['i_modified'] = 'Dro拧墨bas nol奴kos 拧is skripts darbosies tika ar jaunu nemodific膿tu Dokuwiki instal膩ciju.
+Vai nu no jauna j膩atarhiv膿 faili no lejupiel膩d膿t膩s pakas vai j膩raug膩s p膿c padoma piln膩 Dokuwiki instal膩cijas instrukcij膩 <a href="http://dokuwiki.org/install"></a>';
+$lang['i_funcna'] = 'PHP funkcija <code>%s</code> nav pieejama. Varb奴t j奴su servera 墨pa拧nieks to k膩da iemesla d膿募 atsl膿dzis?';
+$lang['i_phpver'] = 'J奴su PHP versija <code>%s</code> ir par vecu. Vajag versiju <code>%s</code>. Atjaunojiet savu PHP instal膩ciju.';
+$lang['i_permfail'] = 'Dokuwiki nevar ierakst墨t <code>%s</code>. J膩labo direktorijas ties墨bas!';
+$lang['i_confexists'] = '<code>%s</code> jau ir';
+$lang['i_writeerr'] = 'Nevar izveidot <code>%s</code>. J膩p膩rbauda direktorijas/faila ties墨bas un fails j膩izveido pa拧am.';
+$lang['i_badhash'] = 'nepaz墨stams vai izmain墨ts dokuwiki.php fails (hash=<code>%s</code>)';
+$lang['i_badval'] = '<code>%s</code> - neat募auta vai tuk拧a v膿rt墨ba';
+$lang['i_success'] = 'Konfigur膿拧ana veiksm墨gi pabeigta. Tagad vari nodz膿st failu install.php. T膩l膩k turpini <a href="doku.php">sav膩 jaunaj膩 DokuWiki</a>.';
+$lang['i_failure'] = 'Rakstot konfigur膩cijas failu, gad墨j膩s da啪as k募奴mes. Pirms lieto <a href="doku.php">savu jauno DokuWiki</a>, t膩s varb奴t j膩izlabo.';
+$lang['i_policy'] = 'S膩kotn膿j膩 ACL politika';
+$lang['i_pol0'] = 'Atv膿rts Wiki (raksta, lasa un aug拧upiel膩d膿 ikviens)';
+$lang['i_pol1'] = 'Publisks Wiki (lasa ikviens, raksta un aug拧upiel膩d膿 re模istr膿ti lietot膩ji)';
+$lang['i_pol2'] = 'Sl膿gts Wiki (raksta, lasa un aug拧upiel膩d膿 tikai re模istr膿ti lietot膩ji)';
+$lang['i_retry'] = 'Atk膩rtot';
+$lang['mu_intro'] = '艩eit var aug拧upiel膩d膿t uzreiz vair膩ku failus. Uzklik拧姆ini P膩rl奴kot pogai, lai tos ieliktu rind膩. Nospied Aug拧upiel膩d膿t, kad rinda sast膩d墨ta.';
+$lang['mu_gridname'] = 'Faila v膩rds';
+$lang['mu_gridsize'] = 'Izm膿rs';
+$lang['mu_gridstat'] = 'Statuss';
+$lang['mu_namespace'] = 'Noda募a';
+$lang['mu_browse'] = 'P膩rl奴kot';
+$lang['mu_toobig'] = 'par lielu';
+$lang['mu_ready'] = 'gatavs aug拧upiel膩dei';
+$lang['mu_done'] = 'pabeigts';
+$lang['mu_fail'] = 'neizdev膩s';
+$lang['mu_authfail'] = 'sesijas laiks iztec膿jis';
+$lang['mu_progress'] = '@PCT@% aug拧upiel膩d膿ts';
+$lang['mu_filetypes'] = 'At募autie failu tipi';
+$lang['mu_info'] = 'faili ir aug拧upiel膩d膿ti.';
+$lang['mu_lasterr'] = 'P膿d膿j膩 姆募奴da.';
+$lang['recent_global'] = 'Tu skati izmai艈as noda募膩 <b>%s</b>. Ir iesp膿jams <a href="%s">skat墨t jaun膩kos groz墨jums vis膩 viki</a>. ';
+$lang['years'] = 'pirms %d gadiem';
+$lang['months'] = 'pirms %d m膿ne拧iem';
+$lang['weeks'] = 'pirms % ned膿募膩m';
+$lang['days'] = 'pirms %d dien膩m';
+$lang['hours'] = 'pirms %d stund膩m';
+$lang['minutes'] = 'pirms %d min奴t膿m';
+$lang['seconds'] = 'pirms %d sekund膿m';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/locked.txt
new file mode 100644
index 000000000..7d57ce98b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/locked.txt
@@ -0,0 +1,5 @@
+====== Lapa aiz艈emta ======
+
+Lapa aiz艈emta, to patlaban labo cits lietot膩js. Tev ir j膩gaida, kam膿r to pabeigs labot vai ar墨 iztec膿s labot膩jam atv膿l膿tais laiks.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/login.txt
new file mode 100644
index 000000000..a98d21d1a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/login.txt
@@ -0,0 +1,3 @@
+====== Login ======
+Tu neesi ielogojies! Ievadi savu lietot膩jv膩rdu un paroli. P膩rl奴kprogrammai j膩pie艈em //cookies//.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/mailtext.txt
new file mode 100644
index 000000000..cd4a5d6d8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/mailtext.txt
@@ -0,0 +1,18 @@
+Tav膩 DokuWiki pievienota vai labota lapa. 艩eit ir s墨k膩kas zi艈as:
+
+Datums : @DATE@
+P膩rl奴ks : @BROWSER@
+IP adrese : @IPADDRESS@
+Dators : @HOSTNAME@
+Vec膩 versija : @OLDPAGE@
+Jaun膩 versija: @NEWPAGE@
+Anot膩cija : @SUMMARY@
+Lietot膩js : @USER@
+
+@DIFF@
+
+
+--
+V膿stuli nos奴t墨jusi DokuWiki programma no
+@DOKUWIKIURL@ datora
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/newpage.txt
new file mode 100644
index 000000000..a4a05fdc5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/newpage.txt
@@ -0,0 +1,5 @@
+====== 艩姆irklis v膿l nav izveidots ======
+
+Tu izv膿l膿jies saiti uz v膿l neizveidotu 拧姆irkli. Ja ties墨bas 募auj, vari to izveidot, uzklik拧姆inot uz pogas ''Izveidot lapu''.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/norev.txt
new file mode 100644
index 000000000..b7c46245f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/norev.txt
@@ -0,0 +1,5 @@
+====== Nav 拧膩das versijas ======
+
+Nor膩d墨t膩s lapas versijas nav. Lieto pogu ''Vec膩s versijas'', lai redz膿tu dokumenta veco versiju sarakstu.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/preview.txt
new file mode 100644
index 000000000..c3d618a12
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/preview.txt
@@ -0,0 +1,5 @@
+====== Priek拧skats ======
+
+Tavs teksts izskat墨sies 拧膩di. Iev膿ro, tas v膿l **nav saglab膩ts** !
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/pwconfirm.txt
new file mode 100644
index 000000000..308ca29a1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/pwconfirm.txt
@@ -0,0 +1,14 @@
+Sveiki, @FULLNAME@!
+
+K膩ds piepras墨jis jaunu paroli tavam @TITLE@ kontam
+@DOKUWIKIURL@ sist膿m膩.
+
+Ja paroli neesi pras墨jis, ignor膿 拧o v膿stuli.
+
+Lai apstiprin膩tu, ka esi paroli piepras墨jis lieto nor膩d墨to saiti.
+
+@CONFIRM@
+
+--
+艩o v膿stuli 模ener膿jusi DokuWiki no
+@DOKUWIKIURL \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/read.txt
new file mode 100644
index 000000000..876e53cb8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/read.txt
@@ -0,0 +1,4 @@
+艩墨 lapa ir tikai las膩ma. Vari apskat墨t izejas kodu, bet nevari to main墨t. Ja dom膩, ka tas nav pareizi, vaic膩 administratoram.
+
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/recent.txt
new file mode 100644
index 000000000..70cf1aa1e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/recent.txt
@@ -0,0 +1,8 @@
+====== Jaun膩kie groz墨jumi ======
+
+Jaun膩kie labojumi ir:
+
+
+
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/register.txt
new file mode 100644
index 000000000..5e6477d37
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/register.txt
@@ -0,0 +1,4 @@
+====== Jauna lietot膩ja re模istr膩cija ======
+
+Lai izveidotu jaunu kontu, aizpildi visas pras墨t膩s ailes. P膩rliecinies, ka uzdod **der墨gu pasta adresi**, jo jauno paroli tev nos奴t墨s pa pastu. Lietot膩jv膩rdam j膩atbilst [[doku>pagename|wiki v膩rdu nosac墨jumiem]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/registermail.txt
new file mode 100644
index 000000000..50fd8a92b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/registermail.txt
@@ -0,0 +1,14 @@
+Re模istr膿ts jauns lietot膩js. T膩 dati:
+
+Lietot膩jv膩rds : @NEWUSER@
+Pilns v膩rds : @NEWNAME@
+E-pasts : @NEWEMAIL@
+
+Datums : @DATE@
+P膩rl奴ks : @BROWSER@
+IP aderese : @IPADDRESS@
+Datora v膩rds: @HOSTNAME@
+
+--
+艩o v膿stuli 模ener膿jis DokuWiki no
+@DOKUWIKIURL \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/resendpwd.txt
new file mode 100644
index 000000000..3f4597ac0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/resendpwd.txt
@@ -0,0 +1,3 @@
+====== Nos奴t墨t jaunu paroli ======
+
+Azipildi zem膩k pras墨to, lai sa艈emtu savam kontam jaunu paroli. Jauno paroli nos奴t墨s uz re模istr膿to e-pasta adresi. Lietot膩ja v膩rdam j膩b奴t tavam //wiki sist膿mas// lietot膩jav膩rdam.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/revisions.txt
new file mode 100644
index 000000000..51ad8495d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/revisions.txt
@@ -0,0 +1,5 @@
+====== Vec膩s versijas ======
+
+Dokumentam ir 拧膩das vec膩s versijas. Lai atgrieztos pie vec膩 varianta, izv膿lies to no saraksta, uzklik拧姆ini uz "Labot 拧o lapu" un saglab膩 to.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/searchpage.txt
new file mode 100644
index 000000000..22eb55f04
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/searchpage.txt
@@ -0,0 +1,4 @@
+====== Mekl膿拧ana ======
+
+Te vari redz膿t mekl膿拧anas rezult膩tus. Ja neatradi mekl膿to, nospie啪ot pogu "Labot lapu", vari izveidot jaunu lapu ar tevis mekl膿tajiem atsl膿gv膩rdiem nosaukum膩.
+===== Atrasts =====
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/showrev.txt
new file mode 100644
index 000000000..7d5c0fa07
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/showrev.txt
@@ -0,0 +1,2 @@
+**艩墨 ir veca dokumenta versija!**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/stopwords.txt
new file mode 100644
index 000000000..846c86946
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/stopwords.txt
@@ -0,0 +1,48 @@
+# 艩is ir to v膩rdu sarakstus, kurus indeks膿t膩js ne艈em v膿r膩. Katru v膩rdu sav膩 rind膩!
+# Labojot failu iev膿ro, ja j膩lieto UNIX rindu aplauzumi (single newline)
+# Nevajag likt sarakst膩 par 3 burtiem 墨s膩kus v膩rdus, tos t膩 pat ne艈em v膿r膩
+# Ang募u valodai saraksts 艈emts no http://www.ranks.nl/stopwords/
+gar
+par
+p膩r
+pret
+starp
+caur
+uz
+aiz
+apak拧
+bez
+iz
+kop拧
+no
+p膿c
+pie
+pirms
+priek拧
+uz
+virs
+zem
+apak拧pus
+膩rpus
+aug拧pus
+iek拧pus
+lejpus
+otrpus
+拧aipus
+vi艈pus
+virspus
+d膿募
+labad
+p膿c
+l墨dz
+pa
+vai
+j膩
+n膿
+kaut
+nav
+itin
+jo
+ta膷u
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/subscribermail.txt
new file mode 100644
index 000000000..1395009f0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/subscribermail.txt
@@ -0,0 +1,23 @@
+Sveiki!
+
+@TITLE@ wiki ir main墨jusies lapa @PAGE@ .
+
+At拧姆ir墨bas ir:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+Datums : @DATE@
+Lietot膩js : @USER@
+Izmai艈u anot膩cija: @SUMMARY@
+Vec膩 versijan: @OLDPAGE@
+Jaun膩 versija: @NEWPAGE@
+
+Lai atteiktos no 拧墨m v膿stul膿m, ielogojies wiki sist膿m膩
+@DOKUWIKIURL@, tad apmekl膿 @NEWPAGE@
+uz izv膿lies 'Atteikties no izmai艈u pazi艈ojumiem'.
+
+--
+Vestuli izveidoja
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/updateprofile.txt
new file mode 100644
index 000000000..12fbd8de4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/updateprofile.txt
@@ -0,0 +1,8 @@
+====== Atjaunot sava konta datus ======
+
+J膩aizpilda tikai tie lauki, kuru saturu v膿lies main墨t. Nav iesp膿jams main墨t savu lietot膩jv膩rdu.
+
+
+
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/uploadmail.txt
new file mode 100644
index 000000000..5975d5bd0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/uploadmail.txt
@@ -0,0 +1,14 @@
+Fails aug拧upiel膩d膿ts DokuWiki. S墨k膩kas zi艈as:
+
+Fails : @MEDIA@
+Datums : @DATE@
+P膩rl奴ks : @BROWSER@
+IP adrese : @IPADDRESS@
+Datora v膩rds : @HOSTNAME@
+Izm膿rs : @SIZE@
+MIME tips : @MIME@
+Lietot膩js : @USER@
+
+--
+V膿stuli nos奴t墨jusi DokuWiki programma no
+@DOKUWIKIURL@ datora. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/wordblock.txt
new file mode 100644
index 000000000..aa7051c8d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/lv/wordblock.txt
@@ -0,0 +1,4 @@
+====== SPAMs blo姆膿ts ======
+
+Tavas izmai艈as **nav** saglab膩tas, jo satur vienu vai vair膩kus aizliegtos v膩rdus. Ja uzskati, ka t膩 ir k募奴da, sazinies ar administratoru.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/admin.txt
new file mode 100644
index 000000000..2c4fc3f6c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/admin.txt
@@ -0,0 +1,4 @@
+====== Administration ======
+
+Hitanao eo ambany lisitry ny asa fanaovana admin misy amin'ny DokuWiki.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/backlinks.txt
new file mode 100644
index 000000000..c625e6504
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/backlinks.txt
@@ -0,0 +1,5 @@
+====== Verindrohy ======
+
+Lisitr'ireo pejy misy rohy manondro amin'ity pejy ity.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/conflict.txt
new file mode 100644
index 000000000..96b369e39
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/conflict.txt
@@ -0,0 +1,6 @@
+====== A newer version exists ======
+
+Efa misy kinova vaovao ny tahirin-kevitra novainao. Rehefa misy olona hafa nanova koa nandritra anao nanova no mitranga ny toy izao.
+
+Jereo ny tsy fitoviany miseho etsy ambany ireo, avy eo safidio izay kinova tianao hotazonina. Raha misafidy ny bokotra ''Raketo'' ianao, dia ny nataonao no horaketina. Ny bokotra ''Aoka ihany'' tsindriana raha hitazonana izay kinova misy ao.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/denied.txt
new file mode 100644
index 000000000..edf20f1a1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/denied.txt
@@ -0,0 +1,4 @@
+====== Tsy tafiditra ======
+
+Miala tsiny fa tsy manana alalana hanohizana mankany ianao. Angamba hadinonao ny niditra.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/diff.txt
new file mode 100644
index 000000000..8d7d69b45
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/diff.txt
@@ -0,0 +1,4 @@
+====== Tsy fitoviana ======
+
+Ireto ny maha-samihafa ny kinova nosafidiana sy ny kinovan'ny pejy amin'izao.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/edit.txt
new file mode 100644
index 000000000..2cde9deb1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/edit.txt
@@ -0,0 +1,2 @@
+Rehefa avy manova ny pejy dia tsindrio ny bokotra ''Raketo''. Jereo ny [[wiki:syntax]] misy ny fomba fanoratana. Raha misy zavatra tianao handramana dia ianaro ao amin'ny [[wiki:playground]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/editrev.txt
new file mode 100644
index 000000000..a6ff5ba32
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/editrev.txt
@@ -0,0 +1,2 @@
+**Kinovan'ny pejy taloha no nosokafanao!** Raha raketinao io, dia hanamboatra kinova vaovao miaraka amin'io ianao.
+---- \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/index.txt
new file mode 100644
index 000000000..614fd6434
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/index.txt
@@ -0,0 +1,4 @@
+====== Index ======
+
+Ity misy index mahasarona ireo pejy misy milahatra arakaraka ny [[doku>namespaces|namespaces]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/lang.php
new file mode 100644
index 000000000..bae292363
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/lang.php
@@ -0,0 +1,135 @@
+<?php
+/**
+ * mg language file
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+
+$lang['btn_edit'] = 'Hanova ny pejy';
+$lang['btn_source'] = 'Asehoy ny source';
+$lang['btn_show'] = 'Asehoy ny pejy';
+$lang['btn_create'] = 'Amboary ity pejy';
+$lang['btn_search'] = 'Hikaroka';
+$lang['btn_save'] = 'Raketo';
+$lang['btn_preview']= 'Topi-maso';
+$lang['btn_top'] = 'Ho ery ambony';
+$lang['btn_newer'] = '<< taloha kokoa';
+$lang['btn_older'] = 'taoriana kokoa >>';
+$lang['btn_revs'] = 'Kinova taloha';
+$lang['btn_recent'] = 'Fiovana farany';
+$lang['btn_upload'] = 'Alefaso';
+$lang['btn_cancel'] = 'Aoka ihany';
+$lang['btn_index'] = 'Index';
+$lang['btn_secedit']= 'Edit';
+$lang['btn_login'] = 'Hiditra';
+$lang['btn_logout'] = 'Hivoaka';
+$lang['btn_admin'] = 'Admin';
+$lang['btn_update'] = 'Update';
+$lang['btn_delete'] = 'Fafao';
+$lang['btn_back'] = 'Miverina';
+$lang['btn_backtomedia'] = 'Fitsongana fichier Media';
+
+$lang['loggedinas'] = 'Anaranao:';
+$lang['user'] = 'Anarana';
+$lang['pass'] = 'Alahidy';
+$lang['passchk'] = 'Ataovy indray';
+$lang['remember'] = 'Tsarovy';
+$lang['fullname'] = 'Anarana feno';
+$lang['email'] = 'Imailaka';
+$lang['register'] = 'Hisoratra';
+$lang['badlogin'] = 'Miala tsiny fa misy diso ny anarana na ny alahidy.';
+
+$lang['regmissing'] = 'Tsy maintsy fenoina ny saha rehetra.';
+$lang['reguexists'] = 'Indrisy fa efa nisy namandrika io anarana io.';
+$lang['regsuccess'] = 'Voaforona ny kaontinao, halefa any imailaka ny alahidy.';
+$lang['regsuccess2']= 'Voaforona ilay kaonty.';
+$lang['regmailfail']= 'Ohatra ny nisy olana ny nandefasana imailaka. Miangavy anao hilaza ny Admin!';
+$lang['regbadmail'] = 'Toa tsy mandeha ny imailaka nomenao - Raha heverinao fa erreur io dia ilazao ny admin';
+$lang['regbadpass'] = 'Tsy mitovy ny alahidy roa nomenao, avereno indray.';
+$lang['regpwmail'] = 'Ny alahidy Wiki-nao';
+$lang['reghere'] = 'Mbola tsy manana kaonty ianao? Manaova vaovao';
+
+$lang['txt_upload'] = 'Misafidiana rakitra halefa';
+$lang['txt_filename'] = 'Ampidiro ny anaran\'ny wiki (tsy voatery)';
+$lang['txt_overwrt'] = 'Fafana izay rakitra efa misy?';
+$lang['lockedby'] = 'Mbola voahidin\'i';
+$lang['lockexpire'] = 'Afaka ny hidy amin\'ny';
+$lang['willexpire'] = 'Efa ho lany fotoana afaka iray minitra ny hidy ahafahanao manova ny pejy.\nMba hialana amin\'ny conflit dia ampiasao ny bokotra topi-maso hamerenana ny timer-n\'ny hidy.';
+
+$lang['notsavedyet'] = 'Misy fiovana tsy voarakitra, ho very izany ireo.\nAzo antoka fa hotohizana?';
+$lang['rssfailed'] = 'An error occured while fetching this feed: ';
+$lang['nothingfound']= 'Tsy nahitana n\'inon\'inona.';
+
+$lang['mediaselect'] = 'Safidy rakitra Media';
+$lang['fileupload'] = 'Fandefasana rakitra Media';
+$lang['uploadsucc'] = 'Voalefa soa aman-tsara';
+$lang['uploadfail'] = 'Tsy lasa ilay izy. Mety tsy fananana alalana?';
+$lang['uploadwrong'] = 'Nolavina ny lefa. Voarara io extension-na rakitra io!';
+$lang['uploadexist'] = 'Efa misy ilay rakitra. Tsy nisy inona natao.';
+$lang['deletesucc'] = 'Voafafa ny rakitra "%s" .';
+$lang['deletefail'] = 'Tsy afaka nofafana ny "%s" - Hamarino ny alalana.';
+$lang['mediainuse'] = 'Tsy voafafa ny rakitra "%s" - mbola misy mampiasa io.';
+$lang['namespaces'] = 'Namespaces';
+$lang['mediafiles'] = 'Rakitra misy amin\'ny';
+
+$lang['reference'] = 'References for';
+$lang['ref_inuse'] = 'Tsy afaka fafana io rakitra io, satria mbola ampiasain\'ireto pejy ireto:';
+$lang['ref_hidden'] = 'Misy references vitsivitsy amina pejy tsy anananao alalana hamaky';
+
+$lang['hits'] = 'Hits';
+$lang['quickhits'] = 'Anaram-pejy mifanaraka';
+$lang['toc'] = 'Fizahan-takila';
+$lang['current'] = 'current';
+$lang['yours'] = 'Kinova-nao';
+$lang['diff'] = 'Asehoy ny tsy fitoviana amin\'ny kinova amin\'izao';
+$lang['line'] = 'Andalana';
+$lang['breadcrumb'] = 'Taiza ianao';
+$lang['lastmod'] = 'Novaina farany:';
+$lang['by'] = '/';
+$lang['deleted'] = 'voafafa';
+$lang['created'] = 'Voamboatra';
+$lang['restored'] = 'Naverina tamin\'ny kinova taloha';
+$lang['summary'] = 'Fanovana teo';
+
+$lang['mail_newpage'] = 'pejy niampy:';
+$lang['mail_changed'] = 'pejy niova:';
+
+$lang['js']['nosmblinks'] = "rohy mankamin\'ny fizarana Windows dia amin\'ny Microsoft Internet Explorer ihany no miasa.\nAzo atao ihany anefa ny manao dika-petaka ny rohy.";
+
+$lang['qb_bold'] = 'Matavy';
+$lang['qb_italic'] = 'Mandry';
+$lang['qb_underl'] = 'Voatsipika';
+$lang['qb_code'] = 'Code programa';
+$lang['qb_strike'] = 'Disoina';
+$lang['qb_h1'] = 'Lohateny laharana 1';
+$lang['qb_h2'] = 'Lohateny laharana 2';
+$lang['qb_h3'] = 'Lohateny laharana 3';
+$lang['qb_h4'] = 'Lohateny laharana 4';
+$lang['qb_h5'] = 'Lohateny laharana 5';
+$lang['qb_link'] = 'Rohy ato anatiny';
+$lang['qb_extlink'] = 'Rohy mivoaka';
+$lang['qb_hr'] = 'Tsipika marindrano';
+$lang['qb_ol'] = 'Tanisa milahatra';
+$lang['qb_ul'] = 'Tanisa tsy milahatra';
+$lang['qb_media'] = 'Hanampy sary na rakitra hafa';
+$lang['qb_sig'] = 'Manisy sonia';
+
+$lang['js']['del_confirm']= 'Hofafana ilay andalana?';
+
+$lang['admin_acl'] = 'Fitantanana ACL (Access Control List)...';
+$lang['admin_register']= 'Ampio mpampiasa vaovao...';
+
+$lang['acl_group'] = 'Vondrona';
+$lang['acl_user'] = 'Mpampiasa';
+$lang['acl_perms'] = 'Alalana @';
+$lang['page'] = 'Pejy';
+$lang['namespace'] = 'Namespace';
+
+$lang['acl_perm1'] = 'Mamaky';
+$lang['acl_perm2'] = 'Manova';
+$lang['acl_perm4'] = 'Manamboatra';
+$lang['acl_perm8'] = 'Mandefa rakitra';
+$lang['acl_perm16'] = 'Mamafa';
+$lang['acl_new'] = 'Ampio andalana vaovao';
+
+//Setup VIM: ex: et ts=2 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/locked.txt
new file mode 100644
index 000000000..5705659a3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/locked.txt
@@ -0,0 +1,4 @@
+====== Pejy voahidy ======
+
+Mbola ovain'olona hafa ity pejy ity ka voahidy aloha. Andraso kely ho vitany ny azy, na ho lany fotoana ilay hidy.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/login.txt
new file mode 100644
index 000000000..1ea3facb0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/login.txt
@@ -0,0 +1,4 @@
+====== Login ======
+
+Mbola tsy niditra ianao izao! Ampidiro eto ambany ny anarana sy ny alahidy. Ilaina manaiky cookies ny navigateur-nao raha hiditra.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/mailtext.txt
new file mode 100644
index 000000000..ca919345a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/mailtext.txt
@@ -0,0 +1,17 @@
+Nisy pejy niova tao amin'ny wiky. Ireto ny antsipiriany:
+
+Date : @DATE@
+Browser : @BROWSER@
+Adiresy IP : @IPADDRESS@
+Hostname : @HOSTNAME@
+Taloha : @OLDPAGE@
+Vaovao : @NEWPAGE@
+Fiovana : @SUMMARY@
+Novain'i : @USER@
+
+@DIFF@
+
+
+--
+Ity imailaka ity dia navoakan'ny wiki tao amin'ny
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/newpage.txt
new file mode 100644
index 000000000..a998caf28
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/newpage.txt
@@ -0,0 +1,3 @@
+====== Mbola tsy misy an'io pejy io ======
+
+Nanindry rohy manondro pejy mbola tsy misy ianao. Afaka amboarinao io pejy io, tsindrio ny bokotra ''Amboary ity pejy''
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/norev.txt
new file mode 100644
index 000000000..71ecb9ba4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/norev.txt
@@ -0,0 +1,4 @@
+====== Tsy misy io kinova io ======
+
+Tsy misy ny kinova voalaza. Ampiasao ny bokotra ''Kinova taloha'' hampisehoana ireo karazana fanovana natao tamin'ity pejy ity.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/preview.txt
new file mode 100644
index 000000000..52019cd73
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/preview.txt
@@ -0,0 +1,5 @@
+====== Topi-maso ======
+
+Topi-maso ahafahanao mijery ny fivoakan'ny soratra nataonao ity. Tandremo: Mbola **tsy voarakitra** io!
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/read.txt
new file mode 100644
index 000000000..0fe51f4a2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/read.txt
@@ -0,0 +1,3 @@
+Vakiana fotsiny ity pejy ity. Afaka jerenao ny source, saingy tsy afaka ovainao. Anontanio ny admin raha heverinao fa tsy mety izany.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/recent.txt
new file mode 100644
index 000000000..4bc8245a7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/recent.txt
@@ -0,0 +1,5 @@
+====== Fiovana farany ======
+
+Ireto pejy ireto no niova vao haingana.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/register.txt
new file mode 100644
index 000000000..618c1f97e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/register.txt
@@ -0,0 +1,5 @@
+====== Hanokatra kaonty vaovao ======
+
+Fenoy ny saha rehetra eto ambany raha hanokatra kaonty amin'ity wiki ity. Hamarino fa adiresy imailaka mandeha no omenao - halefa any mantsy ny alahidy. Ny anarana dia tsy maintsy manaraka ny fepetran'ny [[doku>pagename|pagename]].
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/revisions.txt
new file mode 100644
index 000000000..72704587a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/revisions.txt
@@ -0,0 +1,5 @@
+====== Kinova taloha ======
+
+Ireto ny kinovan'ny pejy taloha. Raha te hamerina kinova taloha ianao, tsongay eo ambany izy hisokatra, avy eo tsindrio ny bokotra ''Hanova ny pejy'' ary ''Soraty''.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/searchpage.txt
new file mode 100644
index 000000000..68c6271df
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/searchpage.txt
@@ -0,0 +1,7 @@
+====== Karoka ======
+
+Ireto ambany ireto ny valin'ny fikarohanao.
+
+Raha tsy nahita izay notadiavinao ianao, dia afaka mamorona pejy vaovao avy amin'ny teny nanaovanao fikarohana; Ampiasao ny bokotra ''Hanova ny pejy''.
+
+===== Vokatry ny fikarohana ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/showrev.txt
new file mode 100644
index 000000000..92690f4dd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/showrev.txt
@@ -0,0 +1,2 @@
+**Ity dia kinovan'ny pejy taloha!**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/wordblock.txt
new file mode 100644
index 000000000..581d67d16
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mg/wordblock.txt
@@ -0,0 +1,5 @@
+====== SPAM Voasakana ======
+
+Tsy voarakitra ny fanovana nataonao satria misy teny voarara ao. Raha nanandrana nandefa spam ny wiki ianao dia -- Alika maty! Raha heverinao fa error dia ilazao ny Admin.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/admin.txt
new file mode 100644
index 000000000..6f54384f2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/admin.txt
@@ -0,0 +1,3 @@
+====== 啶掂啶さ啶膏啶ムぞ啶え ======
+
+啶栢ぞ啶侧 啶む啶啶灌ぞ啶侧ぞ 啶∴啶曕啶啶掂た啶曕た 啶ぇ啷 啶夃お啶侧が啷嵿ぇ 啶呧じ啶侧啶侧啶ぞ 啶掂啶さ啶膏啶ムぞ啶え啶距啷嵿く啶 啶曕啶班た啶ぞ啶傕啷 啶膏啶氞 啶︵た啶侧 啶嗋す啷. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/backlinks.txt
new file mode 100644
index 000000000..997fa68e0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/backlinks.txt
@@ -0,0 +1,3 @@
+====== 啶啶班い啶苦げ啶苦啶 ======
+
+啶灌 啶む啶ぞ 啶膏ぐ啷嵿さ 啶啶班啶粪啶犩ぞ啶傕啷 啶膏啶氞 啶嗋す啷 啶溹 啶ぞ 啶啶粪啶犩ぞ啶侧ぞ 啶ぐ啶 啶侧た啶傕 啶曕ぐ啶むぞ啶. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/conflict.txt
new file mode 100644
index 000000000..2b1bb6423
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/conflict.txt
@@ -0,0 +1,5 @@
+====== 啶ㄠさ啷啶 啶嗋さ啷冟い啷嵿い啷 啶夃お啶侧が啷嵿ぇ 啶嗋す啷 ======
+
+啶む啶啶灌 啶膏啶ぞ啶︵た啶 啶曕啶侧啶侧啶ぞ 啶︵じ啷嵿い啶距さ啷囙啶距啷 啶ㄠさ啷啶 啶嗋さ啷冟い啷嵿い啷 啶夃お啶侧が啷嵿ぇ 啶嗋す啷. 啶む啶啶灌 啶膏啶ぞ啶︵た啶 啶曕ぐ啶 啶呧じ啶侧啶侧啶ぞ 啶︵じ啷嵿い啶距さ啷囙啶距ぎ啶о 啶む啶ぞ啶 啶掂啶赤 啶囙い啶 啶啶溹ぐ啶ㄠ 啶う啶 啶曕啶侧啶ぞ啶 啶呧じ啷 啶樴ぁ啶む.
+
+啶栢ぞ啶侧 啶︵ぐ啷嵿ざ啶距さ啶苦げ啷囙げ啷 啶ぐ啶 啶ㄠ啶 啶むお啶距じ啶 啶嗋ぃ啶 啶む啶ぞ啶啶曕 啶曕啶犩げ啷 啶犩啶掂ぞ啶啷 啶む 啶犩ぐ啶掂ぞ. 啶溹ぐ 啶む啶啶灌 '啶膏啶班啷嵿し啶苦い' 啶曕啶侧 啶むぐ 啶む啶啷 啶う啶 啶膏啶班啷嵿し啶苦い 啶灌啶む啶. 啶膏ぇ啷嵿く啶距啷 啶嗋さ啷冟い啷嵿い啶 啶犩啶掂ぃ啷嵿く啶距じ啶距啷 '啶曕啶ㄠ啶膏げ' 啶掂ぐ 啶曕啶侧た啶 啶曕ぐ啶. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/denied.txt
new file mode 100644
index 000000000..1b499f51d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/denied.txt
@@ -0,0 +1,3 @@
+====== 啶ぐ啶掂ぞ啶ㄠ啷 啶ㄠぞ啶曕ぞ啶班げ啷 ======
+
+啶曕啶粪ぎ啶 啶曕ぐ啶, 啶ぃ 啶む啶啶灌ぞ啶侧ぞ 啶ぞ啶啶⑧ 啶溹ぞ啶`啶ぞ啶氞 啶灌啷嵿 啶ㄠぞ啶灌啶. 啶曕う啶距啶苦い 啶む啶啶灌 啶侧啶椸た啶 啶曕ぐ啶距く啶侧ぞ 啶掂た啶膏ぐ啶侧ぞ 啶嗋す啶距い ? \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/diff.txt
new file mode 100644
index 000000000..f0a845056
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/diff.txt
@@ -0,0 +1,3 @@
+====== 啶ぐ啶 ======
+
+啶ぞ 啶ぞ啶ㄠぞ啶掂ぐ 啶む啶啶灌ぞ啶侧ぞ 啶ㄠた啶掂ぁ啶侧啶侧 啶嗋さ啷冟い啷嵿い啷 啶 啶膏ぇ啷嵿く啶距啷嵿く啶 啶嗋さ啷冟い啷嵿い啷 啶ぇ啶侧 啶ぐ啶 啶︵ぞ啶栢さ啶侧 啶嗋す啷囙い. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/draft.txt
new file mode 100644
index 000000000..aa74475d7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/draft.txt
@@ -0,0 +1,5 @@
+====== 啶じ啷佮う啷嵿く啶距啷 啶ぞ啶囙げ 啶た啶赤ぞ啶侧 ======
+
+啶む啶啶 啶ぞ啶椸啶 啶膏啶ぞ啶︵ぞ啶ㄠぞ啶氞ぞ 啶膏啶多え 啶ㄠ啶 啶啶班啶 啶澿ぞ啶侧ぞ 啶ㄠさ啷嵿す啶むぞ. 啶∴啶曕啶啶掂た啶曕た啶ㄠ 啶む啶啷嵿く啶 啶曕ぞ啶ぞ啶氞ぞ 啶じ啷佮う啶 啶嗋お啷嬥啶 啶膏啶班啷嵿し啶苦い 啶曕啶侧ぞ 啶灌啶むぞ , 啶溹 啶掂ぞ啶ぐ啷傕え 啶む啶啷 啶膏啶ぞ啶︵え 啶ぐ啶 啶氞ぞ啶侧 啶曕ぐ啷 啶多啶むぞ. 啶栢ぞ啶侧 啶む啶啷嵿く啶 啶ぞ啶椸啷嵿く啶 啶膏啶多え 啶ぇ啶侧ぞ 啶膏啶班啷嵿し啶苦い 啶曕啶侧啶侧ぞ 啶∴啶熰ぞ 啶︵ぞ啶栢さ啶侧ぞ 啶嗋す啷.
+
+啶曕啶く啶 啶嗋い啶 啶灌 啶犩ぐ啶掂ぞ 啶曕 啶む啶啷嵿く啶 啶膏啶ぞ啶︵え 啶膏啶多え啶氞 //啶啶ㄠぐ啷嵿じ啷嵿ぅ啶距お啶// 啶曕ぐ啶距く啶氞, 啶膏啶班啷嵿し啶苦い 啶曕啶侧啶侧ぞ 啶じ啷佮う啶 //啶班う啷嵿う// 啶曕ぐ啶距く啶氞ぞ 啶曕ぞ 啶膏啶ぞ啶︵え啶 //啶曕啶ㄠ啶膏げ// 啶曕ぐ啶距く啶氞. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/edit.txt
new file mode 100644
index 000000000..6c6347e70
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/edit.txt
@@ -0,0 +1 @@
+啶ぞ啶 啶膏啶ぞ啶︵た啶 啶曕ぐ啶 啶嗋ぃ啶 '啶膏啶班啷嵿し啶苦い' 啶掂ぐ 啶曕啶侧た啶 啶曕ぐ啶. 啶掂た啶曕 啶膏た啶ㄠ啶熰啶曕啶 啶膏ぞ啶犩 [[wiki:syntax]] 啶す啶.啶曕啶く啶 啶む啶啶灌 啶溹ぐ 啶忇啶距う啷 啶ぞ啶 **啶膏啶оぞ啶班た啶** 啶曕ぐ啷 啶多啶 啶呧じ啶距げ 啶むぐ啶 啶む 啶膏啶ぞ啶︵た啶 啶曕ぐ啶. 啶呧え啷嵿く啶ムぞ 啶溹ぐ 啶む啶啶灌ぞ啶侧ぞ 啷炧啷嵿い 啶曕ぞ啶灌 啶椸啶粪啶熰 啶熰啶班ぞ啶 啶曕ぐ啷傕え 啶啶距く啶氞啶ぞ 啶呧じ啶む啶 啶むぐ [[playground:playground|啶啶侧啶椸啶班ぞ啶娻ぃ啷嵿ぁ]] 啶ぇ啷 啶嗋お啶侧 啶оぁ啷 啶椸た啶班さ啶! \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/editrev.txt
new file mode 100644
index 000000000..d58c8abd0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/editrev.txt
@@ -0,0 +1,2 @@
+**啶む啶啷 啶ぞ 啶ぞ啶ㄠぞ啶氞 啶溹啶ㄠ 啶嗋さ啷冟い啷嵿い啷 啶侧啶 啶曕啶侧た 啶嗋す啷!** 啶溹ぐ 啶む啶啷 啶む 啶膏啶班啷嵿し啶苦い 啶曕啶侧 啶むぐ 啶む啶啷 啶む啶ぞ啶氞 啶忇 啶ㄠさ啷啶 啶嗋さ啷冟い啷嵿い啷 啶むく啶距ぐ 啶曕ぐ啶距げ.
+---- \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/index.txt
new file mode 100644
index 000000000..489b20435
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/index.txt
@@ -0,0 +1,3 @@
+====== 啶膏啶氞 ======
+
+啶灌 啶膏ぐ啷嵿さ 啶夃お啶侧が啷嵿ぇ 啶ぞ啶ㄠぞ啶傕啷 [[doku>namespaces|啶ㄠ啶じ啷嵿お啷囙じ]] 啶呧え啷佮じ啶距ぐ 啶むく啶距ぐ 啶曕啶侧啶侧 啶膏啶氞 啶嗋す啷. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/install.html
new file mode 100644
index 000000000..ddbf8245b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/install.html
@@ -0,0 +1,10 @@
+<p>啶灌 啶ぞ啶 <a href="http://dokuwiki.org">啶∴啶曕啶啶掂た啶曕た</a> 啶氞啶ぞ 啶す啶苦げ啷嵿く啶 啶囙え啷嵿じ啷嵿啷夃げ啷囙ざ啶 啶嗋ぃ啶 啶曕啶ㄠ啶た啶椸ぐ啷囙ざ啶 啶膏ぞ啶犩 啶う啶 啶曕ぐ啶む. 啶ぞ 啶囙啶膏啶熰啶侧ぐ 啶掂た啶粪く啷 啶溹ぞ啶膏啶む 啶ぞ啶灌た啶む 啶む啶ぞ啶氞啶ぞ
+<a href="http://dokuwiki.org/installer">啶ぞ啶灌た啶む啶膏啶椸啶班す 啶ぞ啶ㄠぞ啶掂ぐ</a> 啶夃お啶侧が啷嵿ぇ 啶嗋す啷.</p>
+
+<p> 啶∴啶曕啶啶掂た啶曕た 啶掂た啶曕 啶ぞ啶ㄠ 啶 啶膏ぎ啷嵿が啶傕ぇ啶苦い 啶ぞ啶灌た啶む ( 啶夃う啶. 啶啶熰 , 啶多啶 啶膏啶氞, 啶溹啶ㄠ啶ぞ 啶嗋さ啷冟い啷嵿い啷 啶.) 啶膏ぞ啶犩さ啶`啶ぞ啶膏ぞ啶犩 啶膏ぞ啶ぞ啶ㄠ啶 啶ぞ啶囙げ啶氞ぞ 啶夃お啶啶 啶曕ぐ啶む. 啶∴啶曕啶啶掂た啶曕た啶ㄠ 啶ㄠ啶 啶曕ぞ啶 啶曕ぐ啶`啶ぞ啶膏ぞ啶犩 啶∴啶曕啶啶掂た啶曕た啶侧ぞ 啶ぞ 啶ぞ啶囙げ 啶溹た啶ム 啶膏ぞ啶犩さ啶侧啶ぞ 啶嗋す啷囙い 啶む啶ぞ 啶∴た啶班啶曕啶熰ぐ啷啶ぇ啷 啶侧啶栢え啶距啷 啶灌啷嵿 ( write access ) 啶呧じ啶` <strong>啶呧い啷嵿く啶距さ啶多啶</strong> 啶嗋す啷. 啶ぞ 啶囙啶膏啶熰啶侧ぐ啶侧ぞ 啶∴た啶班啶曕啶熰ぐ啷啶氞 啶灌啷嵿 啶膏啶 啶曕ぐ啶むぞ 啶啶 啶ㄠぞ啶灌啶. 啶む 啶ム啶 啶む啶啷嵿く啶 啶多啶 啶ぇ啷傕え 啶膏啶 啶曕ぐ啶距さ啷 啶侧ぞ啶椸い啶距い, 啶曕た啶傕さ啶 啶む啶啶灌 啶掂啶ぞ啶掂じ啶距く啶苦 啶灌啶膏啶熰た啶傕 啶掂ぞ啶ぐ啶 啶呧じ啶距げ 啶むぐ FTP 啶掂ぞ啶ぐ啷傕え 啶呧ぅ啶掂ぞ 啶む啶啷嵿く啶 啶灌啶膏啶熰た啶傕 啶曕啶熰啶班啶 啶啶ㄠげ ( 啶夃う啶. cPanel 啶掂啷堗ぐ啷 ) 啶ぇ啷傕え 啶膏啶 啶曕ぐ啶距さ啷 啶侧ぞ啶椸い啶距い.</p>
+
+<p>啶灌ぞ 啶囙啶膏啶熰啶侧ぐ 啶む啶啷嵿く啶 啶∴啶曕啶啶掂た啶曕た啶氞 <acronym title="access control list">ACL</acronym> 啶曕啶ㄠ啶た啶椸ぐ啷囙ざ啶 啶犩ぐ啶掂啶, 啶溹啶ぞ啶︵啶掂ぞ啶班 啶む啶啶灌ぞ啶侧ぞ 啶掂啶さ啶膏啶ムぞ啶啷啶 啶侧啶椸た啶, 啶∴啶曕啶啶掂た啶曕た啶氞啶ぞ 啶掂啶さ啶膏啶ムぞ啶え 啶啶ㄠ 啶ぇ啷 啶啶侧啶苦え啶氞 啶囙え啷嵿じ啷嵿啷夃げ啷囙ざ啶, 啶膏う啶膏啶ぞ啶傕啷 啶掂啶さ啶膏啶ムぞ, 啶掂た啶曕 啶ぞ啶ㄠぞ啶傕さ啶班啶 啶灌啷嵿, 啶曕啶ㄠ啶た啶椸ぐ啷囙ざ啶 啶う啶侧ぃ啷 啶. 啶膏ぞ啶犩 啶啶班さ啷囙ざ啶距啷 啶灌啷嵿 啶掂啷堗ぐ啷 啶う啶 啶曕ぐ啶むぞ 啶啶む啶. 啶灌 啶掂啶さ啶膏啶ムぞ 啶∴啶曕啶啶掂た啶曕た 啶掂ぞ啶ぐ啶`啶ぞ啶膏ぞ啶犩 啶嗋さ啶多啶 啶ㄠぞ啶灌 啶ぃ 啶掂ぞ啶ぐ啶侧啶ぞ啶 啶∴啶曕啶啶掂た啶曕た啶氞 啶掂啶さ啶膏啶ムぞ啶え 啶呧ぇ啶苦 啶膏啶班こ啶苦い 啶灌啶囙げ.</p>
+
+<p>啶呧え啷佮き啶掂 啶膏う啶膏啶 啶曕た啶傕さ啶 啶溹啶ぞ啶ㄠぞ 啶曕ぞ啶灌 啶栢ぜ啶距じ 啶椸ぐ啶溹ぞ 啶呧じ啶む啶 啶む啶ぞ啶ㄠ 啶栢ぞ啶侧啶 啶侧た啶傕啷嵿じ 啶掂ぞ啶ぐ啶距さ啷嵿く啶距い :
+<a href="http://dokuwiki.org/install">啶囙え啷嵿じ啷嵿啷夃げ啷囙ざ啶ㄠさ啶苦し啶 啶膏啶氞え啶</a>
+and <a href="http://dokuwiki.org/config">啶曕啶ㄠ啶た啶椸ぐ啷囙ざ啶ㄠ啷 啶膏啶熰た啶傕</a></p> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/lang.php
new file mode 100644
index 000000000..715d51119
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/lang.php
@@ -0,0 +1,229 @@
+<?php
+/**
+ * mr language file
+ *
+ * This file was initially built by fetching translations from other
+ * Wiki projects. See the @url lines below. Additional translations
+ * and fixes where done for DokuWiki by the people mentioned in the
+ * lines starting with @author
+ *
+ * @url http://svn.wikimedia.org/viewvc/mediawiki/trunk/phase3/languages/messages/MessagesMr.php?view=co
+ * @author ghatothkach@hotmail.com
+ * @author Padmanabh Kulkarni <kulkarnipadmanabh@gmail.com>
+ * @author Padmanabh Kulkarni<kulkarnipadmanabh@gmail.com>
+ * @author shantanoo@gmail.com
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '`';
+$lang['singlequoteclosing'] = '\'';
+$lang['apostrophe'] = '\'';
+$lang['btn_edit'] = '啶灌 啶啶粪啶 啶膏啶ぞ啶︵た啶 啶曕ぐ啶';
+$lang['btn_source'] = '啶ぞ啶ㄠぞ啶氞ぞ 啶膏啶む啶班啶 啶︵ぞ啶栢さ啶 ';
+$lang['btn_show'] = '啶ぞ啶 啶︵ぞ啶栢さ啶';
+$lang['btn_create'] = '啶灌 啶啶粪啶 啶侧啶灌ぞ';
+$lang['btn_search'] = '啶多啶оぞ';
+$lang['btn_save'] = '啶膏啶班啷嵿し啶苦い';
+$lang['btn_preview'] = '啶澿げ啶';
+$lang['btn_top'] = '啶ぐ啶 啶掂ぐ';
+$lang['btn_newer'] = '啶溹ぞ啶膏啶 啶呧げ啷啶曕ぁ啶氞';
+$lang['btn_older'] = '啶曕ぎ啷 啶呧げ啷啶曕ぁ啶氞';
+$lang['btn_revs'] = '啶溹啶ㄠ啶ぞ 啶嗋さ啷嵿ぐ啷佮い啷嵿い啷';
+$lang['btn_recent'] = '啶呧げ啷啶曕ぁ啷啶 啶う啶';
+$lang['btn_upload'] = '啶呧お啶侧啶';
+$lang['btn_cancel'] = '啶班う啷嵿う 啶曕ぐ啶';
+$lang['btn_index'] = '啶膏啶氞た';
+$lang['btn_secedit'] = '啶膏啶ぞ啶︵え';
+$lang['btn_login'] = '啶啶班さ啷囙ざ 啶曕ぐ啶';
+$lang['btn_logout'] = '啶ぞ啶灌啶 啶ぁ啶';
+$lang['btn_admin'] = '啶呧ぇ啶苦啶距ぐ啷';
+$lang['btn_update'] = '啶呧う啷嵿く啶ぞ啶掂い';
+$lang['btn_delete'] = '啶ㄠし啷嵿';
+$lang['btn_back'] = '啶ぞ啶椸';
+$lang['btn_backlink'] = '啶啶ム 啶曕ぞ啶 啶溹啶∴げ啷 啶嗋す啷';
+$lang['btn_backtomedia'] = '啶ぐ啶 啶ぞ啶о啶ぎ 啶ぞ啶囙げ 啶ㄠた啶掂ぁ啷啶曕啷';
+$lang['btn_subscribe'] = '啶啶粪啶犩ぞ啶氞啶ぞ 啶う啶侧ぞ啶傕啷 啶啶班さ啶` (啶啶) 啶侧ぞ啶掂ぞ ';
+$lang['btn_unsubscribe'] = '啶啶粪啶犩ぞ啶氞啶ぞ 啶う啶侧ぞ啶傕啷 啶啶班さ啶` (啶啶) 啶啶 啶曕ぐ啶';
+$lang['btn_subscribens'] = '啶ㄠぞ啶じ啶啶灌ぞ啶氞啶ぞ (啶ㄠ啶じ啷嵿お啷囙じ) 啶う啶侧ぞ啶傕啷 啶啶班さ啶` (啶啶) 啶侧ぞ啶掂ぞ';
+$lang['btn_unsubscribens'] = '啶ㄠぞ啶じ啶啶灌ぞ啶氞啶ぞ (啶ㄠ啶じ啷嵿お啷囙じ) 啶う啶侧ぞ啶傕啷 啶啶班さ啶` (啶啶) 啶啶 啶曕ぐ啶';
+$lang['btn_profile'] = '啶啶班啶ぞ啶囙げ 啶呧う啷嵿く啶ぞ啶掂い 啶曕ぐ啶';
+$lang['btn_reset'] = '啶班た啶膏啶';
+$lang['btn_resendpwd'] = '啶曕啶く啶 啶ぐ啶掂げ啷啶氞ぞ 啶ㄠさ啷啶 啶多が啷嵿う 啶ぞ啶澿啶ぞ 啶囙ぎ啷囙げ 啶い啷嵿い啷嵿く啶距さ啶 啶ぞ啶犩さ啶苦ぃ啷.';
+$lang['btn_draft'] = '啶啶班い 啶膏啶ぞ啶︵え';
+$lang['btn_recover'] = '啶啶班い 啶ぐ啶 啶た啶赤さ啶';
+$lang['btn_draftdel'] = '啶啶班い 啶班う啷嵿う';
+$lang['loggedinas'] = '啶侧啶椸た啶 啶ㄠぞ啶';
+$lang['user'] = '啶掂ぞ啶ぐ啶曕ぐ啷嵿い啶';
+$lang['pass'] = '啶ぐ啶掂げ啷啶氞ぞ 啶多が啷嵿う';
+$lang['newpass'] = '啶ㄠさ啷啶 啶ぐ啶掂げ啷啶氞ぞ 啶多が啷嵿う';
+$lang['oldpass'] = '啶膏ぇ啷嵿く啶距啶 啶ぐ啶掂げ啷啶氞ぞ 啶多が啷嵿う 啶ㄠ啷嵿啷 啶曕ぐ啶';
+$lang['passchk'] = '啶ぐ啶 啶忇啶︵ぞ';
+$lang['remember'] = '啶侧啷嵿し啶距い 啶犩啶掂ぞ';
+$lang['fullname'] = '啶啶班啶 啶ㄠぞ啶掂';
+$lang['email'] = '啶囙ぎ啷囙げ';
+$lang['register'] = '啶ㄠ啶傕う啶`';
+$lang['profile'] = '啶掂ぞ啶ぐ啶曕ぐ啷嵿い啷嵿く啶距啷 啶ぞ啶灌た啶む';
+$lang['badlogin'] = '啶ぞ啶ぜ 啶曕ぐ啶, 啶掂ぞ啶ぐ啶曕ぐ啷嵿い啶 啶ㄠぞ啶掂ぞ啶 啶曕た啶傕さ啶 啶ぐ啶掂げ啷啶氞啶ぞ 啶多が啷嵿う啶距い 啶氞啶 啶澿ぞ啶侧 啶嗋す啷.';
+$lang['minoredit'] = '啶涏啶熰 啶う啶';
+$lang['draftdate'] = '啶啶班い 啶嗋お啷嬥啶 啶膏啶班啷嵿し啶苦い 啶曕啶侧啶ぞ啶氞 啶むぞ啶班啶';
+$lang['nosecedit'] = '啶ぇ啷嵿く啶傕い啶班啶氞啶ぞ 啶曕ぞ啶赤ぞ啶 啶灌 啶啶粪啶 啶う啶侧げ啷 啶嗋す啷.啶掂た啶ぞ啶椸ぞ啶氞 啶ぞ啶灌た啶む 啶溹啶ㄠ 啶澿ぞ啶侧 啶灌啶む. 啶む啶ぞ啶愢さ啶溹 啶膏が啶傕ぇ 啶啶粪啶 啶ぐ啶 啶侧啶 啶曕啶侧 啶嗋す啷.';
+$lang['regmissing'] = '啶曕啶く啶 啶膏ぐ啷嵿さ 啶班啶距え啷 啶ぐ啶.';
+$lang['reguexists'] = '啶ぞ 啶ㄠぞ啶掂ぞ啶ㄠ 啶膏う啶膏啶ぞ啶氞 啶ㄠ啶傕う啶` 啶澿ぞ啶侧啶侧 啶嗋す啷, 啶曕啶く啶 啶︵啶膏ぐ啷 啶膏う啶膏啶 啶ㄠぞ啶 啶ㄠた啶掂ぁ啶.';
+$lang['regsuccess'] = '啶膏う啶膏啶ぞ啶氞 啶ㄠ啶傕う啶` 啶澿ぞ啶侧 啶嗋す啷 啶嗋ぃ啶 啶ぐ啶掂げ啷啶氞ぞ 啶多が啷嵿う 啶囙ぎ啷囙げ 啶曕啶侧ぞ 啶嗋す啷.';
+$lang['regsuccess2'] = '啶膏う啶膏啶ぞ啶氞 啶ㄠ啶傕う啶` 啶澿ぞ啶侧.';
+$lang['regmailfail'] = '啶ぐ啶掂げ啷啶氞ぞ 啶多が啷嵿う啶距啷 啶囙ぎ啷囙げ 啶ぞ啶犩さ啶`啶ぞ啶 啶氞啶 啶澿ぞ啶侧 啶嗋す啷, 啶曕啶班啶く啶 啶膏啶氞ぞ啶侧啶距啶多 啶膏啶ぐ啷嵿 啶膏ぞ啶оぞ.';
+$lang['regbadmail'] = '啶む啶啶灌 啶︵た啶侧啶侧ぞ 啶堗ぎ啷囙げ 啶ぐ啷嬥が啶 啶ㄠぞ啶灌 啶呧じ啷 啶︵た啶膏い啷 - 啶む啶啷嵿く啶 啶い啷 啶灌 啶氞啶 啶呧じ啶侧啶ぞ啶 啶膏ぞ啶堗啶氞啶ぞ 啶掂啶さ啶膏啶ムぞ啶啶距ざ啷 啶膏啶ぐ啷嵿 啶膏ぞ啶оぞ.';
+$lang['regbadpass'] = '啶嗋お啶侧ぞ 啶ぐ啶掂げ啷啶氞ぞ 啶多が啷嵿う 啶氞啶曕啶氞ぞ 啶嗋す啷.';
+$lang['regpwmail'] = '啶む啶啶 啶∴啶曕啶啶掂た啶曕た 啶ぐ啶掂げ啷.';
+$lang['reghere'] = '啶呧啷佮え 啶む啶啷 啶栢ぞ啶む 啶ㄠぞ啶灌 ? 啶忇 啶夃啶∴啶 啶熰ぞ啶曕ぞ.';
+$lang['profna'] = '啶灌啶ぞ 啶掂た啶曕 啶ぇ啷 啶啶班啶ぞ啶囙げ 啶う啶侧ぃ啷嵿く啶距啷 啶膏啶掂た啶оぞ 啶ㄠぞ啶灌.';
+$lang['profnochange'] = '啶曕ぞ啶灌 啶う啶 啶ㄠぞ啶灌た啶. 啶曕ぐ啶`啶ぞ啶膏ぞ啶班啷 啶曕ぞ啶灌 啶ㄠぞ啶灌.';
+$lang['profnoempty'] = '啶班た啶曕ぞ啶 啶ㄠぞ啶 啶曕た啶傕さ啶 啶堗ぎ啷囙げ 啶氞ぞ啶侧い 啶ㄠぞ啶灌.';
+$lang['profchanged'] = '啶膏う啶膏啶ぞ啶氞 啶啶班啶ぞ啶囙げ 啶呧う啷嵿く啶ぞ啶掂い 啶澿ぞ啶侧 啶嗋す啷.';
+$lang['pwdforget'] = '啶ぐ啶掂げ啷啶氞ぞ 啶多が啷嵿う 啶掂た啶膏ぐ啶侧ぞ 啶嗋す啷 啶曕ぞ? 啶ㄠさ啶苦え 啶ぞ啶椸さ啶.';
+$lang['resendna'] = '啶灌啶ぞ 啶掂た啶曕 啶ぇ啷 啶ぐ啶掂げ啷啶氞ぞ 啶多が啷嵿う 啶ぐ啶 啶ぞ啶ムぞ啶掂啶ㄠ啶ぞ啶氞 啶膏啶掂た啶оぞ 啶ㄠぞ啶灌.';
+$lang['resendpwd'] = '啶ㄠさ啶苦え 啶ぐ啶掂げ啷 啶囙啷嵿啷佮';
+$lang['resendpwdmissing'] = '啶曕啶く啶 啶膏ぐ啷嵿さ 啶班啶距え啷 啶ぐ啶.';
+$lang['resendpwdnouser'] = '啶ぞ啶ぜ 啶曕ぐ啶, 啶灌ぞ 啶膏う啶膏啶 啶嗋ぎ啶氞啶ぞ 啶ぞ啶灌た啶むた啶膏啶椸啶班す啶距い 啶膏ぞ啶ぁ啶侧ぞ 啶ㄠぞ啶灌.';
+$lang['resendpwdbadauth'] = '啶ぞ啶ぜ 啶曕ぐ啶, 啶灌ぞ 啶呧ぇ啶苦啶距ぐ 啶曕啶 啶ぐ啷嬥が啶 啶ㄠぞ啶灌. 啶曕啶く啶 啶嗋お啶 啶啶班啶 啶多た啶曕ぞ啶啶班啶むが啶距啷 啶侧た啶傕 啶掂ぞ啶ぐ啶侧啶ぞ啶氞 啶栢ぞ啶む啶班 啶曕ぐ啶.';
+$lang['resendpwdconfirm'] = '啶多た啶曕啶曕ぞ啶啶班啶むが啶距啷 啶侧た啶傕 啶堗ぎ啷囙げ 啶︵啶掂ぞ啶班ぞ 啶ぞ啶犩さ啶侧 啶嗋す啷.';
+$lang['resendpwdsuccess'] = '啶多た啶曕啶曕ぞ啶啶班啶むが啶距啷 啶侧た啶傕 啶堗ぎ啷囙げ 啶︵啶掂ぞ啶班ぞ 啶ぞ啶犩さ啶侧 啶嗋す啷.';
+$lang['license'] = '啶掂た啶多た啶粪啶 啶ㄠ啶傕う 啶曕啶侧た 啶ㄠじ啶侧啶ぞ啶 啶灌啶ぞ 啶掂た啶曕 啶掂ぐ啷啶 啶膏ぐ啷嵿さ 啶啶曕啶 啶栢ぞ啶侧啶 啶侧ぞ啶じ啶ㄠ啶 啶ぇ啷 啶啶∴い啷 : ';
+$lang['licenseok'] = '啶ㄠ啶傕う : 啶灌 啶啶粪啶 啶膏啶ぞ啶︵た啶 啶曕啶侧啶ぞ啶 啶む啶啶灌 啶む啶啷 啶啶椸う啶距え 啶栢ぞ啶侧啶 啶侧ぞ啶じ啶ㄠ啶 啶呧啶むぐ啷嵿啶 啶啶囙げ : ';
+$lang['txt_upload'] = '啶呧お啶侧啶 啶曕ぐ啶`啶ぞ啶氞 啶ぞ啶囙げ 啶ㄠた啶掂ぁ啶';
+$lang['txt_filename'] = '啶呧お啶侧啶 啶夃ぐ啷嵿 ( 啶掂啶曕げ啷嵿お啶苦 )';
+$lang['txt_overwrt'] = '啶呧じ啷嵿い啶苦い啷嵿さ啶距い 啶呧じ啶侧啶侧啶ぞ 啶ぞ啶囙げ啶掂ぐ啶 啶膏啶班啷嵿し啶苦い 啶曕ぐ啶.';
+$lang['lockedby'] = '啶膏ぇ啷嵿く啶 啶侧啶 啶曕ぐ啶`ぞ啶班ぞ :';
+$lang['lockexpire'] = '啶膏ぇ啷嵿く啶 啶侧啶 啶曕ぐ啶`ぞ啶班ぞ :';
+$lang['willexpire'] = '啶灌 啶啶粪啶 啶膏啶ぞ啶︵た啶 啶曕ぐ啶`啶ぞ啶膏ぞ啶犩 啶た啶赤ぞ啶侧啶侧 啶侧啶 啶忇啶距う啷嵿く啶 啶た啶ㄠた啶熰ぞ啶 啶膏啶ぃ啶距ぐ 啶嗋す啷.\n 啶氞啶曕ぞ 啶灌啶 啶ㄠく啷囙い 啶啶灌ぃ啷佮え 啶曕啶く啶 啶啶班啶掂啶 啶啶 啶︵ぞ啶啶 啶侧啶 啶氞 啶掂啶 啶啶ㄠ啶灌ぞ 啶氞ぞ啶侧 啶曕ぐ啶.';
+$lang['notsavedyet'] = '啶膏啶班啷嵿し啶苦い 啶 啶曕啶侧啶侧 啶う啶 啶ㄠし啷嵿 啶灌啶む啶. 啶ㄠ啷嵿啷 啶曕ぐ啷 啶曕ぞ ?';
+$lang['rssfailed'] = '啶灌 啶啶班さ啶` 啶嗋ぃ啶`啶ぞ啶 啶曕ぞ啶灌 啶氞啶 啶澿ぞ啶侧:';
+$lang['nothingfound'] = '啶曕ぞ啶灌 啶膏ぞ啶ぁ啶侧ぞ 啶ㄠぞ啶灌.';
+$lang['mediaselect'] = '啶︵啶曕ざ啷嵿ぐ啶距さ啷嵿く 啶ぞ啶囙げ';
+$lang['fileupload'] = '啶︵啶曕ざ啷嵿ぐ啶距さ啷嵿く 啶ぞ啶囙げ 啶呧お啶侧啶';
+$lang['uploadsucc'] = '啶呧お啶侧啶 啶ざ啶膏啶掂';
+$lang['uploadfail'] = '啶呧お啶侧啶 啶呧く啶多じ啷嵿さ啷.啶曕う啶距啶苦い 啶氞啶曕啶氞啶ぞ 啶ぐ啶掂ぞ啶ㄠ啷嵿く啶 啶呧じ啶む啶 ?';
+$lang['uploadwrong'] = '啶呧お啶侧啶 啶ㄠぞ啶曕ぞ啶班ぃ啷嵿く啶距い 啶嗋げ啶. 啶灌 啶ぞ啶囙げ 啶忇啷嵿じ啶熰啶傕ざ啶 啶呧さ啷堗ぇ 啶嗋す啷!';
+$lang['uploadexist'] = '啶ぞ啶囙げ 啶嗋ぇ啷啶 啶呧じ啷嵿い啶苦い啷嵿さ啶距い 啶嗋す啷. 啶曕ぞ啶灌 啶曕啶侧 啶ㄠぞ啶灌.';
+$lang['uploadbadcontent'] = '啶呧お啶侧啶 啶曕啶侧啶侧 啶ぞ啶灌た啶む %s 啶ぞ啶囙げ 啶忇啷嵿じ啶熰啶傕ざ啶ㄠざ啷 啶た啶赤い啶苦啷佮こ啶むた 啶ㄠぞ啶灌.';
+$lang['uploadspam'] = '啶呧お啶侧啶 啶膏啶啶 啶啶侧啶曕げ啶苦じ啷嵿啶啶赤 啶ム啶さ啶侧ぞ 啶嗋す啷.';
+$lang['uploadxss'] = '啶呧お啶侧啶 啶膏啶多く啶苦い 啶灌ぞ啶ㄠた啶曕ぞ啶班 啶啶曕啶 啶呧じ啶侧啶ぞ啶ㄠ 啶ム啶さ啶侧ぞ 啶嗋す啷.';
+$lang['uploadsize'] = '啶呧お啶侧啶 啶曕啶侧啶侧 啶ぞ啶囙げ 啶溹ぞ啶膏啶む啶 啶啶犩 啶灌啶む. (啶溹ぞ啶膏啶む啶 啶溹ぞ啶膏啶 %s)';
+$lang['deletesucc'] = '%s 啶灌 啶ぞ啶囙げ 啶ㄠし啷嵿 啶曕ぐ啶`啶ぞ啶 啶嗋げ啷囙げ啷 啶嗋す啷.';
+$lang['deletefail'] = '%s 啶灌 啶ぞ啶囙げ 啶ㄠし啷嵿 啶曕ぐ啷 啶多啶侧 啶ㄠぞ啶灌 - 啶曕啶く啶 啶ぐ啶掂ぞ啶ㄠ啷嵿く啶 啶むお啶距じ啶.';
+$lang['mediainuse'] = '%s 啶灌 啶ぞ啶囙げ 啶ㄠし啷嵿 啶曕啶侧 啶ㄠぞ啶灌 - 啶む 啶呧啷佮え 啶掂ぞ啶ぐ啶距い 啶嗋す啷.';
+$lang['namespaces'] = '啶ㄠ啶じ啷嵿お啷囙じ';
+$lang['mediafiles'] = '啶ぇ啷嵿く啷 啶夃お啶侧が啷嵿ぇ 啶呧じ啶侧啶侧啶ぞ 啶ぞ啶囙げ';
+$lang['js']['keepopen'] = '啶ㄠた啶掂ぁ 啶曕啶侧啶ぞ啶掂ぐ 啶掂た啶`啶∴ 啶夃啶∴ 啶犩啶掂ぞ';
+$lang['js']['hidedetails'] = '啶膏さ啶苦じ啷嵿い啶 啶啶曕啶 啶侧お啶掂ぞ';
+$lang['js']['nosmblinks'] = '啶掂た啶ㄠ啶∴啶溹ぜ 啶多啶呧ぐ 啶侧ぞ 啶侧た啶傕 啶曕啶侧啶ぞ啶 啶む 啶啷嵿い 啶ぞ啶啷嵿ぐ啷嬥じ啷夃啷嵿 啶囙え啷嵿啶班え啷囙 啶忇啷嵿じ啷嵿お啷嵿げ啷嬥ぐ啶 啶掂ぐ啶 啶氞ぞ啶侧い啷. 啶むぐ啷 啶む啶啶灌 啶侧た啶傕 啶曕啶 啶曕ぐ啷 啶多啶むぞ.';
+$lang['js']['mu_btn'] = '啶忇 啶掂啶赤啶 啶呧え啷囙 啶ぞ啶囙げ 啶掂ぞ啶ぐ啶';
+$lang['mediausage'] = '啶灌啶ぞ 啶ぞ啶囙げ啶氞ぞ 啶膏啶︵ぐ啷嵿き 啶︵啶`啶ぞ啶膏ぞ啶犩 啶栢ぞ啶侧啶 啶膏た啶ㄠ啶熰啶曕啶 啶掂ぞ啶ぐ啶 :';
+$lang['mediaview'] = '啶啶 啶ぞ啶囙げ 啶啷 ';
+$lang['mediaroot'] = '啶班啶';
+$lang['mediaupload'] = '啶膏ぇ啷嵿く啶距啷嵿く啶 啶ㄠ啶じ啷嵿お啷囙じ啶ぇ啷 啶囙ぅ啷囙 啶ぞ啶囙げ 啶呧お啶侧啶 啶曕ぐ啶. 啶夃お-啶ㄠ啶じ啷嵿お啷囙じ 啶え啶掂ぃ啷嵿く啶距じ啶距啶 啶む啶ぞ啶氞 啶ㄠぞ啶 啶む啶啷嵿く啶 "啶呧お啶侧啶 啶夃ぐ啷嵿か啶" 啶ぇ啷 啶︵た啶侧啶侧啶ぞ 啶ぞ啶囙げ 啶ㄠぞ啶掂ぞ啶氞啶ぞ 啶嗋ぇ啷 啶掂た啶膏ぐ啷嵿啶氞た啶ㄠ啶灌ぞ啶ㄠ 啶掂啶椸こ啷 啶曕ぐ啷傕え 啶む 啶掂ぞ啶ぐ啶.';
+$lang['mediaextchange'] = '啶ぞ啶囙げ啶氞 啶忇啷嵿じ啶熰啶傕ざ啶 .%s 啶氞 啶う啶侧啶 .%s 啶曕啶侧 啶嗋す啷.';
+$lang['reference'] = '啶氞啶ぞ 啶膏ぞ啶犩 啶膏啶︵ぐ啷嵿き';
+$lang['ref_inuse'] = '啶ぞ啶囙げ 啶ㄠし啷嵿 啶曕啶侧 啶溹ぞ啶 啶多啶 啶ㄠぞ啶灌. 啶む 啶呧啷佮え 啶栢ぞ啶侧啶 啶啶粪啶犩 啶掂ぞ啶ぐ啶 啶嗋す啷囙い :';
+$lang['ref_hidden'] = '啶曕ぞ啶灌 啶膏啶︵ぐ啷嵿き 啶む啶啶灌ぞ啶侧ぞ 啶掂ぞ啶氞ぃ啷嵿く啶距啷 啶ぐ啶掂ぞ啶ㄠ啷 啶ㄠじ啶侧啶侧啶ぞ 啶啶粪啶犩ぞ啶掂ぐ 啶嗋す啷囙い';
+$lang['hits'] = '啶灌た啶熰啶';
+$lang['quickhits'] = '啶溹啶赤ぃ啶距ぐ啶 啶ぞ啶ㄠ';
+$lang['toc'] = '啶呧え啷佮啷嵿ぐ啶ぃ啶苦啶';
+$lang['current'] = '啶氞ぞ啶侧';
+$lang['yours'] = '啶む啶啷 啶嗋さ啷冟い啷嵿い啶';
+$lang['diff'] = '啶膏ぇ啷嵿く啶距啷嵿く啶 啶嗋さ啷冟い啷嵿い啶苦啶多 啶ぐ啶 啶︵ぞ啶栢さ啶';
+$lang['diff2'] = '啶ㄠた啶掂ぁ啶侧啶侧啶ぞ 啶嗋さ啷冟い啷嵿い啶苦啶ぇ啷啶 啶ぐ啶 啶︵ぞ啶栢さ啶';
+$lang['line'] = '啶撪こ';
+$lang['breadcrumb'] = '啶ぞ啶椸ぎ啷傕じ';
+$lang['youarehere'] = '啶む啶啶灌 啶囙ぅ啷 啶嗋す啶距い';
+$lang['lastmod'] = '啶膏ぐ啷嵿さ啶距い 啶多啶掂啶氞ぞ 啶う啶';
+$lang['by'] = '啶︵啶掂ぞ啶班ぞ';
+$lang['deleted'] = '啶曕ぞ啶⑧啶 啶熰ぞ啶曕げ啷';
+$lang['created'] = '啶ㄠた啶班啶ぞ啶 啶曕啶侧';
+$lang['restored'] = '啶溹啶ㄠ 啶嗋さ啷冟い啷嵿い啶 啶啶ㄠぐ啷嵿じ啷嵿ぅ啶距お啶苦い 啶曕啶侧';
+$lang['external_edit'] = '啶ぞ啶灌啶班啶 啶膏啶ぞ啶︵た啶';
+$lang['summary'] = '啶膏ぞ啶班ぞ啶傕ざ 啶う啶侧ぞ';
+$lang['noflash'] = '啶灌 啶ぞ啶灌た啶む 啶︵ぞ啶栢さ啶`啶ぞ啶膏ぞ啶犩 <a href="http://www.adobe.com/products/flashplayer/">啶呧ぁ啷嬥が 啶啶侧啶 啶啶侧啶呧ぐ</a> 啶氞 啶椸ぐ啶 啶嗋す啷.';
+$lang['mail_newpage'] = '啶啶粪啶 啶溹啶∴げ啷 : ';
+$lang['mail_changed'] = '啶啶粪啶 啶う啶侧げ啷 : ';
+$lang['mail_new_user'] = '啶ㄠさ啷啶 啶膏う啶膏啶 : ';
+$lang['mail_upload'] = '啶ぞ啶囙げ 啶呧お啶侧啶 啶曕啶侧 : ';
+$lang['qb_bold'] = '啶犩こ啶 啶啶曕啶';
+$lang['qb_italic'] = '啶むた啶班啶 啶啶曕啶';
+$lang['qb_underl'] = '啶呧ぇ啷嬥ぐ啷囙啶苦い 啶啶曕啶';
+$lang['qb_code'] = '啶曕啶 啶啶曕啶';
+$lang['qb_strike'] = '啶班う啷嵿う 啶啶曕啶';
+$lang['qb_h1'] = '啶す啶苦げ啷嵿く啶 啶ぞ啶むこ啷啶氞 啶多啶班啶粪';
+$lang['qb_h2'] = '啶︵啶膏ぐ啷嵿く啶 啶ぞ啶むこ啷啶氞 啶多啶班啶粪';
+$lang['qb_h3'] = '啶むた啶膏ぐ啷嵿く啶 啶ぞ啶むこ啷啶氞 啶多啶班啶粪';
+$lang['qb_h4'] = '啶氞さ啶ム啶ぞ 啶ぞ啶むこ啷啶氞 啶多啶班啶粪';
+$lang['qb_h5'] = '啶ぞ啶氞さ啷嵿く啶 啶ぞ啶むこ啷啶氞 啶多啶班啶粪';
+$lang['qb_link'] = '啶呧啶むぐ啷嵿啶 啶侧た啶傕';
+$lang['qb_extlink'] = '啶ぞ啶灌啶 啶侧た啶傕';
+$lang['qb_hr'] = '啶嗋ぁ啶掂 啶啷嵿啷';
+$lang['qb_ol'] = '啶呧え啷佮啷嵿ぐ啶た啶 啶ぞ啶︵啶む啶 啶掂じ啷嵿い啷';
+$lang['qb_ul'] = '啶膏ぞ啶о啶ぞ 啶ぞ啶︵啶む啶 啶掂じ啷嵿い啷';
+$lang['qb_media'] = '啶啶班い啶苦ぎ啶 啶嗋ぃ啶 啶囙い啶 啶ぞ啶囙げ 啶熰ぞ啶曕ぞ';
+$lang['qb_sig'] = '啶膏啶掂ぞ啶曕啶粪ぐ啷 啶熰ぞ啶曕ぞ';
+$lang['qb_smileys'] = '啶膏啶ぞ啶囙げ啷';
+$lang['qb_chars'] = '啶栢ぜ啶距じ 啶氞た啶ㄠ啶';
+$lang['js']['del_confirm'] = '啶ㄠた啶掂ぁ啶侧啶侧啶ぞ 啶椸啶粪啶熰 啶ㄠ啷嵿啷 啶ㄠし啷嵿 啶曕ぐ啷 啶曕ぞ ?';
+$lang['admin_register'] = '啶ㄠさ啷啶 啶膏う啶膏啶';
+$lang['metaedit'] = '啶啶熰ぞ啶∴啶熰ぞ 啶う啶侧ぞ';
+$lang['metasaveerr'] = '啶啶熰ぞ啶∴啶熰ぞ 啶膏啶班啷嵿し啶苦い 啶澿ぞ啶侧ぞ 啶ㄠぞ啶灌';
+$lang['metasaveok'] = '啶啶熰ぞ啶∴啶熰ぞ 啶膏啶班啷嵿し啶苦い 啶澿ぞ啶侧ぞ';
+$lang['img_backto'] = '啶ぐ啶 啶溹ぞ';
+$lang['img_title'] = '啶ㄠぞ啶';
+$lang['img_caption'] = '啶熰啶';
+$lang['img_date'] = '啶むぞ啶班啶';
+$lang['img_fname'] = '啶ぞ啶囙げ 啶ㄠぞ啶';
+$lang['img_fsize'] = '啶膏ぞ啶囙';
+$lang['img_artist'] = '啶啶熰啶椸啶班ぞ啶ぐ';
+$lang['img_copyr'] = '啶曕啶啶班ぞ啶囙';
+$lang['img_format'] = '啶啶班啶距ぐ';
+$lang['img_camera'] = '啶曕啶啶班ぞ';
+$lang['img_keywords'] = '啶啶栢啶 啶多が啷嵿う';
+$lang['subscribe_success'] = '%s 啶侧ぞ %s 啶氞啶ぞ 啶啶班さ啶`た啶膏ぞ啶犩た 啶ㄠ啶傕う啶掂げ啷';
+$lang['subscribe_error'] = '%s 啶侧ぞ %s 啶氞啶ぞ 啶啶班さ啶`た啶膏ぞ啶犩た 啶ㄠ啶傕う啶掂い啶距え啶 啶氞啶 啶澿ぞ啶侧';
+$lang['subscribe_noaddress'] = '啶む啶啷嵿く啶 啶侧啶椸た啶ㄠざ啷 啶膏ぎ啷嵿が啶傕ぇ啶苦い 啶曕啶犩げ啶距す啷 啶い啷嵿い啶 啶ㄠぞ啶灌 , 啶む啶ぞ啶啶赤 啶啶班さ啶`た啶膏ぞ啶犩た 啶ㄠ啶傕う 啶曕啶侧 啶溹ぞ啶 啶多啶 啶ㄠぞ啶灌';
+$lang['unsubscribe_success'] = '%s 啶侧ぞ %s 啶氞啶ぞ 啶啶班さ啶` 啶ぞ啶︵ 啶ぇ啷傕え 啶曕ぞ啶⑧啶 啶熰ぞ啶曕げ啷';
+$lang['unsubscribe_error'] = '%s 啶侧ぞ %s 啶氞啶ぞ 啶啶班さ啶` 啶ぞ啶︵ 啶ぇ啷傕え 啶曕ぞ啶⑧啶 啶熰ぞ啶曕ぃ啷嵿く啶距い 啶氞啶 啶澿ぞ啶侧';
+$lang['authmodfailed'] = '啶膏う啶膏啶 啶呧ぇ啶苦啷冟い 啶曕ぐ啶`啶ぞ啶氞 啶掂啶さ啶膏啶ムぞ 啶氞啶曕啶氞 啶嗋す啷. 啶曕啶く啶 啶む啶啷嵿く啶 啶掂た啶曕啶氞啶ぞ 啶掂啶さ啶膏啶ムぞ啶啶距ざ啷 啶膏ぎ啷嵿お啶班啶 啶膏ぞ啶оぞ.';
+$lang['authtempfail'] = '啶膏う啶膏啶 啶呧ぇ啶苦啷冟い 啶曕ぐ啶`啶ぞ啶氞 啶膏啶掂た啶оぞ 啶膏ぇ啷嵿く啶 啶氞ぞ啶侧 啶ㄠぞ啶灌. 啶膏い啶 啶灌ぞ 啶啶曕啶 啶︵た啶膏げ啷嵿く啶距じ 啶曕啶く啶 啶む啶啷嵿く啶 啶掂た啶曕啶氞啶ぞ 啶掂啶さ啶膏啶ムぞ啶啶距ざ啷 啶膏ぎ啷嵿お啶班啶 啶膏ぞ啶оぞ.';
+$lang['i_chooselang'] = '啶む啶啷 啶ぞ啶粪ぞ 啶ㄠた啶掂ぁ啶';
+$lang['i_installer'] = '啶∴啶曕啶啶掂た啶曕た 啶囙え啶膏啶熰啶侧ぐ';
+$lang['i_wikiname'] = '啶掂た啶曕 啶ㄠぞ啶';
+$lang['i_enableacl'] = 'ACL 啶氞ぞ啶侧 啶曕ぐ啶 ( 啶呧ぇ啶苦 啶氞ぞ啶傕啶侧 )';
+$lang['i_superuser'] = '啶膏啶ぐ-啶膏う啶膏啶';
+$lang['i_problems'] = '啶囙え啶膏啶熰啶侧ぐ啶侧ぞ 啶曕ぞ啶灌 啶呧ぁ啶氞ぃ啶 啶嗋げ啷嵿く啶 啶嗋す啷囙い. 啶む啶ぞ 啶犩啶 啶曕啶侧啶ぞ啶多た啶掂ぞ啶 啶む啶啶灌 啶啶⑧ 啶溹ぞ啶 啶多啶 啶ㄠぞ啶灌.';
+$lang['i_modified'] = '啶膏啶班啷嵿し啶苦い啶む啶氞啶ぞ 啶曕ぞ啶班ぃ啶距じ啶犩た 啶灌 啶膏啶曕啶班た啶啶 啷炧啷嵿い 啶ㄠさ啷啶 啶嗋ぃ啶 啶う啶侧げ啷囙げ啷嵿く啶 啶∴啶曕啶啶掂た啶曕た 啶囙え啷嵿じ啷嵿啷夃げ啷囙ざ啶 啶ぇ啷囙 啶氞ぞ啶侧啶. 啶む啶啶灌 啶忇啶むぐ 啶∴ぞ啶夃え啶侧啶 啶曕啶侧啶侧 啶啶曕啶 啶ぇ啷啶 啶ぞ啶囙げ 啶ぐ啶 啶啶班じ啶距ぐ啶苦い 啶曕ぐ啶 啶曕た啶傕さ啶 <a href="http://dokuwiki.org/install">啶∴啶曕啶啶掂た啶曕た 啶囙え啷嵿じ啷嵿啷夃げ啷囙ざ啶 啶掂た啶粪く啷 啶膏啶氞え啶</a> 啶掂ぞ啶氞ぞ.';
+$lang['i_funcna'] = 'PHP 啶ぇ啶侧 <code>%s</code> 啶灌 啶啶曕啶多え 啶夃お啶侧が啷嵿ぇ 啶ㄠぞ啶灌. 啶す啷佮ぇ啶 啶む啶啷嵿く啶 啶灌啶膏啶熰た啶傕 啶啶班さ啶`ぞ啶班ぞ啶ㄠ 啶む 啶曕ぞ啶灌 啶曕ぞ啶班ぃ啶距え啷 啶呧え啷佮お啶侧が啷嵿ぇ 啶曕啶侧 啶呧じ啶距さ啶.';
+$lang['i_phpver'] = '啶む啶啷 PHP 啶嗋さ啷冟い啷嵿い啶 <code>%s</code> 啶灌 啶嗋さ啶多啶 啶呧じ啶侧啶侧啶ぞ <code>%s</code> 啶灌啶ぞ 啶嗋さ啷冟い啷嵿い啶苦お啷囙啷嵿し啶 啶曕ぎ啷 啶嗋す啷. 啶曕啶く啶 啶む啶啷 PHP 啶囙え啷嵿じ啷嵿啷夃げ啷囙ざ啶 啶呧う啷嵿く啶ぞ啶掂い 啶曕ぐ啶.';
+$lang['i_permfail'] = '<code>%s</code> 啶ぞ 啶∴た啶班啶曕啶熰ぐ啷 啶ぇ啷嵿く啷 啶∴啶曕啶啶掂た啶曕た 啶う啶 啶曕ぐ啷 啶多啶 啶ㄠぞ啶灌. 啶曕啶く啶 啶ぞ 啶∴た啶班啶曕啶熰ぐ啷啶氞啶ぞ 啶ぐ啶掂ぞ啶ㄠ啷嵿く啶 啶犩啶 啶曕ぐ啶.';
+$lang['i_confexists'] = '<code>%s</code> 啶嗋ぇ啷啶 啶呧じ啷嵿い啶苦い啷嵿さ啶距い 啶嗋す啷.';
+$lang['i_writeerr'] = '<code>%s</code> 啶ㄠた啶班啶ぞ啶 啶曕ぐ啷 啶多啶侧 啶ㄠぞ啶灌. 啶む啶啶灌ぞ啶侧ぞ 啶∴た啶班啶曕啶熰ぐ啷 / 啶ぞ啶囙げ 啶氞啶ぞ 啶ぐ啶掂ぞ啶ㄠ啷嵿く啶 啶むお啶距じ啷傕え 啶膏啶掂い啶冟 啶灌 啶ぞ啶囙げ 啶え啶掂ぞ啶掂 啶侧ぞ啶椸啶.';
+$lang['i_badhash'] = '啶呧え啶距啶侧え啷啶 啶曕た啶傕さ啶 啶う啶侧げ啷囙げ啷 dokuwiki.php (hash=<code>%s</code>)';
+$lang['i_badval'] = 'code>%s</code> - 啶呧さ啷堗ぇ 啶曕た啶傕さ啶 啶班た啶曕ぞ啶ぞ 啶啶曕啶.';
+$lang['i_success'] = '啶掂啶さ啶膏啶ムぞ 啶侧ぞ啶掂ぃ啷嵿く啶距啷 啶曕ぞ啶 啶ざ啶膏啶掂啶班啶む啶ぞ 啶ぞ啶 啶ぁ啶侧. 啶嗋い啶 啶む啶啶灌 install.php 啶∴た啶侧啶 啶曕ぐ啷 啶多啶むぞ. <a href="doku.php">啶む啶啷嵿く啶 啶ㄠさ啶苦え 啶∴啶曕啶啶掂た啶曕た </a> 啶掂ぐ 啶溹ぞ.';
+$lang['i_failure'] = '啶曕啶ㄠ啶た啶椸啶班啶多え啶氞啶ぞ 啶ぞ啶囙げ 啶膏啶班啷嵿し啶苦い 啶曕ぐ啶むぞ啶ㄠぞ 啶曕ぞ啶灌 啶呧ぁ啶氞ぃ啷 啶嗋げ啷嵿く啶 啶嗋す啷囙い. <a href="doku.php">啶む啶啷 啶ㄠさ啷啶 啶∴啶曕啶啶掂た啶曕た </a> 啶掂ぞ啶ぐ啶`啶ぞ啶嗋ぇ啷 啶む啶啶灌ぞ啶侧ぞ 啶灌啶ぞ 啶ぞ啶囙げ 啶膏啶掂い啶 啶犩啶 啶曕ぐ啶距さ啷嵿く啶 啶侧ぞ啶椸い啷啶.';
+$lang['i_policy'] = '啶嗋ぐ啶傕き啷啶氞 ACL 啶啶侧た啶膏';
+$lang['i_pol0'] = '啶啶曕啶 啶掂た啶曕 ( 啶膏ぐ啷嵿さ啶距啶ㄠぞ 啶掂ぞ啶氞え, 啶侧啶栢え 啶 啶呧お啶侧啶 啶曕ぐ啶`啶ぞ啶氞 啶ぐ啶掂ぞ啶ㄠ啷 )';
+$lang['i_pol1'] = '啶膏ぞ啶班啶掂啶ㄠた啶 啶掂た啶曕 ( 啶膏ぐ啷嵿さ啶距啶ㄠぞ 啶掂ぞ啶氞ぃ啷嵿く啶距啷 啶啶ぞ , 啶侧啶栢え 啶 啶呧お啶侧啶∴啷 啶ぐ啶掂ぞ啶ㄠ啷 啶啷嵿い 啶ㄠ啶傕う啶`啶曕啶 啶膏う啶膏啶ぞ啶傕え啶 )';
+$lang['i_pol2'] = '啶啶︵た啶膏啶 啶掂た啶曕 ( 啶掂ぞ啶氞え , 啶侧啶栢え 啶 啶呧お啶侧啶∴啷 啶ぐ啶掂ぞ啶ㄠ啷 啶啷嵿い 啶ㄠ啶傕う啶`啶曕啶 啶膏う啶膏啶ぞ啶傕え啶 ) ';
+$lang['i_retry'] = '啶啶ㄠ啶灌ぞ 啶啶班く啶む啶';
+$lang['mu_intro'] = '啶囙ぅ啷 啶む啶啶灌 啶忇啶距お啷囙啷嵿し啶 啶呧ぇ啶苦 啶ぞ啶囙げ 啶呧お啶侧啶 啶曕ぐ啷 啶多啶むぞ. 啶啶班ぞ啶夃 啶氞啶ぞ 啶啶`ぞ啶掂ぐ 啶曕啶侧た啶 啶曕ぐ啷傕え 啶む啶ぞ啶ㄠぞ 啶侧た啶膏啶 啶ぇ啷 啶熰ぞ啶曕ぞ. 啶膏啶赤啶ぞ 啶熰ぞ啶曕啶 啶澿ぞ啶侧啶ぞ啶掂ぐ 啶呧お啶侧啶 啶氞啶ぞ 啶啶`ぞ啶掂ぐ 啶曕啶侧た啶 啶曕ぐ啶.';
+$lang['mu_gridname'] = '啶ぞ啶囙げ 啶ㄠぞ啶';
+$lang['mu_gridsize'] = '啶膏ぞ啶囙';
+$lang['mu_gridstat'] = '啶膏啶ムた啶むた';
+$lang['mu_namespace'] = '啶ㄠ啶じ啷嵿お啷囙じ';
+$lang['mu_browse'] = '啶啶班ぞ啶夃';
+$lang['mu_toobig'] = '啶呧い啶 啶啶犩';
+$lang['mu_ready'] = '啶呧お啶侧啶∴じ啶距啷 啶むく啶距ぐ';
+$lang['mu_done'] = '啶啶班啶';
+$lang['mu_fail'] = '啶呧く啶多じ啷嵿さ啷';
+$lang['mu_authfail'] = '啶膏啶多え 啶膏啶げ啶';
+$lang['mu_progress'] = '@PCT@% 啶呧お啶侧啶 啶澿ぞ啶侧';
+$lang['mu_filetypes'] = '啶ぞ啶ㄠ啶 啶ぞ啶囙げ 啶熰ぞ啶囙お';
+$lang['recent_global'] = '啶む啶啶灌 啶膏ぇ啷嵿く啶 <b>%s</b> 啶ぞ 啶ㄠ啶じ啷嵿お啷囙じ 啶ぇ啷啶 啶う啶 啶ぞ啶灌ぞ啶 啶嗋す啶距い.啶む啶啶灌 <a href="%s">啶啶班啶 啶掂た啶曕 啶ぇ啶侧 啶う啶 </a> 啶膏啶︵啶оぞ 啶ぞ啶灌 啶多啶むぞ.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/locked.txt
new file mode 100644
index 000000000..dae909c40
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/locked.txt
@@ -0,0 +1,3 @@
+====== 啶ぞ啶 啶侧啶 啶嗋す啷 ======
+
+啶灌 啶ぞ啶 啶膏ぇ啷嵿く啶 啶︵啶膏ぐ啷嵿く啶 啶膏う啶膏啶ぞ啶ㄠ 啶膏啶ぞ啶︵え啶距じ啶距啷 啶侧啶 啶曕啶侧 啶嗋す啷. 啶む啶啶灌ぞ啶侧ぞ 啶む啶ぞ啶氞 啶膏啶ぞ啶︵え 啶曕ぐ啷傕え 啶灌啶堗お啶班啶啶 啶曕た啶傕さ啶 啶侧啶 啶膏啶啶ぐ啷嵿く啶傕い 啶ムぞ啶傕が啶距さ啷 啶侧ぞ啶椸啶. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/login.txt
new file mode 100644
index 000000000..f2fef4c45
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/login.txt
@@ -0,0 +1,3 @@
+====== 啶侧啶椸た啶 ======
+
+啶む啶啶灌 啶膏ぇ啷嵿く啶 啶侧啶椸た啶 啶曕啶侧啶侧 啶ㄠぞ啶灌! 啶む啶啷 啶ㄠぞ啶-啶ぞ啶膏さ啶班啶 啶︵啶娻え 啶栢ぞ啶侧 啶侧啶椸た啶 啶曕ぐ啶. 啶侧啶椸た啶 啶曕ぐ啶`啶ぞ啶膏ぞ啶犩 啶む啶啷嵿く啶 啶啶班ぞ啶夃啶班ぎ啶о 啶曕啶曕啶 啶氞ぞ啶侧 啶呧じ啶侧啶ぞ 啶ぞ啶灌た啶溹啶. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/mailtext.txt
new file mode 100644
index 000000000..18fa23846
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/mailtext.txt
@@ -0,0 +1,15 @@
+啶む啶啷嵿く啶 啶∴啶曕啶啶掂た啶曕た啶ぇ啷啶 啶忇 啶ぞ啶 啶う啶侧げ啷 啶曕た啶傕さ啶 啶ㄠさ啷啶 啶熰ぞ啶曕げ啷 啶椸啶侧 啶嗋す啷. 啶む啶ぞ啶氞 啶ぞ啶灌た啶む 啶啶⑧啶 啶啶班ぎ啶距ぃ啷 :
+
+啶︵た啶ㄠぞ啶傕 : @DATE@
+啶啶班ぞ啶夃啶 : @BROWSER@
+IP-啶い啷嵿い啶 : @IPADDRESS@
+啶ざ啶苦え啶氞 啶ㄠぞ啶 ( Host name ) : @HOSTNAME@
+啶溹啶ㄠ 啶嗋さ啷冟い啷嵿い啷 : @OLDPAGE@
+啶ㄠさ啷 啶嗋さ啷冟い啷嵿い啷 : @NEWPAGE@
+啶膏啶ぞ啶︵え 啶膏ぞ啶班ぞ啶傕ざ : @SUMMARY@
+啶膏う啶膏啶 : @USER@
+
+@DIFF@
+
+--
+啶灌ぞ 啶堗ぎ啷囙げ @DOKUWIKIURL@ 啶啶ム啶 啶∴啶曕啶啶掂た啶曕た啶︵啶掂ぞ啶班ぞ 啶嗋お啷嬥啶 啶むく啶距ぐ 啶曕啶侧ぞ 啶椸啶侧ぞ 啶嗋す啷. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/newpage.txt
new file mode 100644
index 000000000..00a1c6b60
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/newpage.txt
@@ -0,0 +1,3 @@
+====== 啶灌ぞ 啶啶︵啶︵ぞ 啶呧啷傕え 啶呧じ啷嵿い啶苦い啷嵿い啷嵿さ啶距い 啶ㄠぞ啶灌 ======
+
+啶む啶啷 啶呧ざ啶 啶忇啶距う啷嵿く啶 啶啶︵啶︵啶ぞ啶氞啶ぞ 啶侧た啶傕 啶掂ぐ啷傕え 啶囙ぅ啷 啶嗋げ啶 啶嗋す啶距い 啶溹 啶呧啷傕え 啶呧じ啷嵿い啶苦い啷嵿い啷嵿さ啶距い 啶ㄠぞ啶灌. 啶溹ぐ 啶む啶啶灌ぞ啶侧ぞ 啶ぐ啶掂ぞ啶ㄠ啷 啶呧じ啷囙げ 啶むぐ 啶む啶啷 啶む啶ぞ 啶啶︵啶︵啶ぞ啶掂ぐ "啶灌 啶ぞ啶 啶ㄠさ啷啶 啶むく啶距ぐ 啶曕ぐ啶" 啶灌 啶啶 啶曕啶侧た啶 啶曕ぐ啷傕え 啶膏啶掂い啶 啶忇 啶ぞ啶 啶むく啶距ぐ 啶曕ぐ啷 啶多啶むぞ. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/norev.txt
new file mode 100644
index 000000000..180b031fe
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/norev.txt
@@ -0,0 +1,3 @@
+====== 啶呧ざ啷 啶曕啶犩げ啷 啶嗋さ啷冟い啷嵿い啷 啶ㄠぞ啶灌 ======
+
+啶灌 啶嗋さ啷冟い啷嵿い啷 啶呧じ啷嵿い啶苦い啷嵿い啷嵿さ啶距い 啶ㄠぞ啶灌. "啶溹啶ㄠ啶ぞ 啶嗋さ啷冟い啷嵿い啷嵿く啶" 啶啶 啶掂ぞ啶ぐ啷傕え 啶ぞ 啶︵じ啷嵿い啶距さ啷囙啶距啷嵿く啶 啶膏ぐ啷嵿さ 啶溹啶ㄠ啶ぞ 啶嗋さ啷冟い啷嵿い啷嵿く啶 啶む啶啷 啶ぞ啶灌 啶多啶むぞ. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/preview.txt
new file mode 100644
index 000000000..8277398dd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/preview.txt
@@ -0,0 +1,3 @@
+====== 啶澿げ啶 ======
+
+啶灌 啶む啶啶 啶啶曕啶 啶曕じ啶 啶︵た啶膏啶 啶む啶ぞ啶氞 啶忇 啶澿げ啶 啶嗋す啷. 啶侧啷嵿し啶距い 啶犩啶掂ぞ : 啶灌ぞ 啶啶曕啶 啶呧啷佮え **啶膏啶班啷嵿し啶苦い 啶曕啶侧啶侧ぞ 啶ㄠぞ啶灌** ! \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/pwconfirm.txt
new file mode 100644
index 000000000..ec0b707a1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/pwconfirm.txt
@@ -0,0 +1,11 @@
+啶ㄠぎ啶膏啶曕ぞ啶 @FULLNAME@!
+
+啶曕啶`啶むぐ啷 啶む啶啷嵿く啶 @TITLE@ 啶ぞ @DOKUWIKIURL@ 啶啶ム啶 啶侧啶椸た啶ㄠじ啶距啷 啶ㄠさ啷啶 啶ぞ啶膏さ啶班啶 啶ぞ啶椸さ啶侧ぞ 啶嗋す啷.
+啶溹ぐ 啶む啶啶灌 啶灌ぞ 啶ぞ啶膏さ啶班啶 啶ぞ啶椸さ啶侧ぞ 啶ㄠじ啷囙げ 啶むぐ 啶曕啶く啶 啶灌啶ぞ 啶堗ぎ啷囙げ啶曕啷 啶︵啶班啶侧啷嵿し 啶曕ぐ啶.
+
+啶溹ぐ 啶ㄠ啷嵿啷 啶む啶啶灌啶 啶灌ぞ 啶ぞ啶膏さ啶班啶 啶ぞ啶椸さ啶侧ぞ 啶呧じ啷囙げ 啶むぐ 啶栢ぞ啶侧啶 啶侧た啶傕啶掂ぐ 啶曕啶侧た啶 啶曕ぐ啷傕え 啶む 啶ㄠ啷嵿啷 啶曕ぐ啶.
+
+@CONFIRM@
+
+--
+啶灌ぞ 啶堗ぎ啷囙げ @DOKUWIKIURL@ 啶啶ム啶 啶∴啶曕啶啶掂た啶曕た啶︵啶掂ぞ啶班ぞ 啶嗋お啷嬥啶 啶むく啶距ぐ 啶曕啶侧ぞ 啶椸啶侧ぞ 啶嗋す啷. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/read.txt
new file mode 100644
index 000000000..b834dd750
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/read.txt
@@ -0,0 +1 @@
+啶灌 啶ぞ啶 啶啷嵿い 啶掂ぞ啶氞い啶 啶啶 啶多啶む. 啶む啶啶灌 啶む啶ぞ啶氞ぞ 啶啶 啶掂た啶曕 啶啶曕啶 啶ぞ啶灌 啶多啶むぞ 啶ぃ 啶む 啶う啶侧 啶多啶 啶ㄠぞ啶灌. 啶溹ぐ 啶灌 啶氞啶曕啶氞 啶呧じ啷囙げ 啶むぐ 啶む啶啷嵿く啶 啶掂た啶曕 啶掂啶さ啶膏啶ムぞ啶啶距ざ啷 啶膏啶ぐ啷嵿 啶膏ぞ啶оぞ. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/recent.txt
new file mode 100644
index 000000000..9a6d6f151
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/recent.txt
@@ -0,0 +1,3 @@
+====== 啶呧げ啷啶曕ぁ啷啶 啶う啶 ======
+
+啶栢ぞ啶侧啶 啶ぞ啶ㄠ 啶灌げ啷嵿げ啷啶 啶う啶侧げ啷 啶嗋す啷囙い \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/register.txt
new file mode 100644
index 000000000..3aca31278
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/register.txt
@@ -0,0 +1,3 @@
+====== 啶ㄠさ啷啶 啶膏う啶膏啶 啶啶灌ぃ啷佮え 啶ㄠ啶傕う啶` 啶曕ぐ啶 ======
+
+啶栢ぞ啶侧 啶む啶啷 啶ぞ啶灌た啶む 啶ぐ啷傕え 啶ぞ 啶掂た啶曕 啶掂ぐ 啶ㄠさ啷啶 啶栢ぞ啶む 啶夃啶∴ぞ. 啶曕啶く啶 啶嗋お啶 啶︵啶 啶呧じ啶侧啶侧ぞ 啶堗ぎ啷囙げ 啶氞ぞ啶侧 啶呧じ啶侧啶ぞ啶氞 啶栢ぞ啶む啶班 啶曕ぐ啶 - 啶溹ぐ 啶む啶啶灌ぞ啶侧ぞ 啶囙ぅ啷 啶ぞ啶膏さ啶班啶 啶熰ぞ啶曕ぞ啶げ啶 啶膏ぞ啶傕啶苦い啶侧ぞ 啶ㄠぞ啶灌 啶むく啶距ぐ 啶忇 啶ㄠさ啷啶 啶ぞ啶膏さ啶班啶 啶む啶啶灌ぞ啶侧ぞ 啶む啶ぞ 啶堗ぎ啷囙げ 啶掂ぐ 啶ぞ啶犩さ啶侧ぞ 啶溹ぞ啶囙げ. 啶む啶啶 啶侧啶椸た啶 啶ㄠぞ啶 啶忇 啶掂啶 [[doku>pagename|啶啶溹え啷囙ぎ]] 啶呧じ啶侧 啶ぞ啶灌た啶溹. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/registermail.txt
new file mode 100644
index 000000000..a6fea4d06
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/registermail.txt
@@ -0,0 +1,13 @@
+啶忇 啶ㄠさ啷啶 啶膏う啶膏啶ぞ啶氞 啶ㄠ啶傕う啶` 啶澿ぞ啶侧 啶嗋す啷. 啶む啶ぞ啶氞 啶ぞ啶灌啶 啶啶⑧啶侧お啷嵿ぐ啶ぞ啶` :
+
+啶膏う啶膏啶 啶ㄠぞ啶 : @NEWUSER@
+啶啶班啶 啶ㄠぞ啶 : @NEWNAME@
+啶堗ぎ啷囙げ : @NEWEMAIL@
+
+啶︵た啶ㄠぞ啶傕 : @DATE@
+啶啶班ぞ啶夃啶 : @BROWSER@
+IP-啶い啷嵿い啶 : @IPADDRESS@
+啶灌啶膏啶 啶ㄠぞ啶 : @HOSTNAME@
+
+--
+啶灌ぞ 啶堗ぎ啷囙げ @DOKUWIKIURL@ 啶啶ム啶 啶∴啶曕啶啶掂た啶曕た啶︵啶掂ぞ啶班ぞ 啶嗋お啷嬥啶 啶むく啶距ぐ 啶曕啶侧ぞ 啶椸啶侧ぞ 啶嗋す啷. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/resendpwd.txt
new file mode 100644
index 000000000..64b95a45f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/resendpwd.txt
@@ -0,0 +1,3 @@
+====== 啶ㄠさ啷啶 啶ぞ啶膏さ啶班啶 啶ぞ啶犩さ ======
+
+啶ぞ 啶掂た啶曕た啶掂ぐ啷啶 啶む啶啷嵿く啶 啶呧啶距啶傕啶膏ぞ啶犩 啶ㄠさ啷啶 啶ぞ啶膏さ啶班啶 啶た啶赤さ啶`啶ぞ啶膏ぞ啶犩 啶曕啶く啶 啶む啶啷 啶膏う啶膏啶 啶ㄠぞ啶 啶栢ぞ啶侧啷嵿く啶 啶啶班啶 啶ぇ啷 啶熰ぞ啶曕ぞ. 啶灌 啶ぞ啶膏さ啶班啶∴啷 啶ぞ啶椸ぃ啷 啶ㄠ啷嵿啷 啶曕ぐ啶`啶ぞ啶膏ぞ啶犩 啶む啶啶灌 啶ㄠ啶傕う啶` 啶曕ぐ啶むぞ啶ㄠぞ 啶︵た啶侧啶侧啶ぞ 啶堗ぎ啷囙げ 啶い啷嵿い啷嵿く啶距さ啶 啶忇 啶侧た啶傕 啶ぞ啶犩さ啶侧 啶溹ぞ啶囙げ. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/revisions.txt
new file mode 100644
index 000000000..fb842c763
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/revisions.txt
@@ -0,0 +1,3 @@
+====== 啶溹啶ㄠ啶ぞ 啶嗋さ啷冟い啷嵿い啷嵿く啶 ======
+
+啶灌啶ぞ 啶膏う啷嵿く 啶︵じ啷嵿い啶距さ啷囙啶氞啶ぞ 啶溹啶ㄠ啶ぞ 啶嗋さ啷冟い啷嵿い啷嵿く啶 啶嗋す啷囙い. 啶忇啶距う啷嵿く啶 啶溹啶ㄠ啶ぞ 啶嗋さ啷冟い啷嵿い啷啶掂ぐ 啶ぐ啶 啶溹ぞ啶`啶ぞ啶膏ぞ啶犩 啶熰 啶栢ぞ啶侧啶 啶ㄠた啶掂ぁ啶, "啶灌 啶ぞ啶 啶膏啶ぞ啶︵た啶 啶曕ぐ啶" 啶掂ぐ 啶曕啶侧た啶 啶曕ぐ啶 啶嗋ぃ啶 啶む 啶膏啶班啷嵿し啶苦い 啶曕ぐ啶. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/searchpage.txt
new file mode 100644
index 000000000..23e10b1d3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/searchpage.txt
@@ -0,0 +1,5 @@
+====== 啶多啶 ======
+
+啶む啶啶灌ぞ啶侧ぞ 啶栢ぞ啶侧 啶む啶啷嵿く啶 啶多啶оぞ啶氞 啶げ啶苦い 啶︵た啶膏い啷啶. 啶溹ぐ 啶む啶啷 啶多啶оい 啶呧じ啶侧啶侧 啶椸啶粪啶 啶む啶啶灌ぞ啶侧ぞ 啶膏ぞ啶ぁ啶侧 啶ㄠぞ啶灌, 啶むぐ 啶啶椸啶 啶啶 啶掂ぞ啶ぐ啷傕え 啶む啶啶灌 啶多啶оい 啶呧じ啶侧啶侧啶ぞ 啶椸啶粪啶熰啶掂た啶粪く啷 啶む啶啶灌 啶忇啶距う啷 啶ぞ啶 啶ㄠた啶班啶ぞ啶 啶曕た啶傕さ啶 啶膏啶ぞ啶︵た啶 啶曕ぐ啷 啶多啶むぞ.
+
+====== 啶げ啶苦い ====== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/showrev.txt
new file mode 100644
index 000000000..aeaeee500
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/showrev.txt
@@ -0,0 +1,2 @@
+** 啶灌 啶灌啶ぞ 啶︵じ啷嵿い啶距さ啷囙啶氞 啶溹啶ㄠ 啶嗋さ啷冟い啷嵿い啷 啶嗋す啷. **
+-- \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/stopwords.txt
new file mode 100644
index 000000000..2b413a928
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/stopwords.txt
@@ -0,0 +1,39 @@
+# 啶灌 啶呧ざ啶 啶多が啷嵿う啶距啶氞 啶ぞ啶︵ 啶嗋す啷 啶溹 啶呧え啷佮啷嵿ぐ啶 (啶囙啶∴啶曕啶膏ぐ) 啶︵啶班啶侧啷嵿し啶苦い 啶曕ぐ啶む, 啶溹ぐ 啶忇 啶撪こ啶苦い 啶忇 啶多が啷嵿う 啶嗋げ啶 啶むぐ啶.
+# 啶灌 啶ぞ啶︵ 啶う啶侧げ啷嵿く啶距じ 啶曕啶掂こ 啶啶ㄠた啶曕啶 啶う啷嵿ぇ啶む啶氞 啶侧ぞ啶囙え 啶忇啶∴た啶傕 啶掂ぞ啶ぐ啶. 啶む啶 啶呧啷嵿し啶班ぞ啶啶曕啶粪ぞ 啶侧す啶距え 啶多が啷嵿う 啶熰ぞ啶曕ぃ啷嵿く啶距啷
+# 啶椸ぐ啶 啶ㄠぞ啶灌 - 啶む 啶嗋お啷嬥啶 啶︵啶班啶侧啷嵿し啶苦い 啶曕啶侧 啶溹ぞ啶むぞ啶. 啶灌 啶ぞ啶︵ http://www.ranks.nl/stopwords/ 啶啶ム啶 啶ぞ啶︵啶掂ぐ
+# 啶嗋ぇ啶距ぐ啶苦い 啶嗋す啷.
+about
+are
+as
+an
+and
+you
+your
+them
+their
+com
+for
+from
+into
+if
+in
+is
+it
+how
+of
+on
+or
+that
+the
+this
+to
+was
+what
+when
+where
+who
+will
+with
+und
+the
+www \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/subscribermail.txt
new file mode 100644
index 000000000..8cbb3164e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/subscribermail.txt
@@ -0,0 +1,21 @@
+啶ㄠぎ啶膏啶曕ぞ啶 !
+
+@TITLE@ 啶掂た啶曕 啶ぇ啶侧 @PAGE@ 啶灌 啶ぞ啶 啶う啶侧げ啶 啶嗋す啷.
+啶栢ぞ啶侧 啶む啶ぞ啶む啶 啶う啶 啶︵ぞ啶栢さ啶侧 啶嗋す啷囙い:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+啶︵た啶ㄠぞ啶傕 : @DATE@
+啶膏う啶膏啶 : @USER@
+啶膏啶ぞ啶︵え 啶膏ぞ啶班ぞ啶傕ざ : @SUMMARY@
+啶溹啶ㄠ 啶嗋さ啷冟い啷嵿い啷 : @OLDPAGE@
+啶ㄠさ啷啶 啶嗋さ啷冟い啷嵿い啷 : @NEWPAGE@
+
+啶ぞ啶ㄠぞ啶氞啶ぞ 啶う啶侧ぞ啶掂た啶粪く啶苦啷嵿く啶 啶膏啶氞え啶 啶む啶啶灌ぞ啶侧ぞ 啶ㄠ啷 啶呧じ啶む啶 啶むぐ
+@DOKUWIKIURL@ 啶ぞ 啶掂た啶曕 啶掂ぐ 啶侧啶椸た啶 啶曕ぐ啶 啶嗋ぃ啶
+@NEWPAGE@ 啶ぞ啶ㄠぞ啶掂ぐ 啶溹ぞ 啶 啶む啶ぞ 啶ぞ啶/啶ㄠ啶じ啷嵿お啷囙じ 啶掂た啶粪く啷啶氞啶ぞ 啶膏啶氞え啶 啶啶 ( unsubscribe ) 啶曕ぐ啶.
+
+--
+啶灌ぞ 啶堗ぎ啷囙げ @DOKUWIKIURL@ 啶啶ム啶 啶∴啶曕啶啶掂た啶曕た啶︵啶掂ぞ啶班ぞ 啶嗋お啷嬥啶 啶むく啶距ぐ 啶曕啶侧ぞ 啶椸啶侧ぞ 啶嗋す啷. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/updateprofile.txt
new file mode 100644
index 000000000..c08810f3e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/updateprofile.txt
@@ -0,0 +1,3 @@
+====== 啶む啶啷 啶膏う啶膏啶 啶ぞ啶灌た啶む 啶呧う啷嵿く啶ぞ啶掂い 啶曕ぐ啶 ======
+
+啷炧啷嵿い 啶む啶啶灌ぞ啶侧ぞ 啶う啶 啶曕ぐ啶距く啶氞ぞ 啶呧じ啷囙げ 啶む啶 啶班啶距え啷 啶ぐ啶 啶ぐ啶. 啶む啶啷 啶む啶啷 啶膏う啶膏啶 啶ㄠぞ啶 啶う啶侧 啶多啶 啶ㄠぞ啶灌. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/uploadmail.txt
new file mode 100644
index 000000000..66e736598
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/uploadmail.txt
@@ -0,0 +1,13 @@
+啶忇 啶ぞ啶囙げ 啶む啶啷嵿く啶 啶∴啶曕啶啶掂た啶曕た啶掂ぐ 啶呧お啶侧啶 啶曕啶侧 啶椸啶侧 啶嗋す啷. 啶む啶ぞ啶氞 啶ぞ啶灌た啶む 啶ぞ啶啶班ぎ啶距ぃ啷 :
+
+啶ぞ啶囙げ : @MEDIA@
+啶︵た啶ㄠぞ啶傕 : @DATE@
+啶啶班ぞ啶夃啶 : @BROWSER@
+IP-啶い啷嵿い啶 : @IPADDRESS@
+啶灌啶膏啶熰え啶距ぎ : @HOSTNAME@
+啶膏ぞ啶囙啶 : @SIZE@
+MIME 啶熰ぞ啶囙お : @MIME@
+啶膏う啶膏啶 : @USER@
+
+--
+啶灌ぞ 啶堗ぎ啷囙げ @DOKUWIKIURL@ 啶啶ム啶 啶∴啶曕啶啶掂た啶曕た啶︵啶掂ぞ啶班ぞ 啶嗋お啷嬥啶 啶むく啶距ぐ 啶曕啶侧ぞ 啶椸啶侧ぞ 啶嗋す啷. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/wordblock.txt
new file mode 100644
index 000000000..e885cbed9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/mr/wordblock.txt
@@ -0,0 +1,3 @@
+====== 啶啶曕じ 啶班啶栢げ啷 ======
+
+啶む啶啷 啶う啶 啶膏啶班啷嵿し啶苦い 啶曕啶侧啶侧 ** 啶ㄠぞ啶灌啶 ** 啶曕ぞ啶班ぃ 啶む啶ぞ啶ぇ啷 啶忇 啶曕た啶傕さ啶 啶呧ぇ啶苦 啶ㄠた啶粪た啶︵啶 啶多が啷嵿う 啶嗋す啷囙い. 啶溹ぐ 啶む啶啶灌 啶啶︵啶︵ぞ啶 啶掂た啶曕た啶掂ぐ 啶啶曕じ 啶曕ぐ啶`啶ぞ啶氞ぞ 啶啶班く啶む啶 啶曕啶侧ぞ 啶呧じ啷囙げ 啶むぐ 啶呧じ啶侧 啶氞ぞ啶赤 啶啶 啶曕ぐ啶 ! 啶嗋ぃ啶 啶膏ぎ啶溹ぞ 啶む啶啶灌ぞ啶侧ぞ 啶呧じ啶 啶掂ぞ啶熰い 啶呧じ啷囙げ 啶曕 啶灌ぞ 啶啶曕啶 啶ㄠた啶粪た啶︵啶 啶膏ぎ啶溹ぃ啷嵿く啶距啷 啶曕ぞ啶灌 啶曕ぞ啶班ぃ 啶ㄠぞ啶灌 啶むぐ 啶む啶啷嵿く啶 啶掂た啶曕 啶掂啶さ啶膏啶ムぞ啶啶距ざ啷 啶膏啶ぐ啷嵿 啶膏ぞ啶оぞ. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/admin.txt
new file mode 100644
index 000000000..7a829dbe5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/admin.txt
@@ -0,0 +1,2 @@
+====== 啶掂啶さ啶膏啶ムぞ啶え ======
+啶むげ 啶むお啶距啶侧 DokuWiki啶ぞ 啶夃お啶侧さ啷嵿ぇ 啶掂啶さ啶膏啶ムぞ啶啶苦く 啶曕ぞ啶班啶す啶班啶曕 啶膏啶氞 啶ぞ啶夃え 啶膏啷嵿え啷佮す啷佮え啷嵿 啷 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/backlinks.txt
new file mode 100644
index 000000000..51b95731d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/backlinks.txt
@@ -0,0 +1,2 @@
+====== 啶啶距ぁ啶 啶侧た啶權啶 ======
+啶 啶啶粪啶犩す啶班啶曕 啶膏啶氞啶灌ぐ啷佮げ啷 啶啶距ぁ啶 啶侧た啶權啶 啶膏啶掂く啶傕げ啶距 啶ㄠ 啶椸ぐ啷囙啷 啶涏イ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/conflict.txt
new file mode 100644
index 000000000..457e108ad
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/conflict.txt
@@ -0,0 +1,5 @@
+====== 啶ㄠく啶距 啶膏啶膏啶曕ぐ啶 啶夃お啶侧が啷嵿ぇ 啶 ======
+
+啶むお啶距啶侧 啶膏ぎ啷嵿お啶距う啶 啶椸ぐ啷嵿え啷佮き啶忇啷 啶ぞ啶犩啷 啶ㄠく啶距 啶膏じ啷嵿啶班ぃ 啶夃お啶侧が啷嵿ぇ 啶涏イ 啶むお啶距啶侧 啶膏ぎ啷嵿お啶距う啶 啶椸ぐ啶苦ぐ啶灌え啷 啶啶曕 啶膏ぎ啶ぎ啶 啶呧ぐ啷嵿啷 啶啶班く啷嬥啶曕ぐ啷嵿い啶距げ啷 啶 啶ぞ啶 啶ぐ啶苦さ啶班啶むえ 啶椸ぐ啷囙啷嬥げ啷 啶じ啷嵿い啷 啶啶曕 啶灌 啷
+
+啶︵啶啶曕ぞ 啶ぐ啶 啶︵ぞ啶溹啶ㄠ啶灌啶膏 啶 啶︵啶堗ぎ啶 啶曕啶 啶班ぞ啶栢啶ㄠ啶灌 啶ㄠた啶多啶氞た啶 啶椸ぐ啷嵿え啷佮す啷嬥じ啷 啷むい啶ぞ啶堗げ啷 "啶掂啶 啶椸ぐ啷嵿え啷佮す啷嬥じ啷 " 啶涏ぞ啶ㄠ啶ㄠ 啶く啷 啶え啷 啶むお啶距啶曕 啶膏啶膏啶曕ぐ啶 啶掂啶 啶灌啶ㄠ啶涏イ "啶班う啷嵿う 啶椸ぐ啷嵿え啷佮す啷嬥じ啷" 啶涏ぞ啶ㄠ啶ㄠ 啶く啷 啶え啷 啶呧す啶苦げ啷囙啷 啶膏啶膏啶曕ぐ啶 啶掂啶 啶灌啶ㄠ啶 啷 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/denied.txt
new file mode 100644
index 000000000..ab4bcf290
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/denied.txt
@@ -0,0 +1,3 @@
+====== 啶呧え啷佮ぎ啶むた 啶呧ぎ啶距え啷嵿く ======
+
+啶ぞ啶 啶椸ぐ啷嵿え啷佮す啷嬥げ啶 啶むお啶距啶侧ぞ啶 啶呧啶距ぁ啶 啶あ啷嵿え 啶呧え啷佮ぎ啶むた 啶涏啶ㄠイ 啶膏ぎ啷嵿き啶掂い: 啶むお啶距啶侧 啶啶班さ啷囙ざ 啶椸ぐ啷嵿え 啶啶侧啶ㄠ 啶く啷嬥イ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/diff.txt
new file mode 100644
index 000000000..76d75fbfb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/diff.txt
@@ -0,0 +1,3 @@
+====== 啶た啶ㄠ啶ㄠい啶距す啶班 ======
+
+啶じ啶侧 啶 啶啶粪啶犩啷 啶涏ぞ啶ㄠた啶忇啷 啶膏啶膏啶曕ぐ啶 啶 啶灌ぞ啶侧啷 啶膏啶曕ぐ啶 啶啶 啶た啶ㄠ啶ㄠい啶距す啶班 啶︵啶栢ぞ啶夃啶 啷 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/draft.txt
new file mode 100644
index 000000000..88630c992
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/draft.txt
@@ -0,0 +1,5 @@
+====== 啶∴啶班ぞ啶啶 啶ぞ啶囙げ 啶啶熰た啶 ======
+
+啶むお啶距啶曕 啶 啶啶粪啶犩啷 啶椸い 啶膏ぎ啷嵿お啶距う啶 啶膏か啶侧い啶距お啷傕ぐ啷嵿さ啶 啶膏ぎ啷嵿お啶ㄠ啶 啶啶曕 啶ムた啶忇え 啷okuWiki 啶侧 啶膏啶掂啶距げ啶苦い啶班啶ぎ啶 啶∴啶班ぞ啶啶 啶掂啶む啶班啶曕 啶 啶む啶じ 啶︵啶栢た 啶むお啶距啶侧 啶嗋か啷嵿え啷 啶膏ぎ啷嵿お啶距う啶 啶曕ぞ啶班啶ぎ啶 啶ㄠた啶班え啷嵿い啶班い啶 啶︵た啶 啶膏啷嵿え啷佮す啷佮え啷嵿啷 啶むげ 啶むお啶距啶侧 啶椸い 啶膏い啷嵿ぐ啶ぞ 啶啶 啶椸ぐ啶苦啶曕 啶膏ぞ啶啷嵿ぐ啷 啶︵啶栢啶 啶膏啷嵿え啷佮す啷佮え啷嵿 啷
+
+啶曕啶く啶 啶ㄠた啶班啶`く 啶︵た啶ㄠ啶灌啶膏 啶曕た 啶むお啶距 啶椸い 啶膏い啷嵿ぐ啶ぞ 啶啶 啶椸ぐ啶苦啶曕 啶膏い啷嵿ぐ啶曕 啶膏ぎ啷嵿お啶距う啶ㄠ啶距ぐ啷嵿く //recover// , //delete// 啶掂ぞ //cancel// 啶曕 啶椸ぐ啷嵿え 啶氞ぞ啶灌え啷佮す啷佮え啷嵿 啶え啷囙ぐ啷
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/edit.txt
new file mode 100644
index 000000000..be498a61b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/edit.txt
@@ -0,0 +1 @@
+啶啶粪啶 啶膏ぎ啷嵿お啶距う啶 啶椸ぐ啷嵿え啷佮す啷嬥じ 啶 "啶啶" 啶ぞ 啶ムた啶氞啶ㄠ啶灌啶膏 啷 啶膏た啶ㄠ啶熰啶曕啶膏啷 啶侧ぞ啶椸た [[wiki:syntax]] 啶灌啶班啶ㄠ啶灌啶膏 啷 啶 啶啶粪啶犩げ啶距 **啶膏啶оぞ啶班啶** 啶膏啷嵿え啷佮す啷佮え啷嵿 啶え啷 啶ぞ啶む啶 啶膏ぎ啷嵿お啶距う啶 啶椸ぐ啷嵿え啷佮す啷嬥じ啷 啷むく啶︵た 啶曕啶ㄠ 啶啶班く啷嬥 啶椸ぐ啷嵿え 啶ぞ , 啶溹ぞ啶ㄠ啶 啶氞ぞ啶灌え啷佮す啷佮え啷嵿 啶え啷 [[playground:playground|playground]] 啶曕 啶啶班く啷嬥 啶椸ぐ啷嵿え啷佮す啷嬥じ啷 啷 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/editrev.txt
new file mode 100644
index 000000000..0db67c2bb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/editrev.txt
@@ -0,0 +1,2 @@
+** 啶むお啶距啶侧 啶じ 啶曕ぞ啶椸啶距い啶曕 啶啶班ぞ啶ㄠ 啶膏啶膏啶曕ぐ啶 啶栢啶侧啶ㄠ 啶啶曕 啶 啷** 啶う啶 啶じ啶侧ぞ啶 啶掂啶 啶椸ぐ啷嵿え啷佮き啶 啶え啷 啶じ啷堗じ啶距ぎ啶椸啶班啶ぞ啶 啶ㄠく啶距 啶膏啶膏啶曕ぐ啶`啷 啶ㄠた啶班啶ぞ啶 啶灌啶ㄠ啶 啷
+---- \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/index.txt
new file mode 100644
index 000000000..cb06f0307
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/index.txt
@@ -0,0 +1,3 @@
+====== 啶膏啶氞 ======
+
+啶 啶膏が啷 啶夃お啶侧ぞ啶啶 啶啶粪啶犩す啶班啶曕 [[doku>namespaces|namespaces]] 啶曕ぞ 啶嗋ぇ啶距ぐ啶ぞ 啶た啶侧ぞ啶囙啶曕 啶膏啶氞 啶灌 啷 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/lang.php
new file mode 100644
index 000000000..ce9310ee5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/lang.php
@@ -0,0 +1,220 @@
+<?php
+/**
+ * Nepali language file
+ *
+ * @author Saroj Kumar Dhakal <lotusnagarkot@gmail.com>
+ * @author SarojKumar Dhakal <lotusnagarkot@yahoo.com>
+ * @author Saroj Dhakal<lotusnagarkot@yahoo.com>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '鈥';
+$lang['btn_edit'] = '啶 啶啶粪啶 啶膏ぎ啷嵿お啶距う啶 啶椸ぐ啷嵿え啷佮す啷嬥じ啷 ';
+$lang['btn_source'] = '啶 啶啶粪啶犩啷 啶膏啶班啶 啶︵啶栢ぞ啶夃え啷佮す啷嬥じ啷 ';
+$lang['btn_show'] = '啶啶粪啶 啶︵啶栢ぞ啶夃え啷佮す啷嬥じ啷 ';
+$lang['btn_create'] = '啶 啶啶粪啶 啶ㄠた啶班啶ぞ啶 啶椸ぐ啷嵿え啷佮す啷嬥じ啷';
+$lang['btn_search'] = '啶栢啶溹啶ㄠ啶灌啶膏 ';
+$lang['btn_save'] = '啶掂啶 啶椸ぐ啷嵿え啷佮す啷嬥じ啷';
+$lang['btn_preview'] = '啶啶班啶掂ぐ啷佮お ';
+$lang['btn_top'] = '啶ぞ啶ムた 啶ぐ啷嵿啶ㄠ啶灌啶膏';
+$lang['btn_newer'] = '<< 啶 啶え啷嵿う啶 啶啶苦啷';
+$lang['btn_older'] = '啶 啶え啷嵿う啶 啶す啶苦げ啷囙啷 >>';
+$lang['btn_revs'] = '啶啶班ぞ啶ㄠ 啶膏啶曕ぐ啶';
+$lang['btn_recent'] = '啶灌ぞ啶侧啶曕ぞ 啶ぐ啶苦さ啶班啶むえ啶灌ぐ啷 ';
+$lang['btn_upload'] = '啶呧お啶侧啶 ';
+$lang['btn_cancel'] = '啶班う啷嵿う 啶椸ぐ啷嵿え啷佮す啷嬥じ啷 ';
+$lang['btn_index'] = '啶膏啶氞';
+$lang['btn_secedit'] = '啶膏ぎ啷嵿お啶距う啶 啶椸ぐ啷嵿え啷佮す啷嬥じ啷';
+$lang['btn_login'] = '啶啶班さ啷囙ざ 啶椸ぐ啷嵿え啷佮す啷嬥じ啷 ';
+$lang['btn_logout'] = '啶ぞ啶灌た啶 啶溹ぞ啶ㄠ啶灌啶膏 ';
+$lang['btn_admin'] = '啶忇ぁ啷嵿ぎ啶苦え(啶掂啶さ啶膏啶ムぞ啶)';
+$lang['btn_update'] = '啶呧ぇ啷嵿く啶距さ啶оた啶 啶椸ぐ啷嵿え啷佮す啷嬥じ啷';
+$lang['btn_delete'] = '啶啶熰ぞ啶夃え啷佮す啷嬥じ啷 ';
+$lang['btn_back'] = '啶啶距ぁ啶';
+$lang['btn_backlink'] = '啶啶距ぁ啶苦啶 啶侧た啶權啶曕す啶班 ';
+$lang['btn_backtomedia'] = '啶た啶∴た啶ぞ 啶涏え啷屶啶ぞ 啶ぐ啷嵿啶ㄠ啶灌啶膏';
+$lang['btn_subscribe'] = '啶啶粪啶 啶ぐ啶苦さ啶班啶むえ 啶椸啶班ぞ啶灌啶 啶椸ぐ啷嵿え啷佮す啷嬥じ啷';
+$lang['btn_unsubscribe'] = '啶啶粪啶 啶ぐ啶苦さ啶班啶むえ 啶呧啷嵿ぐ啶距す啷嵿く 啶椸ぐ啷嵿え啷佮す啷嬥じ啷';
+$lang['btn_subscribens'] = '啶ㄠ啶じ啷嵿お啷囙じ 啶ぐ啶苦さ啶班啶むえ 啶椸啶班ぞ啶灌啶 啶椸ぐ啷嵿え啷佮す啷嬥じ啷 ';
+$lang['btn_unsubscribens'] = '啶ㄠ啶じ啷嵿お啷囙じ 啶ぐ啶苦さ啶班啶むえ 啶呧啷嵿ぐ啶距す啷嵿く 啶椸ぐ啷嵿え啷佮す啷嬥じ啷 ';
+$lang['btn_profile'] = '啶啶班啶ぞ啶囙げ 啶呧ぇ啷嵿く啶距さ啶оた啶 啶椸ぐ啷嵿え啷佮す啷嬥じ啷 ';
+$lang['btn_reset'] = '啶啶班啶掂ぐ啷佮お啶ぞ 啶ぐ啷嵿啶距啶ㄠ啶灌啶';
+$lang['btn_resendpwd'] = '啶ㄠく啶距 啶啶班さ啷囙ざ 啶多さ啷嵿う(啶ぞ啶膏さ啶班啶) 啶啶距啶ㄠ啶灌啶膏 ';
+$lang['btn_draft'] = ' 啶∴啶班ぞ啶啶 啶膏ぎ啷嵿お啶距う啶 啶椸ぐ啷嵿え啷佮す啷嬥じ啷 ';
+$lang['btn_recover'] = '啶す啶苦げ啷囙啷 啶∴啶班ぞ啶啶 啶灌ぞ啶膏た啶 啶椸ぐ啷嵿え啷佮す啷嬥じ ';
+$lang['btn_draftdel'] = ' 啶∴啶班ぞ啶啶 啶啶熰ぞ啶夃え啷佮す啷嬥じ啷 ';
+$lang['loggedinas'] = '啶啶班さ啷囙ざ 啶椸ぐ啷嵿え啷佮す啷嬥じ啷 ';
+$lang['user'] = '啶啶班く啷嬥啶曕ぐ啷嵿い啶 ';
+$lang['pass'] = '啶啶班さ啷囙ざ啶多さ啷嵿う';
+$lang['newpass'] = '啶ㄠく啶距 啶啶班さ啷囙ざ啶多さ啷嵿う';
+$lang['oldpass'] = '啶ㄠく啶距 啶啶班さ啷囙ざ啶多さ啷嵿う 啶ㄠた啶多啶氞た啶 啶椸ぐ啷嵿え啷佮す啷嬥じ ';
+$lang['passchk'] = '啶忇啶啶 啶啶:';
+$lang['remember'] = '啶げ啶距 啶膏ぎ啷嵿啶ㄠ';
+$lang['fullname'] = '啶啶班ぞ 啶ㄠぞ啶';
+$lang['email'] = '啶囙ぎ啷囙げ';
+$lang['register'] = '啶︵ぐ啷嵿い啶 啶椸ぐ啷嵿え啷佮す啷嬥じ啷';
+$lang['profile'] = '啶啶班く啷嬥啶曕ぐ啷嵿い啶距啷 啶啶班啶ぞ啶囙げ';
+$lang['badlogin'] = '啶ぞ啶 啶椸ぐ啷嵿え啷佮す啷嬥じ啷 , 啶啶班く啷嬥啶曕ぐ啷嵿い啶距え啶距ぎ 啶掂ぞ 啶啶班さ啷囙ざ啶多さ啷嵿う 啶椸げ啶 啶く啷 ';
+$lang['minoredit'] = '啶膏ぞ啶ぞ啶ㄠ啶 啶ぐ啶苦さ啶班啶むえ';
+$lang['draftdate'] = '啶∴啶班ぞ啶啶 啶膏啶掂啶距げ啶苦い 啶班啶ぎ啶 啶掂啶 啶啶曕';
+$lang['nosecedit'] = '啶 啶啶粪啶 啶じ啷 啶啶むぎ啶 啶ぐ啶苦さ啶班啶むえ 啶く啷, 啶栢ぃ啷嵿ぁ 啶溹ぞ啶ㄠ啶距ぐ啷 啶呧ぇ啷嵿く啶距さ啶оた啶 啶灌啶 啶膏啷囙え 啶 啶啶班 啶啶粪啶 啶侧啶 啶く啷 啷 ';
+$lang['regmissing'] = '啶ぞ啶 啶椸ぐ啷嵿え啷佮す啷嬥げ啶 , 啶膏が啷 啶犩ぞ啶夃ぎ啶 啶ぐ啷嵿え啷佮お啶班啶ㄠ啶 啷';
+$lang['reguexists'] = '啶 啶ㄠぞ啶啷 啶啶班く啷嬥啶曕ぐ啷嵿い啶 啶す啶苦げ啷 啶︵啶栢た 啶班す啷囙啷 啶涏イ';
+$lang['regsuccess'] = '啶 啶啶班く啷嬥啶曕ぐ啷嵿い啶 啶え啶距啶忇啷 啶 啶 啶啶班さ啷囙ざ啶多さ啷嵿う 啶囙ぎ啷囙げ啶ぞ 啶啶囙啶曕 啶涏イ';
+$lang['regsuccess2'] = '啶 啶啶班く啷嬥啶曕ぐ啷嵿い啶 啶え啶距啶忇啷 啶 啷';
+$lang['regmailfail'] = '啶囙ぎ啷囙げ啶ぞ啶 啶啶班さ啷囙ざ啶多が啷嵿う 啶啶夃え 啶椸げ啷嵿い啶 啶く啷 啷 啶曕啶く啶 啶忇ぁ啷嵿ぎ啶苦え(啶掂啶さ啶膏啶ムぞ啶)啶侧ぞ啶 啶膏ぎ啷嵿お啶班啶 啶椸ぐ啷嵿え啷佮す啷嬥じ啷 !';
+$lang['regbadmail'] = '啶︵た啶忇啷 啶囙ぎ啷囙げ 啶犩啶椸ぞ啶ㄠぞ 啶椸げ啶 啶 啶溹じ啷嵿い啷 啶︵啶栢た啶ㄠ啶 - 啶う啶 啶 啶膏す啶 啶灌 啶え啷 啶忇ぁ啷嵿ぎ啶苦え(啶掂啶さ啶膏啶ムぞ啶)啶侧ぞ啶 啶膏ぎ啷嵿お啶班啶 啶椸ぐ啷嵿え啷佮す啷嬥じ啷 !';
+$lang['regbadpass'] = '啶︵た啶囙啶曕ぞ 啶啶班さ啷囙ざ啶多さ啷嵿う啶灌ぐ啷 啶た啶侧啶︵啶ㄠえ啷 , 啶啶: 啶啶班く啶距じ 啶椸ぐ啷嵿え啷佮す啷嬥じ啷 啷';
+$lang['regpwmail'] = '啶むお啶距啶曕 DokuWiki 啶啶班さ啷囙ざ啶多が啷嵿う ';
+$lang['reghere'] = '啶むお啶距啶曕 啶嗋か啷嵿え啷 啶栢ぞ啶むぞ 啶涏啶 ? 啶呧す啶苦げ啷嵿く啷 啶忇啶熰ぞ 啶え啶距啶ㄠ啶灌啶膏 ';
+$lang['profna'] = '啶 啶掂た啶曕た啶侧 啶 啶啶班啶ぞ啶囙げ 啶ぐ啶苦さ啶班啶むえ 啶膏ぎ啶班啶ムえ 啶椸ぐ啷嵿う啷堗え 啷';
+$lang['profnochange'] = '啶曕啶灌た 啶ぐ啶苦さ啶班啶むえ 啶涏啶 , 啶曕啶灌た 啶椸ぐ啷嵿え啷 啶涏啶 啷';
+$lang['profnoempty'] = '啶栢ぞ啶侧 啶ㄠぞ啶 啶掂ぞ 啶囙ぎ啷囙げ 啶犩啶椸ぞ啶ㄠぞ啶侧ぞ啶 啶呧え啷佮ぎ啶むた 啶涏啶 啷';
+$lang['profchanged'] = '啶啶班く啷嬥啶曕ぐ啷嵿い啶距啷 啶啶班か啶距啶 啶膏か啶侧ぐ啷佮お啶ぞ 啶ぐ啶苦さ啶班啶むえ 啶く啷 啷';
+$lang['pwdforget'] = '啶嗋か啷嵿え啷 啶ぞ啶膏さ啶班啶 啶啶侧啶ㄠ 啶く啷 ? 啶ㄠく啶距 啶灌ぞ啶膏た啶 啶椸ぐ啷嵿え啷佮す啷嬥じ啷 ';
+$lang['resendna'] = '啶 啶掂た啶曕た啶ぞ啶 啶啶班さ啷囙ざ啶多さ啷嵿う 啶啶距啶 啶膏ぎ啶班啶ムた啶 啶涏啶 啷';
+$lang['resendpwd'] = '啶ㄠく啶距 啶啶班さ啷囙ざ啶多さ啷嵿う 啶啶距啶ㄠ啶灌啶膏 ';
+$lang['resendpwdmissing'] = '啶ぞ啶 啶椸ぐ啷嵿え啷佮す啷嬥じ啷 , 啶むお啶距啶侧 啶膏が啷 啶犩ぞ啶 啶ぐ啷嵿え啷佮お啶班啶涏イ ';
+$lang['resendpwdnouser'] = '啶ぞ啶 啶椸ぐ啷嵿え啷佮す啷嬥じ啷, 啶灌ぞ啶啶班 啶∴啶熰ぞ啶掂啶膏ぎ啶 啶 啶啶班く啷嬥啶曕ぐ啷嵿い啶 啶啶熰た啶忇え 啷';
+$lang['resendpwdbadauth'] = '啶ぞ啶 啶椸ぐ啷嵿え啷佮す啷嬥じ啷 , 啶 啶呧え啷佮ぎ啶むた 啶氞た啶ㄠ啶 啶椸げ啶 啶涏イ 啶むお啶距啶侧 啶啶班 啶溹ぞ啶ㄠ啶距ぐ啷 啶侧た啶權啶 啶啶班く啷嬥 啶椸ぐ啷嵿え啷 啶ぐ啷嵿え啷囙啷 ';
+$lang['resendpwdconfirm'] = '啶むお啶距啶曕 啶囙ぎ啷囙げ啶ぞ 啶曕え啷嵿か啶班ぎ啷囙ざ啶 啶侧た啶權啶 啶啶距啶忇啷 啶涏イ ';
+$lang['resendpwdsuccess'] = '啶むお啶距啶曕 啶啶班さ啷囙ざ啶多さ啷嵿う 啶囙ぎ啷囙げ啶ぞ啶 啶啶距啶忇啷 啶涏イ ';
+$lang['license'] = '啶栢啶侧ぞ啶囙啶曕 啶ぞ啶灌啶, 啶じ 啶掂た啶曕た啶曕ぞ 啶掂た啶粪く啶掂じ啷嵿い啷佮す啶班 啶ㄠた啶啶 啶啶班ぎ啶距ぃ 啶︵啶掂ぞ啶班ぞ 啶啶班ぎ啶距ぃ啶苦 啶椸ぐ啶苦啶曕 啶涏イ';
+$lang['licenseok'] = '啶ㄠ啶: 啶じ 啶啶粪啶 啶膏ぎ啷嵿お啶距う啶 啶椸ぐ啷 啶むお啶距啶侧 啶嗋か啷嵿え啷 啶掂た啶粪く啶掂じ啷嵿い啷 啶むげ啶曕 啶啶班ぎ啶距ぃ 啶い啷嵿ぐ 啶呧え啷嵿い啶班啶椸い 啶啶班ぎ啶距ぃ啶苦 啶椸ぐ啷嵿え 啶班ぞ啶溹 啶灌啶ㄠ 啶灌啶ㄠ啶 啷';
+$lang['txt_upload'] = '啶呧お啶侧啶 啶椸ぐ啷嵿え啶侧ぞ啶 啶ぞ啶囙げ 啶涏ぞ啷嵿え啷嵿え啷佮す啷嬥啶膏';
+$lang['txt_filename'] = '啶呧ぐ啷嵿啷 啶班啶ぎ啶 啶呧お啶侧啶 啶椸ぐ啷嵿え啷佮す啷嬥じ啷 (啶愢啷嵿啶苦)';
+$lang['txt_overwrt'] = '啶班す啷囙啷 啶夃す啶 啶ㄠぞ啶啷 啶ぞ啶囙げ啶侧ぞ啶 啶啶熰ぞ啶夃え啷';
+$lang['lockedby'] = '啶呧す啶苦げ啷 啶むぞ啶侧啶氞ぞ 啶侧啶距啶忇啷';
+$lang['lockexpire'] = '啶むぞ啶侧啶氞ぞ 啶呧さ啶оた 啶膏啶苦え啷 :';
+$lang['willexpire'] = '啶むお啶距啶侧げ啷 啶 啶啶粪啶 啶膏ぎ啷嵿お啶距う啶 啶椸ぐ啷嵿え 啶侧啶距啶ㄠ 啶啶曕 啶むぞ啶侧啶氞ぞ啶曕 啶呧さ啶оた 啶忇 啶た啶ㄠ啶 啶た啶む啶 啶膏啶苦う啷 啶涏イ \n 啶︵啶掂え啷嵿う 啶灌啶 啶ㄠう啶苦え 啶啶班啶掂ぐ啷佮お 啶掂ぞ 啶むぞ啶侧啶氞ぞ 啶膏ぎ啶 啶ぐ啶苦さ啶班啶むえ 啶椸ぐ啷嵿え啷佮す啷嬥じ啷 啷';
+$lang['notsavedyet'] = '啶むお啶距啶侧 啶掂啶 啶椸ぐ啷嵿え啷 啶ㄠき啶忇啷 啶ぐ啶苦さ啶班啶班え 啶灌ぐ啶距啶ㄠ 啶涏イ \n 啶膏ぞ啶氞啶氞 啶溹ぞ啶班 啶椸ぐ啷嵿え啷佮す啷佮え啷嵿 啷';
+$lang['rssfailed'] = '啶 啶た啶 啶侧た啶 啶嗋啶︵ぞ 啶椸げ啷嵿い啶 啶く啷 啷';
+$lang['nothingfound'] = '啶曕啶灌た 啶え啶 啶啶熰た啶忇え 啷';
+$lang['mediaselect'] = '啶た啶∴た啶ぞ 啶ぞ啶囙げ啶灌ぐ啷 ';
+$lang['fileupload'] = '啶た啶∴た啶ぞ 啶ぞ啶囙げ 啶呧お啶侧啶 ';
+$lang['uploadsucc'] = '啶呧お啶侧啶 啶膏か啶 ';
+$lang['uploadfail'] = '啶呧お啶侧啶 啶呧じ啶げ 啷 啶膏ぞ啶う 啶椸げ啶 啶呧え啷佮ぎ啶むた 啷 ';
+$lang['uploadwrong'] = '啶呧お啶侧啶 啶呧じ啶ぐ啷嵿ぅ啶苦い 啷 啶ぞ啶囙げ 啶忇啷嵿じ啶熰啶ㄠ啶膏え 啶呧ぎ啶距え啷嵿く啷 ';
+$lang['uploadexist'] = '啶ぞ啶囙げ 啶す啶苦げ啷囙う啷囙啶 啶涏イ 啶曕啶灌た 啶椸ぐ啶苦啶 啷';
+$lang['uploadbadcontent'] = '啶呧お啶侧啶 啶椸ぐ啶苦啶曕 啶掂じ啷嵿い啷 %s 啶ぞ啶囙げ 啶忇啷嵿啷囙え啷嵿じ啶 啶呧え啷佮じ啶距ぐ 啶た啶侧啶 啷';
+$lang['uploadspam'] = '啶呧お啶侧啶 啶膏啶啶ぞ啶 啶曕ぞ啶侧 啶膏啶氞啶侧 啶班啶曕た啶忇啷 啶涏イ ';
+$lang['uploadxss'] = '啶呧お啶侧啶 啶膏ぎ啷嵿き啶掂い: 啶灌ぞ啶ㄠた啶曕ぞ啶班 啶掂じ啷嵿い啷佮啷 啶曕ぞ啶班ぃ啶侧 啶班啶曕た啶忇啷嬥イ ';
+$lang['deletesucc'] = '啶ぞ啶囙げ "%s" 啶啶熰た啶忇啷 啶涏イ ';
+$lang['deletefail'] = '"%s" 啶啶熰啶 啶膏啶苦啶 - 啶呧え啷佮ぎ啶むた 啶灌啶班啶ㄠ啶灌啶膏 啷';
+$lang['mediainuse'] = '啶ぞ啶囙げ "%s" 啶啶熰た啶忇啷 啶涏啶 - 啶啶班く啷嬥啶ぞ 啶涏イ';
+$lang['namespaces'] = '啶ㄠ啶じ啷嵿お啷囙じ啶灌ぐ啷 ';
+$lang['mediafiles'] = ' 啶夃お啶侧が啷嵿ぇ 啶ぞ啶囙げ啶灌ぐ啷 ';
+$lang['js']['keepopen'] = '啶涏え啷屶啶ぞ 啶掂た啶ㄠ啶∴ 啶栢啶侧ぞ 啶班ぞ啶栢啶ㄠ啶灌啶膏 ';
+$lang['js']['hidedetails'] = '啶溹ぞ啶ㄠ啶距ぐ啷 啶侧啶曕ぞ啶夃え啷 啶灌啶膏 ';
+$lang['js']['nosmblinks'] = '啶掂た啶ㄠ啶∴啶灌ぐ啷 啶侧た啶權啶 啶椸ぐ啷嵿う啶 啶ぞ啶囙啷嵿ぐ啷 啶膏か啷嵿 啶忇啷嵿じ啶啶侧啶班ぐ啶ぞ啶ぞ啶む啶 啶曕ぞ啶 啶膏ぞ啶澿ぞ 啶灌啶ㄠ啶 啷 啶むぐ 啶曕お啶 啶椸ぐ啷嵿え 啶 啶熰ぞ啶膏啶 啶た啶侧啶涏イ ';
+$lang['js']['mu_btn'] = '啶о啶班 啶掂啶 啶ぞ啶囙げ啶灌ぐ啷 啶忇啷堗お啶熰 啶呧お啶侧啶 啶椸ぐ啷嵿え啷佮す啷嬥じ啷 啷';
+$lang['mediausage'] = '啶ぞ啶囙げ啶侧ぞ啶 啶班啶ぐ啷囙え啷嵿じ 啶椸ぐ啷嵿え 啶ㄠた啶啶 啶膏啶む啶 啶啶班く啷嬥 啶椸ぐ啷嵿え啷佮す啷嬥じ啷 :';
+$lang['mediaview'] = '啶膏啷嵿啶侧 啶ぞ啶囙げ 啶灌啶班啶ㄠ啶灌啶膏 ';
+$lang['mediaroot'] = '啶班啶(啶啶栢啶 啶啶班く啷嬥啶曕ぐ啷嵿い啶)';
+$lang['mediaupload'] = '啶呧す啶苦げ啷囙啷 啶ㄠ啶じ啷嵿お啷囙じ啶ぞ 啶す啶 啶呧お啶侧啶 啶椸ぐ啷嵿え啷佮す啷嬥じ啷 啷 啶膏が啶ㄠ啶じ啷嵿お啷囙じ啶灌ぐ啷 啶え啶距啶 "啶班啶ぎ啶 啶嗋お啶侧啶" 啶涏ぞ啶ㄠ 啶ぞ啶囙げ啶灌ぐ啷佮げ啶距 啶曕啶侧啶(:) 啶侧 啶涏啶熰啶熰く啶距啶ㄠ啶灌啶膏 啷';
+$lang['mediaextchange'] = '啶ぞ啶囙げ 啶忇啶膏啶熰啶ㄠ啶膏え .%s 啶︵啶栢た .%s 啶ぞ 啶ぐ啶苦さ啶班い啶苦い 啶く啷 ';
+$lang['reference'] = '啶班啶ぐ啶班啶ㄠ啶 ';
+$lang['ref_inuse'] = '啶ぞ啶囙げ 啶啶熰啶 啶た啶侧啶 , 啶曕た啶ㄠき啶ㄠ 啶 啶ㄠた啶啶 啶啶粪啶犩す啶班啶︵啶掂ぞ啶班ぞ 啶啶班く啷嬥啶ぞ 啶涏イ ';
+$lang['ref_hidden'] = '啶曕啶灌た 啶班啶ぐ啷囙え啷嵿じ 啶じ啷嵿い啶 啶啶粪啶犩す啶班啶ぞ 啶涏え啷 啶溹啶 啶灌啶班啶 啶むお啶距啶侧ぞ啶 啶呧え啷佮ぎ啶むた 啶涏啶 啷';
+$lang['hits'] = '啶た啶侧啶曕';
+$lang['quickhits'] = '啶た啶侧啶曕ぞ 啶啶粪啶犩え啶距ぎ啶灌ぐ啷 ';
+$lang['toc'] = '啶掂じ啷嵿い啷佮す啶班啶曕 啶膏啶氞';
+$lang['current'] = '啶灌ぞ啶侧啷';
+$lang['yours'] = '啶むお啶距啶曕 啶膏啶膏啶曕ぐ啶';
+$lang['diff'] = '啶灌ぞ啶侧啷 啶膏啶膏啶曕ぐ啶 啶膏啶椸啷 啶た啶ㄠ啶ㄠい啶';
+$lang['diff2'] = '啶班啶溹た啶忇啶 啶膏啶膏啶曕ぐ啶 啶掂啶氞啶 啶た啶ㄠ啶ㄠい啶距す啶班 ';
+$lang['line'] = '啶灌ぐ啶';
+$lang['breadcrumb'] = '啶涏啶熰啶熰啶ぞ啶夃え啷佮す啷嬥じ啷 ';
+$lang['youarehere'] = '啶むお啶距 啶す啶 啶灌啶ㄠ啶灌啶ㄠ啶';
+$lang['lastmod'] = '啶呧え啷嵿い啶苦ぎ 啶啶 啶膏啷嵿く啶距啶忇啷';
+$lang['by'] = '啶︵啶掂ぞ啶班ぞ ';
+$lang['deleted'] = '啶灌啶距啶忇啷';
+$lang['created'] = '啶ㄠた啶班啶ぞ啶 啶椸ぐ啶苦啶曕';
+$lang['restored'] = '啶啶班ぞ啶ㄠ 啶膏啶膏啶曕ぐ啶 啶啶ㄠぐ啷嶁屶お啷嵿ぐ啶啶 啶椸ぐ啶苦啶曕';
+$lang['external_edit'] = '啶ぞ啶灌啶 啶膏ぎ啷嵿お啶距う啶';
+$lang['summary'] = '啶膏ぎ啷嵿お啶距う啶ㄠ啷 啶ぞ啶班啶ぞ';
+$lang['mail_newpage'] = '啶ムお啶苦啶曕 啶啶粪啶';
+$lang['mail_changed'] = '啶ぐ啶苦さ啶班啶むた啶 啶啶粪啶';
+$lang['mail_new_user'] = '啶ㄠく啶距 啶啶班く啷嬥啶曕ぐ啷嵿い啶 ';
+$lang['mail_upload'] = '啶呧お啶侧啶 啶椸ぐ啶苦啶曕 啶ぞ啶囙げ';
+$lang['qb_bold'] = '啶啶熰 啶ぞ啶(啶啶侧啶)';
+$lang['qb_italic'] = '啶囙啶距げ啶苦 啶ぞ啶';
+$lang['qb_underl'] = '啶ㄠた啶啶 啶班啶栢ぞ啶傕啶苦い(啶呧え啷嵿ぁ啶班げ啶距啶) 啶ぞ啶';
+$lang['qb_code'] = '啶氞た啶ㄠ啶(啶曕啶) 啶ぞ啶';
+$lang['qb_strike'] = '啶膏啶熰啶班ぞ啶囙啶ム啶班 啶ぞ啶';
+$lang['qb_h1'] = '啶す啶苦げ啷 啶膏啶むぐ啶曕 啶多た啶班啶粪(啶侧啶げ 啷 啶灌啶∴げ啶距啶)';
+$lang['qb_h2'] = '啶︵啶膏啶班 啶膏啶むぐ啶曕 啶多た啶班啶粪(啶侧啶げ 啷 啶灌啶∴げ啶距啶)';
+$lang['qb_h3'] = '啶む啶膏啶班 啶膏啶むぐ啶曕 啶多た啶班啶粪(啶侧啶げ 啷 啶灌啶∴げ啶距啶)';
+$lang['qb_h4'] = '啶氞啶ム 啶膏啶むぐ啶曕 啶多た啶班啶粪(啶侧啶げ 啷 啶灌啶∴げ啶距啶)';
+$lang['qb_h5'] = '啶ぞ啶氞啶 啶膏啶むぐ啶曕 啶多た啶班啶粪(啶侧啶げ 啷 啶灌啶∴げ啶距啶)';
+$lang['qb_link'] = '啶嗋え啷嵿い啶班た啶 啶侧た啶權啶 ';
+$lang['qb_extlink'] = '啶掂ぞ啶灌啶 啶侧た啶權啶';
+$lang['qb_hr'] = '啶曕啶粪た啶むた啶 (啶灌啶班た啶溹啶ㄠ啶熰げ) 啶班啶';
+$lang['qb_ol'] = '啶た啶侧ぞ啶囙啶曕 啶膏啶氞';
+$lang['qb_ul'] = '啶ㄠぎ啶苦げ啶距啶忇啷 啶膏啶氞';
+$lang['qb_media'] = '啶むじ啷嵿さ啶苦ぐ 啶 啶呧ぐ啷 啶ぞ啶囙げ啶灌ぐ啷 啶ムお啷嵿え啷佮す啷嬥じ啷';
+$lang['qb_sig'] = '啶灌じ啷嵿い啶距啷嵿し啶 啶ムお啷嵿え啷佮す啷嬥じ啷 ';
+$lang['qb_smileys'] = '啶膏啶ぞ啶囙げ啷啶灌ぐ啷 ';
+$lang['qb_chars'] = '啶掂た啶多啶 啶掂ぐ啷嵿ぃ啶灌ぐ啷 ';
+$lang['js']['del_confirm'] = '啶膏ぞ啶氞啶氞 啶涏ぞ啶ㄠた啶忇啶 啶掂じ啷嵿い啷佮す啶班 啶啶熰啶ㄠ 啶灌 ?';
+$lang['admin_register'] = '啶ㄠく啶距 啶啶班く啷嬥啶曕ぐ啷嵿い啶 啶ムお啷嵿え啷佮す啷嬥じ啷 ';
+$lang['metaedit'] = '啶啶熰ぞ啶∴啶熰ぞ 啶膏ぎ啷嵿お啶距う啶 啶椸ぐ啷嵿え啷佮す啷嬥じ啷';
+$lang['metasaveerr'] = '啶啶熰ぞ啶∴ぞ啶熰ぞ 啶侧啶栢え 啶呧じ啶げ';
+$lang['metasaveok'] = '啶啶熰ぞ啶∴ぞ啶熰ぞ 啶掂啶 啶く啷 ';
+$lang['img_backto'] = '啶た啶班啶むぞ';
+$lang['img_title'] = '啶多た啶班啶粪';
+$lang['img_caption'] = '啶ㄠた啶啶 啶侧啶';
+$lang['img_date'] = '啶た啶むた';
+$lang['img_fname'] = '啶ぞ啶囙げ啶ㄠぞ啶';
+$lang['img_fsize'] = '啶嗋啶距ぐ';
+$lang['img_artist'] = '啶氞た啶む啶班啶距ぐ';
+$lang['img_copyr'] = '啶膏ぐ啷嵿さ啶距ぇ啶苦啶距ぐ';
+$lang['img_format'] = '啶⑧ぞ啶氞ぞ';
+$lang['img_camera'] = '啶曕啶ぞ啶啶班ぞ';
+$lang['img_keywords'] = '啶栢啶 啶多が啷嵿う';
+$lang['subscribe_success'] = '%s ,%s 啶曕 啶椸啶班ぞ啶灌啶い啶距啷 啶侧ぞ啶椸た 啶ムお啶苦く啷 ';
+$lang['subscribe_error'] = '%s ,%s 啶曕 啶椸啶班ぞ啶灌啶い啶距啷 啶侧ぞ啶椸た 啶ムお啶苦う啶 啶む啶班啶熰た';
+$lang['subscribe_noaddress'] = '啶むお啶距啶曕 啶栢ぞ啶むぞ啶膏啶 啶曕啶ㄠ 啶え啶 啶犩啶椸ぞ啶ㄠぞ 啶膏啶え啷嵿ぇ啶苦い 啶涏啶 , 啶むお啶距啶侧ぞ啶 啶椸啶班す啶距 啶膏啶氞啶ぞ 啶班ぞ啶栢え 啶た啶侧啶ㄠイ';
+$lang['unsubscribe_success'] = '%s 啶侧ぞ啶 %s 啶曕 啶椸啶班す啶距 啶膏啶氞啶ぞ啶 啶灌啶距啶';
+$lang['unsubscribe_error'] = '%s 啶侧ぞ啶 %s 啶曕 啶椸啶班ぞ啶灌 啶膏啶氞啶ぞ啶 啶灌啶距啶︵ぞ 啶む啶班啶熰た';
+$lang['authmodfailed'] = '啶栢ぐ啶距が 啶啶班く啷嬥啶曕ぐ啷嵿い啶 啶啶班ぞ啶ぞ啶`た啶曕ぐ啶 啶掂た啶оた 啷むい啶ぞ啶堗啷 啶掂た啶曕 啶忇ぁ啷嵿ぎ啶苦え啶侧ぞ啶 啶栢さ啶 啶椸ぐ啷嵿え啷佮す啷嬥じ啷 啷';
+$lang['authtempfail'] = '啶啶班く啷嬥啶曕ぐ啷嵿い啶 啶啶班ぞ啶ぞ啶`た啶曕ぐ啶 啶呧じ啷嵿ぅ啶距啶班啶ぎ啶 啶呧え啷佮お啶侧が啷嵿ぇ 啶涏イ 啶う啶 啶 啶膏ぎ啶膏啶ぞ 啶班す啶 啶班す啷囙ぎ啶 啶むお啶距啶曕 啶掂た啶曕た 啶忇ぁ啷嵿ぎ啶苦え啶侧ぞ啶 啶栢さ啶 啶椸ぐ啷嵿え啷佮す啷嬥げ啶 啷';
+$lang['i_chooselang'] = '啶ぞ啶粪ぞ 啶涏ぞ啶ㄠ啶ㄠ啶灌啶膏 ';
+$lang['i_installer'] = 'DokuWiki 啶膏啶ムぞ啶';
+$lang['i_wikiname'] = '啶掂た啶曕 啶ㄠぞ啶';
+$lang['i_enableacl'] = 'ACL 啶侧ぞ啶椸 啶椸ぐ啷嵿え啷佮す啷嬥じ啷( 啶膏た啶ぞ啶班た啶 啶椸ぐ啶苦啶曕)';
+$lang['i_superuser'] = '啶啶栢啶 啶啶班く啷嬥啶曕ぐ啷嵿い啶';
+$lang['i_problems'] = '啶膏啶ムぞ啶啶侧 啶むげ 啶︵啶栢ぞ啶囙啶曕 啶む啶班啶熰た 啶啶侧ぞ 啶ぞ啶班鈥屶く啷 啷むい啶ぞ啶堗げ啷 啶 啶む啶班啶熰た 啶ㄠじ啶氞啶ぞ啶 啶膏ぎ啷嵿ぎ 啶呧啶 啶あ啷嵿え 啶膏啷嵿え啷佮す啷佮え啷 啶涏啶ㄠイ';
+$lang['i_modified'] = '啶膏啶班啷嵿し啶距啷 啶曕ぞ啶班ぃ啶侧 啶 啶膏啶曕啶班た啶啶 啶ㄠく啶 啶むぅ啶 啶ㄠじ啶氞啶ぞ啶囙啶曕 Dokuwiki 啶膏啶ムぞ啶え啶距ぎ啶 啶ぞ啶む啶 啶曕ぞ啶 啶椸ぐ啷嵿啷 啶むお啶距啶侧 啶曕た 啶∴ぞ啶夃え啶侧啶 啶椸ぐ啷嵿え啷佮き啶忇啷 啶啶ぞ啶曕啶 啶啶: 啶栢啶侧啶ㄠ啶灌啶膏 啶曕た <a href="http://dokuwiki.org/install">Dokuwiki 啶膏啶ムぞ啶え啶 啶掂た啶оた</a>';
+$lang['i_funcna'] = 'PHP function <code>%s</code> 啶夃お啶侧さ啷嵿ぇ 啶涏啶 啷 啶灌啶ㄠじ啶曕啶 啶むお啶距啶曕 啶灌啶膏啶熰た啶權啶 啶啶班う啶距く啶曕げ啷 啶曕啶ㄠ 啶曕ぞ啶班ぃ 啶掂ざ 啶じ啶侧ぞ啶 啶掂え啷嵿う 啶椸ぐ啶苦う啶苦啶曕ぞ 啶灌啶ㄠじ啶曕啶涏え啷 啷 ';
+$lang['i_phpver'] = '啶むお啶距啶曕 PHP 啶膏啶膏啶曕ぐ啶 <code>%s</code> 啶氞ぞ啶灌た啶忇啷 <code>%s</code> 啶え啷嵿う啶 啶曕ぎ 啶涏イ 啶むお啶距啶侧 啶嗋か啷嵿え啷 PHP 啶膏啶ムぞ啶え啶 啶呧ぇ啷嵿く啶距さ啶оた啶 啶椸ぐ啷嵿え啷佮お啶班啶 啷';
+$lang['i_permfail'] = '<code>%s</code> DokuWiki 啶︵啶掂ぞ啶班ぞ 啶侧啶栢啶 啶涏啶 啷 啶むお啶距啶侧 啶∴ぞ啶囙ぐ啷囙啷嵿啶班啶曕 啶呧え啷佮ぎ啶むた 啶ぐ啶苦さ啶班啶むえ 啶椸ぐ啷嵿え啷佮お啶班啶 !';
+$lang['i_confexists'] = '<code>%s</code> 啶す啶苦げ啷 啶︵啶栢た 啶ㄠ 啶班す啷囙啷 啶涏イ';
+$lang['i_writeerr'] = '<code>%s</code> 啶え啶距啶 啶呧じ啶ぐ啷嵿ぅ 啷 啶むお啶距啶侧 啶∴ぞ啶囙ぐ啷囙啷嵿啶班 / 啶ぞ啶囙げ 啶呧え啷佮ぎ啶むた 啶溹ぞ啶氞啶ㄠ 啶ぐ啷嵿 啶 啶ぞ啶囙げ 啶嗋か啷堗げ啷 啶え啶距啶ㄠ 啶ぐ啷嵿 啷';
+$lang['i_badhash'] = '啶す啶苦啶距え 啶灌啶 啶ㄠじ啶曕啶曕 啶掂ぞ 啶ぐ啶苦さ啶班啶むた啶 okuwiki.php (hash=code>%s</code>)';
+$lang['i_badval'] = '<code>%s</code> - 啶呧さ啷堗ぇ 啶掂ぞ 啶班た啶む啶む 啶ぞ啶 ';
+$lang['i_success'] = '啶膏啶ムぞ啶え啶 啶膏か啶侧ぐ啷佮お啶ぞ 啶膏ぎ啶距お啷嵿い 啶く啷 啷むい啶ぞ啶 install.php 啶啶熰啶 啶膏啷嵿え啷佮す啷佮啶ㄠ啶 啷 <a href="doku.php">啶むお啶距啶曕 啶ㄠく啶距 DokuWiki</a> 啶ㄠた啶班え啷嵿い啶 啶椸ぐ啷嵿え 啶膏啷嵿え啷佮す啷佮え啷嵿 啷';
+$lang['i_failure'] = '啶膏啶ムぞ啶え啶 啶膏ぎ啶ぎ啶 啶曕啶灌た 啶む啶班啶熰た 啶啶侧ぞ 啶ぐ啷嵿く啷 啷むい啶ぞ啶堗げ啷 啶嗋か啷堗げ啷 啶じ啶侧ぞ啶 <a href="doku.php">啶むお啶距啶曕 啶ㄠく啶距 DokuWiki</a> 啶啶班く啷嬥 啶椸ぐ啷嵿え啷 啶呧啶 啶膏啷嵿く啶距啶ㄠ啶ぐ啷嵿え啷 啶灌啶ㄠ啶 啷';
+$lang['i_policy'] = '啶膏啶班啶曕 ACL 啶ㄠた啶むた';
+$lang['i_pol0'] = '啶栢啶侧啶侧ぞ 啶掂た啶曕た (啶啶, 啶侧啶栢え , 啶呧お啶侧啶 ) 啶膏が啷堗啶 啶侧ぞ啶椸た';
+$lang['i_pol1'] = 'Public 啶掂た啶曕た (啶啶 啶膏さ啷堗啶 啶侧ぞ啶椸た,啶侧啶栢え 啶 啶呧お啶侧啶 啶︵ぐ啷嵿い啶 啶椸ぐ啶苦啶曕ぞ 啶啶班く啶啶曕ぐ啷嵿い啶距啶 啶侧ぞ啶椸た ) ';
+$lang['i_pol2'] = '啶え啷嵿う 啶掂た啶曕た (啶啶 , 啶侧啶栢え, 啶呧お啶侧啶 ) 啶︵ぐ啷嵿い啶 啶啶曕ぞ 啶啶班く啷嬥啶曕ぐ啷嵿い啶距啶 啶侧ぞ啶椸た 啶ぞ啶む啶 啷';
+$lang['i_retry'] = '啶啶: 啶啶班く啶距じ 啶椸ぐ啷嵿え啷佮す啷嬥じ啷 ';
+$lang['mu_intro'] = '啶むお啶距啶侧 啶о啶班 啶掂啶 啶ぞ啶囙げ啶灌ぐ啷 啶忇啷 啶啶 啶呧お啶侧啶 啶椸ぐ啷嵿え 啶膏啷嵿え啷佮す啷佮え啷嵿 啷 browse 啶ムた啶氞啶ㄠ啶灌啶膏 啶呧え啶 啶膏啶氞啶ぞ 啶ムお啷嵿え啷佮す啷嬥じ啷 啷 啶膏啶苦啶啶 啶呧お啶侧啶 啶ムた啶涏啶氞啶灌啶膏 啷';
+$lang['mu_gridname'] = '啶ぞ啶囙げ啶ㄠぞ啶 ';
+$lang['mu_gridsize'] = '啶嗋啶距ぐ';
+$lang['mu_gridstat'] = '啶膏啶ムた啶むた';
+$lang['mu_namespace'] = '啶ㄠ啶じ啷嵿お啷囙じ';
+$lang['mu_browse'] = 'Browse';
+$lang['mu_toobig'] = '啶о啶班 啶犩啶侧';
+$lang['mu_ready'] = '啶呧お啶侧啶∴啷 啶侧ぞ啶椸た 啶むく啶距ぐ';
+$lang['mu_done'] = '啶啶班ぞ';
+$lang['mu_fail'] = '啶呧じ啶げ';
+$lang['mu_authfail'] = '啶膏い啷嵿ぐ 啶膏啶苦く啷 ';
+$lang['mu_progress'] = '@PCT@% 啶呧お啶侧啶 啶く啷 ';
+$lang['mu_filetypes'] = '啶膏ぎ啶班啶ムた啶 啶ぞ啶囙げ 啶啶班啶距ぐ';
+$lang['recent_global'] = '啶むお啶距 啶呧す啶苦げ啷 <b>%s</b> 啶ㄠ啶じ啷嵿お啷囙じ 啶た啶む啶 啶啶曕ぞ 啶ぐ啶苦さ啶班啶むえ 啶灌啶班啶︵啶灌啶ㄠ啶灌啶ㄠ啶涏イ 啶むお啶距 <a href="%s">啶啶班 啶掂た啶曕た啶ぞ 啶啶曕 啶ぐ啶苦さ啶班啶むえ 啶灌啶班啶 啶膏啷嵿え啷佮す啷佮え啷嵿</a>.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/locked.txt
new file mode 100644
index 000000000..85f5390a0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/locked.txt
@@ -0,0 +1,3 @@
+====== 啶啶粪啶犩ぎ啶 啶むぞ啶侧ぞ 啶侧啶距啶曕 啶 ======
+
+啶 啶啶粪啶 啶呧ぐ啷嵿啷 啶啶班く啷嬥啶曕ぐ啷嵿い啶距う啷嵿さ啶距ぐ啶 啶膏ぎ啷嵿お啶距う啶ㄠ啶 啶侧ぞ啶椸た 啶むぞ啶侧ぞ 啶侧啶距啶忇啷 啶 啷 啶むお啶距啶侧 啶膏ぎ啷嵿お啶距う啶 啶膏ぎ啶距お啷嵿い 啶ㄠす啷佮え啷嵿啷囙げ 啶ぞ 啶むぞ啶侧ぞ啶曕 啶膏ぎ啶 啶膏ぎ啶距お啷嵿い 啶ㄠす啷佮え啷嵿啷囙げ 啶膏ぎ啷嵿ぎ 啶啶班い啶苦啷嵿し啶距啶班啶ㄠ 啶ぐ啷嵿 啷 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/norev.txt
new file mode 100644
index 000000000..28c4efb66
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/norev.txt
@@ -0,0 +1,2 @@
+====== 啶曕啶ㄠ 啶む啶じ啷嵿い啷 啶啶:啶膏啶膏啶曕ぐ啶 啶啶熰た啶忇え ======
+啶栢啶侧ぞ啶囙啶曕 啶啶:啶膏啶膏啶曕ぐ啶 啶呧じ啷嵿い啶苦い啷嵿さ啶ぞ 啶涏啶 啷むく啶 啶曕ぞ啶椸啶距い啶曕 啶膏ぎ啷嵿お啷傕ぐ啷嵿ぃ 啶膏啶膏啶曕ぐ啶`啷 啶膏啶氞啶曕 啶侧ぞ啶椸た "啶啶班ぞ啶ㄠ 啶啶:啶膏啶膏啶曕ぐ啶" 啶啶 啶啶班く啷嬥 啶椸ぐ啷嵿え啷佮す啷嬥じ啷 啷 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/pwconfirm.txt
new file mode 100644
index 000000000..1d8cd9fd6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/pwconfirm.txt
@@ -0,0 +1,12 @@
+啶ㄠぎ啶膏啶曕ぞ啶 @FULLNAME@!
+
+啶曕じ啷堗う啷嵿さ啶距ぐ啶 啶むお啶距啶曕 @TITLE@ 啶曕 啶侧ぞ啶椸た 啶ㄠく啶距 啶啶班さ啷囙ざ啶多が啷嵿う 啶ぞ啶 啶啶曕 啶 啷DOKUWIKIURL@啶ぞ 啶啶班さ啷囙ざ 啷
+
+啶う啶 啶むお啶距啶侧 啶ㄠく啶距 啶啶班さ啷囙ざ啶多が啷嵿う啶曕 啶ぞ啶 啶椸ぐ啷嵿え啷佮き啶忇啷 啶灌啶 啶え啷 啶じ 啶囙ぎ啷囙げ啶侧ぞ啶 啶掂啶掂ぞ啶膏啶むぞ 啶椸ぐ啷嵿え 啶膏啷嵿え啷佮す啷佮え啷嵿 啷
+
+啶曕啶く啶 啶むお啶距啶曕 啶ぞ啶 啶膏ぞ啶氞啶氞 啶啶距啶忇啷 啶ムた啶 啶え啷嵿え啷 啶啶苦え 啶椸ぐ啶距啶ㄠぞ啶曕 啶侧ぞ啶椸た 啶むげ啶距啷 啶侧た啶權啶曕ぎ啶 啶啶班く啷嬥 啶椸ぐ啷嵿え啷佮す啷嬥じ啷 啷
+
+@CONFIRM@
+
+--
+啶 啶い啷嵿ぐ DokuWiki 啶侧 @DOKUWIKIURL@ 啶ぞ 啶むく啶距ぐ 啶ぞ啶班啶曕 啶灌 啷
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/read.txt
new file mode 100644
index 000000000..e004cd39b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/read.txt
@@ -0,0 +1 @@
+啶 啶啶粪啶 啶あ啷嵿え啶曕 啶侧ぞ啶椸た 啶ぞ啶む啶 啶灌 啷 啶むお啶距 啶膏啶班啶むす啷囙ぐ啷嵿え 啶膏啷嵿え啷佮す啷佮え啷嵿 ,啶むぐ 啶膏ぎ啷嵿お啶距う啶 啶え啷 啶椸ぐ啷嵿え 啶膏啷嵿え啷佮す啷佮え啷嵿え 啷 啶むお啶距啶曕 啶掂啶さ啶膏啶ムぞ啶(administrator) 啶膏啶 啶曕 啶膏ぎ啶膏啶ぞ 啶 啶え啷囙ぐ 啶膏啶о啶ㄠ 啶灌啶侧ぞ 啷 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/recent.txt
new file mode 100644
index 000000000..239903fd7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/recent.txt
@@ -0,0 +1,2 @@
+====== 啶灌ぞ啶侧啶曕 啶ぐ啶苦さ啶班啶むえ ======
+啶ㄠた啶啶 啶啶粪啶犩す啶班 啶灌ぞ啶侧 啶ぐ啶苦さ啶班啶むえ 啶椸ぐ啶苦啶曕ぞ 啶涏え啷 啷 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/resendpwd.txt
new file mode 100644
index 000000000..aec9dfb95
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/resendpwd.txt
@@ -0,0 +1,3 @@
+====== 啶ㄠく啶距 啶啶班さ啷囙ざ啶多が啷嵿う 啶啶距啶ㄠ啶灌啶膏 ======
+
+啶曕啶く啶 啶むお啶距啶曕 啶じ 啶掂た啶曕啶ぞ 啶班す啷囙啷 啶栢ぞ啶むぞ啶曕 啶侧ぞ啶灌た 啶ㄠく啶距 啶啶班さ啷囙ざ啶多さ啷嵿う 啶呧え啷佮ぐ啷嬥ぇ 啶椸ぐ啷嵿え 啶むお啶距啶佮啷 啶ㄠぞ啶 啶ㄠた啶啶 啶ぐ啷嵿ぎ啶ぞ 啶啶班さ啶苦し啷嵿 啶椸ぐ啷嵿え啷佮す啷嬥じ 啷 啶忇啶熰ぞ 啶曕た啶熰ぞ啶ㄠ 啶侧た啶權啶 啶むお啶距啶侧 啶︵ぐ啷嵿い啶 啶椸ぐ啷嵿え啷 啶啶曕 啶囙ぎ啷囙げ 啶犩啶椸ぞ啶ㄠぞ啶ぞ 啶啶距啶ㄠ 啶 啷 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/searchpage.txt
new file mode 100644
index 000000000..a8139f0ab
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/searchpage.txt
@@ -0,0 +1,3 @@
+====== 啶栢啶 ======
+啶むお啶距啶侧 啶嗋か啷嵿え啷 啶栢啶溹啷 啶ㄠた啶啶 啶ㄠい啶苦啶 啶ぞ啶夃え 啶膏啷嵿え啷佮す啷佮え啷嵿啷 啶う啶 啶むお啶距啶侧 啶嗋か啷佮げ啷 啶栢啶溹啶曕 啶ぞ啶夃え啷佮き啶忇え 啶え啷, 啶むお啶距啶侧啶曕 啶夃お啶啶曕啶 啶啶 啶啶班く啷嬥 啶椸ぐ啷 啶栢啶 啶膏啶 啶膏ぎ啷嵿が啶ㄠ啶оた啶 啶多た啶班啶粪啶灌ぐ啷 啶啶曕ぞ 啶啶粪啶 啶膏啶溹え啶 啶ぞ 啶膏ぎ啷嵿お啶距う啶 啶椸ぐ啷嵿え 啶膏啷嵿え啷佮す啷佮え啷嵿 啷
+===== 啶ㄠい啶苦啶 ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/showrev.txt
new file mode 100644
index 000000000..5b22e9737
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/showrev.txt
@@ -0,0 +1,2 @@
+** 啶 啶曕ぞ啶椸啶距い啶曕 啶啶班ぞ啶ㄠ 啶啶:啶膏啶膏啶曕ぐ啶 啶灌 !**
+--- \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/subscribermail.txt
new file mode 100644
index 000000000..413c79c67
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/subscribermail.txt
@@ -0,0 +1,19 @@
+啶ㄠぎ啶膏啶曕ぞ啶 !
+@TITLE@ 啶多た啶班啶粪 啶班す啷囙啷 啶 啶啶粪啶 @PAGE@ 啶ぐ啶苦さ啶班啶むえ 啶啶曕 啶 啷
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+啶た啶むた : @DATE@
+啶啶班く啷嬥啶曕ぐ啷嵿い啶 : @USER@
+啶膏ぎ啷嵿お啶距う啶 啶膏ぞ啶班ぞ啶傕じ: @SUMMARY@
+啶啶班ぞ啶ㄠ 啶膏啶膏啶曕ぐ啶 : @OLDPAGE@
+啶ㄠさ啶苦え 啶膏啶膏啶曕ぐ啶: @NEWPAGE@
+
+啶じ啷嵿い啶 啶溹ぞ啶ㄠ啶距ぐ啷啶灌ぐ啷 啶班う啷嵿う 啶椸ぐ啷嵿え啶曕 啶侧ぞ啶椸た
+@DOKUWIKIURL@ 啶ぞ 啶啶班さ啷囙ざ 啶椸ぐ啷
+@NEWPAGE@ 啶呧さ啶侧啶曕え 啶ざ啷嵿啶距い
+啶啶粪啶 啶ぞ 啶ㄠ啶じ啷嵿お啷囙じ 啶ぐ啶苦さ啶班啶むえ 啶溹ぞ啶ㄠ啶距ぐ啷 啶椸啶班ぞ啶灌啶い啶 啶う啶 啶椸ぐ啷嵿え啷佮す啷
+
+--
+啶 啶い啷嵿ぐ DokuWiki 啶侧
+@DOKUWIKIURL@ 啶ぞ 啶膏啶掂い: 啶え啶距啶曕 啶灌 啷 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/updateprofile.txt
new file mode 100644
index 000000000..e3027e429
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/updateprofile.txt
@@ -0,0 +1,3 @@
+鈥屸屸嶁嶁======啶むお啶距啶佮啷 啶栢ぞ啶むぞ啶曕 啶溹ぞ啶ㄠ啶距ぐ啷 啶呧う啷嵿く啶距さ啶оた啶 啶椸ぐ啷嵿え啷佮す啷嬥じ啷======
+
+啶むお啶距啶佮げ啷 啶嗋か啷傕げ啷 啶ぐ啶苦さ啶班啶むえ 啶椸ぐ啷嵿え 啶氞ぞ啶灌啶曕 啶た啶侧啶 啶ぞ啶む啶 啶ぐ啶苦さ啶班啶むえ 啶椸ぐ啷 啶啶椸啶 啷 啶むお啶距啶佮げ啷 啶嗋か啷嵿え啷 啶啶班く啷嬥啶曕ぐ啷嵿い啶 啶ㄠぞ啶 啶ぐ啶苦さ啶班啶むえ 啶椸ぐ啷嵿え 啶ぞ啶夃え啷佮す啷佮え啷 啶涏啶 啷
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/uploadmail.txt
new file mode 100644
index 000000000..74ea46407
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ne/uploadmail.txt
@@ -0,0 +1,13 @@
+啶忇啶熰ぞ 啶ぞ啶囙げ 啶むお啶距啶曕 DokuWiki 啶ぞ 啶ぐ啶 啶椸ぐ啶苦啶曕 啶涏イ 啶ムお 啶溹ぞ啶ㄠ啶距ぐ啷 啶ㄠた啶啶 啶班す啷囙啶 啶涏え啷 :
+啶ぞ啶囙げ : @MEDIA@
+啶た啶むた : @DATE@
+啶啶班ぞ啶夃啶 : @BROWSER@
+啶嗋啶た 啶犩啶距え啶 : @IPADDRESS@
+啶灌啶膏啶熰え啶距ぎ : @HOSTNAME@
+啶嗋啶距ぐ : @SIZE@
+MIME 啶啶班啶距ぐ : @MIME@
+啶啶班く啷嬥啶曕ぐ啷嵿い啶 : @USER@
+
+--
+啶 啶い啷嵿ぐ DokuWiki 啶侧
+@DOKUWIKIURL@啶ぞ 啶膏啶掂い: 啶むく啶距ぐ 啶ぞ啶班啶曕 啶灌啷 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/admin.txt
new file mode 100644
index 000000000..7138456f1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/admin.txt
@@ -0,0 +1,3 @@
+====== Beheer ======
+
+Hieronder zie je een lijst van beheertaken beschikbaar in DokuWiki.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/adminplugins.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/adminplugins.txt
new file mode 100644
index 000000000..916a9ca9b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/adminplugins.txt
@@ -0,0 +1 @@
+===== Additionele plugins ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/backlinks.txt
new file mode 100644
index 000000000..6edbf4021
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/backlinks.txt
@@ -0,0 +1,4 @@
+====== Backlinks ======
+
+Dit is een lijst van pagina's die terug lijken te wijzen naar de huidige pagina.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/conflict.txt
new file mode 100644
index 000000000..926214517
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/conflict.txt
@@ -0,0 +1,5 @@
+====== Er bestaat een nieuwere versie ======
+
+Er bestaat een nieuwere versie van het document dat aangepast wordt. Dit komt voor als een andere gebruiker dit document tegelijk met jou wijzigt.
+
+Bekijk de verschillen die beneden weergegeven worden uitvoerig, beslis dan welke versie de beste is en dus bewaard moet worden. Klik op ''opslaan'' om de eigen versie te bewaren. Klik op ''annuleren'' om de huidige versie te bewaren.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/denied.txt
new file mode 100644
index 000000000..6a8bf773f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/denied.txt
@@ -0,0 +1,3 @@
+====== Toegang geweigerd ======
+
+Sorry: je hebt niet voldoende rechten om verder te gaan. Misschien ben je vergeten in te loggen?
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/diff.txt
new file mode 100644
index 000000000..ef5a1b189
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/diff.txt
@@ -0,0 +1,3 @@
+====== Verschillen ======
+
+Dit geeft de verschillen weer tussen de geselecteerde revisie en de huidige revisie van de pagina.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/draft.txt
new file mode 100644
index 000000000..a6bf5275d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/draft.txt
@@ -0,0 +1,5 @@
+===== Conceptbestand gevonden =====
+
+Je laatste bewerking op deze pagina is niet volledig afgerond. DokuWiki heeft automatisch een concept van je werk opgeslagen waarmee je nu verder kunt gaan. Hieronder tref je het concept aan.
+
+Beslis of je het concept wilt //herstellen//, //verwijderen// of het bewerken wilt //annuleren//.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/edit.txt
new file mode 100644
index 000000000..e539050bc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/edit.txt
@@ -0,0 +1 @@
+Pas de pagina aan en klik op ''Opslaan''. Zie [[wiki:syntax]] voor de Wiki syntax. Pas de pagina allen aan als hij **verbeterd** kan worden. Als je iets wilt uitproberen kun je spelen in de [[playground:playground|zandbak]].
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/editrev.txt
new file mode 100644
index 000000000..1b2d130c7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/editrev.txt
@@ -0,0 +1,2 @@
+**Er is een oude revisie van het document geladen!** Als je nu opslaat bewaar je een nieuwe versie met deze inhoud.
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/index.txt
new file mode 100644
index 000000000..288957ed4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/index.txt
@@ -0,0 +1,4 @@
+====== Index ======
+
+Dit is een index van alle beschikbare pagina's gesorteerd op [[doku>wiki:namespaces|namespaces]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/install.html
new file mode 100644
index 000000000..8ddec7aab
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/install.html
@@ -0,0 +1,14 @@
+<p>Deze pagina helpt u bij de eerste installatie en configuratie van <a href="http://wiki.splitbrain.org">Dokuwiki</a>.
+Meer informatie over deze installer is beschikbaar op zijn eigen <a href="http://wiki.splitbrain.org/wiki:installer">documentatiepagina</a>.</p>
+
+<p>DokuWiki gebruikt platte tekstbestanden voor het opslaan van wikipagina's en andere informatie die bij deze pagina's horen (bijvoorbeeld plaatjes, zoek-indexen, oude revisies enz.). Om goed te kunnen functioneren, <strong>moet</strong>
+DokuWiki schrijftoegang hebben tot de directories die deze bestanden bevatten.
+De installer kan zelf deze toegangspermissies niet regelen. Dit moet normaal gesproken direct in de command shell worden ingevoerd, of in het geval van hosting via FTP of via uw hosting control panel (bijvoorbeeld cPanel).</p>
+
+<p>Deze installer zal uw DokuWiki configureren voor <acronym title="access control list">ACL</acronym>,
+wat de beheerder in staat stelt in te loggen en toegang te verkrijgen tot het beheersdeel van de DokuWiki voor het installeren van plugins, beheren van gebruikers, toegangsrechten tot wiki pagina's en veranderen van configuratie-instellingen.
+Het is niet noodzakelijk voor DokuWiki om te functioneren maar het maakt het een stuk makkelijker om Dokuwiki te beheren.</p>
+
+<p>Ervaren gebruikers of gebruikers die een aangepaste configuratie nodig hebben kunnen voor details terecht op de volgende pagina's:
+<a href="http://wiki.splitbrain.org/wiki:install">installatie-instructies</a>
+en <a href="http://wiki.splitbrain.org/wiki:config">configuratie-instellingen</a>.</p>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/lang.php
new file mode 100644
index 000000000..782a76758
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/lang.php
@@ -0,0 +1,253 @@
+<?php
+/**
+ * dutch language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Fran莽ois Kooman <fkooman.tuxed.net>
+ * @author Jack van Klaren <dokuwiki@afentoe.xs4all.nl>
+ * @author Riny Heijdendael <riny@heijdendael.nl>
+ * @author Koen Huybrechts <huybkoen@gmail.com>
+ * @author Wouter Schoot <wouter@schoot.org>
+ * @author John de Graaff <john@de-graaff.net>
+ * @author Dion Nicolaas <dion@nicolaas.net>
+ * @author Danny Rotsaert <danny.rotsaert@edpnet.be>
+ * @author Marijn Hofstra hofstra.m@gmail.com
+ * @author Matthias Carchon webmaster@c-mattic.be
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '\'';
+$lang['btn_edit'] = 'Pagina aanpassen';
+$lang['btn_source'] = 'Toon broncode';
+$lang['btn_show'] = 'Toon pagina';
+$lang['btn_create'] = 'Maak deze pagina aan';
+$lang['btn_search'] = 'Zoeken';
+$lang['btn_save'] = 'Opslaan';
+$lang['btn_preview'] = 'Voorbeeld';
+$lang['btn_top'] = 'Terug naar boven';
+$lang['btn_newer'] = '<< recenter';
+$lang['btn_older'] = 'ouder >>';
+$lang['btn_revs'] = 'Oude revisies';
+$lang['btn_recent'] = 'Recente aanpassingen';
+$lang['btn_upload'] = 'Upload';
+$lang['btn_cancel'] = 'Annuleren';
+$lang['btn_index'] = 'Index';
+$lang['btn_secedit'] = 'Aanpassen';
+$lang['btn_login'] = 'Inloggen';
+$lang['btn_logout'] = 'Uitloggen';
+$lang['btn_admin'] = 'Beheer';
+$lang['btn_update'] = 'Bijwerken';
+$lang['btn_delete'] = 'Verwijder';
+$lang['btn_back'] = 'Terug';
+$lang['btn_backlink'] = 'Referenties';
+$lang['btn_backtomedia'] = 'Terug naar Bestandsselectie';
+$lang['btn_subscribe'] = 'Inschrijven wijzigingen';
+$lang['btn_unsubscribe'] = 'Opzeggen wijzigingen';
+$lang['btn_subscribens'] = 'Inschrijven namespace-wijzigingen';
+$lang['btn_unsubscribens'] = 'Opzeggen namespace-wijzigingen';
+$lang['btn_profile'] = 'Profiel aanpassen';
+$lang['btn_reset'] = 'Wissen';
+$lang['btn_resendpwd'] = 'Stuur een nieuw wachtwoord';
+$lang['btn_draft'] = 'Bewerk concept';
+$lang['btn_recover'] = 'Herstel concept';
+$lang['btn_draftdel'] = 'Verwijder concept';
+$lang['btn_revert'] = 'Herstellen';
+$lang['loggedinas'] = 'Ingelogd als';
+$lang['user'] = 'Gebruikersnaam';
+$lang['pass'] = 'Wachtwoord';
+$lang['newpass'] = 'Nieuw wachtwoord';
+$lang['oldpass'] = 'Bevestig huidig wachtwoord';
+$lang['passchk'] = 'nogmaals';
+$lang['remember'] = 'Bewaar';
+$lang['fullname'] = 'Volledige naam';
+$lang['email'] = 'E-mail';
+$lang['register'] = 'Registreren';
+$lang['profile'] = 'Gebruikersprofiel';
+$lang['badlogin'] = 'Sorry, gebruikersnaam of wachtwoord onjuist';
+$lang['minoredit'] = 'Kleine wijziging';
+$lang['draftdate'] = 'Concept automatisch opgeslagen op';
+$lang['nosecedit'] = 'De pagina is tussentijds veranderd, sectie-informatie was verouderd, volledige pagina geladen.';
+$lang['regmissing'] = 'Vul alle velden in';
+$lang['reguexists'] = 'Er bestaat al een gebruiker met deze loginnaam.';
+$lang['regsuccess'] = 'De gebruiker is aangemaakt. Het wachtwoord is per e-mail verzonden.';
+$lang['regsuccess2'] = 'De gebruiker is aangemaakt.';
+$lang['regmailfail'] = 'Het lijkt erop dat het sturen van de wachtwoordmail mislukt is. Neem contact op met de beheerder!';
+$lang['regbadmail'] = 'Het opgegeven e-mailadres lijkt ongeldig - als je denkt dat dit niet klopt neem dan contact op met de beheerder.';
+$lang['regbadpass'] = 'De twee ingevoerde wachtwoorden zijn niet identiek. Probeer het nog eens.';
+$lang['regpwmail'] = 'Je DokuWiki wachtwoord';
+$lang['reghere'] = 'Je hebt nog geen account? Vraag er eentje aan';
+$lang['profna'] = 'Deze wiki ondersteunt geen profielwijzigingen';
+$lang['profnochange'] = 'Geen wijzigingen, niets gedaan';
+$lang['profnoempty'] = 'Een lege gebruikersnaam of e-mailadres is niet toegestaan';
+$lang['profchanged'] = 'Gebruikersprofiel succesvol aangepast';
+$lang['pwdforget'] = 'Je wachtwoord vergeten? Vraag een nieuw wachtwoord aan';
+$lang['resendna'] = 'Deze wiki ondersteunt het verzenden van wachtwoorden niet';
+$lang['resendpwd'] = 'Stuur een nieuw wachtwoord voor';
+$lang['resendpwdmissing'] = 'Sorry, je moet alle velden invullen.';
+$lang['resendpwdnouser'] = 'Sorry, we kunnen deze gebruikersnaam niet vinden in onze database.';
+$lang['resendpwdbadauth'] = 'Sorry, deze authentiecatiecode is niet geldig. Controleer of je de volledige bevestigings-link hebt gebruikt.';
+$lang['resendpwdconfirm'] = 'Een bevestigingslink is per e-mail verzonden.';
+$lang['resendpwdsuccess'] = 'Je nieuwe wachtwoord is per e-mail verzonden.';
+$lang['license'] = 'Tenzij anders vermeld valt de inhoud van deze wiki onder de volgende licentie:';
+$lang['licenseok'] = 'Let op: Door deze pagina aan te passen geef je de inhoud vrij onder de volgende licentie:';
+$lang['searchmedia'] = 'Bestandsnaam zoeken:';
+$lang['searchmedia_in'] = 'Zoek in %s';
+$lang['txt_upload'] = 'Selecteer een bestand om te uploaden';
+$lang['txt_filename'] = 'Vul nieuwe naam in (optioneel)';
+$lang['txt_overwrt'] = 'Overschrijf bestaand bestand';
+$lang['lockedby'] = 'Momenteel in gebruik door';
+$lang['lockexpire'] = 'Exclusief gebruiksrecht vervalt op';
+$lang['willexpire'] = 'Je exclusieve gebruiksrecht voor het aanpassen van deze pagina verloopt over een minuut.\nKlik op de Voorbeeld-knop om het exclusieve gebruiksrecht te verlengen.';
+$lang['notsavedyet'] = 'Nog niet bewaarde wijzigingen zullen verloren gaan.\nWeet je zeker dat je wilt doorgaan?';
+$lang['rssfailed'] = 'Er is een fout opgetreden bij het ophalen van de feed: ';
+$lang['nothingfound'] = 'Er werd niets gevonden.';
+$lang['mediaselect'] = 'Bestandsselectie';
+$lang['fileupload'] = 'Bestandsupload';
+$lang['uploadsucc'] = 'Upload geslaagd';
+$lang['uploadfail'] = 'Upload mislukt. Misschien verkeerde permissies?';
+$lang['uploadwrong'] = 'Upload mislukt. Deze bestandsextensie is verboden!';
+$lang['uploadexist'] = 'Bestand bestaat reeds. Er is niets gewijzigd.';
+$lang['uploadbadcontent'] = 'Het ge眉ploade bestand heeft niet de bestandsextensie %s.';
+$lang['uploadspam'] = 'De upload is geblokkeerd door de spam blacklist.';
+$lang['uploadxss'] = 'De upload is geblokkeerd wegens mogelijk onveilige inhoud.';
+$lang['uploadsize'] = 'Het ge眉ploade bestand is te groot. (max. %s)';
+$lang['deletesucc'] = 'Het bestand "%s" is verwijderd.';
+$lang['deletefail'] = '"%s" kan niet worden verwijderd - controleer permissies.';
+$lang['mediainuse'] = 'Het bestand "%s" is niet verwijderd - het is nog in gebruik.';
+$lang['namespaces'] = 'Namespaces';
+$lang['mediafiles'] = 'Beschikbare bestanden in';
+$lang['js']['searchmedia'] = 'Zoek naar bestanden';
+$lang['js']['keepopen'] = 'Houd scherm open bij selectie';
+$lang['js']['hidedetails'] = 'Verberg details';
+$lang['js']['nosmblinks'] = 'Linken naar Windows shares werkt alleen in Microsoft Internet Explorer.
+Je kan de link wel kopi毛ren en plakken.';
+$lang['js']['linkwiz'] = 'Linkwizard';
+$lang['js']['linkto'] = 'Link naar:';
+$lang['js']['del_confirm'] = 'Item(s) verwijderen?';
+$lang['js']['mu_btn'] = 'Meerdere files tegelijk uploaden';
+$lang['mediausage'] = 'Gebruik de volgende syntax om aan het bestand te refereren:';
+$lang['mediaview'] = 'Bekijk het orginele bestand';
+$lang['mediaroot'] = 'root';
+$lang['mediaupload'] = 'Upload een bestand naar de huidige namespace. Om een subnamespace aan te maken, laat je die voorafgaan aan de bestandsnaam bij "Upload als", gescheiden door een dubbele punt.';
+$lang['mediaextchange'] = 'Bestandsextensie veranderd van .%s naar .%s!';
+$lang['reference'] = 'Referenties voor';
+$lang['ref_inuse'] = 'Het bestand kan niet worden verwijderd omdat het nog in gebruik is op de volgende pagina\'s:';
+$lang['ref_hidden'] = 'Enkele referenties staan op pagina\'s waarvoor je geen leesrechten hebt';
+$lang['hits'] = 'Hits';
+$lang['quickhits'] = 'Overeenkomende paginanamen';
+$lang['toc'] = 'Inhoud';
+$lang['current'] = 'huidige';
+$lang['yours'] = 'Jouw versie';
+$lang['diff'] = 'Toon verschillen met huidige revisie';
+$lang['diff2'] = 'Toon verschillen tussen geselecteerde revisies';
+$lang['line'] = 'Regel';
+$lang['breadcrumb'] = 'Spoor';
+$lang['youarehere'] = 'Je bent hier';
+$lang['lastmod'] = 'Laatst gewijzigd';
+$lang['by'] = 'door';
+$lang['deleted'] = 'verwijderd';
+$lang['created'] = 'aangemaakt';
+$lang['restored'] = 'oude revisie hersteld';
+$lang['external_edit'] = 'Externe bewerking';
+$lang['summary'] = 'Samenvatting wijziging';
+$lang['noflash'] = 'De <a href="http://www.adobe.com/products/flashplayer/">Adobe Flash Plugin</a> is vereist om de pagina te kunnen weergeven.';
+$lang['download'] = 'Download fragment';
+$lang['mail_newpage'] = 'pagina toegevoegd:';
+$lang['mail_changed'] = 'pagina aangepast:';
+$lang['mail_new_user'] = 'nieuwe gebruiker:';
+$lang['mail_upload'] = 'bestand ge眉pload:';
+$lang['qb_bold'] = 'Vette tekst';
+$lang['qb_italic'] = 'Cursieve tekst';
+$lang['qb_underl'] = 'Onderstreepte tekst';
+$lang['qb_code'] = 'Code tekst';
+$lang['qb_strike'] = 'Doorgestreepte tekst';
+$lang['qb_h1'] = 'Niveau 1 kop';
+$lang['qb_h2'] = 'Niveau 2 kop';
+$lang['qb_h3'] = 'Niveau 3 kop';
+$lang['qb_h4'] = 'Niveau 4 kop';
+$lang['qb_h5'] = 'Niveau 5 kop';
+$lang['qb_h'] = 'Koptekst';
+$lang['qb_hs'] = 'Kies koptekst';
+$lang['qb_hplus'] = 'Hogere koptekst';
+$lang['qb_hminus'] = 'Lagere koptekst';
+$lang['qb_hequal'] = 'Koptekst op zelfde niveau';
+$lang['qb_link'] = 'Interne link';
+$lang['qb_extlink'] = 'Externe link';
+$lang['qb_hr'] = 'Horizontale lijn';
+$lang['qb_ol'] = 'Geordende lijst';
+$lang['qb_ul'] = 'Ongeordende lijst';
+$lang['qb_media'] = 'Voeg plaatjes en andere bestanden toe';
+$lang['qb_sig'] = 'Handtekening invoegen';
+$lang['qb_smileys'] = 'Smileys';
+$lang['qb_chars'] = 'Speciale tekens';
+$lang['upperns'] = 'Spring naar bovenliggende namespace';
+$lang['admin_register'] = 'Nieuwe gebruiker toevoegen';
+$lang['metaedit'] = 'Metadata wijzigen';
+$lang['metasaveerr'] = 'Schrijven van metadata mislukt';
+$lang['metasaveok'] = 'Metadata bewaard';
+$lang['img_backto'] = 'Terug naar';
+$lang['img_title'] = 'Titel';
+$lang['img_caption'] = 'Bijschrift';
+$lang['img_date'] = 'Datum';
+$lang['img_fname'] = 'Bestandsnaam';
+$lang['img_fsize'] = 'Grootte';
+$lang['img_artist'] = 'Fotograaf';
+$lang['img_copyr'] = 'Copyright';
+$lang['img_format'] = 'Formaat';
+$lang['img_camera'] = 'Camera';
+$lang['img_keywords'] = 'Trefwoorden';
+$lang['subscribe_success'] = '%s toegevoegd aan de lijst voor %s';
+$lang['subscribe_error'] = 'Fout bij toevoegen van %s aan de lijst voor %s';
+$lang['subscribe_noaddress'] = 'Er is geen adres dat overeenkomt met je login, je kan niet toegevoegd worden aan de lijst';
+$lang['unsubscribe_success'] = '%s verwijderd van de lijst voor %s';
+$lang['unsubscribe_error'] = 'Fout bij verwijderen van %s van de lijst voor %s';
+$lang['authmodfailed'] = 'Ongeldige gebruikersauthenticatie-configuratie. Informeer de wikibeheerder.';
+$lang['authtempfail'] = 'Gebruikersauthenticatie is tijdelijk niet beschikbaar. Als deze situatie zich blijft voordoen, informeer dan de wikibeheerder.';
+$lang['i_chooselang'] = 'Kies je taal';
+$lang['i_installer'] = 'DokuWiki Installer';
+$lang['i_wikiname'] = 'Wikinaam';
+$lang['i_enableacl'] = 'ACLs inschakelen (aanbevolen)';
+$lang['i_superuser'] = 'Superuser';
+$lang['i_problems'] = 'De installer vond problemen, hieronder aangegeven. Verhelp deze voor je doorgaat.';
+$lang['i_modified'] = 'Uit veiligheidsoverwegingen werkt dit script alleen met nieuwe en onveranderde DokuWiki-installaties. Pak de bestanden opnieuw uit of raadpleeg de <a href="http://wiki.splitbrain.org/wiki:install">Dokuwiki installatie-instructies</a>';
+$lang['i_funcna'] = 'PHP functie <code>%s</code> is niet beschikbaar. Wellicht heeft je hosting provider deze uitgeschakeld?';
+$lang['i_phpver'] = 'PHP-versie <code>%s</code> is lager dan de vereiste <code>%s</code>. Upgrade PHP.';
+$lang['i_permfail'] = '<code>%s</code> is niet schrijfbaar voor DokuWiki. Pas de permissie-instellingen van deze directory aan.';
+$lang['i_confexists'] = '<code>%s</code> bestaat reeds';
+$lang['i_writeerr'] = 'Niet mogelijk om <code>%s</code> aan te maken. Controleer de directory/bestandspermissies en maak het bestand handmatig aan.';
+$lang['i_badhash'] = 'Onbekende of aangepaste dokuwiki.php (hash=<code>%s</code>)';
+$lang['i_badval'] = '<code>%s</code> - onjuiste of lege waarde';
+$lang['i_success'] = 'De configuratie is succesvol afgerond. Je kunt nu het bestand install.php verwijderen. Ga naar <a href="doku.php">je nieuwe DokuWiki</a>.';
+$lang['i_failure'] = 'Fouten deden zich voor tijdens het schrijven naar de configuratiebestanden. Pas deze aan voor je gebruik kunt maken van <a href="doku.php">je nieuwe DokuWiki</a>.';
+$lang['i_policy'] = 'Initieel ACL-beleid';
+$lang['i_pol0'] = 'Open wiki (lezen, schrijven, uploaden voor iedereen)';
+$lang['i_pol1'] = 'Publieke wiki (lezen voor iedereen, schrijven en uploaden voor geregistreerde gebruikers)';
+$lang['i_pol2'] = 'Besloten wiki (lezen, schrijven en uploaden alleen voor geregistreerde gebruikers)';
+$lang['i_retry'] = 'Opnieuw';
+$lang['mu_intro'] = 'Hiier kun je meerdere bestanden tegelijk uploaden. Klik de blader-knop om ze aan de lijst toe te voegen. Klik Upload als je klaar bent.';
+$lang['mu_gridname'] = 'Bestandsnaam';
+$lang['mu_gridsize'] = 'Grootte';
+$lang['mu_gridstat'] = 'Status';
+$lang['mu_namespace'] = 'Namespace';
+$lang['mu_browse'] = 'Blader';
+$lang['mu_toobig'] = 'te groot';
+$lang['mu_ready'] = 'Klaar om te uploaden';
+$lang['mu_done'] = 'klaar';
+$lang['mu_fail'] = 'mislukt';
+$lang['mu_authfail'] = 'sessie be毛indigd';
+$lang['mu_progress'] = '@PCT@% ge眉pload';
+$lang['mu_filetypes'] = 'Toegestane bestandstypes';
+$lang['mu_info'] = 'bestanden ge眉pload.';
+$lang['mu_lasterr'] = 'Laatste foutmelding:';
+$lang['recent_global'] = 'Je bekijkt momenteel de wijzigingen binnen de <b>%s</b> namespace. Je kunt ook de <a href="%s">recente wijzigingen van de hele wiki</a> bekijken.';
+$lang['years'] = '%d jaar geleden';
+$lang['months'] = '%d maand geleden';
+$lang['weeks'] = '%d weken geleden';
+$lang['days'] = '%d dagen geleden';
+$lang['hours'] = '%d uren geleden';
+$lang['minutes'] = '%d minuten geleden';
+$lang['seconds'] = '%d seconden geleden';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/locked.txt
new file mode 100644
index 000000000..878fb375c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/locked.txt
@@ -0,0 +1,3 @@
+====== Pagina in exclusief gebruik ======
+
+Deze pagina wordt momenteel aangepast door een andere gebruiker. Wacht tot deze gebruiker klaar is met aanpassen of totdat het gebruiksrecht vervalt.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/login.txt
new file mode 100644
index 000000000..699cbf8fe
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/login.txt
@@ -0,0 +1,3 @@
+====== Login ======
+
+Je bent op dit moment niet ingelogd! Voer je login-gegevens hieronder in om in te loggen. Je browser moet cookies accepteren om in te kunnen loggen.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/mailtext.txt
new file mode 100644
index 000000000..dbe35c519
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/mailtext.txt
@@ -0,0 +1,17 @@
+Er is een pagina in je DokuWiki toegevoegd of gewijzigd. Hier zijn de details
+
+Datum : @DATE@
+Browser : @BROWSER@
+IP-Adres : @IPADDRESS@
+Hostname : @HOSTNAME@
+Oude revisie : @OLDPAGE@
+Nieuwe revisie: @NEWPAGE@
+Samenvatting : @SUMMARY@
+User : @USER@
+
+@DIFF@
+
+
+--
+Deze mail werd gegenereerd door DokuWiki op
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/newpage.txt
new file mode 100644
index 000000000..0e4b95ec0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/newpage.txt
@@ -0,0 +1,3 @@
+====== Dit onderwerp bestaat nog niet ======
+
+De pagina over dit onderwerp bestaat nog niet. Aanmaken kan door op de ''Maak deze pagina aan'' te klikken.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/norev.txt
new file mode 100644
index 000000000..849fc5138
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/norev.txt
@@ -0,0 +1,4 @@
+====== Revisie bestaat niet ======
+
+De opgegeven revisie bestaat niet. Klik op ''Oude revisies'' voor een lijst van oude revisies van dit document.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/preview.txt
new file mode 100644
index 000000000..4d2927aff
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/preview.txt
@@ -0,0 +1,4 @@
+====== Preview ======
+
+Dit is een preview van de tekst zoals hij er uit komt te zien. Let op: het is nog **niet opgeslagen!**
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/pwconfirm.txt
new file mode 100644
index 000000000..ab72ae7c4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/pwconfirm.txt
@@ -0,0 +1,13 @@
+Beste @FULLNAME@!
+
+Iemand heeft een nieuw wachtwoord aangevraagd voor je @TITLE@ login op @DOKUWIKIURL@
+
+Als je geen nieuw wachtwoord hebt aangevraagd kun je deze e-mail negeren.
+
+Volg de volgende link om te bevestigen dat je inderdaad een nieuw wachtwoord wilt:
+
+@CONFIRM@
+
+--
+Deze mail werd gegenereerd door DokuWiki op
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/read.txt
new file mode 100644
index 000000000..fcb791811
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/read.txt
@@ -0,0 +1,2 @@
+Deze pagina is niet beschrijfbaar. Je kan de broncode bekijken maar niks veranderen. Neem contact op met de beheerder als je denkt dat dit niet klopt.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/recent.txt
new file mode 100644
index 000000000..4b507f2ca
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/recent.txt
@@ -0,0 +1,3 @@
+====== Recente wijzigingen ======
+
+De volgende pagina's zijn recent aangepast.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/register.txt
new file mode 100644
index 000000000..338edcca3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/register.txt
@@ -0,0 +1,4 @@
+====== Registreer als nieuwe gebruiker ======
+
+Vul alle informatie hieronder in om een nieuw account voor deze wiki aan te maken. Zorg dat je een **geldig e-mailadres** opgeeft - als je je wachtwoord hier niet in kunt vullen wordt het naar dit adres verzonden. De gebruikersnaam moet een geldige [[doku>wiki:pagename|pagename]] zijn.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/registermail.txt
new file mode 100644
index 000000000..5efb25144
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/registermail.txt
@@ -0,0 +1,14 @@
+Een nieuwe gebruiker heeft zich geregistreerd. Dit zijn de details:
+
+Gebruikersnaam: @NEWUSER@
+Volledige naam: @NEWNAME@
+E-mail : @NEWEMAIL@
+
+Datum : @DATE@
+Browser : @BROWSER@
+IP-adres : @IPADDRESS@
+Hostname : @HOSTNAME@
+
+--
+Dit bericht is gegenereerd door DokuWiki op
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/resendpwd.txt
new file mode 100644
index 000000000..ed4617748
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/resendpwd.txt
@@ -0,0 +1,3 @@
+==== Verstuur een nieuw wachtwoord ====
+
+Voer uw gebruikersnaam in het formulier hieronder in om een nieuw wachtwoord aan te vragen voor deze wiki. Een bevestigingslink zal worden verzonden naar het geregistreerde e-mailadres.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/revisions.txt
new file mode 100644
index 000000000..7a78917fc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/revisions.txt
@@ -0,0 +1,4 @@
+====== Oude revisies ======
+
+Dit zijn de oude revisies van het document. Om terug te keren naar een oude revisie selecteer je hem hieronder en klik je op de ''Pagina aanpassen'' en vervolgens op ''Opslaan''.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/searchpage.txt
new file mode 100644
index 000000000..3ace704c8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/searchpage.txt
@@ -0,0 +1,5 @@
+====== Zoeken ======
+
+Hieronder zijn de resultaten van de zoekopdracht. Niks gevonden? Maak een nieuwe pagina met als naam je zoekopdracht. Klik hiervoor op ''Pagina aanpassen''.
+
+===== Resultaten =====
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/showrev.txt
new file mode 100644
index 000000000..c1bfa4e2b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/showrev.txt
@@ -0,0 +1,2 @@
+**Dit is een oude revisie van het document!**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/stopwords.txt
new file mode 100644
index 000000000..3056c4a70
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/stopwords.txt
@@ -0,0 +1,37 @@
+# This is a list of words the indexer ignores, one word per line
+# When you edit this file be sure to use UNIX line endings (single newline)
+# No need to include words shorter than 3 chars - these are ignored anyway
+# This list is based upon the ones found at http://www.ranks.nl/stopwords/
+aan
+als
+bij
+dan
+dat
+die
+dit
+een
+had
+heb
+hem
+het
+hij
+hoe
+hun
+kan
+men
+met
+mij
+nog
+ons
+ook
+tot
+uit
+van
+was
+wat
+wel
+wij
+zal
+zei
+zij
+zou
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/subscribermail.txt
new file mode 100644
index 000000000..5b092a9a6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/subscribermail.txt
@@ -0,0 +1,16 @@
+Hallo!
+
+De pagina @PAGE@ op de @TITLE@ wiki is gewijzigd.
+Hier zijn de aanpassingen:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+Om deze berichten niet meer te ontvangen van @DOKUWIKIURL@ , ga naar
+@NEWPAGE@
+en kies 'Opzeggen wijzigingen'.
+
+--
+Dit bericht is gegenereerd door DokuWiki op
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/updateprofile.txt
new file mode 100644
index 000000000..2368a09fd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/updateprofile.txt
@@ -0,0 +1,3 @@
+===== Wijzig uw gebruikersprofiel =====
+
+Je hoeft alleen de velden aan te passen die je wilt wijzigen. Je gebruikersnaam is niet aan te passen.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/uploadmail.txt
new file mode 100644
index 000000000..1816400d7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/uploadmail.txt
@@ -0,0 +1,14 @@
+Er is een bestand ge眉pload naar uw DokuWiki. Hier zijn de details;
+
+Bestand : @MEDIA@
+Datum : @DATE@
+Browser : @BROWSER@
+IP-adres : @IPADDRESS@
+Hostname : @HOSTNAME@
+Grootte : @SIZE@
+MIME type: @MIME@
+Gebruiker: @USER@
+
+--
+Dit bericht is gegenereerd door DokuWiki op
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/wordblock.txt
new file mode 100644
index 000000000..d887d7318
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/nl/wordblock.txt
@@ -0,0 +1,4 @@
+====== SPAM geblokkeerd ======
+
+Je wijzigingen zijn **niet** opgeslagen omdat ze een of meer geblokkeerde woorden bevatten. Als je probeerde de Wiki te spammen -- Foei stouterd! Als je denkt dat dit een fout is neem dan contact op met de beheerder van deze Wiki.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/admin.txt
new file mode 100644
index 000000000..99289a18b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/admin.txt
@@ -0,0 +1,3 @@
+====== Administrasjon ======
+
+Nedenfor finner du en liste over administrative oppgaver tilgjengelig i DokuWiki.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/adminplugins.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/adminplugins.txt
new file mode 100644
index 000000000..32499a2e4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/adminplugins.txt
@@ -0,0 +1 @@
+====== Ekstra tilleggene ====== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/backlinks.txt
new file mode 100644
index 000000000..9fe72066e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/backlinks.txt
@@ -0,0 +1,3 @@
+====== Tilbakelinker ======
+
+Dette er en liste over sider som ser ut til 氓 linke tilbake til den aktuelle siden. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/conflict.txt
new file mode 100644
index 000000000..89479af4f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/conflict.txt
@@ -0,0 +1,6 @@
+====== Det fins en nyere versjon ======
+
+Det fins en nyere versjon av dokumentet du har redigert. Dette kan skje n氓r en annen bruker redigerer dokumentet samtidig med deg.
+
+Legg n酶ye merke til forskjellene som vises under, og velg deretter hvilken versjon du vil beholde. Om du velger ''**Lagre**'', s氓 kommer din versjon til 氓 lagres. Velg ''**Avbryt**'' for 氓 beholde den nyeste versjonen (ikke din).
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/denied.txt
new file mode 100644
index 000000000..4f8c9a188
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/denied.txt
@@ -0,0 +1,3 @@
+====== Adgang forbudt ======
+
+Adgang forbudt. Kanskje du har glemt 氓 logge deg inn?
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/diff.txt
new file mode 100644
index 000000000..e4c2eb080
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/diff.txt
@@ -0,0 +1,4 @@
+====== Forskjeller ======
+
+Her vises forskjeller mellom den valgte versjonen og den n氓v忙rende versjonen av dokumentet.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/draft.txt
new file mode 100644
index 000000000..8bcea65aa
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/draft.txt
@@ -0,0 +1,6 @@
+====== Kladdfil funnet ======
+
+Din siste endring av denne siden ble ikke avsluttet riktig. DokuWiki lagret automatisk en kladd under ditt arbeid som du n氓 kan bruke for 氓 fortsette redigeringen. Nedenfor kan du se de lagrede data.
+
+Vennligst avgj酶r om du vil //gjennopprette// din tapte sesjon, //slette// kladden eller //avbryte// redigeringen.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/edit.txt
new file mode 100644
index 000000000..bdb3bc854
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/edit.txt
@@ -0,0 +1,2 @@
+Rediger siden og klikk p氓 ''**Lagre**''. Se [[wiki:syntax]] for Wikisyntaks. Rediger siden bare hvis du kan **forbedre** sidens innhold. Hvis du vil teste ut hvordan saker og ting fungerer, kan du gj酶re det p氓 [[playground:playground|lekeplassen]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/editrev.txt
new file mode 100644
index 000000000..652a84c38
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/editrev.txt
@@ -0,0 +1,2 @@
+**Du har hentet en tidligere versjon av dokumentet!** Hvis du lagrer den tidligere versjonen s氓 kommer du til 氓 lage en ny og aktiv versjon med dette innholdet.
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/index.txt
new file mode 100644
index 000000000..e2ea95933
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/index.txt
@@ -0,0 +1,4 @@
+====== Indeks ======
+
+Dette er en fortegnelse over alle tilgjengelige sider, sortert etter [[doku>namespaces|navnerom]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/install.html
new file mode 100644
index 000000000..541445494
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/install.html
@@ -0,0 +1,24 @@
+<p>Denne siden assisterer under f酶rstegangs installasjon og konfigurasjon av
+<a href="http://dokuwiki.org">Dokuwiki</a>. Mer informasjon for denne
+installasjonen er tilgjengelig p氓
+<a href="http://dokuwiki.org/installer">dokumentasjonssiden</a>.</p>
+
+<p>DokuWiki bruker vanlige filer for lagring av wikisider og annen
+informasjon assosiert med disse sidene (f.eks. bilder, s酶keindekser, eldre
+revisjoner osv.). For 氓 kunne virke <strong>m氓</strong> DokuWiki
+ha skrivetilgang til de mapper som lagrer disse filene.
+Denne installasjonen kan ikke sette opp mapperettigheter. Det m氓 normalt
+gj酶res direkte fra et kommandoskall, eller om du bruker en leverand酶r,
+via FTP eller ditt kontrollpanel p氓 tjener (f.eks. cPanel).</p>
+
+<p>Denne installasjonen vil sette opp din DokuWiki-konfigurasjon for
+<acronym title="access control list">ACL</acronym>, som igjen tillater administrator
+innlogging og tilgang til DokuWikiens administratormeny for installasjon av tillegg,
+brukerbehandling, adgangskontrollbehandling til wikisider og endring av konfigurasjon.
+Det er ikke p氓krevd for at DokuWiki skal virke, men det vil gj酶re Dokuwiki enklere 氓
+administrere.</p>
+
+<p>Erfarne brukere eller brukere med spessielle oppsettingskrav b酶r se p氓 disse lenkene
+for detaljer rundt
+<a href="http://dokuwiki.org/install">installasjonsinstrukser</a>
+og <a href="http://dokuwiki.org/config">konfigurasjonsinnstillinger</a>.</p>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/lang.php
new file mode 100644
index 000000000..2dc2c8339
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/lang.php
@@ -0,0 +1,260 @@
+<?php
+/**
+ * Norwegian language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Reidar Mosvold <Reidar.Mosvold@hit.no>
+ * @author Jorge Barrera Grandon <jorge@digitalwolves.org>
+ * @author Rune Rasmussen http://www.syntaxerror.no/
+ * @author Thomas Nygreen <nygreen@gmail.com>
+ * @author Arild Burud <arildb@met.no>
+ * @author Torkill Bruland <torkar-b@online.no>
+ * @author Rune M. Andersen <rune.andersen@gmail.com>
+ * @author Jakob Vad Nielsen (me@jakobnielsen.net)
+ * @author Kjell Tore N忙sgaard <kjell.t.nasgaard@ntnu.no>
+ * @author Knut Staring <knutst@gmail.com>
+ * @author Lisa Ditlefsen <lisa@vervesearch.com>
+ * @author Erik Pedersen <erik.pedersen@shaw.ca>
+ * @author Erik Bj酶rn Pedersen <erik.pedersen@shaw.ca>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '\'';
+$lang['btn_edit'] = 'Rediger denne siden';
+$lang['btn_source'] = 'Vis kildetekst';
+$lang['btn_show'] = 'Vis siden';
+$lang['btn_create'] = 'Lag denne siden';
+$lang['btn_search'] = 'S酶k';
+$lang['btn_save'] = 'Lagre';
+$lang['btn_preview'] = 'Forh氓ndsvis';
+$lang['btn_top'] = 'Til toppen av siden';
+$lang['btn_newer'] = '<< nyere';
+$lang['btn_older'] = 'eldre >>';
+$lang['btn_revs'] = 'Historikk';
+$lang['btn_recent'] = 'Siste endringer';
+$lang['btn_upload'] = 'Last opp';
+$lang['btn_cancel'] = 'Avbryt';
+$lang['btn_index'] = 'Indeks';
+$lang['btn_secedit'] = 'Rediger';
+$lang['btn_login'] = 'Logg inn';
+$lang['btn_logout'] = 'Logg ut';
+$lang['btn_admin'] = 'Admin';
+$lang['btn_update'] = 'Oppdater';
+$lang['btn_delete'] = 'Slett';
+$lang['btn_back'] = 'Tilbake';
+$lang['btn_backlink'] = 'Tilbakelenker';
+$lang['btn_backtomedia'] = 'Tilbake til valg av mediafil';
+$lang['btn_subscribe'] = 'Abonner p氓 endringer';
+$lang['btn_unsubscribe'] = 'Ikke abonner p氓 endringer';
+$lang['btn_subscribens'] = 'Abonner p氓 endringer i navnerom';
+$lang['btn_unsubscribens'] = 'Stopp abonnement p氓 endringer i navnerom';
+$lang['btn_profile'] = 'Oppdater profil';
+$lang['btn_reset'] = 'Tilbakestill';
+$lang['btn_resendpwd'] = 'Send nytt passord';
+$lang['btn_draft'] = 'Rediger kladd';
+$lang['btn_recover'] = 'Gjennvinn kladd';
+$lang['btn_draftdel'] = 'Slett kladd';
+$lang['btn_revert'] = 'Gjenopprette';
+$lang['loggedinas'] = 'Innlogget som';
+$lang['user'] = 'Brukernavn';
+$lang['pass'] = 'Passord';
+$lang['newpass'] = 'Nytt passord';
+$lang['oldpass'] = 'Bekreft gjeldende passord';
+$lang['passchk'] = 'Bekreft passord';
+$lang['remember'] = 'Husk meg';
+$lang['fullname'] = 'Fullt navn';
+$lang['email'] = 'E-post';
+$lang['register'] = 'Registrer deg';
+$lang['profile'] = 'Brukerprofil';
+$lang['badlogin'] = 'Ugyldig brukernavn og/eller passord.';
+$lang['minoredit'] = 'Mindre endringer';
+$lang['draftdate'] = 'Kladd autolagret';
+$lang['nosecedit'] = 'Siden ble endret i mellomtiden, seksjonsinfo har blitt foreldet - lastet full side istedet.';
+$lang['regmissing'] = 'Vennligst fyll ut alle felt.';
+$lang['reguexists'] = 'Det finnes allerede en konto med dette brukernavnet.';
+$lang['regsuccess'] = 'Brukerkonto har blitt laget og passord har blitt sendt via e-post.';
+$lang['regsuccess2'] = 'Brukeren har blitt laget.';
+$lang['regmailfail'] = 'En feil oppstod da passordet ditt skulle sendes via e-post. Vennligst kontakt administratoren!';
+$lang['regbadmail'] = 'Den angitte e-post adressen ser ut til 氓 v忙re ugyldig. Vennligst kontakt administratoren om du anser dette som feilaktig.';
+$lang['regbadpass'] = 'De to angitte passordene er ikke like, vennligst fors酶k igjen.';
+$lang['regpwmail'] = 'Ditt DokuWiki passord';
+$lang['reghere'] = 'Har du ikke en konto enn氓? Lag deg en';
+$lang['profna'] = 'Denne wikien st酶tter ikke profilendringer';
+$lang['profnochange'] = 'Ingen endringer, ingenting 氓 gj酶re.';
+$lang['profnoempty'] = 'Tomt navn- eller e-postfelt er ikke tillatt.';
+$lang['profchanged'] = 'Brukerprofil ble vellykket oppdatert.';
+$lang['pwdforget'] = 'Glemt ditt passord? F氓 deg et nytt';
+$lang['resendna'] = 'Denne wikien st酶tter ikke nyutsending.';
+$lang['resendpwd'] = 'Send nytt passord for';
+$lang['resendpwdmissing'] = 'Beklager, du m氓 fylle inn alle felt.';
+$lang['resendpwdnouser'] = 'Beklager, vi kan ikke finne denne brukeren i v氓r database.';
+$lang['resendpwdbadauth'] = 'Beklager, denne autorisasjonskoden er ikke gyldig. Sjekk at du brukte hele bekreftelseslenken.';
+$lang['resendpwdconfirm'] = 'En bekreftelseslenke er blitt sendt p氓 e-post.';
+$lang['resendpwdsuccess'] = 'Ditt nye passord er blitt sendt p氓 e-post.';
+$lang['license'] = 'Der annet ikke er s忙rskilt beskrevet, er innholdet p氓 denne wiki regulert av f酶lgende lisens:';
+$lang['licenseok'] = 'Merk: Ved 氓 endre p氓 denne siden godtar du at ditt innhold blir regulert av f酶lgende lisens:';
+$lang['searchmedia'] = 'S酶k filnavn';
+$lang['searchmedia_in'] = 'S酶k i %s';
+$lang['txt_upload'] = 'Velg fil som skal lastes opp';
+$lang['txt_filename'] = 'Skriv inn wikinavn (alternativt)';
+$lang['txt_overwrt'] = 'Overskriv eksisterende fil';
+$lang['lockedby'] = 'Stengt av';
+$lang['lockexpire'] = 'Avstengningen opph酶rer';
+$lang['willexpire'] = 'Din redigeringsl氓s for dette dokumentet kommer snart til 氓 opph酶re.\nFor 氓 unng氓 versjonskonflikter b酶r du forh氓ndsvise dokumentet ditt for 氓 forlenge redigeringsl氓sen.';
+$lang['notsavedyet'] = 'Ulagrede endringer vil g氓 tapt.\nVil du fortsette?';
+$lang['rssfailed'] = 'En feil oppstod da denne kilden skulle hentes:';
+$lang['nothingfound'] = 'Ingen data funnet.';
+$lang['mediaselect'] = 'Valg av mediafil';
+$lang['fileupload'] = 'Mediafil Opplasting';
+$lang['uploadsucc'] = 'Opplastingen var vellykket';
+$lang['uploadfail'] = 'Opplastingen var mislykket. Kanskje feil rettigheter?';
+$lang['uploadwrong'] = 'Opplastingen ble nektet. Denne filendelsen er ikke tillatt!';
+$lang['uploadexist'] = 'Filen eksisterer. Ingenting har blitt gjort.';
+$lang['uploadbadcontent'] = 'Det opplastede innholdet passer ikke til filendelsen %s.';
+$lang['uploadspam'] = 'Opplastingen ble blokkert av svartelisten for spam.';
+$lang['uploadxss'] = 'Opplastingen ble blokkert p氓 grunn av mulig skadelig innhold.';
+$lang['uploadsize'] = 'Den opplastede filen var for stor. (max. %s)';
+$lang['deletesucc'] = 'Filen "%s" har blitt slettet.';
+$lang['deletefail'] = '"%s" kunne ikke slettes - sjekk rettighetene.';
+$lang['mediainuse'] = 'Filen "%s" har ikke biltt slettet - den er fortsatt i bruk.';
+$lang['namespaces'] = 'Navnerom';
+$lang['mediafiles'] = 'Tilgjengelige filer i';
+$lang['js']['searchmedia'] = 'S酶k for filer';
+$lang['js']['keepopen'] = 'Hold vindu 氓pent ved valg';
+$lang['js']['hidedetails'] = 'Skjul detaljer';
+$lang['js']['nosmblinks'] = 'Lenker til Windows-ressurser fungerer bare i Microsoft sin Internet Explorer.
+Du kan fortsatt kopiere og lime inn lenken.';
+$lang['js']['linkwiz'] = 'guide til lenker';
+$lang['js']['linkto'] = 'Lenke til:';
+$lang['js']['del_confirm'] = 'Slett denne oppf酶ringen?';
+$lang['js']['mu_btn'] = 'Last opp flere filer samtidig';
+$lang['mediausage'] = 'Bruk f酶lgende syntaks til 氓 refferer til denne filen:';
+$lang['mediaview'] = 'Vis original fil';
+$lang['mediaroot'] = 'rot';
+$lang['mediaupload'] = 'Last opp en fil til gjeldende navnerom her. For 氓 opprette undernavnerom, f酶r dem opp f酶r filnavn i "Last opp som" adskilt med kolon.';
+$lang['mediaextchange'] = 'Filendelse endret fra .%s til .%s!';
+$lang['reference'] = 'Referanser for';
+$lang['ref_inuse'] = 'Denne filen kan ikke slettes fordi den er fortsatt i bruk av f酶lgende sider:';
+$lang['ref_hidden'] = 'Noen referanser er p氓 sider du ikke har tilgang til 氓 lese';
+$lang['hits'] = 'Treff';
+$lang['quickhits'] = 'Matchende wikinavn';
+$lang['toc'] = 'Innholdsfortegnelse';
+$lang['current'] = 'n氓v忙rende versjon';
+$lang['yours'] = 'Din versjon';
+$lang['diff'] = 'Vis forskjeller mot n氓v忙rende versjon';
+$lang['diff2'] = 'Vis forskjeller mellom valgte versjoner';
+$lang['line'] = 'Linje';
+$lang['breadcrumb'] = 'Spor';
+$lang['youarehere'] = 'Du er her';
+$lang['lastmod'] = 'Sist modifisert';
+$lang['by'] = 'av';
+$lang['deleted'] = 'fjernet';
+$lang['created'] = 'opprettet';
+$lang['restored'] = 'gjenopprettet til en tidligere versjon';
+$lang['external_edit'] = 'ekstern redigering';
+$lang['summary'] = 'Redigeringskommentar';
+$lang['noflash'] = 'For at dette innholdet skal vises m氓 du ha <a href="http://www.adobe.com/products/flashplayer/">Adobe Flash Plugin</a>.';
+$lang['download'] = 'Last ned utdraget';
+$lang['mail_newpage'] = 'side lagt til:';
+$lang['mail_changed'] = 'side endret:';
+$lang['mail_new_user'] = 'ny bruker:';
+$lang['mail_upload'] = 'fil opplastet:';
+$lang['qb_bold'] = 'Fet tekst';
+$lang['qb_italic'] = 'Kursiv tekst';
+$lang['qb_underl'] = 'Understreket tekst';
+$lang['qb_code'] = 'Kodetekst';
+$lang['qb_strike'] = 'Gjennomstreket tekst';
+$lang['qb_h1'] = 'Overskrift niv氓 1';
+$lang['qb_h2'] = 'Overskrift niv氓 2';
+$lang['qb_h3'] = 'Overskrift niv氓 3';
+$lang['qb_h4'] = 'Overskrift niv氓 4';
+$lang['qb_h5'] = 'Overskrift niv氓 5';
+$lang['qb_h'] = 'Overskrift';
+$lang['qb_hs'] = 'Velg overskrift';
+$lang['qb_hplus'] = 'H酶yere overskrift';
+$lang['qb_hminus'] = 'Lavere overskrift';
+$lang['qb_hequal'] = 'Overskrift p氓 samme niv氓';
+$lang['qb_link'] = 'Intern lenke';
+$lang['qb_extlink'] = 'Ekstern lenke';
+$lang['qb_hr'] = 'Horisontal linje';
+$lang['qb_ol'] = 'Sortert listepunkt';
+$lang['qb_ul'] = 'Usortert listepunkt';
+$lang['qb_media'] = 'Legg til bilder og andre filer';
+$lang['qb_sig'] = 'F酶y til signatur';
+$lang['qb_smileys'] = 'Smilefjes';
+$lang['qb_chars'] = 'Spesialtegn';
+$lang['upperns'] = 'g氓 til overordnet navnerom';
+$lang['admin_register'] = 'Legg til ny bruker';
+$lang['metaedit'] = 'Rediger metadata';
+$lang['metasaveerr'] = 'Skriving av metadata feilet';
+$lang['metasaveok'] = 'Metadata lagret';
+$lang['img_backto'] = 'Tilbake til';
+$lang['img_title'] = 'Tittel';
+$lang['img_caption'] = 'Bildetekst';
+$lang['img_date'] = 'Dato';
+$lang['img_fname'] = 'Filnavn';
+$lang['img_fsize'] = 'St酶rrelse';
+$lang['img_artist'] = 'Fotograf';
+$lang['img_copyr'] = 'Opphavsrett';
+$lang['img_format'] = 'Format';
+$lang['img_camera'] = 'Kamera';
+$lang['img_keywords'] = 'N酶kkelord';
+$lang['subscribe_success'] = 'Lagt til %s som abonnent av listen for %s';
+$lang['subscribe_error'] = 'En feil oppstod n氓r %s skulle legges til som abonnent av listen for %s';
+$lang['subscribe_noaddress'] = 'Det er ingen adresse assosiert med din login. Du kan dermed ikke bli lagt til som abonnent av listen.';
+$lang['unsubscribe_success'] = 'Fjernet %s som abonnent for listen %s';
+$lang['unsubscribe_error'] = 'En feil oppstod n氓r %s skulle fjernes som abonnent fra listen for %s';
+$lang['authmodfailed'] = 'Feilkonfigurert brukerautorisasjon. Vennligst innformer Wiki-admin.';
+$lang['authtempfail'] = 'Brukerautorisasjon er midlertidig utilgjengelig. Om dette vedvarer, vennligst informer Wiki-admin.';
+$lang['i_chooselang'] = 'Velg ditt spr氓k';
+$lang['i_installer'] = 'DokuWiki-installasjon';
+$lang['i_wikiname'] = 'Wikinavn';
+$lang['i_enableacl'] = 'Aktiver ACL (anbefalt)';
+$lang['i_superuser'] = 'Superbruker';
+$lang['i_problems'] = 'Installasjonen oppdaget noen problemer, disse listes nedenfor. Du kan ikke fortsett f酶r du har l酶st disse.';
+$lang['i_modified'] = 'For sikkerhets skyld vil dette skriptet bare virke med en ny og uendret Dokuwiki-installsjon.
+ Du b酶r enten pakke ut filene p氓 nytt fra den nedlastede pakken, eller konsultere den komplette
+ <a href="http://dokuwiki.org/install">Dokuwiki-installasjonsinstruksen</a>';
+$lang['i_funcna'] = 'PHP-funksjonen <code>%s</code> er ikke tilgjengelig. Kanskje din leverand酶r har deaktivert den av noen grunn?';
+$lang['i_phpver'] = 'Your PHP version <code>%s</code> is lower than the needed <code>%s</code>. You need to upgrade your PHP install.';
+$lang['i_permfail'] = '<code>%s</code> er ikke skrivbar for DokuWiki. Du m氓 fikse rettighetene for denne mappen!';
+$lang['i_confexists'] = '<code>%s</code> eksisterer allerede';
+$lang['i_writeerr'] = 'Kunne ikke opprette <code>%s</code>. Du m氓 sjekke mappe-/filrettigheter og opprette filen manuelt.';
+$lang['i_badhash'] = 'ikke gjenkjent eller modifisert dokuwiki.php (hash=<code>%s</code>)';
+$lang['i_badval'] = '<code>%s</code> - ugyldig eller tom verdi';
+$lang['i_success'] = 'Konfigurasjonen ble vellykket fullf酶rt. Du kan slette install.php filen n氓. Fortsett til
+ <a href="doku.php">din nye DokuWiki</a>.';
+$lang['i_failure'] = 'En eller flere feil oppstod ved skriving til konfigurasjonsfilene. Du m氓 kanskje fikse dem manuelt f酶r
+ du kan bruke <a href="doku.php">din nye DokuWiki</a>.';
+$lang['i_policy'] = 'Innledende ACL-politikk';
+$lang['i_pol0'] = '脜pen Wiki (les, skriv og opplasting for alle)';
+$lang['i_pol1'] = 'Offentlig Wiki (les for alle, skriving og opplasting bare for registrerte brukere)';
+$lang['i_pol2'] = 'Lukket Wiki (les, skriv og opplasting bare for registrerte brukere)';
+$lang['i_retry'] = 'Pr酶v igjen';
+$lang['mu_intro'] = 'Her kan du laste opp flere filer samtidig. Klikk p氓 utforsk-knappen for 氓 legge dem til i k酶en. Klikk p氓 "last opp" n氓r du er ferdig med 氓 velge filene. ';
+$lang['mu_gridname'] = 'Filnavn';
+$lang['mu_gridsize'] = 'St酶rrelse';
+$lang['mu_gridstat'] = 'Status';
+$lang['mu_namespace'] = 'Navnerom (Namespace)';
+$lang['mu_browse'] = 'Utforsk';
+$lang['mu_toobig'] = 'for stor';
+$lang['mu_ready'] = 'klar for opplasting';
+$lang['mu_done'] = 'komplett';
+$lang['mu_fail'] = 'feilet';
+$lang['mu_authfail'] = 'sesjonen har utl酶pt';
+$lang['mu_progress'] = '@PCT@% lastet opp';
+$lang['mu_filetypes'] = 'Tillatte filtyper';
+$lang['mu_info'] = 'filer lastet opp.';
+$lang['mu_lasterr'] = 'Siste feilen:';
+$lang['recent_global'] = 'Du ser n氓 p氓 endringene i navnerommet <b>%s</b>. Du kan ogs氓<a href="%s">se p氓 nylig foretatte endringer for hele wikien</a>.';
+$lang['years'] = '%d 氓r siden';
+$lang['months'] = '%d m氓neder siden';
+$lang['weeks'] = '%d uker siden';
+$lang['days'] = '%d dager siden';
+$lang['hours'] = '%d timer siden';
+$lang['minutes'] = '%d minutter siden';
+$lang['seconds'] = '%d sekunder siden';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/locked.txt
new file mode 100644
index 000000000..cb14c892e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/locked.txt
@@ -0,0 +1,3 @@
+====== Dokumentet er l氓st ======
+
+Dette dokumentet er for tiden l氓st for redigering av en annen bruker. Du m氓 vente til denne brukeren er ferdig med sin redigering, eller til dokumentl氓sen opph酶rer 氓 gjelde.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/login.txt
new file mode 100644
index 000000000..149cf0031
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/login.txt
@@ -0,0 +1,4 @@
+====== Logg inn ======
+
+Du er ikke innlogget! Angi ditt brukernavn og passord nedenfor for 氓 logge inn. St酶tte for s氓kalte "cookies" m氓 v忙re aktivert i din nettleser for at du skal kunne logge inn.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/mailtext.txt
new file mode 100644
index 000000000..9c0714c2f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/mailtext.txt
@@ -0,0 +1,17 @@
+En side i din DokuWiki har blitt lagt til eller blitt endret. Informasjon om endringen:
+
+Dato : @DATE@
+Nettleser : @BROWSER@
+IP-adresse : @IPADDRESS@
+Vertsnavn : @HOSTNAME@
+Tidligere versjon : @OLDPAGE@
+Aktuell versjon : @NEWPAGE@
+Redigeringskommentar : @SUMMARY@
+Bruker : @USER@
+
+@DIFF@
+
+
+--
+Denne meldingen ble laget av DokuWiki
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/newpage.txt
new file mode 100644
index 000000000..8f4b8da79
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/newpage.txt
@@ -0,0 +1,3 @@
+====== Det fins ikke noe innhold ======
+
+Du har klikket p氓 en lenke til et emne som ikke finnes enn氓. Du kan skape det gjennom 氓 klikke p氓 ''**Lag denne siden**''.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/norev.txt
new file mode 100644
index 000000000..dfd71db0f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/norev.txt
@@ -0,0 +1,4 @@
+====== Det fins ingen slik versjon ======
+
+Den angitte versjonen fins ikke. Bruk ''**Historikk**'' for en oversikt over de versjoner som fins av dette dokumentet.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/preview.txt
new file mode 100644
index 000000000..2bed20e86
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/preview.txt
@@ -0,0 +1,4 @@
+====== Forh氓ndsvisning ======
+
+Dette er en forh氓ndsvisning av hvordan din tekst kommer til 氓 se ut n氓r den blir vist. Husk at den er **ikke lagret** enn氓!
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/pwconfirm.txt
new file mode 100644
index 000000000..9b8a0ab3e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/pwconfirm.txt
@@ -0,0 +1,15 @@
+Hei @FULLNAME@!
+
+Noen har bedt om nytt passord for din @TITLE@ innlogging
+p氓 @DOKUWIKIURL@
+
+Om du ikke bad om nytt passord kan du bare overse denne e-posten.
+
+For 氓 bekrefte at foresp酶rselen virkelig kom fra deg kan du bruke
+f酶lgende lenke:
+
+@CONFIRM@
+
+--
+Denne e-posten ble generert av DokuWiki p氓
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/read.txt
new file mode 100644
index 000000000..27fcb5118
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/read.txt
@@ -0,0 +1,2 @@
+Denne siden er skrivebeskyttet. Du kan se p氓 den, men ikke endre den. Kontakt administratoren hvis du mener at du b酶r kunne endre siden.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/recent.txt
new file mode 100644
index 000000000..d9357b1a4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/recent.txt
@@ -0,0 +1,5 @@
+====== Siste nytt ======
+
+F酶lgende sider/dokumenter har nylig blitt oppdatert.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/register.txt
new file mode 100644
index 000000000..1ce95c44d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/register.txt
@@ -0,0 +1,4 @@
+====== Registrer deg som bruker ======
+
+Angi all informasjon som det blir spurt om nedenfor for 氓 skape en ny brukerkonto for denne wiki. V忙r spesielt n酶ye med 氓 angi en **gyldig e-postadresse** - ditt passord vil bli sendt til den e-postadressen du angir. Brukernavnet m氓 v忙re et gyldig [[doku>pagename|sidenavn]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/registermail.txt
new file mode 100644
index 000000000..e1fae2b90
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/registermail.txt
@@ -0,0 +1,14 @@
+En ny bruker har registret seg, her er detaljene:
+
+Brukernavn : @NEWUSER@
+Fult navn : @NEWNAME@
+E-post : @NEWEMAIL@
+
+Dato : @DATE@
+Nettleser : @BROWSER@
+IP-adresse : @IPADDRESS@
+Tjener : @HOSTNAME@
+
+--
+Denne e-posten ble generert av DokuWiki p氓
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/resendpwd.txt
new file mode 100644
index 000000000..21625d3f5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/resendpwd.txt
@@ -0,0 +1,4 @@
+====== Send nytt passord ======
+
+Fyll inn ditt brukernavn i skjema nedenfor for 氓 be om nytt passord for din konto i denne wiki. En bekreftelseslenke vil bli sent til din e-postadresse.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/revisions.txt
new file mode 100644
index 000000000..023fd8dce
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/revisions.txt
@@ -0,0 +1,4 @@
+====== Historikk ======
+
+Her vises tidligere versjoner av dokumentet. For 氓 sette dette dokumentet tilbake til en tidligere versjon kan du velge den 酶nskede versjonen nedenfor, klikke p氓 **''Rediger denne siden''** og lagre dokumentet.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/searchpage.txt
new file mode 100644
index 000000000..e94e7895b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/searchpage.txt
@@ -0,0 +1,5 @@
+====== S酶k ======
+
+Du ser resultatet av dette s酶ket nedenfor. Hvis du ikke finner det du leter etter, s氓 kan du skape en ny side med samme navn som ditt s酶k ved 氓 klikke p氓 ''**Lag denne siden**''-knappen.
+
+===== Resultat =====
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/showrev.txt
new file mode 100644
index 000000000..556896437
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/showrev.txt
@@ -0,0 +1,2 @@
+**Dette er en gammel revisjon av dokumentet!**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/stopwords.txt
new file mode 100644
index 000000000..2b2ab11e2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/stopwords.txt
@@ -0,0 +1,130 @@
+# This is a list of words the indexer ignores, one word per line
+# When you edit this file be sure to use UNIX line endings (single newline)
+# No need to include words shorter than 3 chars - these are ignored anyway
+# This list is based upon the ones found at http://www.ranks.nl/stopwords/
+alle
+andre
+arbeid
+begge
+bort
+bra
+bruke
+denne
+der
+deres
+det
+din
+disse
+eller
+ene
+eneste
+enhver
+enn
+folk
+for
+fordi
+fors脹ke
+fra
+f脜
+f脹r
+f脹rst
+gjorde
+gj脹re
+god
+g脜
+hadde
+han
+hans
+hennes
+her
+hva
+hvem
+hver
+hvilken
+hvis
+hvor
+hvordan
+hvorfor
+ikke
+inn
+innen
+kan
+kunne
+lage
+lang
+lik
+like
+makt
+mange
+med
+meg
+meget
+men
+mens
+mer
+mest
+min
+mye
+m脜
+m脜te
+navn
+nei
+n脜
+n脜r
+ogs脜
+opp
+oss
+over
+part
+punkt
+p脜
+rett
+riktig
+samme
+sant
+siden
+sist
+skulle
+slik
+slutt
+som
+start
+stille
+s脜
+tid
+til
+tilbake
+tilstand
+under
+uten
+var
+ved
+verdi
+vil
+ville
+vite
+v脜r
+v脰re
+v脰rt
+om
+er
+og
+du
+dine
+de
+deres
+com
+for
+fra
+inni
+hvordan
+det
+dette
+var
+hva
+n氓r
+hvor
+hvem
+vil
+med
+www \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/subscribermail.txt
new file mode 100644
index 000000000..999f75787
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/subscribermail.txt
@@ -0,0 +1,16 @@
+Hei!
+
+Siden @PAGE@ i @TITLE@-wikien har blitt endret.
+Her er endringene:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+For 氓 avslutte abonnering p氓 denne siden logg inn
+p氓 wikien p氓 @DOKUWIKIURL@, bes酶k deretter @NEWPAGE@
+og velg 'Ikke abonner p氓 endringer'.
+
+--
+Denne mailen ble generert av DokuWiki p氓
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/updateprofile.txt
new file mode 100644
index 000000000..5565e528f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/updateprofile.txt
@@ -0,0 +1,5 @@
+====== Oppdater din brukerprofil ======
+
+Du beh酶ver bare fylle ut de felter du 酶nsker 氓 endre. Du kan ikke endre ditt brukernavn.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/uploadmail.txt
new file mode 100644
index 000000000..b01905009
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/uploadmail.txt
@@ -0,0 +1,14 @@
+En fil ble lastet opp p氓 din DokuWiki. Her er detaljene:
+
+Fil : @MEDIA@
+Dato : @DATE@
+Nettleser : @BROWSER@
+IP-adresse : @IPADDRESS@
+Vertnavn : @HOSTNAME@
+St酶rrelse : @SIZE@
+MIME-type : @MIME@
+Bruker : @USER@
+
+--
+Denne e-posten ble generert av DokuWiki p氓
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/wordblock.txt
new file mode 100644
index 000000000..30c4c31ce
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/no/wordblock.txt
@@ -0,0 +1,4 @@
+====== Ordsperre ======
+
+Dine endringer har **ikke** blitt lagret p氓 grunn av at de inneholder ett eller flere ord som er sperret. Hvis du har fors酶kt 氓 lagre s酶ppel, eller s氓kalt ''spam'' -- Fy deg! Hvis du anser denne beskjeden som feilaktig kan du kontakte administratoren til denne wikien.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/admin.txt
new file mode 100644
index 000000000..cea45f9d0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/admin.txt
@@ -0,0 +1,4 @@
+====== Administracja ======
+
+Czynno艣ci administracyjne DokuWiki.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/adminplugins.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/adminplugins.txt
new file mode 100644
index 000000000..0fb03993e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/adminplugins.txt
@@ -0,0 +1 @@
+===== Dodatkowe Wtyczki ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/backlinks.txt
new file mode 100644
index 000000000..4edccb09b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/backlinks.txt
@@ -0,0 +1,4 @@
+====== Odno艣nik z innych stron ======
+
+Strony zawieraj膮ce odno艣niki do aktualnej strony.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/conflict.txt
new file mode 100644
index 000000000..da6f95260
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/conflict.txt
@@ -0,0 +1,6 @@
+====== Istnieje nowsza wersja strony ======
+
+Istnieje nowsza wersja edytowanej strony. Prawdopodobnie kto艣 zmieni艂 t臋 stron臋 w trakcie Twojej pracy.
+
+Przegl膮dnij dok艂adnie poni偶sze r贸偶nice i zdecyduj, kt贸r膮 wersj臋 zatrzyma膰. Je艣li naci艣niesz ''zapisz'' to Twoja wersja zostanie zapisana. Je艣li naci艣niesz ''anuluj'' to zostanie wybrana aktualna wersja strony.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/denied.txt
new file mode 100644
index 000000000..d402463ef
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/denied.txt
@@ -0,0 +1,4 @@
+====== Brak dost臋pu ======
+
+Nie masz wystarczaj膮cych uprawnie艅. Zaloguj si臋!
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/diff.txt
new file mode 100644
index 000000000..2c896ddb3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/diff.txt
@@ -0,0 +1,4 @@
+====== R贸偶nice ======
+
+R贸偶nice mi臋dzy wybran膮 wersj膮 a wersj膮 aktualn膮.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/draft.txt
new file mode 100644
index 000000000..4036c3079
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/draft.txt
@@ -0,0 +1,6 @@
+====== Znaleziono szkic strony ======
+
+Twoja ostatnia sesja edycji nie zosta艂a poprawnie zako艅czona. DokuWiki automatycznie zachowa艂o szkic strony podczas Twojej pracy aby艣 m贸g艂 (mog艂a) j膮 doko艅czy膰. Poni偶ej mo偶esz zobaczy膰 co zosta艂o zapisane w czasie ostatnie sesji.
+
+Zdecyduj czy chcesz //przywr贸ci膰// ostatni膮 sesj臋, //usun膮膰// j膮 lub //anulowa膰//.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/edit.txt
new file mode 100644
index 000000000..abb20ae1c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/edit.txt
@@ -0,0 +1,4 @@
+Zredaguj t臋 stron臋 i naci艣nij ''zapisz''.
+
+Na stronie ze [[wiki:syntax|sk艂adni膮]] znajdziesz opis znacznik贸w wiki. Je艣li chcesz po膰wiczy膰 zajrzyj do [[playground:playground|piaskownicy]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/editrev.txt
new file mode 100644
index 000000000..1528cac7e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/editrev.txt
@@ -0,0 +1,2 @@
+**Edytujesz nieaktualn膮 wersj臋 strony!** Je艣li j膮 zapiszesz to stanie si臋 ona wersj膮 aktualn膮.
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/index.txt
new file mode 100644
index 000000000..1d3fd27f6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/index.txt
@@ -0,0 +1,4 @@
+====== Indeks ======
+
+Indeks wszystkich dost臋pnych stron pogrupowany wed艂ug [[doku>namespaces|katalog贸w]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/install.html
new file mode 100644
index 000000000..f1d48c1ad
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/install.html
@@ -0,0 +1,23 @@
+<p>Ta strona ma na celu pom贸c Ci w instalacji i konfiguracji
+<a href="http://dokuwiki.org">Dokuwiki</a>.
+Wi臋cej informacji o instalatorze znajdziesz w
+<a href="http://dokuwiki.org/installer">dokumentacji instalatora</a>.</p>
+
+<p>DokuWiki u偶ywa zwyk艂ych plik贸w do przechowywania zawarto艣ci stron oraz wszelkich
+innych informacji takich jak obrazki, poprzednie wersje strony, itp.
+呕eby DokuWiki mog艂o poprawnie dzia艂a膰 <strong>musisz</strong>
+nada膰 prawo zapisu do katalogu zawieraj膮cego te pliki. Instalator nie mo偶e wykona膰
+tych czynno艣ci. Musisz zrobi膰 to za pomoc膮 polecenia pow艂oki, klienta FTP
+lub panelu kontrolnego Twojego dostawcy us艂ug serwerowych.</p>
+
+<p>Instalator pomo偶e Ci w konfiguracji uprawnie艅
+<acronym title="access control list">ACL</acronym>,
+kt贸re z kolei umo偶liwi膮 Ci za艂o偶enie konta administratora oraz umo偶liwi膮 dost臋p
+do czynno艣ci administracyjnych takich jak instalowanie wtyczek, zarz膮dzanie kontami,
+zarz膮dzania uprawnieniami do stron oraz konfiguracji wiki. U偶ycie tego instalatora
+nie jest konieczne, jego celem jest tylko u艂atwienie administracji DokuWiki.</p>
+
+<p>Zaawansowani u偶ytkownicy lub u偶ytkownicy maj膮cy specjalne wymagania powinni
+zapozna膰 si臋 z
+<a href="http://dokuwiki.org/install">instrukcj膮 instalacji</a>
+oraz <a href="http://dokuwiki.org/config">instrukcj膮 konfiguracji</a>.</p>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/lang.php
new file mode 100644
index 000000000..882806577
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/lang.php
@@ -0,0 +1,248 @@
+<?php
+/**
+ * polish language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Grzegorz 呕ur <grzegorz.zur@gmail.com>
+ * @author Mariusz Kujawski <marinespl@gmail.com>
+ * @author Maciej Kurczewski <pipijajko@gmail.com>
+ * @author S艂awomir Boczek <slawkens@gmail.com>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '\'';
+$lang['btn_edit'] = 'Edytuj stron臋';
+$lang['btn_source'] = 'Poka偶 藕r贸d艂o strony';
+$lang['btn_show'] = 'Poka偶 stron臋';
+$lang['btn_create'] = 'Utw贸rz stron臋';
+$lang['btn_search'] = 'Szukaj';
+$lang['btn_save'] = 'Zapisz';
+$lang['btn_preview'] = 'Podgl膮d';
+$lang['btn_top'] = 'Do g贸ry';
+$lang['btn_newer'] = '<< nowsze';
+$lang['btn_older'] = 'starsze >>';
+$lang['btn_revs'] = 'Poprzednie wersje';
+$lang['btn_recent'] = 'Ostatnie zmiany';
+$lang['btn_upload'] = 'Wy艣lij';
+$lang['btn_cancel'] = 'Anuluj';
+$lang['btn_index'] = 'Indeks';
+$lang['btn_secedit'] = 'Edytuj';
+$lang['btn_login'] = 'Zaloguj';
+$lang['btn_logout'] = 'Wyloguj';
+$lang['btn_admin'] = 'Administracja';
+$lang['btn_update'] = 'Aktualizuj';
+$lang['btn_delete'] = 'Usu艅';
+$lang['btn_back'] = 'Wstecz';
+$lang['btn_backlink'] = 'Odno艣niki';
+$lang['btn_backtomedia'] = 'Powr贸t do wyboru pliku';
+$lang['btn_subscribe'] = 'Subskrybuj zmiany';
+$lang['btn_unsubscribe'] = 'Zaprzesta艅 subskrypcji zmian';
+$lang['btn_subscribens'] = 'Subskrybuj zmiany w katalogu';
+$lang['btn_unsubscribens'] = 'Zaprzesta艅 subskrypcji zmian w katalogu';
+$lang['btn_profile'] = 'Aktualizuj profil';
+$lang['btn_reset'] = 'Resetuj';
+$lang['btn_resendpwd'] = 'Prze艣lij nowe has艂o';
+$lang['btn_draft'] = 'Edytuj szkic';
+$lang['btn_recover'] = 'Przywr贸膰 szkic';
+$lang['btn_draftdel'] = 'Usu艅 szkic';
+$lang['btn_revert'] = 'Przywr贸膰';
+$lang['loggedinas'] = 'Zalogowany jako';
+$lang['user'] = 'U偶ytkownik';
+$lang['pass'] = 'Has艂o';
+$lang['newpass'] = 'Nowe has艂o';
+$lang['oldpass'] = 'Potwierd藕 aktualne has艂o';
+$lang['passchk'] = 'Powt贸rz has艂o';
+$lang['remember'] = 'Zapami臋taj';
+$lang['fullname'] = 'Imi臋 i nazwisko';
+$lang['email'] = 'E-mail';
+$lang['register'] = 'Zarejestruj si臋!';
+$lang['profile'] = 'Profil u偶ytkownika';
+$lang['badlogin'] = 'Nazwa u偶ytkownika lub has艂o s膮 nieprawid艂owe.';
+$lang['minoredit'] = 'Mniejsze zmiany';
+$lang['draftdate'] = 'Czas zachowania szkicu';
+$lang['nosecedit'] = 'Strona zosta艂a zmodyfikowana, sekcje zosta艂y zmienione. Za艂adowano ca艂膮 stron臋.';
+$lang['regmissing'] = 'Wype艂nij wszystkie pola.';
+$lang['reguexists'] = 'U偶ytkownik o tej nazwie ju偶 istnieje.';
+$lang['regsuccess'] = 'Utworzono u偶ytkownika. Has艂o zosta艂o przes艂ane poczt膮.';
+$lang['regsuccess2'] = 'Utworzono u偶ytkownika.';
+$lang['regmailfail'] = 'Wyst膮pi艂 b艂膮d przy wysy艂aniu has艂a poczt膮!';
+$lang['regbadmail'] = 'Adres e-mail jest nieprawid艂owy!';
+$lang['regbadpass'] = 'Has艂a nie s膮 identyczne, spr贸buj ponownie.';
+$lang['regpwmail'] = 'Twoje has艂o do DokuWiki';
+$lang['reghere'] = 'Nie masz jeszcze konta? Zdob膮d藕 je';
+$lang['profna'] = 'To wiki nie pozwala na zmian臋 profilu.';
+$lang['profnochange'] = '呕adnych zmian, nic do zrobienia.';
+$lang['profnoempty'] = 'Pusta nazwa lub adres e-mail nie dozwolone.';
+$lang['profchanged'] = 'Zaktualizowano profil u偶ytkownika.';
+$lang['pwdforget'] = 'Nie pami臋tasz has艂a? Zdob膮d藕 nowe!';
+$lang['resendna'] = 'To wiki nie pozwala na powt贸rne przesy艂anie has艂a.';
+$lang['resendpwd'] = 'Prze艣lij nowe has艂o dla';
+$lang['resendpwdmissing'] = 'Wype艂nij wszystkie pola.';
+$lang['resendpwdnouser'] = 'Nie mo偶na znale藕膰 tego u偶ytkownika w bazie danych.';
+$lang['resendpwdbadauth'] = 'B艂臋dny kod autoryzacji! Upewnij si臋, 偶e u偶y艂e艣(a艣) w艂a艣ciwego odno艣nika.';
+$lang['resendpwdconfirm'] = 'Pro艣ba o potwierdzenie zosta艂a przes艂ana poczt膮.';
+$lang['resendpwdsuccess'] = 'Nowe has艂o zosta艂o wys艂ane poczt膮.';
+$lang['license'] = 'Wszystkie tre艣ci w tym wiki, kt贸rym nie przyporz膮dkowano licencji, podlegaj膮 licencji:';
+$lang['licenseok'] = 'Uwaga: edytuj膮c t臋 stron臋 zgadzasz si臋 na publikowanie jej tre艣ci pod licencj膮:';
+$lang['searchmedia'] = 'Szukaj pliku o nazwie:';
+$lang['searchmedia_in'] = 'Szukaj w %s';
+$lang['txt_upload'] = 'Wybierz plik do wys艂ania';
+$lang['txt_filename'] = 'Nazwa pliku (opcjonalnie)';
+$lang['txt_overwrt'] = 'Nadpisa膰 istniej膮cy plik?';
+$lang['lockedby'] = 'Aktualnie zablokowane przez';
+$lang['lockexpire'] = 'Blokada wygasa';
+$lang['willexpire'] = 'Za minut臋 Twoja blokada tej strony wyga艣nie.\nW celu unikni臋cia konflikt贸w wy艣wietl podgl膮d aby odnowi膰 blokad臋.';
+$lang['notsavedyet'] = 'Nie zapisane zmiany zostan膮 utracone.\nCzy na pewno kontynuowa膰?';
+$lang['rssfailed'] = 'Wyst膮pi艂 b艂膮d przy pobieraniu tych danych: ';
+$lang['nothingfound'] = 'Nic nie znaleziono.';
+$lang['mediaselect'] = 'Wysy艂anie pliku';
+$lang['fileupload'] = 'Wysy艂anie pliku';
+$lang['uploadsucc'] = 'Wysy艂anie powiod艂o si臋!';
+$lang['uploadfail'] = 'B艂膮d wysy艂ania pliku. Czy prawa do katalog贸w s膮 poprawne?';
+$lang['uploadwrong'] = 'Wysy艂anie zabronione. Nie mo偶na wys艂a膰 plik贸w z takim rozszerzeniem';
+$lang['uploadexist'] = 'Plik ju偶 istnieje, nie wykonano operacji.';
+$lang['uploadbadcontent'] = 'Typ pliku "%s" nie odpowiada艂 jego rozszerzeniu.';
+$lang['uploadspam'] = 'Plik zablokowany przez filtr antyspamowy.';
+$lang['uploadxss'] = 'Plik zablokowany ze wzgl臋du na podejrzan膮 zawarto艣膰.';
+$lang['uploadsize'] = 'Plik jest za du偶y (maksymalny rozmiar %s)';
+$lang['deletesucc'] = 'Plik "%s" zosta艂 usuni臋ty.';
+$lang['deletefail'] = 'Plik "%s" nie zosta艂 usuni臋ty, sprawd藕 uprawnienia.';
+$lang['mediainuse'] = 'Plik "%s" nie zosta艂 usuni臋ty, poniewa偶 jest u偶ywany.';
+$lang['namespaces'] = 'Katalogi';
+$lang['mediafiles'] = 'Dost臋pne pliki';
+$lang['js']['searchmedia'] = 'Szukaj plik贸w';
+$lang['js']['keepopen'] = 'Nie zamykaj okna po wyborze';
+$lang['js']['hidedetails'] = 'Ukryj szczeg贸艂y';
+$lang['js']['nosmblinks'] = 'Odno艣niki do zasob贸w sieci Windows dzia艂aj膮 tylko w przegl膮darce Internet Explorer.
+Mo偶esz skopiowa膰 odno艣nik.';
+$lang['js']['linkwiz'] = 'Tworzenie odno艣nik贸w';
+$lang['js']['linkto'] = 'Link do';
+$lang['js']['del_confirm'] = 'Czy na pewno usun膮膰?';
+$lang['js']['mu_btn'] = 'Wy艣lij wiele plik贸w na raz';
+$lang['mediausage'] = 'U偶yj nast臋puj膮cej sk艂adni w odno艣niku do tego pliku:';
+$lang['mediaview'] = 'Poka偶 oryginalny plik';
+$lang['mediaroot'] = 'g艂贸wny';
+$lang['mediaupload'] = 'Umie艣膰 plik w aktualnym katalogu. Aby utworzy膰 podkatalogi, poprzed藕 nazw臋 pliku nazwami katalog贸w oddzielonymi dwukropkami.';
+$lang['mediaextchange'] = 'Rozszerzenie pliku zmieniono z .%s na .%s!';
+$lang['reference'] = 'Odno艣niki do';
+$lang['ref_inuse'] = 'Ten plik nie mo偶e by膰 usuni臋ty, poniewa偶 jest u偶ywany na nast臋puj膮cych stronach:';
+$lang['ref_hidden'] = 'Odno艣niki mog膮 znajdowa膰 si臋 na stronach, do kt贸rych nie masz uprawnie艅.';
+$lang['hits'] = 'trafie艅';
+$lang['quickhits'] = 'Pasuj膮ce has艂a';
+$lang['toc'] = 'Spis tre艣ci';
+$lang['current'] = 'aktualna';
+$lang['yours'] = 'Twoja wersja';
+$lang['diff'] = 'Poka偶 r贸偶nice mi臋dzy wersjami';
+$lang['diff2'] = 'Poka偶 r贸偶nice mi臋dzy zaznaczonymi wersjami';
+$lang['line'] = 'Linia';
+$lang['breadcrumb'] = '艢lad';
+$lang['youarehere'] = 'Jeste艣 tutaj';
+$lang['lastmod'] = 'ostatnio zmienione';
+$lang['by'] = 'przez';
+$lang['deleted'] = 'usuni臋to';
+$lang['created'] = 'utworzono';
+$lang['restored'] = 'przywr贸cono poprzedni膮 wersj臋';
+$lang['external_edit'] = 'edycja zewn臋trzna';
+$lang['summary'] = 'Opis zmian';
+$lang['noflash'] = 'Plugin <a href="http://www.adobe.com/products/flashplayer/">Adobe Flash Plugin</a> jest niezb臋dny do obejrzenia tej zawarto艣ci.';
+$lang['download'] = 'Pobierz zrzut';
+$lang['mail_newpage'] = 'Strona dodana:';
+$lang['mail_changed'] = 'Strona zmieniona:';
+$lang['mail_new_user'] = 'Nowy u偶ytkownik:';
+$lang['mail_upload'] = 'Umieszczono plik:';
+$lang['qb_bold'] = 'Pogrubienie';
+$lang['qb_italic'] = 'Pochylenie';
+$lang['qb_underl'] = 'Podkre艣lenie';
+$lang['qb_code'] = 'Kod 藕r贸d艂owy';
+$lang['qb_strike'] = 'Przekre艣lenie';
+$lang['qb_h1'] = 'Nag艂贸wek 1 stopnia';
+$lang['qb_h2'] = 'Nag艂贸wek 2 stopnia';
+$lang['qb_h3'] = 'Nag艂贸wek 3 stopnia';
+$lang['qb_h4'] = 'Nag艂贸wek 4 stopnia';
+$lang['qb_h5'] = 'Nag艂贸wek 5 stopnia';
+$lang['qb_h'] = 'Nag艂贸wek';
+$lang['qb_hs'] = 'Wybierz nag艂贸wek';
+$lang['qb_hplus'] = 'Nag艂贸wek wy偶szego stopnia';
+$lang['qb_hminus'] = 'Nag艂贸wek ni偶szego stopnia';
+$lang['qb_hequal'] = 'Nag艂贸wek tego samego stopnia';
+$lang['qb_link'] = 'Odno艣nik wewn臋trzny';
+$lang['qb_extlink'] = 'Odno艣nik zewn臋trzny';
+$lang['qb_hr'] = 'Linia pozioma';
+$lang['qb_ol'] = 'Numeracja';
+$lang['qb_ul'] = 'Wypunktowanie';
+$lang['qb_media'] = 'Dodaj obrazek lub inny plik';
+$lang['qb_sig'] = 'Wstaw podpis';
+$lang['qb_smileys'] = 'Emotikony';
+$lang['qb_chars'] = 'Znaki specjalne';
+$lang['upperns'] = 'Skok pi臋tro wy偶ej';
+$lang['admin_register'] = 'Dodawanie u偶ytkownika';
+$lang['metaedit'] = 'Edytuj metadane';
+$lang['metasaveerr'] = 'Zapis metadanych nie powi贸d艂 si臋';
+$lang['metasaveok'] = 'Metadane zapisano';
+$lang['img_backto'] = 'Wr贸膰 do';
+$lang['img_title'] = 'Tytu艂';
+$lang['img_caption'] = 'Nag艂贸wek';
+$lang['img_date'] = 'Data';
+$lang['img_fname'] = 'Nazwa pliku';
+$lang['img_fsize'] = 'Rozmiar';
+$lang['img_artist'] = 'Fotograf';
+$lang['img_copyr'] = 'Prawa autorskie';
+$lang['img_format'] = 'Format';
+$lang['img_camera'] = 'Aparat';
+$lang['img_keywords'] = 'S艂owa kluczowe';
+$lang['subscribe_success'] = 'Dodano %s do listy subskrypcji strony %s';
+$lang['subscribe_error'] = 'B艂膮d przy dodawaniu %s do listy subskrypcji strony %s';
+$lang['subscribe_noaddress'] = 'Nie poda艂e艣 adresu e-mail przy rejestracji, nie mo偶esz zosta膰 dodany do listy subskrypcji.';
+$lang['unsubscribe_success'] = 'Usuni臋to %s z listy subskrypcji strony %s';
+$lang['unsubscribe_error'] = 'B艂膮d przy usuwaniu %s z listy subskrypcji strony %s';
+$lang['authmodfailed'] = 'B艂膮d uwierzytelnienia. Powiadom administratora tego wiki.';
+$lang['authtempfail'] = 'Uwierzytelnienie u偶ytkownika jest w tej chwili niemo偶liwe. Je艣li ta sytuacja si臋 powt贸rzy, powiadom administratora tego wiki.';
+$lang['i_chooselang'] = 'Wybierz j臋zyk';
+$lang['i_installer'] = 'Instalator DokuWiki';
+$lang['i_wikiname'] = 'Nazwa Wiki';
+$lang['i_enableacl'] = 'W艂膮cz mechanizm uprawnie艅 ACL (zalecane)';
+$lang['i_superuser'] = 'Administrator';
+$lang['i_problems'] = 'Instalator napotka艂 poni偶sze problemy. Nie mo偶na kontynuowa膰 p贸ki nie zostan膮 usuni臋te.';
+$lang['i_modified'] = 'Ze wzgl臋d贸w bezpiecze艅stwa, ten skrypt dzia艂a tylko z now膮 i niezmodyfikowan膮 instalacj膮 DokuWiki.
+Aby uruchomi膰 instalator ponownie, rozpakuj archiwum DokuWiki lub zapoznaj si臋 z <a href="http://dokuwiki.org/install">instrukcj膮 instalacji Dokuwiki</a>';
+$lang['i_funcna'] = 'Funkcja PHP <code>%s</code> jest niedost臋pna.';
+$lang['i_phpver'] = 'Wersja PHP <code>%s</code> jest ni偶sza od wymaganej <code>%s</code>. Zaktualizuj instalacj臋 PHP.';
+$lang['i_permfail'] = 'DokuWiki nie ma prawa zapisu w katalogu <code>%s</code>. Zmie艅 uprawnienia zapisu dla tego katalogu!';
+$lang['i_confexists'] = '<code>%s</code> ju偶 istnieje';
+$lang['i_writeerr'] = 'Nie mo偶na utworzy膰 <code>%s</code>. Sprawd藕 uprawnienia do katalogu lub pliku i stw贸rz plik r臋cznie.';
+$lang['i_badhash'] = 'nierozpoznany lub zmodyfikowany plik dokuwiki.php (skr贸t=<code>%s</code>)';
+$lang['i_badval'] = '<code>%s</code> - nieprawid艂owa warto艣膰 lub jej brak';
+$lang['i_success'] = 'Konfiguracja pomy艣lnie zako艅czona. Mo偶esz teraz usun膮膰 plik install.php. Przejd藕 do <a href="doku.php">Twojego nowego DokuWiki</a>.';
+$lang['i_failure'] = 'Podczas zapisu plik贸w konfiguracyjnych wyst膮pi艂y b艂臋dy. Musisz usun膮膰 wszystkie problemy, zanim zaczniesz korzysta膰 z <a href="doku.php">Twojego nowego DokuWiki</a>.';
+$lang['i_policy'] = 'Wst臋pna polityka uprawnie艅 ACL';
+$lang['i_pol0'] = 'Otwarte Wiki (odczyt, zapis i dodawanie plik贸w dla wszystkich)';
+$lang['i_pol1'] = 'Publiczne Wiki (odczyt dla wszystkich, zapis i dodawanie plik贸w tylko dla zarejestrowanych u偶ytkownik贸w)';
+$lang['i_pol2'] = 'Zamkni臋te Wiki (odczyt, zapis i dodawanie plik贸w tylko dla zarejestrowanych u偶ytkownik贸w)';
+$lang['i_retry'] = 'Spr贸buj ponownie';
+$lang['mu_intro'] = 'Mo偶esz tutaj wys艂a膰 wiele plik贸w na raz. Kliknij przycisk "Przegl膮daj" aby doda膰 je do kolejki. Kliknij "Wy艣lij" gdy sko艅czysz.';
+$lang['mu_gridname'] = 'Nazwa pliku';
+$lang['mu_gridsize'] = 'Rozmiar';
+$lang['mu_gridstat'] = 'Stan';
+$lang['mu_namespace'] = 'Katalog';
+$lang['mu_browse'] = 'Przegl膮daj';
+$lang['mu_toobig'] = 'za du偶y';
+$lang['mu_ready'] = 'gotowy do wys艂ania';
+$lang['mu_done'] = 'zako艅czono';
+$lang['mu_fail'] = 'nie powiod艂o si臋';
+$lang['mu_authfail'] = 'sesja wygas艂a';
+$lang['mu_progress'] = '@PCT@% wys艂ano';
+$lang['mu_filetypes'] = 'Dozwolone typy plik贸w';
+$lang['mu_info'] = 'wys艂anych plik贸w.';
+$lang['mu_lasterr'] = 'Ostatni b艂膮d:';
+$lang['recent_global'] = 'W tej chwili przegl膮dasz zmiany w katalogu <b>%s</b>. Mo偶esz przejrze膰 tak偶e <a href="%s">zmiany w ca艂ym wiki</a>.';
+$lang['years'] = '%d lat temu';
+$lang['months'] = '%d miesi臋cy temu';
+$lang['weeks'] = '%d tygodni temu';
+$lang['days'] = '%d dni temu';
+$lang['hours'] = '%d godzin temu';
+$lang['minutes'] = '%d minut temu';
+$lang['seconds'] = '%d sekund temu';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/locked.txt
new file mode 100644
index 000000000..e3e05fefc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/locked.txt
@@ -0,0 +1,3 @@
+====== Strona zablokowana ======
+
+Ta strona jest zablokowana do edycji przez innego u偶ytkownika. Musisz zaczeka膰 a偶 u偶ytkownik zako艅czy redagowanie lub jego blokada wyga艣nie.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/login.txt
new file mode 100644
index 000000000..b60427f4f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/login.txt
@@ -0,0 +1,4 @@
+====== Logowanie ======
+
+Wprowad藕 nazw臋 u偶ytkownika i has艂o aby si臋 zalogowa膰. Twoja przegl膮darka musi mie膰 w艂膮czon膮 obs艂ug臋 ciasteczek (cookies).
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/mailtext.txt
new file mode 100644
index 000000000..1180966bc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/mailtext.txt
@@ -0,0 +1,18 @@
+Strona w Twoim DokuWiki zosta艂a dodana lub zmieniona.
+Szczeg贸艂owe informacje:
+
+Data : @DATE@
+Przegl膮darka : @BROWSER@
+Adres IP : @IPADDRESS@
+Nazwa DNS : @HOSTNAME@
+Stara wersja : @OLDPAGE@
+Nowa wersja : @NEWPAGE@
+Opis zmian : @SUMMARY@
+U偶ytkownik : @USER@
+
+@DIFF@
+
+
+--
+List zosta艂 wygenerowany przez DokuWiki pod adresem
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/newpage.txt
new file mode 100644
index 000000000..532d3f4ab
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/newpage.txt
@@ -0,0 +1,4 @@
+====== Ta strona jeszcze nie istnieje ======
+
+Jeste艣 na stronie, kt贸ra jeszcze nie istnieje. Je艣li masz wystarczaj膮ce uprawnienia, mo偶esz utworzy膰 t臋 stron臋 klikaj膮c ''utw贸rz stron臋''.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/norev.txt
new file mode 100644
index 000000000..858e4a881
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/norev.txt
@@ -0,0 +1,4 @@
+====== Nie ma takiej wersji ======
+
+Nie ma takiej wersji. Kliknij przycisk ''poprzednie wersje'', aby wy艣wietli膰 list臋 wszystkich wersji tej strony.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/preview.txt
new file mode 100644
index 000000000..41a123cf3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/preview.txt
@@ -0,0 +1,4 @@
+====== Podgl膮d ======
+
+To jest podgl膮d edytowanej strony. Pami臋taj, 偶e ta strona **nie** jest jeszcze zapisana!
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/pwconfirm.txt
new file mode 100644
index 000000000..f6ccca47e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/pwconfirm.txt
@@ -0,0 +1,13 @@
+Witaj @FULLNAME@!
+
+Potwierdzenie pro艣by o nowe has艂o dla konta @TITLE@ w wiki @DOKUWIKIURL@
+
+Je艣li to nie Ty prosi艂e艣(a艣) o nowe has艂o, zignoruj ten list.
+
+Aby potwierdzi膰 pro艣b臋 o has艂o, przejd藕 na nast臋puj膮c膮 stron臋.
+
+@CONFIRM@
+
+--
+List zosta艂 wygenerowany przez DokuWiki pod adresem
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/read.txt
new file mode 100644
index 000000000..5f89fd93d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/read.txt
@@ -0,0 +1,2 @@
+Ta strona jest tylko do odczytu. Mo偶esz wy艣wietli膰 藕r贸d艂a tej strony ale nie mo偶esz ich zmieni膰.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/recent.txt
new file mode 100644
index 000000000..65a776c0e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/recent.txt
@@ -0,0 +1,5 @@
+====== Ostatnie zmiany ======
+
+Ostatnio zmienione strony.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/register.txt
new file mode 100644
index 000000000..91b761d15
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/register.txt
@@ -0,0 +1,4 @@
+====== Rejestracja nowego u偶ytkownika ======
+
+Wype艂nij wszystkie pola formularza aby utworzy膰 nowe konto w tym wiki. Pami臋taj, 偶eby poda膰 **poprawny adres e-mail**, poniewa偶 nowe has艂o mo偶e zosta膰 do Ciebie przes艂ane poczt膮. Nazwa u偶ytkownika powinna by膰 zgodna z formatem [[doku>pagename|nazw stron]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/registermail.txt
new file mode 100644
index 000000000..18437fa0a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/registermail.txt
@@ -0,0 +1,15 @@
+Zarejestrowa艂 si臋 nowy u偶ytkownik.
+Szczeg贸艂owe informacje:
+
+U偶ytkownik : @NEWUSER@
+Imi臋 i nazwisko : @NEWNAME@
+E-mail : @NEWEMAIL@
+
+Data : @DATE@
+Przegl膮darka : @BROWSER@
+Adres IP : @IPADDRESS@
+Nazwa DNS : @HOSTNAME@
+
+--
+List zosta艂 wygenerowany przez DokuWiki pod adresem
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/resendpwd.txt
new file mode 100644
index 000000000..a7cac7442
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/resendpwd.txt
@@ -0,0 +1,4 @@
+====== Przesy艂anie nowego has艂a ======
+
+Aby otrzyma膰 nowe has艂o, podaj nazw臋 Twojego konta w tym wiki. Pro艣ba o potwierdzenie w postaci odno艣nika zostanie Ci przes艂ana poczt膮 elektroniczn膮.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/revisions.txt
new file mode 100644
index 000000000..afe2b6478
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/revisions.txt
@@ -0,0 +1,4 @@
+====== Poprzednie wersje ======
+
+Poprzednie wersje tej strony. Aby przywr贸ci膰 poprzedni膮 wersj臋 wybierz j膮, rozpocznij edycj臋 a potem zapisz.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/searchpage.txt
new file mode 100644
index 000000000..61b9ffbf9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/searchpage.txt
@@ -0,0 +1,5 @@
+====== Wyszukiwanie ======
+
+Wyniki wyszukiwania. Je艣li nie znaleziono szukanego has艂a, mo偶esz utworzy膰 now膮 stron臋, kt贸rej tytu艂em b臋dzie poszukiwane has艂o.
+
+===== Wyniki =====
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/showrev.txt
new file mode 100644
index 000000000..43e826ed5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/showrev.txt
@@ -0,0 +1,2 @@
+**To jest stara wersja strony!**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/stopwords.txt
new file mode 100644
index 000000000..f1d244a26
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/stopwords.txt
@@ -0,0 +1,89 @@
+# Lista s艂贸w ignorowanych przy indeksowaniu tre艣ci.
+# W jednej linii powinno znajdowa膰 si臋 tylko jedno s艂owo.
+# Przy edycji tego pliku pami臋taj o u偶ywaniu uniksowego ko艅ca linii (LF).
+# Nie ma potrzeby wpisywania s艂贸w kr贸tszych ni偶 3 znaki, poniewa偶 one s膮 zawsze ignorowane.
+# Lista oparta na danych ze strony http://www.ranks.nl/stopwords/
+aby
+ale
+bardziej
+bardzo
+bez
+bowiem
+by艂
+by艂a
+by艂o
+by艂y
+b臋dzie
+czy
+czyli
+dla
+dlatego
+gdy
+gdzie
+ich
+innych
+jak
+jako
+jednak
+jego
+jej
+jest
+jeszcze
+je艣li
+ju偶
+kiedy
+kilka
+kt贸ra
+kt贸re
+kt贸rego
+kt贸rej
+kt贸ry
+kt贸rych
+kt贸rym
+kt贸rzy
+lub
+mi臋dzy
+mnie
+mog膮
+mo偶e
+mo偶na
+nad
+nam
+nas
+naszego
+naszych
+nawet
+nich
+nie
+nim
+ni偶
+oraz
+pod
+poza
+przed
+przede
+przez
+przy
+r贸wnie偶
+si臋
+sobie
+swoje
+tak
+takie
+tak偶e
+tam
+tego
+tej
+ten
+te偶
+tych
+tylko
+tym
+wiele
+wielu
+wi臋c
+wszystkich
+wszystkim
+wszystko
+w艂a艣nie
+zawsze
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/subscribermail.txt
new file mode 100644
index 000000000..76300177e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/subscribermail.txt
@@ -0,0 +1,17 @@
+Witaj!
+
+Strona @PAGE@ w wiki @TITLE@ zosta艂a zmieniona.
+Szczeg贸艂y:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+Aby zaprzesta膰 subskrypcji zmian tej strony zaloguj si臋 na
+@DOKUWIKIURL@ a nast臋pnie przejd藕 do strony
+@NEWPAGE@
+i wybierz 'zaprzesta艅 subskrypcji zmian'.
+
+--
+List zosta艂 wygenerowany przez DokuWiki pod adresem
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/updateprofile.txt
new file mode 100644
index 000000000..aa80f4cdf
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/updateprofile.txt
@@ -0,0 +1,5 @@
+====== Aktualizacja profilu u偶ytkownika ======
+
+Wystarczy, 偶e wype艂nisz tylko te pola, kt贸re chcesz zmieni膰. Nie mo偶esz zmieni膰 nazwy u偶ytkownika.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/uploadmail.txt
new file mode 100644
index 000000000..e76ec80e6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/uploadmail.txt
@@ -0,0 +1,16 @@
+Umieszczono nowy plik.
+
+Szczeg贸艂y:
+
+Plik : @MEDIA@
+Data : @DATE@
+Przegl膮darka : @BROWSER@
+Adres IP : @IPADDRESS@
+Nazwa DNS : @HOSTNAME@
+Rozmiar : @SIZE@
+Typ MIME : @MIME@
+U偶ytkownik : @USER@
+
+--
+List zosta艂 wygenerowany przez DokuWiki pod adresem
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/wordblock.txt
new file mode 100644
index 000000000..09edb25dd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pl/wordblock.txt
@@ -0,0 +1,4 @@
+====== Blokowanie niedozwolonych tre艣ci i spamu ======
+
+Twoje zmiany **nie** zosta艂y zapisane, poniewa偶 zawieraj膮 niedozwolone s艂owa. Je艣li pr贸bowa艂e艣(a艣) umie艣ci膰 niedozwolone tre艣ci to wstyd藕 si臋!
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/admin.txt
new file mode 100644
index 000000000..f8be56e60
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/admin.txt
@@ -0,0 +1,4 @@
+====== Administra莽茫o ======
+
+Abaixo voc锚 encontra uma lista das tarefas administrativas dispon铆veis no DokuWiki.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/adminplugins.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/adminplugins.txt
new file mode 100644
index 000000000..3eac7af53
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/adminplugins.txt
@@ -0,0 +1 @@
+===== Plugins Adicionais ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/backlinks.txt
new file mode 100644
index 000000000..fce9dba54
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/backlinks.txt
@@ -0,0 +1,4 @@
+====== Links reversos ======
+
+Esta 茅 uma lista de todas as p谩ginas que apresentam links para a p谩gina atual.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/conflict.txt
new file mode 100644
index 000000000..53d9afac7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/conflict.txt
@@ -0,0 +1,5 @@
+====== Existe uma nova vers茫o ======
+
+Existe uma vers茫o mais nova do documento que voc锚 editou. Isso acontece quando outro usu谩rio modifica o documento enquanto voc锚 o est谩 editando.
+
+Examine as diferen莽as mostradas abaixo atentamente e ent茫o decida qual vers茫o deve permanecer. Se voc锚 selecionar ''Salvar'', sua vers茫o ser谩 salva. Pressione ''Cancelar'' para manter a vers茫o atual.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/denied.txt
new file mode 100644
index 000000000..d7e423f42
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/denied.txt
@@ -0,0 +1,3 @@
+====== Permiss茫o Negada ======
+
+Desculpe, voc锚 n茫o tem permiss玫es suficientes para continuar. Por acaso esqueceu de autenticar-se?
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/diff.txt
new file mode 100644
index 000000000..517d9f275
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/diff.txt
@@ -0,0 +1,3 @@
+====== Diferen莽as ======
+
+Aqui voc锚 v锚 as diferen莽as entre duas revis玫es dessa p谩gina.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/draft.txt
new file mode 100644
index 000000000..b3d345cca
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/draft.txt
@@ -0,0 +1,5 @@
+====== Rascunho encontrado ======
+
+A sua 煤ltima sess茫o de edi莽茫o n茫o foi conclu铆da corretamente. O DokuWiki automaticamente salvou um rascunho durante o seu trabalho, que voc锚 pode usar agora para continuar a sua edi莽茫o. Abaixo voc锚 pode ver os dados que foram salvos na sua 煤ltima sess茫o.
+
+Por favor, escolha se voc锚 quer //recuperar// sua sess茫o de edi莽茫o perdida, //excluir// o rascunho salvo automaticamente ou //cancelar// o processo de edi莽茫o. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/edit.txt
new file mode 100644
index 000000000..113fb8ede
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/edit.txt
@@ -0,0 +1,2 @@
+Edite a p谩gina e clique em ''Salvar''. Veja [[wiki:syntax|aqui]] a sintaxe do Wiki. Por favor, edite a p谩gina apenas se voc锚 puder **aprimor谩-la**. Se voc锚 deseja testar alguma coisa, fa莽a-o no [[playground:playground|playground]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/editrev.txt
new file mode 100644
index 000000000..c7aa47878
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/editrev.txt
@@ -0,0 +1,4 @@
+**Voc锚 carregou uma revis茫o antiga desse documento!** Se voc锚 salv谩-la, ir谩 criar uma nova vers茫o em esses dados.
+----
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/index.txt
new file mode 100644
index 000000000..a7d17a2bc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/index.txt
@@ -0,0 +1,3 @@
+====== 脥ndice ======
+
+Esse 茅 um 铆ndice de todas as p谩ginas dispon铆veis, ordenadas por [[doku>namespaces|espa莽os de nomes]]. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/install.html
new file mode 100644
index 000000000..b915f0701
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/install.html
@@ -0,0 +1,7 @@
+<p>Essa p谩gina ir谩 auxili谩-lo na instala莽茫o e configura莽茫o do <a href="http://dokuwiki.org">DokuWiki</a>. Voc锚 encontra mais informa莽玫es sobre esse instalador na sua <a href="http://dokuwiki.org/installer">p谩gina de documenta莽茫o</a>.</p>
+
+<p>O DokuWiki utiliza arquivos em texto simples para o armazenamento das p谩ginas wiki e de outras informa莽玫es associadas a essas p谩ginas (ex.: imagens, 铆ndices de pesquisa, revis玫es antigas, etc.). Para que o DokuWiki funcione corretamente, ele <strong>precisa</strong> ter permiss茫o de escrita aos diret贸rios onde esses arquivos ficar茫o armazenados. Esse instalador n茫o tem capacidade de configurar as permiss玫es de diret贸rio. Isso normalmente 茅 feito usando-se a linha de comando ou atrav茅s do FTP ou do painel de controle da sua hospedagem (ex.: cPanel).</p>
+
+<p>O instalador ir谩 definir as configura莽玫es da <acronym title="access control list (lista de controle de acessos)">ACL</acronym> do seu DokuWiki, o que permitir谩 a autentica莽茫o do administrador e o acesso ao menu de administra莽茫o do sistema. Esse menu 茅 utilizado para instalar plug-ins, alterar as configura莽玫es do ambiente e gerenciar usu谩rios e acessos 脿s p谩ginas do wiki. Isso n茫o 茅 necess谩rio para o funcionamento do DokuWiki, mas ir谩 torna sua administra莽茫o mais simples.</p>
+
+<p>Usu谩rios experientes ou que necessitem efetuar configura莽玫es especiais devem utilizar os seguintes links, com instru莽玫es detalhadas da <a href="http://dokuwiki.org/install">instala莽茫o</a> e da <a href="http://dokuwiki.org/config">configura莽茫o</a>.</p> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/lang.php
new file mode 100644
index 000000000..ed7224c14
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/lang.php
@@ -0,0 +1,247 @@
+<?php
+/**
+ * Brazilian Portuguese language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Luis Fernando Enciso <lfenciso@certto.com.br>
+ * @author Alauton/Loug
+ * @author Frederico Gon莽alves Guimar茫es <frederico@teia.bio.br>
+ * @author Felipe Castro <fefcas@gmail.com>
+ * @author Lucien Raven <lucienraven@yahoo.com.br>
+ * @author Enrico Nicoletto <liverig@gmail.com>
+ * @author Fl谩vio Veras <flaviove@gmail.com>
+ * @author Jeferson Propheta <jeferson.propheta@gmail.com>
+ * @author jair.henrique@gmail.com
+ * @author Luis Dantas <luis@dantas.com>
+ * @author Frederico Guimar茫es <frederico@teia.bio.br>
+ * @author Jair Henrique <jair.henrique@gmail.com>
+ * @author Luis Dantas <luisdantas@gmail.com>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '鈥';
+$lang['btn_edit'] = 'Editar esta p谩gina';
+$lang['btn_source'] = 'Mostrar c贸digo fonte';
+$lang['btn_show'] = 'Mostrar p谩gina';
+$lang['btn_create'] = 'Criar esta p谩gina';
+$lang['btn_search'] = 'Pesquisar';
+$lang['btn_save'] = 'Salvar';
+$lang['btn_preview'] = 'Visualizar';
+$lang['btn_top'] = 'Voltar ao topo';
+$lang['btn_newer'] = '<< mais recente';
+$lang['btn_older'] = 'menos recente >>';
+$lang['btn_revs'] = 'Revis玫es anteriores';
+$lang['btn_recent'] = 'Altera莽玫es recentes';
+$lang['btn_upload'] = 'Enviar';
+$lang['btn_cancel'] = 'Cancelar';
+$lang['btn_index'] = '脥ndice';
+$lang['btn_secedit'] = 'Editar';
+$lang['btn_login'] = 'Autenticar-se';
+$lang['btn_logout'] = 'Sair';
+$lang['btn_admin'] = 'Administrar';
+$lang['btn_update'] = 'Atualizar';
+$lang['btn_delete'] = 'Excluir';
+$lang['btn_back'] = 'Voltar';
+$lang['btn_backlink'] = 'Links reversos';
+$lang['btn_backtomedia'] = 'Voltar 脿 sele莽茫o do arquivo de m铆dia';
+$lang['btn_subscribe'] = 'Monitorar altera莽玫es na p谩gina';
+$lang['btn_unsubscribe'] = 'Cancelar monitoramento da p谩gina';
+$lang['btn_subscribens'] = 'Monitorar altera莽玫es no espa莽o de nomes';
+$lang['btn_unsubscribens'] = 'Cancelar monitoramento do espa莽o de nomes';
+$lang['btn_profile'] = 'Atualizar o perfil';
+$lang['btn_reset'] = 'Limpar';
+$lang['btn_resendpwd'] = 'Enviar uma nova senha';
+$lang['btn_draft'] = 'Editar o rascunho';
+$lang['btn_recover'] = 'Recuperar o rascunho';
+$lang['btn_draftdel'] = 'Excluir o rascunho';
+$lang['btn_revert'] = 'Restaure';
+$lang['loggedinas'] = 'Autenticado(a) como';
+$lang['user'] = 'Nome de usu谩rio';
+$lang['pass'] = 'Senha';
+$lang['newpass'] = 'Nova senha';
+$lang['oldpass'] = 'Confirme a senha atual';
+$lang['passchk'] = 'mais uma vez';
+$lang['remember'] = 'Lembre-se de mim';
+$lang['fullname'] = 'Nome completo';
+$lang['email'] = 'E-mail';
+$lang['register'] = 'Registrar';
+$lang['profile'] = 'Perfil do usu谩rio';
+$lang['badlogin'] = 'Desculpe, mas o nome de usu谩rio ou a senha est茫o incorretos.';
+$lang['minoredit'] = 'Altera莽玫es m铆nimas';
+$lang['draftdate'] = 'O rascunho foi salvo automaticamente em';
+$lang['nosecedit'] = 'A p谩gina foi modificada nesse intervalo de tempo. Como a informa莽茫o da se莽茫o estava desatualizada, foi carregada a p谩gina inteira.';
+$lang['regmissing'] = 'Desculpe, mas voc锚 precisa preencher todos os campos.';
+$lang['reguexists'] = 'Desculpe, mas j谩 existe um usu谩rio com esse nome.';
+$lang['regsuccess'] = 'O usu谩rio foi criado e a senha enviada para seu e-mail.';
+$lang['regsuccess2'] = 'O usu谩rio foi criado.';
+$lang['regmailfail'] = 'Aparentemente ocorreu um erro no envio da senha. Por favor, entre em contato com o administrador!';
+$lang['regbadmail'] = 'O endere莽o de e-mail fornecido 茅, aparentemente, inv谩lido - se voc锚 acha que isso 茅 um erro, entre em contato com o administrador';
+$lang['regbadpass'] = 'As senhas digitadas n茫o s茫o id锚nticas. Por favor, tente novamente.';
+$lang['regpwmail'] = 'A sua senha do DokuWiki';
+$lang['reghere'] = 'Ainda n茫o tem uma conta? Cadastre-se para obter uma.';
+$lang['profna'] = 'Esse wiki n茫o suporta modifica莽玫es do perfil.';
+$lang['profnochange'] = 'Sem altera莽玫es, nada para fazer.';
+$lang['profnoempty'] = 'N茫o s茫o permitidos nomes ou endere莽os de e-mail em branco.';
+$lang['profchanged'] = 'O perfil do usu谩rio foi atualizado com sucesso.';
+$lang['pwdforget'] = 'Esqueceu sua senha? Obtenha uma nova.';
+$lang['resendna'] = 'Esse wiki n茫o tem suporte para o reenvio de senhas.';
+$lang['resendpwd'] = 'Enviar a nova senha para';
+$lang['resendpwdmissing'] = 'Desculpe, voc锚 deve preencher todos os campos.';
+$lang['resendpwdnouser'] = 'Desculpe, n茫o foi poss铆vel encontrar esse usu谩rio no nosso banco de dados.';
+$lang['resendpwdbadauth'] = 'Desculpe, esse c贸digo de autoriza莽茫o 茅 inv谩lido. Certifique-se de que voc锚 usou o link de confirma莽茫o inteiro.';
+$lang['resendpwdconfirm'] = 'Um link de confirma莽茫o foi enviado por e-mail.';
+$lang['resendpwdsuccess'] = 'Sua nova senha foi enviada por e-mail.';
+$lang['license'] = 'Exceto onde for informado ao contr谩rio, o conte煤do neste wiki est谩 sob a seguinte licen莽a:';
+$lang['licenseok'] = 'Observe: editando esta p谩gina voc锚 aceita disponibilizar o seu conte煤do sob a seguinte licen莽a:';
+$lang['txt_upload'] = 'Selecione o arquivo a ser enviado';
+$lang['txt_filename'] = 'Enviar como (opcional)';
+$lang['txt_overwrt'] = 'Substituir o arquivo existente';
+$lang['lockedby'] = 'Atualmente bloqueada por';
+$lang['lockexpire'] = 'O bloqueio expira em';
+$lang['willexpire'] = 'O seu bloqueio de edi莽茫o deste p谩gina ir谩 expirar em um minuto.\nPara evitar conflitos de edi莽茫o, clique no bot茫o de visualiza莽茫o para reiniciar o temporizador de bloqueio.';
+$lang['notsavedyet'] = 'As altera莽玫es n茫o salvas ser茫o perdidas.\nDeseja realmente continuar?';
+$lang['rssfailed'] = 'Ocorreu um erro durante a atualiza莽茫o dessa fonte: ';
+$lang['nothingfound'] = 'N茫o foi encontrado nada.';
+$lang['mediaselect'] = 'Arquivos de m铆dia';
+$lang['fileupload'] = 'Envio de arquivo de m铆dia';
+$lang['uploadsucc'] = 'O envio foi efetuado com sucesso';
+$lang['uploadfail'] = 'N茫o foi poss铆vel enviar o arquivo. Ser谩 algum problema com as permiss玫es?';
+$lang['uploadwrong'] = 'O envio foi bloqueado. Essa extens茫o de arquivo 茅 proibida!';
+$lang['uploadexist'] = 'O arquivo j谩 existe. N茫o foi feito nada.';
+$lang['uploadbadcontent'] = 'O conte煤do enviado n茫o corresponde 脿 extens茫o do arquivo %s.';
+$lang['uploadspam'] = 'O envio foi bloqueado pela lista negra de spams.';
+$lang['uploadxss'] = 'O envio foi bloqueado devido 脿 possibilidade do seu conte煤do ser malicioso.';
+$lang['uploadsize'] = 'O arquivo transmitido era grande demais. (max. %s)';
+$lang['deletesucc'] = 'O arquivo "%s" foi exclu铆do.';
+$lang['deletefail'] = 'N茫o foi poss铆vel excluir "%s" - verifique as permiss玫es.';
+$lang['mediainuse'] = 'O arquivo "%s" n茫o foi exclu铆do - ele ainda est谩 em uso.';
+$lang['namespaces'] = 'Espa莽os de nome';
+$lang['mediafiles'] = 'Arquivos dispon铆veis em';
+$lang['js']['keepopen'] = 'Manter a janela aberta na sele莽茫o';
+$lang['js']['hidedetails'] = 'Esconder detalhes';
+$lang['js']['nosmblinks'] = 'Atalhos para pastas compartilhadas do Windows funcionam apenas no Microsoft Internet Explorer.
+Entretanto, voc锚 ainda pode copiar e colar o atalho.';
+$lang['js']['linkto'] = 'Link para:';
+$lang['js']['del_confirm'] = 'Deseja realmente excluir o(s) item(ns) selecionado(s)?';
+$lang['js']['mu_btn'] = 'Enviar v谩rios arquivos de uma vez';
+$lang['mediausage'] = 'Use a seguinte sintaxe para referenciar esse arquivo:';
+$lang['mediaview'] = 'Ver o arquivo original';
+$lang['mediaroot'] = 'raiz';
+$lang['mediaupload'] = 'Envie um arquivo para o espa莽o de nomes atual aqui. Para criar subespa莽os de nomes, preponha-os ao nome do arquivo no par芒metro "Enviar como", separados por v铆rgulas.';
+$lang['mediaextchange'] = 'A extens茫o do arquivo mudou de .%s para .%s!';
+$lang['reference'] = 'Refer锚ncias para';
+$lang['ref_inuse'] = 'O arquivo n茫o pode ser exclu铆do, porque ele ainda est谩 sendo utilizado nas seguintes p谩ginas:';
+$lang['ref_hidden'] = 'Algumas refer锚ncias est茫o em p谩ginas que voc锚 n茫o tem permiss茫o para ler';
+$lang['hits'] = 'Resultados';
+$lang['quickhits'] = 'Nomes de p谩ginas coincidentes';
+$lang['toc'] = 'Tabela de conte煤dos';
+$lang['current'] = 'atual';
+$lang['yours'] = 'Sua vers茫o';
+$lang['diff'] = 'Mostrar diferen莽as com a revis茫o atual';
+$lang['diff2'] = 'Mostrar diferen莽as entre as revis玫es selecionadas';
+$lang['line'] = 'Linha';
+$lang['breadcrumb'] = 'Visitou';
+$lang['youarehere'] = 'Voc锚 est谩 aqui';
+$lang['lastmod'] = '脷ltima modifica莽茫o';
+$lang['by'] = 'por';
+$lang['deleted'] = 'removida';
+$lang['created'] = 'criada';
+$lang['restored'] = 'revis茫o anterior restaurada';
+$lang['external_edit'] = 'edi莽茫o externa';
+$lang['summary'] = 'Resumo da edi莽茫o';
+$lang['noflash'] = 'O <a href="http://www.adobe.com/products/flashplayer/">plug-in Adobe Flash</a> 茅 necess谩rio para exibir este conte煤do.';
+$lang['mail_newpage'] = 'p谩gina adicionada:';
+$lang['mail_changed'] = 'p谩gina modificada:';
+$lang['mail_new_user'] = 'novo usu谩rio:';
+$lang['mail_upload'] = 'arquivo enviado:';
+$lang['qb_bold'] = 'Texto em negrito';
+$lang['qb_italic'] = 'Texto em it谩lico';
+$lang['qb_underl'] = 'Texto sublinhado';
+$lang['qb_code'] = 'Texto de c贸digo';
+$lang['qb_strike'] = 'Texto riscado';
+$lang['qb_h1'] = 'Cabe莽alho de n铆vel 1';
+$lang['qb_h2'] = 'Cabe莽alho de n铆vel 2';
+$lang['qb_h3'] = 'Cabe莽alho de n铆vel 3';
+$lang['qb_h4'] = 'Cabe莽alho de n铆vel 4';
+$lang['qb_h5'] = 'Cabe莽alho de n铆vel 5';
+$lang['qb_h'] = 'Cabe莽alho';
+$lang['qb_link'] = 'Link interno';
+$lang['qb_extlink'] = 'Link externo';
+$lang['qb_hr'] = 'Linha horizontal';
+$lang['qb_ol'] = 'Item de lista ordenada';
+$lang['qb_ul'] = 'Item de lista n茫o ordenada';
+$lang['qb_media'] = 'Adicionar imagens e/ou outros arquivos';
+$lang['qb_sig'] = 'Inserir assinatura';
+$lang['qb_smileys'] = 'Carinhas';
+$lang['qb_chars'] = 'Caracteres especiais';
+$lang['admin_register'] = 'Adicionar novo usu谩rio';
+$lang['metaedit'] = 'Editar metadados';
+$lang['metasaveerr'] = 'N茫o foi poss铆vel escrever os metadados';
+$lang['metasaveok'] = 'Os metadados foram salvos';
+$lang['img_backto'] = 'Voltar para';
+$lang['img_title'] = 'T铆tulo';
+$lang['img_caption'] = 'Descri莽茫o';
+$lang['img_date'] = 'Data';
+$lang['img_fname'] = 'Nome do arquivo';
+$lang['img_fsize'] = 'Tamanho';
+$lang['img_artist'] = 'Fot贸grafo';
+$lang['img_copyr'] = 'Direitos autorais';
+$lang['img_format'] = 'Formato';
+$lang['img_camera'] = 'C芒mera';
+$lang['img_keywords'] = 'Palavras-chave';
+$lang['subscribe_success'] = '%s foi adicionado 脿 lista de monitoramento de %s';
+$lang['subscribe_error'] = 'Ocorreu um erro ao tentar adicionar %s 脿 lista de monitoramento de %s';
+$lang['subscribe_noaddress'] = 'N茫o existe nenhum endere莽o de e-mail associado ao seu usu谩rio, voc锚 n茫o pode ser adicionado 脿 lista de monitoramento.';
+$lang['unsubscribe_success'] = '%s foi removido da lista de monitoramento de %s';
+$lang['unsubscribe_error'] = 'Ocorreu um erro ao tentar remover %s da lista de monitoramento de %s';
+$lang['authmodfailed'] = 'A configura莽茫o da autentica莽茫o de usu谩rio est谩 com problemas. Por favor, informe ao administrador do wiki.';
+$lang['authtempfail'] = 'A autentica莽茫o de usu谩rios est谩 temporariamente desabilitada. Se essa situa莽茫o persistir, por favor, informe ao administrador do Wiki.';
+$lang['i_chooselang'] = 'Selecione o seu idioma';
+$lang['i_installer'] = 'Instalador do DokuWiki';
+$lang['i_wikiname'] = 'Nome do Wiki';
+$lang['i_enableacl'] = 'Habilitar Lista de Controle de Acessos (recomendado)';
+$lang['i_superuser'] = 'Superusu谩rio';
+$lang['i_problems'] = 'O instalador encontrou alguns problemas, indicados abaixo. Voc锚 n茫o pode continuar at茅 corrigi-los.';
+$lang['i_modified'] = 'Por quest玫es de seguran莽a, esse script funcionar谩 apenas em uma instala莽茫o nova e n茫o modificada do DokuWiki.
+Voc锚 pode extrair novamente os arquivos do pacote original ou consultar as <a href="http://dokuwiki.org/install">instru莽玫es de instala莽茫o do DokuWiki</a>.';
+$lang['i_funcna'] = 'A fun莽茫o PHP <code>%s</code> n茫o est谩 dispon铆vel. O seu host a mant茅m desabilitada por algum motivo?';
+$lang['i_phpver'] = 'A sua vers茫o do PHP (<code>%s</code>) 茅 inferior 脿 necess谩ria (<code>%s</code>). Voc锚 precisa atualizar a sua instala莽茫o do PHP.';
+$lang['i_permfail'] = 'O DokuWiki n茫o tem permiss茫o de escrita em <code>%s</code>. Voc锚 precisa corrigir as configura莽玫es de permiss茫o nesse diret贸rio!';
+$lang['i_confexists'] = '<code>%s</code> j谩 existe';
+$lang['i_writeerr'] = 'N茫o foi poss铆vel criar <code>%s</code>. 脡 necess谩rio checar as permiss玫es de arquivos/diret贸rios e criar o arquivo manualmente.';
+$lang['i_badhash'] = 'dokuwiki.php n茫o reconhecido ou modificado (hash=<code>%s</code>)';
+$lang['i_badval'] = '<code>%s</code> - valor ilegal ou em branco';
+$lang['i_success'] = 'A configura莽茫o terminou com sucesso. Agora voc锚 deve excluir o arquivo install.php. Conhe莽a o seu <a href="doku.php">novo DokuWiki</a>!';
+$lang['i_failure'] = 'Ocorreram alguns erros durante a escrita dos arquivos de configura莽茫o. 脡 necess谩rio corrigi-los manualmente antes de usar seu <a href="doku.php">novo DokuWiki</a>';
+$lang['i_policy'] = 'Pol铆tica inicial de permiss玫es';
+$lang['i_pol0'] = 'Wiki aberto (leitura, escrita e envio de arquivos por todos)';
+$lang['i_pol1'] = 'Wiki p煤blico (leitura por todos, escrita e envio de arquivos por usu谩rios registrados)';
+$lang['i_pol2'] = 'Wiki fechado (leitura, escrita e envio de arquivos somente por usu谩rios registrados)';
+$lang['i_retry'] = 'Tentar novamente';
+$lang['mu_intro'] = 'Aqui voc锚 pode enviar v谩rios arquivos de uma s贸 vez. Clique no bot茫o de navega莽茫o e adicione-os 脿 fila. Pressione Enviar quando estiver pronto.';
+$lang['mu_gridname'] = 'Nome do arquivo';
+$lang['mu_gridsize'] = 'Tamanho';
+$lang['mu_gridstat'] = 'Status';
+$lang['mu_namespace'] = 'Espa莽o de nomes';
+$lang['mu_browse'] = 'Navegar';
+$lang['mu_toobig'] = 'muito grande';
+$lang['mu_ready'] = 'pronto para enviar';
+$lang['mu_done'] = 'completo';
+$lang['mu_fail'] = 'falhou';
+$lang['mu_authfail'] = 'a sess茫o expirou';
+$lang['mu_progress'] = '@PCT@% enviado';
+$lang['mu_filetypes'] = 'Tipos de arquivo permitidos';
+$lang['mu_info'] = 'arquivos enviados.';
+$lang['mu_lasterr'] = 'Erro mais recente:';
+$lang['recent_global'] = 'Voc锚 est谩 observando as altera莽玫es dentro do espa莽o de nomes <b>%s</b>. Tamb茅m 茅 poss铆vel ver as <a href="%s">modifica莽玫es recentes no wiki inteiro</a>.';
+$lang['years'] = '%d anos atr谩s';
+$lang['months'] = '%d meses atr谩s';
+$lang['weeks'] = '%d semanas atr谩s';
+$lang['days'] = '%d dias atr谩s';
+$lang['hours'] = '%d horas atr谩s';
+$lang['minutes'] = '%d minutos atr谩s';
+$lang['seconds'] = '%d segundos atr谩s';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/locked.txt
new file mode 100644
index 000000000..70658cba1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/locked.txt
@@ -0,0 +1,3 @@
+====== P谩gina bloqueada ======
+
+Essa p谩gina est谩 bloqueada para edi莽茫o por outro usu谩rio. Voc锚 tem que esperar at茅 que esse usu谩rio termine a edi莽茫o ou que o bloqueio expire.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/login.txt
new file mode 100644
index 000000000..23215e16c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/login.txt
@@ -0,0 +1,3 @@
+====== Autentica莽茫o ======
+
+Voc锚 n茫o est谩 autenticado. Digite as seus dados de usu谩rio abaixo para entrar no sistema. 脡 necess谩rio habilitar os //cookies// no seu navegador para que isso funcione.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/mailtext.txt
new file mode 100644
index 000000000..e52535bf0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/mailtext.txt
@@ -0,0 +1,17 @@
+Uma p谩gina em seu DokuWiki foi adicionada ou alterada. Aqui est茫o os detalhes:
+
+Data: @DATE@
+Navegador: @BROWSER@
+Endere莽o IP: @IPADDRESS@
+Nome do host: @HOSTNAME@
+Revis茫o antiga: @OLDPAGE@
+Nova revis茫o: @NEWPAGE@
+Resumo da edi莽茫o: @SUMMARY@
+Usu谩rio: @USER@
+
+@DIFF@
+
+
+--
+Essa mensagem foi gerada pelo DokuWiki em
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/newpage.txt
new file mode 100644
index 000000000..77ba49fa5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/newpage.txt
@@ -0,0 +1,3 @@
+====== Esse t贸pico ainda n茫o existe ======
+
+Voc锚 clicou em um link para um t贸pico que ainda n茫o existe. Se for permitido, voc锚 poder谩 cri谩-lo usando o bot茫o ''Criar essa p谩gina''.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/norev.txt
new file mode 100644
index 000000000..19024dcf7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/norev.txt
@@ -0,0 +1,3 @@
+====== Essa revis茫o n茫o existe ======
+
+A revis茫o especificada n茫o existe. Utilize o bot茫o ''Revis玫es anteriores'' para uma listagem das revis玫es anteriores deste documento.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/preview.txt
new file mode 100644
index 000000000..efdc8f7f2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/preview.txt
@@ -0,0 +1,3 @@
+====== Visualiza莽茫o ======
+
+Essa 茅 uma visualiza莽茫o de como ser谩 a apar锚ncia do seu texto. Lembre-se: ele ainda **n茫o foi gravado**!
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/pwconfirm.txt
new file mode 100644
index 000000000..2a7c88531
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/pwconfirm.txt
@@ -0,0 +1,13 @@
+Ol谩 @FULLNAME@!
+
+Algu茅m requisitou um nova senha para o seu usu谩rio @TITLE@ em @DOKUWIKIURL@.
+
+Se n茫o foi voc锚 quem fez essa requisi莽茫o, simplesmente ignore essa mensagem.
+
+Se voc锚 realmente deseja receber uma nova senha, por favor, utilize o link abaixo, para confirmar sua requisi莽茫o.
+
+@CONFIRM@
+
+--
+Essa mensagem foi gerada pelo DokuWiki em
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/read.txt
new file mode 100644
index 000000000..897155eed
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/read.txt
@@ -0,0 +1 @@
+Essa p谩gina est谩 em modo somente de leitura. Voc锚 pode visualizar a fonte, mas n茫o alter谩-la. Informe-se com o administrador do Wiki, caso voc锚 ache que isso est谩 incorreto.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/recent.txt
new file mode 100644
index 000000000..988f235b4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/recent.txt
@@ -0,0 +1,4 @@
+====== Altera莽玫es Recentes ======
+
+As seguintes p谩ginas foram alteradas recentemente.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/register.txt
new file mode 100644
index 000000000..431feca98
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/register.txt
@@ -0,0 +1,4 @@
+====== Registre-se como um novo usu谩rio ======
+
+Preencha todas as informa莽玫es abaixo para criar uma nova conta nesse Wiki. Certifique-se de que voc锚 forneceu um **endere莽o de e-mail v谩lido** - se n茫o for pedido que voc锚 entre com uma senha aqui, ela ser谩 enviada para esse endere莽o. O nome de usu谩rio deve ser um [[doku>pagename|nome de p谩gina]] v谩lido.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/registermail.txt
new file mode 100644
index 000000000..9bb6a00ba
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/registermail.txt
@@ -0,0 +1,14 @@
+Foi registrado um novo usu谩rio. Seus detalhes s茫o:
+
+Nome de usu谩rio: @NEWUSER@
+Nome completo: @NEWNAME@
+E-mail: @NEWEMAIL@
+
+Data: @DATE@
+Navegador: @BROWSER@
+Endere莽o IP: @IPADDRESS@
+Nome do host: @HOSTNAME@
+
+--
+Essa mensagem foi gerada pelo DokuWiki em
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/resendpwd.txt
new file mode 100644
index 000000000..b74713f0f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/resendpwd.txt
@@ -0,0 +1,3 @@
+====== Enviar nova senha ======
+
+Por favor, digite o seu nome de usu谩rio no formul谩rio abaixo para requisitar uma nova senha para a sua conta nesse wiki. O link de confirma莽茫o ser谩 enviado para o endere莽o de e-mail que voc锚 forneceu. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/revisions.txt
new file mode 100644
index 000000000..1c174dcb0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/revisions.txt
@@ -0,0 +1,4 @@
+====== Revis玫es anteriores ======
+
+Essas s茫o as revis玫es anteriores desse documento. Para reverter a uma revis茫o antiga, selecione-a abaixo, clique em ''Editar esta p谩gina'' e salve-a.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/searchpage.txt
new file mode 100644
index 000000000..2fba3afe9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/searchpage.txt
@@ -0,0 +1,5 @@
+====== Pesquisa ======
+
+Voc锚 pode encontrar os resultados da sua pesquisa abaixo. Se voc锚 n茫o encontrou o que est谩 procurando, pode criar ou editar a p谩gina com o nome que voc锚 especificou, usando o bot茫o apropriado.
+
+===== Resultados =====
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/showrev.txt
new file mode 100644
index 000000000..89d9cad5a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/showrev.txt
@@ -0,0 +1,2 @@
+**Essa 茅 uma revis茫o anterior do documento!**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/stopwords.txt
new file mode 100644
index 000000000..c781ffbf2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/stopwords.txt
@@ -0,0 +1,55 @@
+# Essa 茅 uma lista de palavras que o indexador ignora, uma palavra por linha
+# Ao editar esse arquivo, certifique-se de usar termina莽玫es de linha UNIX (newline simples)
+# N茫o h谩 necessidade de incluir palavras menores que 3 caracteres - elas j谩 s茫o ignoradas por padr茫o
+# Essa lista 茅 baseada na encontrada em http://www.ranks.nl/stopwords/portugese.html
+acerca
+algum
+alguma
+algumas
+alguns
+ambos
+antes
+ap贸s
+aquela
+aquelas
+aquele
+aqueles
+at茅
+bem
+bom
+cada
+com
+como
+das
+desde
+dos
+enquanto
+ent茫o
+esta
+este
+estas
+estes
+essa
+essas
+esse
+esses
+isso
+isto
+mas
+mesmo
+onde
+para
+pelo
+por
+qual
+quando
+que
+quem
+sem
+somente
+tal
+tamb茅m
+uma
+umas
+uns
+www \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/subscribermail.txt
new file mode 100644
index 000000000..157196c15
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/subscribermail.txt
@@ -0,0 +1,24 @@
+Ol谩!
+
+A p谩gina @PAGE@, no wiki @TITLE@ foi modificada.
+Aqui est茫o as mudan莽as:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+Data : @DATE@
+Usu谩rio : @USER@
+Resumo da edi莽茫o: @SUMMARY@
+Revis茫o antiga: @OLDPAGE@
+Revis茫o nova: @NEWPAGE@
+
+Para cancelar as notifica莽玫es dessa p谩gina, identifique-se em
+@DOKUWIKIURL@, v谩 at茅
+@NEWPAGE@
+e cancele o monitoramento das altera莽玫es da p谩gina e/ou do
+espa莽o de nomes.
+
+--
+Essa mensagem foi gerada pelo DokuWiki em
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/updateprofile.txt
new file mode 100644
index 000000000..b3f62f396
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/updateprofile.txt
@@ -0,0 +1,5 @@
+====== Atualize o perfil da sua conta ======
+
+Voc锚 precisa preencher somente os campos que voc锚 deseja alterar. Voc锚 n茫o pode alterar o seu nome de usu谩rio.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/uploadmail.txt
new file mode 100644
index 000000000..a3ac9bac7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/uploadmail.txt
@@ -0,0 +1,14 @@
+Um arquivo foi enviado para o seu DokuWiki. Os detalhes s茫o:
+
+Arquivo: @MEDIA@
+Data: @DATE@
+Navegador: @BROWSER@
+Endere莽o IP: @IPADDRESS@
+Nome do host: @HOSTNAME@
+Tamanho: @SIZE@
+Tipo MIME: @MIME@
+Usu谩rio: @USER@
+
+--
+Essa mensagem foi gerada pelo DokuWiki em
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/wordblock.txt
new file mode 100644
index 000000000..c2c9cbdb0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt-br/wordblock.txt
@@ -0,0 +1,4 @@
+====== Bloqueio de SPAM ======
+
+Suas modifica莽玫es **n茫o** foram salvas porque elas cont茅m uma ou mais palavras bloqueadas. Se voc锚 tentou enviar //spam// para o //wiki//, isso 茅 feio, muito feio! Agora, se voc锚 acha que esse bloqueio foi indevido, por favor entre em contato com o administrador desse wiki.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/admin.txt
new file mode 100644
index 000000000..fccd9ca33
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/admin.txt
@@ -0,0 +1,5 @@
+====== Administra莽茫o ======
+
+Esta 茅 a lista de tarefas de Administra莽茫o permitidas pelo DokuWiki.
+
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/adminplugins.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/adminplugins.txt
new file mode 100644
index 000000000..3eac7af53
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/adminplugins.txt
@@ -0,0 +1 @@
+===== Plugins Adicionais ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/backlinks.txt
new file mode 100644
index 000000000..e78ddf874
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/backlinks.txt
@@ -0,0 +1,4 @@
+====== Backlinks ======
+
+Esta 茅 uma lista de todos os documentos que apresentam liga莽玫es ao documento corrente.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/conflict.txt
new file mode 100644
index 000000000..d2af1fe11
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/conflict.txt
@@ -0,0 +1,9 @@
+====== Conflito de Edi莽茫o ======
+
+**Aten莽茫o**: Existe uma vers茫o mais recente do que a vers茫o usada no come莽o da sua edi莽茫o. Isto acontece quando outra pessoa editou este documento entretanto e j谩 gravou as altera莽玫es efectuadas.
+
+Por favor, examine todas as diferen莽as mostradas abaixo com aten莽茫o, e decida qual a vers茫o que dever谩 ser tornada a mais actual: * Se escolher <Gravar> a sua vers茫o ser谩 sobreposta 脿 vers茫o editada pela outra pessoa, que ser谩 perdida. * Se escolher <Cancelar> a vers茫o editada pela outra pessoa ser谩 a vers茫o final, e a sua ser谩 perdida.
+
+**Nota**: Sem que efectue a consolida莽茫o das altera莽玫es de ambas as revis玫es ao documento ir谩 sempre perder uma das vers玫es.
+
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/denied.txt
new file mode 100644
index 000000000..71355b01f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/denied.txt
@@ -0,0 +1,5 @@
+====== Permiss茫o Negada ======
+
+N茫o possui direitos e permiss玫es suficientes para continuar. Talvez se tenha esquecido de entrar em sess茫o?
+
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/diff.txt
new file mode 100644
index 000000000..e27640aa8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/diff.txt
@@ -0,0 +1,5 @@
+====== Diferen莽as ======
+
+Esta p谩gina mostra as diferen莽as entre a revis茫o do documento que escolheu e a vers茫o actual.
+
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/draft.txt
new file mode 100644
index 000000000..1baf95c1c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/draft.txt
@@ -0,0 +1,7 @@
+====== Rascunho encontrado ======
+
+A sess茫o referente 脿 煤ltima edi莽茫o desta p谩gina n茫o terminou correctamente. Foi guardado automaticamente um rascunho durante a edi莽茫o que pode ou n茫o usar para continuar a edi莽茫o. Abaixo pode ver os dados guardados da 煤ltima sess茫o.
+
+Por favor, decida se quer **recuperar** os dados guardados, **remover** o rascunho** ou **cancelar** o processo de edi莽茫o corrente.
+
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/edit.txt
new file mode 100644
index 000000000..2fa596e29
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/edit.txt
@@ -0,0 +1,4 @@
+Edite o documento e clique no bot茫o <Gravar>. Reveja a [[wiki:syntax|sintaxe]] das regras de formata莽茫o do texto.
+
+Por favor, altere o conte煤do deste documento apenas quando puder **melhor谩-lo**.\\ Se pretende testar os seus conhecimentos no uso deste motor Wiki, realize os seus testes no [[playground:playground | Recreio]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/editrev.txt
new file mode 100644
index 000000000..9845a6915
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/editrev.txt
@@ -0,0 +1,5 @@
+**Aten莽茫o**: Carregou uma revis茫o antiga do documento!
+
+Se gravar esta revis茫o ir谩 criar uma nova vers茫o actual do documento com este conte煤do, que substituir谩 a vers茫o actual.
+
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/index.txt
new file mode 100644
index 000000000..f490b7a5c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/index.txt
@@ -0,0 +1,6 @@
+====== 脥ndice ======
+
+Este 铆ndice mostra todos os documentos dispon铆veis neste site Wiki, [[doku>namespaces | agrupados]] por assunto.
+
+----
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/install.html
new file mode 100644
index 000000000..a2fd5c7c6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/install.html
@@ -0,0 +1,8 @@
+<p>Esta p谩gina serve de "assistente" para a primeira instala莽茫o e configura莽茫o do <a href="http://dokuwiki.org">Dokuwiki</a>. Est谩 dispon铆vel mais informa莽茫o sobre este "assistente" na sua <a href="http://dokuwiki.org/installer">p谩gina de documenta莽茫o</a>.</p>
+
+<p>O DokuWiki usa ficheiros normais para armazenar as p谩ginas Wiki e outras informa莽玫es associadas a essas p谩ginas (i.e. imagens, 铆ndices de pesquisa, revis玫es antigas, etc.). O DokuWiki para poder funcionar correctamente <strong>requer</strong> permiss玫es de escrita 脿s pastas que cont锚m esses ficheiros. Este "assistente" n茫o 茅 capaz de configurar essas permiss玫es. Isso tem que ser feito via linha de comandos, FTP ou Painel de Controlo do servi莽o de alojamento (i.e. cPanel).</p>
+
+<p>Este "assistente" vai configurar o DokuWiki com
+<acronym title="access control list">ACL</acronym>, que por sua vez permite ao administrador entrar em sess茫o e aceder ao menu de Administra莽茫o do DokuWiki para poder instalar plugins, gerir utilizadores e seus perfis, gerir acesso 脿s p谩ginas e 脿 pr贸pria configura莽茫o do DokuWiki. N茫o 茅 necess谩rio para que o DokuWiki funcione, mas facilita a sua administra莽茫o.</p>
+
+<p>Utilizadores experiente ou com requisitos especiais devem seguir estes links, que detalham mais em pormenor a<a href="http://dokuwiki.org/install">instala莽茫o</a> e <a href="http://dokuwiki.org/config">configura莽茫o</a> do DokuWiki.</p> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/lang.php
new file mode 100644
index 000000000..ef4c70785
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/lang.php
@@ -0,0 +1,237 @@
+<?php
+/**
+ * Portuguese language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Jos茅 Carlos Monteiro <jose.c.monteiro@netcabo.pt>
+ * @author Jos茅 Monteiro <Jose.Monteiro@DoWeDo-IT.com>
+ * @author Enrico Nicoletto <liverig@gmail.com>
+ * @author Fil <fil@meteopt.com>
+ * @author Andr茅 Neves <drakferion@gmail.com>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '麓';
+$lang['btn_edit'] = 'Editar p谩gina';
+$lang['btn_source'] = 'Ver fonte';
+$lang['btn_show'] = 'Ver p谩gina';
+$lang['btn_create'] = 'Criar p谩gina';
+$lang['btn_search'] = 'Pesquisar';
+$lang['btn_save'] = 'Gravar';
+$lang['btn_preview'] = 'Prever';
+$lang['btn_top'] = 'Voltar ao topo';
+$lang['btn_newer'] = '<< mais recente';
+$lang['btn_older'] = 'menos recente >>';
+$lang['btn_revs'] = 'Revis玫es';
+$lang['btn_recent'] = 'Alt. Recentes';
+$lang['btn_upload'] = 'Carregar';
+$lang['btn_cancel'] = 'Cancelar';
+$lang['btn_index'] = '脥ndice';
+$lang['btn_secedit'] = 'Editar';
+$lang['btn_login'] = 'Entrar';
+$lang['btn_logout'] = 'Sair';
+$lang['btn_admin'] = 'Administrar';
+$lang['btn_update'] = 'Actualizar';
+$lang['btn_delete'] = 'Apagar';
+$lang['btn_back'] = 'Voltar';
+$lang['btn_backlink'] = 'Backlinks';
+$lang['btn_backtomedia'] = 'Voltar 脿 Selec莽茫o de Media';
+$lang['btn_subscribe'] = 'Subscrever Altera莽玫es';
+$lang['btn_unsubscribe'] = 'N茫o Subscrever Altera莽玫es';
+$lang['btn_subscribens'] = 'Subscrever Mudan莽as de Espa莽o de Nome';
+$lang['btn_unsubscribens'] = 'Cancelar Subscri莽茫o de Mudan莽as de Espa莽o de Nome';
+$lang['btn_profile'] = 'Actualizar Perfil';
+$lang['btn_reset'] = 'Limpar';
+$lang['btn_resendpwd'] = 'Enviar nova senha';
+$lang['btn_draft'] = 'Editar rascunho';
+$lang['btn_recover'] = 'Recuperar rascunho';
+$lang['btn_draftdel'] = 'Apagar rascunho';
+$lang['loggedinas'] = 'Est谩 em sess茫o como';
+$lang['user'] = 'Utilizador';
+$lang['pass'] = 'Senha';
+$lang['newpass'] = 'Nova senha';
+$lang['oldpass'] = 'Confirme senha actual';
+$lang['passchk'] = 'Confirmar novamente';
+$lang['remember'] = 'Memorizar?';
+$lang['fullname'] = 'Nome completo';
+$lang['email'] = 'Email';
+$lang['register'] = 'Registar';
+$lang['profile'] = 'Perfil do Utilizador';
+$lang['badlogin'] = 'O utilizador inv谩lido ou senha inv谩lida.';
+$lang['minoredit'] = 'Altera莽玫es Menores';
+$lang['draftdate'] = 'Rascunho automaticamente gravado em';
+$lang['nosecedit'] = 'A p谩gina foi modificada entretanto. Como a informa莽茫o da sec莽茫o estava desactualizada, foi carregada a p谩gina inteira.';
+$lang['regmissing'] = 'Por favor, preencha todos os campos.';
+$lang['reguexists'] = 'Este utilizador j谩 est谩 inscrito. Por favor escolha outro nome de utilizador.';
+$lang['regsuccess'] = 'O utilizador foi criado e a senha foi enviada para o endere莽o de correio electr贸nico usado na inscri莽茫o.';
+$lang['regsuccess2'] = 'O utilizador foi criado.';
+$lang['regmailfail'] = 'Houve um erro no envio da senha por e-mail. Por favor, contacte o administrador!';
+$lang['regbadmail'] = 'O endere莽o de correio electr贸nico 茅 inv谩lido. Se o endere莽o est谩 correcto, e isto 茅 um erro, por favor, contacte o administrador!';
+$lang['regbadpass'] = 'As duas senhas n茫o s茫o id锚nticas, por favor tente de novo.';
+$lang['regpwmail'] = 'A sua senha DokuWiki';
+$lang['reghere'] = 'Para se registar, clique em';
+$lang['profna'] = 'Este Wiki n茫o suporta modifica莽玫es aos perfis.';
+$lang['profnochange'] = 'Nada altera莽茫o, nada a fazer.';
+$lang['profnoempty'] = 'N茫o s茫o permitidos nomes ou endere莽os em branco.';
+$lang['profchanged'] = 'Perfil do utilizador actualizado com sucesso.';
+$lang['pwdforget'] = 'Esqueceu a sua senha? Pedir nova senha';
+$lang['resendna'] = 'Este wiki n茫o suporta reenvio de senhas.';
+$lang['resendpwd'] = 'Enviar nova senha para';
+$lang['resendpwdmissing'] = '脡 preciso preencher todos os campos.';
+$lang['resendpwdnouser'] = 'N茫o foi poss铆vel encontrar este utilizador.';
+$lang['resendpwdbadauth'] = 'O c贸digo de autentica莽茫o n茫o 茅 v谩lido. Por favor, assegure-se de que o link de confirma莽茫o est谩 completo.';
+$lang['resendpwdconfirm'] = 'O link de confirma莽茫o foi enviado por e-mail.';
+$lang['resendpwdsuccess'] = 'A nova senha foi enviada por e-mail.';
+$lang['license'] = 'Excepto men莽茫o em contr谩rio, o conte煤do neste wiki est谩 sob a seguinte licen莽a:';
+$lang['licenseok'] = 'Nota: Ao editar esta p谩gina voc锚 aceita disponibilizar o seu conte煤do sob a seguinte licen莽a:';
+$lang['txt_upload'] = 'Escolha ficheiro para carregar';
+$lang['txt_filename'] = 'Carregar como (opcional)';
+$lang['txt_overwrt'] = 'Escrever por cima do ficheiro j谩 existente';
+$lang['lockedby'] = 'Bloqueado por';
+$lang['lockexpire'] = 'Expira em';
+$lang['willexpire'] = 'O bloqueio de edi莽茫o para este documento ir谩 expirar num minuto.\nPara evitar conflitos de edi莽茫o, clique no bot茫o <Prever> para re-iniciar o temporizador de bloqueio.';
+$lang['notsavedyet'] = 'Existem altera莽玫es n茫o gravadas, que ser茫o perdidas se continuar.\nDeseja realmente continuar?';
+$lang['rssfailed'] = 'Ocorreu um erro neste canal RSS: ';
+$lang['nothingfound'] = 'Nada foi encontrado.';
+$lang['mediaselect'] = 'Selec莽茫o de ficheiros';
+$lang['fileupload'] = 'Carregamento de ficheiros';
+$lang['uploadsucc'] = 'Carregamento com sucesso';
+$lang['uploadfail'] = 'Falhou o carregamento. Talvez por n茫o ter permiss玫es?';
+$lang['uploadwrong'] = 'Carregamento negado. Esta extens茫o est谩 proibida.';
+$lang['uploadexist'] = 'O ficheiro j谩 existe. N茫o pode ser carregado.';
+$lang['uploadbadcontent'] = 'O conte煤do carregado n茫o corresponde 脿 extens茫o %s.';
+$lang['uploadspam'] = 'O carregamento foi bloqueado pela lista negra de SPAM.';
+$lang['uploadxss'] = 'O carregamento foi bloqueado porque possivelmente contem conte煤do malicioso.';
+$lang['uploadsize'] = 'O ficheiro carregado 茅 demasiado grande. (m谩x. %s)';
+$lang['deletesucc'] = 'O ficheiro "%s" foi removido.';
+$lang['deletefail'] = 'O ficheiro "%s" n茫o pode ser removido, por favor verifique as permiss玫es.';
+$lang['mediainuse'] = 'O ficheiro "%s" n茫o foi removido porque est谩 ainda a ser usado.';
+$lang['namespaces'] = 'Grupos';
+$lang['mediafiles'] = 'Ficheiros dispon铆veis em';
+$lang['js']['keepopen'] = 'Mantenha a janela aberta durante a selec莽茫o';
+$lang['js']['hidedetails'] = 'Esconder Detalhes';
+$lang['js']['nosmblinks'] = 'Liga莽茫o a pastas Windows partilhadas apenas funciona com o Microsoft Internet Explorer.
+Pode no entanto copiar e colar o link.';
+$lang['js']['linkwiz'] = 'Assistente de Cria莽茫o de Liga莽茫o';
+$lang['js']['linkto'] = 'Liga莽茫o para:';
+$lang['js']['del_confirm'] = 'Remover esta entrada?';
+$lang['js']['mu_btn'] = 'Enviar m煤ltiplos ficheiros de uma vez';
+$lang['mediausage'] = 'Use a seguinte sintaxe para referenciar este ficheiro:';
+$lang['mediaview'] = 'Ver ficheiro original';
+$lang['mediaroot'] = 'root';
+$lang['mediaupload'] = 'Carregar ficheiros para o grupo actual aqui. Para criar sub-grupos: escrever o nome do sub-grupo seguido de : antes do nome do ficheiro no campo "Carregar como".';
+$lang['mediaextchange'] = 'Extens茫o alterada de .%s para .%s!';
+$lang['reference'] = 'Refer锚ncias para';
+$lang['ref_inuse'] = 'O ficheiro "%s" n茫o pode ser removido, porque est谩 ainda a ser usado nestes documentos:';
+$lang['ref_hidden'] = 'Algumas refer锚ncias est茫o em documentos para os quais n茫o tem permiss茫o para ler';
+$lang['hits'] = 'Resultados';
+$lang['quickhits'] = 'Documentos encontrados';
+$lang['toc'] = 'Tabela de Conte煤dos';
+$lang['current'] = 'Actual';
+$lang['yours'] = 'A sua vers茫o';
+$lang['diff'] = 'mostrar diferen莽as com a vers茫o actual';
+$lang['diff2'] = 'mostrar diferen莽as entre vers玫es escolhidas';
+$lang['line'] = 'Linha';
+$lang['breadcrumb'] = 'Est谩 em';
+$lang['youarehere'] = 'Est谩 aqui';
+$lang['lastmod'] = 'Esta p谩gina foi modificada pela 煤ltima vez em';
+$lang['by'] = 'por';
+$lang['deleted'] = 'Documento automaticamente removido.';
+$lang['created'] = 'Cria莽茫o deste novo documento.';
+$lang['restored'] = 'Vers茫o anterior restaurada.';
+$lang['external_edit'] = 'Edi莽茫o externa';
+$lang['summary'] = 'Sum谩rio da Edi莽茫o';
+$lang['noflash'] = 'O <a href="http://www.adobe.com/products/flashplayer/">Plugin Adobe Flash</a> 茅 necess谩rio para exibir este conte煤do.';
+$lang['download'] = 'Descarregar Snippet';
+$lang['mail_newpage'] = 'documento adicionado:';
+$lang['mail_changed'] = 'documento modificado:';
+$lang['mail_new_user'] = 'Novo utilizador:';
+$lang['mail_upload'] = 'Ficheiro carregado:';
+$lang['qb_bold'] = 'Texto com 脢nfase';
+$lang['qb_italic'] = 'Texto It谩lico';
+$lang['qb_underl'] = 'Texto Sublinhado';
+$lang['qb_code'] = 'Texto C贸digo';
+$lang['qb_strike'] = 'Texto Riscado';
+$lang['qb_h1'] = 'Cabe莽alho N铆vel 1';
+$lang['qb_h2'] = 'Cabe莽alho N铆vel 2';
+$lang['qb_h3'] = 'Cabe莽alho N铆vel 3';
+$lang['qb_h4'] = 'Cabe莽alho N铆vel 4';
+$lang['qb_h5'] = 'Cabe莽alho N铆vel 5';
+$lang['qb_h'] = 'Cabe莽alho';
+$lang['qb_hs'] = 'Seleccionar Cabe莽alho';
+$lang['qb_hplus'] = 'Cabe莽alho Maior';
+$lang['qb_hminus'] = 'Cabe莽alho Menor';
+$lang['qb_hequal'] = 'Cabe莽alho de N铆vel Semelhante';
+$lang['qb_link'] = 'Liga莽茫o Interna';
+$lang['qb_extlink'] = 'Liga莽茫o Externa';
+$lang['qb_hr'] = 'Barra Horizontal';
+$lang['qb_ol'] = 'Item numa Lista Ordenada';
+$lang['qb_ul'] = 'Item numa Lista N茫o Ordenada';
+$lang['qb_media'] = 'Incluir imagens e outros ficheiros';
+$lang['qb_sig'] = 'Inserir Assinatura';
+$lang['qb_smileys'] = 'Smileys';
+$lang['qb_chars'] = 'Caracteres Especiais';
+$lang['upperns'] = 'Ir para o espa莽o de nomes parente';
+$lang['admin_register'] = 'Registar Novo Utilizador';
+$lang['metaedit'] = 'Editar Metadata';
+$lang['metasaveerr'] = 'Falhou a escrita de Metadata';
+$lang['metasaveok'] = 'Metadata gravada';
+$lang['img_backto'] = 'De volta a';
+$lang['img_title'] = 'T铆tulo';
+$lang['img_caption'] = 'Legenda';
+$lang['img_date'] = 'Data';
+$lang['img_fname'] = 'Ficheiro';
+$lang['img_fsize'] = 'Tamanho';
+$lang['img_artist'] = 'Fot贸grafo';
+$lang['img_copyr'] = 'Copyright';
+$lang['img_format'] = 'Formato';
+$lang['img_camera'] = 'C芒mara';
+$lang['img_keywords'] = 'Palavras-Chave';
+$lang['subscribe_success'] = '%s adicionado 脿 lista de subscritores de %s';
+$lang['subscribe_error'] = 'Erro ao adicionar %s 脿 lista de subscritores de %s';
+$lang['subscribe_noaddress'] = 'N茫o existe nenhum endere莽o associado ao seu perfil, por isso n茫o pode ser adicionado 脿 lista de subscritores.';
+$lang['unsubscribe_success'] = '%s removido da lista de subscritores de %s';
+$lang['unsubscribe_error'] = 'Erro ao remover %s da lista de subscritores de %s';
+$lang['authmodfailed'] = 'Configura莽茫o de autentica莽茫o errada. Por favor, informe o Wiki Admin.';
+$lang['authtempfail'] = 'Autentica莽茫o temporariamente indispon铆vel. Se a situa莽茫o persistir, por favor informe o Wiki Admin.';
+$lang['i_chooselang'] = 'Escolha a linguagem';
+$lang['i_installer'] = 'Instalador do DokuWiki';
+$lang['i_wikiname'] = 'Nome Wiki';
+$lang['i_enableacl'] = 'Activar ACL (recomendado)';
+$lang['i_superuser'] = 'Super-utilizador';
+$lang['i_problems'] = 'O instalador encontrou alguns problemas, indicados mais abaixo. N茫o pode continuar at茅 que sejam corrigidos.';
+$lang['i_modified'] = 'Por raz玫es de seguran莽a, este script s贸 funciona em novas e n茫o-modificadas instala莽玫es do Dokuwiki. Deve por isso re-extrair os ficheiros do pacote que descarregou ou ent茫o deve consultar as completas <a href="http://dokuwiki.org/install">instru莽玫es de instala莽茫o do Dokuwiki installation instructions</a>';
+$lang['i_funcna'] = 'A fun莽茫o PHP <code>%s</code> n茫o est谩 dispon铆vel. Ter谩 o servi莽o de alojamento desactivado-a por alguma raz茫o?';
+$lang['i_phpver'] = 'A vers茫o de PHP actual <code>%s</code> 茅 inferior 脿 vers茫o m铆nima <code>%s</code>. 脡 preciso actualizar a instala莽茫o PHP.';
+$lang['i_permfail'] = '<code>%s</code> n茫o permite que o DokuWiki escreva nela. 脡 preciso corrigir as permiss玫es desta pasta!';
+$lang['i_confexists'] = '<code>%s</code> j谩 existe';
+$lang['i_writeerr'] = 'N茫o foi poss铆vel criar <code>%s</code>. 脡 preciso verificar as permiss玫es e criar o ficheiro manualmente.';
+$lang['i_badhash'] = 'dokuwiki.php n茫o 茅 o original ou n茫o 茅 reconhecido (hash=<code>%s</code>)';
+$lang['i_badval'] = '<code>%s</code> - valor ilegal ou vazio';
+$lang['i_success'] = 'A instala莽茫o e configura莽茫o inicial foram bem sucedidas. Pode remover o install.php. Aceda ao seu novo <a href="doku.php">Wiki</a> a correr o DokuWiki.';
+$lang['i_failure'] = 'Ocorreram alguns erros durante a escrita nos ficheiros de configura莽茫o. Poder谩 ser preciso corrigi-los manualmente antes de poder aceder ao seu novo <a href="doku.php">Wiki</a> a correr o DokuWiki.';
+$lang['i_policy'] = 'Politica ACL inicial';
+$lang['i_pol0'] = 'Wiki Aberto (ler, escrever e carregar para todos)';
+$lang['i_pol1'] = 'Wiki P煤blico (ler para todos, escrever e carregar para utilizadores inscritos)';
+$lang['i_pol2'] = 'Wiki Fechado (ler, escrever e carregar somente para utilizadores inscritos)';
+$lang['i_retry'] = 'Repetir';
+$lang['mu_intro'] = 'Aqui podes enviar m煤ltiplos ficheiros de uma vez. Clique no bot茫o de navega莽茫o para adicion谩-los na fila. Premir upload quando pronto.';
+$lang['mu_gridname'] = 'Nome do ficheiro';
+$lang['mu_gridsize'] = 'Tamanho';
+$lang['mu_gridstat'] = 'Estado';
+$lang['mu_namespace'] = 'Espa莽o de Nomes';
+$lang['mu_browse'] = 'Navegar';
+$lang['mu_toobig'] = 'demasiado grande';
+$lang['mu_ready'] = 'pronto para upload';
+$lang['mu_done'] = 'completo';
+$lang['mu_fail'] = 'falhou';
+$lang['mu_authfail'] = 'sess茫o expirada';
+$lang['mu_progress'] = '@PCT@% transferido';
+$lang['mu_filetypes'] = 'Tipos de Ficheiros Permitidos';
+$lang['mu_info'] = 'Ficheiros carregados.';
+$lang['mu_lasterr'] = '脷ltimo erro:';
+$lang['recent_global'] = 'Voc锚 est谩 a observar as altera莽玫es dentro do espa莽o de nomes <b>%s</b>. Tamb茅m 茅 poss铆vel ver as <a href="%s">modifica莽玫es recentes no wiki inteiro</a>.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/locked.txt
new file mode 100644
index 000000000..b9df29cb5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/locked.txt
@@ -0,0 +1,5 @@
+====== P谩gina em Edi莽茫o ======
+
+Esta p谩gina est谩 bloqueada por outro utilizador, que se encontra a edit谩-la neste momento.\\ Ter谩 que aguardar que o utilizador termine a edi莽茫o e grava as altera莽玫es ou ent茫o que temporizador de bloqueio expire.
+
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/login.txt
new file mode 100644
index 000000000..e8000bbab
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/login.txt
@@ -0,0 +1,7 @@
+====== Entrar ======
+
+N茫o est谩 actualmente em sess茫o!\\ Introduza os seus dados de utilizador para entrar em sess茫o.
+
+**Nota**: Precisa de ter //cookies// activos no seu navegador.
+
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/mailtext.txt
new file mode 100644
index 000000000..915a147ec
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/mailtext.txt
@@ -0,0 +1,20 @@
+Um documento no site Wiki @DOKUWIKIURL@ foi criado ou modificado.
+
+Aqui est茫o os detalhes:
+
+Data : @DATE@
+Browser : @BROWSER@
+Endere莽o IP : @IPADDRESS@
+Hostname : @HOSTNAME@
+Documento Ant.: @OLDPAGE@
+Documento Novo: @NEWPAGE@
+Edit Summary : @SUMMARY@
+User : @USER@
+
+@DIFF@
+
+
+
+----
+
+Esta mensagem foi gerada automaticamente pelo motor DokuWiki em @DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/newpage.txt
new file mode 100644
index 000000000..4db706be1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/newpage.txt
@@ -0,0 +1,7 @@
+====== Documento Inexistente ======
+
+Acaba de tentar aceder a um documento que ainda n茫o existe.
+
+Pode criar o conte煤do deste novo documento ap贸s clicar no bot茫o <Criar p谩gina>.
+
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/norev.txt
new file mode 100644
index 000000000..6dd8dfb6c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/norev.txt
@@ -0,0 +1,7 @@
+====== Revis茫o Inexistente ======
+
+A revis茫o especificada n茫o existe.
+
+Clique no bot茫o <Revis玫es> para aceder 脿 lista de revis玫es deste documento.
+
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/preview.txt
new file mode 100644
index 000000000..077665881
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/preview.txt
@@ -0,0 +1,7 @@
+====== Previs茫o ======
+
+Esta 茅 a previs茫o de como ir谩 ficar o conte煤do do documento se clicar no bot茫o <Gravar>.
+
+**Aten莽茫o**: O documento ainda n茫o se encontra gravado.\\ 脡 necess谩rio clicar no bot茫o <Gravar> para efectivar as modifica莽玫es que tenha realizado.
+
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/pwconfirm.txt
new file mode 100644
index 000000000..2848a116c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/pwconfirm.txt
@@ -0,0 +1,13 @@
+Ol谩 @FULLNAME@!
+
+Algu茅m efectuou um pedido para uma nova senha para o seu perfil @TITLE@ em @DOKUWIKIURL@
+
+Se n茫o foi voc锚 que efectuou o pedido ent茫o por favor ignore esta mensagem.
+
+Sen茫o, para confirmar o pedido, por favor siga este link:
+
+@CONFIRM@
+
+--
+
+Esta mensagem foi gerada automaticamente pelo motor DokuWiki em @DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/read.txt
new file mode 100644
index 000000000..92be189f3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/read.txt
@@ -0,0 +1,3 @@
+**Aten莽茫o**: Este documento 茅 apenas de leitura.\\ Pode ver o documento em bruto mas n茫o pode gravar qualquer altera莽茫o.\\ \\ Informe-se com o administrador deste Wiki se achar que isto n茫o est谩 correcto.
+
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/recent.txt
new file mode 100644
index 000000000..339041468
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/recent.txt
@@ -0,0 +1,5 @@
+====== Altera莽玫es Recentes ======
+
+Os seguintes documentos foram alterados recentemente.
+
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/register.txt
new file mode 100644
index 000000000..c647a4615
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/register.txt
@@ -0,0 +1,7 @@
+====== Inscri莽茫o ======
+
+Por favor, preencha todos os campos com a informa莽茫o correspondente, para poder criar uma nova conta neste SiteWiki.
+
+**Nota**: O nome de utilizador deve cumprir as mesmas regras de nomea莽茫o de documentos Wiki v谩lidos.\\ **Aten莽茫o**: Verifique que o endere莽o de correio electr贸nico que preencheu 茅 v谩lido, pois a sua senha ser谩 enviada por e-mail.
+
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/registermail.txt
new file mode 100644
index 000000000..b9c1f164b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/registermail.txt
@@ -0,0 +1,14 @@
+Inscri莽茫o de um novo utilizador. Aqui est茫o os detalhes:
+
+Username : @NEWUSER@
+Nome Completo : @NEWNAME@
+E-mail : @NEWEMAIL@
+
+Data : @DATE@
+Browser : @BROWSER@
+Endere莽o IP : @IPADDRESS@
+Hostname : @HOSTNAME@
+
+----
+
+Esta mensagem foi gerada pelo DokuWiki em @DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/resendpwd.txt
new file mode 100644
index 000000000..9a54ace07
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/resendpwd.txt
@@ -0,0 +1,3 @@
+====== Enviar nova senha ======
+
+Por favor, insira o seu nome de utilizador neste formul谩rio para requerer uma nova senha para esta conta/perfil. Um link de confirma莽茫o ser谩 enviado para o endere莽o de e-mail associado. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/revisions.txt
new file mode 100644
index 000000000..213022f91
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/revisions.txt
@@ -0,0 +1,5 @@
+====== Revis玫es ======
+
+Estas s茫o as revis玫es ao documento corrente.\\ Para reverter o documento para uma destas revis玫es: * Escolha a revis茫o do documento da lista abaixo; * Clique depois no bot茫o <Editar p谩gina>; * Fa莽a as altera莽玫es que veja necess谩rias - opcional; * Grava a revis茫o antiga como sendo a revis茫o actual do documento. Ap贸s a grava莽茫o, o documento da vers茫o actual ser谩 substitu铆do pelo documento da revis茫o escolhida.
+
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/searchpage.txt
new file mode 100644
index 000000000..49a0b16ea
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/searchpage.txt
@@ -0,0 +1,7 @@
+====== Pesquisa ======
+
+Os resultados da sua pesquisa encontram-se mais abaixo.
+
+Se n茫o encontrou o que procurava, pode criar uma nova p谩gina com o nome da sua pesquisa, usando o bot茫o <Editar p谩gina>.
+
+===== Resultados =====
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/showrev.txt
new file mode 100644
index 000000000..e8ad7f47a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/showrev.txt
@@ -0,0 +1,3 @@
+**Aten莽茫o**: Esta 茅 uma vers茫o antiga do documento!
+
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/stopwords.txt
new file mode 100644
index 000000000..373e6ee5a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/stopwords.txt
@@ -0,0 +1,141 @@
+# Esta 茅 uma lista de plavaras que o indexador ignora, uma palavra por linha
+# Quando voc锚 edita esta lista certifique-se que usa fim de linha usado em sistemas UNIX
+# N茫o 茅 necess谩rio incluir palavras menores que 3 letras - estas s茫o sempre ignoradas
+# Esta lista 茅 baseada nas encontradas em http://www.ranks.nl/stopwords/
+煤ltimo
+acerca
+agora
+algmas
+alguns
+ali
+ambos
+antes
+apontar
+aquela
+aquelas
+aquele
+aqueles
+aqui
+atr谩s
+bem
+bom
+cada
+caminho
+cima
+com
+como
+comprido
+conhecido
+corrente
+das
+debaixo
+dentro
+desde
+desligado
+deve
+devem
+dever谩
+direita
+diz
+dizer
+dois
+dos
+ela
+ele
+eles
+enquanto
+ent茫o
+est谩
+est茫o
+estado
+estar
+estar谩
+este
+estes
+esteve
+estive
+estivemos
+estiveram
+far谩
+faz
+fazer
+fazia
+fez
+fim
+foi
+fora
+horas
+iniciar
+inicio
+ir谩
+ista
+iste
+isto
+ligado
+maioria
+maiorias
+mais
+mas
+mesmo
+meu
+muito
+muitos
+n贸s
+n茫o
+nome
+nosso
+novo
+onde
+outro
+para
+parte
+pegar
+pelo
+pessoas
+pode
+poder谩
+podia
+por
+porque
+povo
+promeiro
+qu锚
+qual
+qualquer
+quando
+quem
+quieto
+s茫o
+saber
+sem
+ser
+seu
+somente
+t锚m
+tal
+tamb茅m
+tem
+tempo
+tenho
+tentar
+tentaram
+tente
+tentei
+teu
+teve
+tipo
+tive
+todos
+trabalhar
+trabalho
+uma
+umas
+uns
+usa
+usar
+valor
+veja
+ver
+verdade
+verdadeiro
+voc锚
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/subscribermail.txt
new file mode 100644
index 000000000..3a9e40d20
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/subscribermail.txt
@@ -0,0 +1,15 @@
+Ol谩,
+
+A p谩gina @PAGE@ em @TITLE@ foi alterda.
+Aqui est茫o as diferen莽as:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+Para receber mais notifica莽玫es desta p谩gina alterada, por favor, entre no Wiki em @DOKUWIKIURL@ e aceda a @NEWPAGE@ para depois poder clicar no bot茫o [ N茫o Subscrever ].
+
+
+----
+
+Esta mensagem foi gerada pelo DokuWiki em @DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/updateprofile.txt
new file mode 100644
index 000000000..efacfe4a2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/updateprofile.txt
@@ -0,0 +1,3 @@
+====== Actualize o seu perfil ======
+
+Apenas precisa de completar os campos que pretende alterar. N茫o 茅 poss铆vel alterar o seu nome de utilizador. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/uploadmail.txt
new file mode 100644
index 000000000..249d66234
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/uploadmail.txt
@@ -0,0 +1,14 @@
+Um ficheiro foi carregado. Aqui est茫o os detalhes:
+
+Ficheiro : @MEDIA@
+Data : @DATE@
+Browser : @BROWSER@
+Endere莽o IP : @IPADDRESS@
+Hostname : @HOSTNAME@
+Tamanho : @SIZE@
+MIME Type : @MIME@
+Utilizador : @USER@
+
+----
+
+Esta mensagem foi gerada pelo DokuWiki em @DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/wordblock.txt
new file mode 100644
index 000000000..a88063c9b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/pt/wordblock.txt
@@ -0,0 +1,7 @@
+====== Bloquear SPAM ======
+
+As suas altera莽玫es foram **bloqueadas**, porque cont锚m uma ou mais palavras consideradas abusivas (SPAM).
+
+Se pensa que isto n茫o est谩 correcto, por favor contacte o administrador deste site Wiki.
+
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/admin.txt
new file mode 100644
index 000000000..4b1a9062a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/admin.txt
@@ -0,0 +1,3 @@
+====== Administrare ======
+
+Pute牛i vedea mai jos o list膬 cu activit膬牛ile administrative disponibile 卯n DokuWiki. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/adminplugins.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/adminplugins.txt
new file mode 100644
index 000000000..f076c3651
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/adminplugins.txt
@@ -0,0 +1 @@
+===== Plugin-uri Adi牛ionale ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/backlinks.txt
new file mode 100644
index 000000000..3fd5e3415
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/backlinks.txt
@@ -0,0 +1,4 @@
+====== Leg膬turi 卯napoi ======
+
+Aceasta e o list膬 de pagini care au leg膬turi c膬tre pagina curent膬.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/conflict.txt
new file mode 100644
index 000000000..d7218ca5f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/conflict.txt
@@ -0,0 +1,6 @@
+====== Exist膬 o nou膬 versiune ======
+
+Exist膬 o versiune nou膬 a documentului editat. Aceasta se 卯nt卯mpl膬 c卯nd un alt utilizator a schimbat documentul 卯n timp ce 卯l editezi.
+
+Examineaz膬 diferen牛ele ar膬tate mai jos, apoi ia decizia care versiune o re牛ii. Dac膬 alegi ''Salveaz膬'', versiunea documentului va fi salvat膬. Ap膬sa牛i ''Renun牛are'' pentru a men牛ine versiunea curent膬.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/denied.txt
new file mode 100644
index 000000000..8178995e9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/denied.txt
@@ -0,0 +1,4 @@
+====== Acces Interzis ======
+
+Din p膬cate nu ave牛i destule drepturi pentru a continua. Poate a牛i uitat s膬 v膬 loga牛i?
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/diff.txt
new file mode 100644
index 000000000..f33be8afd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/diff.txt
@@ -0,0 +1,4 @@
+====== Diferen牛e ======
+
+Aceasta arat膬 diferen牛ele dintre revziile selectate 艧i versiunea curent膬 a paginii.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/draft.txt
new file mode 100644
index 000000000..e13671e3e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/draft.txt
@@ -0,0 +1,5 @@
+====== Fi艧ierul schi牛膬 nu a fost g膬sit ======
+
+Ultima dvs. sesiune de editare nu s-a finalizat corect. 脦n timpul lucrului, DocuWiki a salvat automat o schi牛膬, pe care o pute牛i utiliza acum pentru a continua editarea. Mai jos pute牛i vedea informa牛iile care s-au salvat de la ultima dvs. sesiune.
+
+Decide牛i dac膬 vre牛i s膬 //recupera牛i// sesiunea de editare pierdut膬, //艧terge牛i// schi牛a salvat膬 automat sau s膬 //anula牛i// procesul de editare. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/edit.txt
new file mode 100644
index 000000000..1e79dc4fc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/edit.txt
@@ -0,0 +1,2 @@
+Editeaz膬 pagina 艧i apas膬 ''Salveaz膬''. Vezi [[wiki:syntax]] pentru sintax膬. Te rog editeaz膬 pagina doar pentru a o **卯mbun膬ta牛i**. Dac膬 vrei s膬 testezi c卯teva lucruri, 卯nva牛膬 sa faci primii pa艧i 卯n [[playground:playground]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/editrev.txt
new file mode 100644
index 000000000..290dca496
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/editrev.txt
@@ -0,0 +1,2 @@
+**Ai 卯nc膬rcat o versuine veche a documentului!** Dac膬 ai salvat-o, vei crea o versiune nou膬 cu aceast膬 dat膬.
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/index.txt
new file mode 100644
index 000000000..5b88cc03b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/index.txt
@@ -0,0 +1,4 @@
+====== Index ======
+
+Acesta e un index al tuturor paginilor ordonat dup膬 [[doku>namespaces|namespaces]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/install.html
new file mode 100644
index 000000000..56cf3873b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/install.html
@@ -0,0 +1,10 @@
+<p>Aceast膬 pagin膬 ofer膬 asisten牛膬 la instalarea pentru prima dat膬 a <a href="http://dokuwiki.org">Dokuwiki</a>. Mai multe informa牛ii privind aceast膬 instalare g膬si牛i pe <a href="http://dokuwiki.org/installer">pagina de documenta牛ie</a>.</p>
+
+<p>DokuWiki folose艧te fi艧iere obi艧nuite pentru stocarea paginilor wiki 艧i a informa牛ilor asociate acestor pagini (de ex. imagini, indec艧i de c膬utare, versiuni vechi, etc). Pentru a lucra cu succes, DokuWiki <strong>trebuie</strong> s膬 aib膬 drepturi de scriere 卯n directoarele ce con牛in aceste fi艧iere.
+Acest script de instalare nu poate seta drepturile directoarelor. De regul膬, aceasta se face direct, 卯n linie de comand膬, sau 卯n cazul g膬zduirii, prin FTP sau prin panoul de control al gazdei (de ex. cPanel).</p>
+
+<p>Acest script de instalare va configura DokuWiki pentru <acronym title="access control list">ACL</acronym>, care permite logarea administratorului 艧i accesul la meniul de administrare pentru instalarea plugin-urilor, gestiunea utilizatorilor, a accesului la paginile wiki 艧i modificarea set膬rilor de configurare.
+Nu este necesar pentru ca DokuWiki s膬 func牛ioneze, 卯ns膬 face mai u艧oar膬 administrarea DokuWiki.</p>
+
+<p>Utilizatorii experimenta牛i sau utilizatorii ce au nevoie de set膬ri speciale ar putea folosi aceast膬 leg膬tur膬 privind<a href="http://dokuwiki.org/install">instruc牛iunile de instalare</a> 艧i <a href="http://dokuwiki.org/config">set膬rile de configurare</a>.</p>
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/lang.php
new file mode 100644
index 000000000..87ee6ae0f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/lang.php
@@ -0,0 +1,251 @@
+<?php
+/**
+ * romanian language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Tiberiu Micu <tibimicu@gmx.net>
+ * @author Sergiu Baltariu <s_baltariu@yahoo.com>
+ * @author s_baltariu@yahoo.com
+ * @author Emanuel-Emeric Andrasi <n30@mandrivausers.ro>
+ * @author Emanuel-Emeric Andra葯i <n30@mandrivausers.ro>
+ * @author Emanuel-Emeric Andra艧i <em.andrasi@mandrivausers.ro>
+ * @author Emanuel-Emeric Andrasi <em.andrasi@mandrivausers.ro>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '\'';
+$lang['btn_edit'] = 'Editeaz膬 aceast膬 pagin膬';
+$lang['btn_source'] = 'Arat膬 sursa paginii';
+$lang['btn_show'] = 'Arat膬 pagina';
+$lang['btn_create'] = 'Creaz膬 aceast膬 pagin膬';
+$lang['btn_search'] = 'Caut膬';
+$lang['btn_save'] = 'Salveaz膬';
+$lang['btn_preview'] = 'Previzualizeaz膬';
+$lang['btn_top'] = 'La 卯nceput';
+$lang['btn_newer'] = '<< mai recent';
+$lang['btn_older'] = 'mai vechi>>';
+$lang['btn_revs'] = 'Versiuni vechi';
+$lang['btn_recent'] = 'Modific膬ri recente';
+$lang['btn_upload'] = 'Upload';
+$lang['btn_cancel'] = 'Renun牛are';
+$lang['btn_index'] = 'Index';
+$lang['btn_secedit'] = 'Editeaz膬';
+$lang['btn_login'] = 'Login';
+$lang['btn_logout'] = 'Logout';
+$lang['btn_admin'] = 'Admin';
+$lang['btn_update'] = 'Actualizeaz膬';
+$lang['btn_delete'] = '艦terge';
+$lang['btn_back'] = '脦napoi';
+$lang['btn_backlink'] = 'Leg膬tur膬 anterioar膬';
+$lang['btn_backtomedia'] = '脦napoi la Selec牛ia Mediafile';
+$lang['btn_subscribe'] = 'Subscrie Modificarea Paginii';
+$lang['btn_unsubscribe'] = 'Anuleaz膬 Subscrierea Modific膬rii Paginii';
+$lang['btn_subscribens'] = 'Subscrie Modificarea Spa牛iului de Nume';
+$lang['btn_unsubscribens'] = 'Anuleaz膬 Subscrierea Modific膬rii Spa牛iului de Nume';
+$lang['btn_profile'] = 'Actualizeaz膬 Profil';
+$lang['btn_reset'] = 'Reseteaz膬';
+$lang['btn_resendpwd'] = 'Trimite parola nou膬';
+$lang['btn_draft'] = 'Editeaz膬 schi牛膬';
+$lang['btn_recover'] = 'Recupereaz膬 schi牛膬';
+$lang['btn_draftdel'] = '艦terge schi牛膬';
+$lang['btn_revert'] = 'Revenire';
+$lang['loggedinas'] = 'Logat ca 艧i';
+$lang['user'] = 'Utilizator';
+$lang['pass'] = 'Parola';
+$lang['newpass'] = 'Parola nou膬';
+$lang['oldpass'] = 'Confirm膬 parola curent膬';
+$lang['passchk'] = '卯nc膬 o dat膬';
+$lang['remember'] = '泞ine-m膬 minte';
+$lang['fullname'] = 'Nume complet';
+$lang['email'] = 'E-Mail';
+$lang['register'] = '脦nregistreaz膬';
+$lang['profile'] = 'Profil Utilizator';
+$lang['badlogin'] = 'Imi pare r膬u, utilizatorul 艧i/sau parola au fost gre艧ite.';
+$lang['minoredit'] = 'Modificare Minor膬';
+$lang['draftdate'] = 'Schi牛膬 salvat膬 automat la';
+$lang['nosecedit'] = 'Pagina s-a modificat 卯ntre timp, sec牛iunea info a expirat, s-a 卯nc膬rcat pagina 卯ntreag膬 卯n loc.';
+$lang['regmissing'] = 'Ne pare r膬u, trebuie s膬 completezi toate c卯mpurile.';
+$lang['reguexists'] = 'Ne pare r膬u, un utilizator cu acest nume exist膬 deja logat.';
+$lang['regsuccess'] = 'Utilizatorul a fost creat. Parola a fost trimis膬 prin email.';
+$lang['regsuccess2'] = 'Utilizatorul a fost creat.';
+$lang['regmailfail'] = 'Se pare c膬 a fost o eroare la trimiterea parolei prin email. Contacta牛i administratorul!';
+$lang['regbadmail'] = 'Adresa de email este invalid膬 - dac膬 crede牛i c膬 este o eroare contacta牛i administratorul.';
+$lang['regbadpass'] = 'Cele dou膬 parole furnizate nu sunt identice; 卯ncerca牛i din nou.';
+$lang['regpwmail'] = 'Parola ta DokuWiki';
+$lang['reghere'] = '脦nca nu ai un cont? F膬-牛i unul';
+$lang['profna'] = 'Aceast膬 wiki nu suport膬 modificarea profilului';
+$lang['profnochange'] = 'Nici o modificare; nimic de f膬cut.';
+$lang['profnoempty'] = 'Nu sunt admise numele sau adresa de email necompletate.';
+$lang['profchanged'] = 'Profilul de utilizator a fost actualizat succes.';
+$lang['pwdforget'] = 'Parola uitat膬? Lua牛i una nou膬';
+$lang['resendna'] = 'Aceast膬 wiki nu suport膬 retrimiterea parolei.';
+$lang['resendpwd'] = 'Trimite parola nou膬 pentru';
+$lang['resendpwdmissing'] = 'Ne pare r膬u, trebuie completate toate c芒mpurile.';
+$lang['resendpwdnouser'] = 'Ne pare r膬u, acest utilizator nu poate fi g膬sit 卯n baza de date.';
+$lang['resendpwdbadauth'] = 'Ne pare r膬u, acest cod de autorizare nu este corect. Verifica牛i dac膬 a牛i folosit tot link-ul de confirmare.';
+$lang['resendpwdconfirm'] = 'Un link de confirmare a fost trimis prin email.';
+$lang['resendpwdsuccess'] = 'Parola nou膬';
+$lang['license'] = 'Except芒nd locurile unde este altfel specificat, con牛inutul acestui wiki este licen牛iat sub urm膬toarea licen牛膬:';
+$lang['licenseok'] = 'Not膬: Prin editarea acestei pagini sunte牛i de acord s膬 v膬 licen牛ia牛i con牛intul sub urm膬toarea licen牛膬:';
+$lang['searchmedia'] = 'Caut膬 numele fi艧ierului:';
+$lang['searchmedia_in'] = 'Caut膬 卯n %s';
+$lang['txt_upload'] = 'Selecteaz膬 fisierul de 卯nc膬rcat';
+$lang['txt_filename'] = '脦ncarc膬 fi艧ierul ca (op牛ional)';
+$lang['txt_overwrt'] = 'Suprascrie fi艧ierul existent';
+$lang['lockedby'] = 'Momentan blocat de';
+$lang['lockexpire'] = 'Blocarea expir膬 la';
+$lang['willexpire'] = 'Blocarea pentru editarea paginii expir膬 intr-un minut.\nPentru a preveni conflictele folose艧te butonul de previzualizare pentru resetarea bloc膬rii.';
+$lang['notsavedyet'] = 'Exist膬 modific膬ri nesalvate, care se vor pierde.\nDore艧ti s膬 continui?';
+$lang['rssfailed'] = 'A ap膬rut o eroare in timpul desc膬rc膬rii acestui c卯mp: ';
+$lang['nothingfound'] = 'Nu am g膬sit nimic.';
+$lang['mediaselect'] = 'Selectare fi艧iere media';
+$lang['fileupload'] = '脦ncarc膬 fi艧ier media';
+$lang['uploadsucc'] = '脦nc膬rcare reu艧it膬';
+$lang['uploadfail'] = '脦nc膬rcare e艧uat膬. Poate din cauza permisiunilor?';
+$lang['uploadwrong'] = '脦nc膬rcare nepermis膬. Extensia fi艧ierului e nepermis膬';
+$lang['uploadexist'] = 'Fi艧ierul exist膬 deja. Nimic nu a fost f膬cut.';
+$lang['uploadbadcontent'] = 'Con牛inutul 卯nc膬rcat nu corespunde extensiei fi艧ierului %s.';
+$lang['uploadspam'] = '脦nc膬rcarea a fost blocat膬 datorit膬 listei negre de spam.';
+$lang['uploadxss'] = '脦nc膬rcarea a fost blocat膬 datorit膬 unui posibil con牛inut d膬un膬tor.';
+$lang['uploadsize'] = 'Fi艧ierul uploadat a fost prea mare. (max %s)';
+$lang['deletesucc'] = 'Fi艧ierul "%s" a fost 艧ters.';
+$lang['deletefail'] = '"%s" nu a putut fi 艧ters - verifica牛i drepturile.';
+$lang['mediainuse'] = 'Fi艧ierul "%s" nu a fost 艧ters - este 卯nc膬 卯n uz.';
+$lang['namespaces'] = 'Spa牛ii de nume';
+$lang['mediafiles'] = 'Fi艧iere disponibile 卯n';
+$lang['js']['searchmedia'] = 'Caut膬 fi艧iere';
+$lang['js']['keepopen'] = 'Men牛ine fereastra deschis膬 la selec牛ie';
+$lang['js']['hidedetails'] = 'Ascunde Detalii';
+$lang['js']['nosmblinks'] = 'Leg膬turile c膬tre sharing-uri Windows func牛ioneaza numai in Microsoft Internet Explorer.
+Pute牛i 卯ns膬 copia 艧i insera leg膬tura.';
+$lang['js']['linkwiz'] = 'Asistent leg膬tur膬';
+$lang['js']['linkto'] = 'Leg膬tur膬 la:';
+$lang['js']['del_confirm'] = 'Dori牛i 卯ntr-adev膬r 艧tergerea elementelor selectate?';
+$lang['js']['mu_btn'] = '脦ncarc膬 mai multe fi艧iere simultan';
+$lang['mediausage'] = 'Folosi牛i urm膬toarea sintax膬 pentru a face referin牛膬 la acest fi艧ier:';
+$lang['mediaview'] = 'Vizualizeaz膬 fi艧ierul original';
+$lang['mediaroot'] = 'root';
+$lang['mediaupload'] = '脦ncarc膬 un fi艧ier in acest spa牛iu de nume. Pentru a crea sub-spa牛ii de nume, adaug膬-le la fi艧ierul de 卯nc膬rcat, separate de doua puncte (:).';
+$lang['mediaextchange'] = 'Extensia fi艧ierului a fost modificat膬 din .%s 卯n .%s!';
+$lang['reference'] = 'Referin牛膬 pentru';
+$lang['ref_inuse'] = 'Fi艧ierul nu a putut fi 艧ters 卯ntruc芒t este folosit 卯nc膬 de urm膬toarele pagini:';
+$lang['ref_hidden'] = 'Nu ave牛i permisiunea s膬 citi牛i o parte din referin牛ele din pagin膬.';
+$lang['hits'] = 'Hituri';
+$lang['quickhits'] = 'Nume de pagini potrivite';
+$lang['toc'] = 'Cuprins';
+$lang['current'] = 'curent';
+$lang['yours'] = 'Versiunea ta';
+$lang['diff'] = 'arat膬 diferen牛ele fa牛膬 de versiunea curent膬';
+$lang['diff2'] = 'Arat膬 diferen牛ele dintre versiunile selectate';
+$lang['line'] = 'Linia';
+$lang['breadcrumb'] = 'Traseu';
+$lang['youarehere'] = 'Sunte牛i aici';
+$lang['lastmod'] = 'Ultima modificare';
+$lang['by'] = 'de c膬tre';
+$lang['deleted'] = '艧ters';
+$lang['created'] = 'creat';
+$lang['restored'] = 'versiune veche restaurat膬';
+$lang['external_edit'] = 'editare extern膬';
+$lang['summary'] = 'Editeaz膬 sumarul';
+$lang['noflash'] = 'Plugin-ul <a href="http://www.adobe.com/products/flashplayer/">Adobe Flash Plugin</a> este necesar pentru afi艧area corect膬 a con牛inutului.';
+$lang['download'] = 'Bloc desc膬rc膬ri';
+$lang['mail_newpage'] = 'pagina ad膬ugat膬:';
+$lang['mail_changed'] = 'page schimbat膬:';
+$lang['mail_new_user'] = 'utilizator nou';
+$lang['mail_upload'] = 'fi艧ier 卯nc膬rcat:';
+$lang['qb_bold'] = 'Text 脦ngro艧at';
+$lang['qb_italic'] = 'Text Italic';
+$lang['qb_underl'] = 'Text Subliniat';
+$lang['qb_code'] = 'Text Cod';
+$lang['qb_strike'] = 'Text T膬iat';
+$lang['qb_h1'] = 'Titlu de Nivel 1';
+$lang['qb_h2'] = 'Titlu de Nivel 2';
+$lang['qb_h3'] = 'Titlu de Nivel 3';
+$lang['qb_h4'] = 'Titlu de Nivel 4';
+$lang['qb_h5'] = 'Titlu de Nivel 5';
+$lang['qb_h'] = 'Titlu';
+$lang['qb_hs'] = 'Selecta牛i Titlul';
+$lang['qb_hplus'] = 'Titlu mai mare';
+$lang['qb_hminus'] = 'Titlu mai mic';
+$lang['qb_hequal'] = 'Titlu de acela艧i nivel';
+$lang['qb_link'] = 'Leg膬tur膬 intern膬';
+$lang['qb_extlink'] = 'Leg膬tura extern膬';
+$lang['qb_hr'] = 'Linie Orizontal';
+$lang['qb_ol'] = 'List膬 Ordonat膬';
+$lang['qb_ul'] = 'List膬 Neordoat膬';
+$lang['qb_media'] = 'Adaug膬 imagini 艧i alte fi艧iere';
+$lang['qb_sig'] = 'Insereaz膬 semn膬tur膬';
+$lang['qb_smileys'] = 'Smiley-uri';
+$lang['qb_chars'] = 'Caractere speciale';
+$lang['upperns'] = 'sari la numele de spa牛iu p膬rinte';
+$lang['admin_register'] = 'Adaug膬 utilizator nou';
+$lang['metaedit'] = 'Editeaz膬 Metadata';
+$lang['metasaveerr'] = 'Scrierea metadatelor a e艧uat';
+$lang['metasaveok'] = 'Metadatele au fost salvate';
+$lang['img_backto'] = '脦napoi la';
+$lang['img_title'] = 'Titlu';
+$lang['img_caption'] = 'Legend膬';
+$lang['img_date'] = 'Data';
+$lang['img_fname'] = 'Nume fi艧ier';
+$lang['img_fsize'] = 'Dimensiune';
+$lang['img_artist'] = 'Fotograf';
+$lang['img_copyr'] = 'Copyright';
+$lang['img_format'] = 'Format';
+$lang['img_camera'] = 'Camera';
+$lang['img_keywords'] = 'Cuvinte cheie';
+$lang['subscribe_success'] = 'A fost ad膬ugat %s la lista de subscriere pentru %s';
+$lang['subscribe_error'] = 'Eroare la ad膬ugarea %s la lista de subscriere pentru %s';
+$lang['subscribe_noaddress'] = 'Nu este nici o adres膬 de mail asociat膬 cu utilizatorul dvs. Nu pute牛i fi ad膬ugat la lista de subscriere';
+$lang['unsubscribe_success'] = '%s a fost eliminat din lista de subscriere pentru %s';
+$lang['unsubscribe_error'] = 'Eroare la eliminarea %s din lista de subscriere pentru %s';
+$lang['authmodfailed'] = 'Configura牛ia autentific膬rii utilizatorului este eronat膬. Anun牛a牛i Wiki Admin-ul.';
+$lang['authtempfail'] = 'Autentificarea utilizatorului este temporar indisponibil膬. Anun牛a牛i Wiki Admin-ul.';
+$lang['i_chooselang'] = 'Alege牛i limba';
+$lang['i_installer'] = 'DokuWiki Installer';
+$lang['i_wikiname'] = 'Numele Wiki';
+$lang['i_enableacl'] = 'Activeaz膬 ACL (recomandat)';
+$lang['i_superuser'] = 'Superutilizator';
+$lang['i_problems'] = 'Programul de instalare a g膬sit c芒teva probleme, indicate mai jos. Nu pute牛i continua p芒n膬 nu le rezolva牛i.';
+$lang['i_modified'] = 'Din motive de securitate, acest script va func牛iona doar cu o instalare nou膬 艧i nemodificat膬 a Docuwiki.
+Pute牛i fie s膬 extrage牛i din nou fi艧ierele din arhiva desc膬rcat膬 fie s膬 consulta牛i instruc牛iunile de instalare Dokuwiki la <a href="http://dokuwiki.org/install">';
+$lang['i_funcna'] = 'Func牛ia PHP <code>%s</code> nu este disponibil膬. Probabil provider-ul dvs. a inactivat-o pentru un motiv oarecare.';
+$lang['i_phpver'] = 'Versiunea dvs. de PHP <code>%s</code> este mai veche dec芒t cea necesar膬 (<code>%s</code>). Trebuie s膬 v膬 actualiza牛i instalarea PHP.';
+$lang['i_permfail'] = '<code>%s</code> nu poate fi scris de c膬tre DokuWiki. Trebuie s膬 modifica牛i drepturile acestui director!';
+$lang['i_confexists'] = '<code>%s</code> exist膬 deja';
+$lang['i_writeerr'] = 'Nu s-a putut crea <code>%s</code>. Trebuie s膬 verifica牛i drepturile directorului/fi艧ierului 艧i s膬 crea牛i fi艧ierul manual.';
+$lang['i_badhash'] = 'dokuwiki.php nu a fost recunoscut sau a fost modificat (hash=<code>%s</code>)';
+$lang['i_badval'] = '<code>%s</code> - valoare nepemis膬 sau neintrodus膬';
+$lang['i_success'] = 'Configurarea a fost finalizat膬 cu succes. Acum pute牛i sterge fi艧ierul install.php. Continua牛i cu <a href="doku.php">your new DokuWiki</a>.';
+$lang['i_failure'] = 'Au ap膬rut erori la scrierea fi艧ierelor de configurare. Va trebui s膬 le corecta牛i manual 卯nainte de a putea folosi <a href="doku.php">your new DokuWiki</a>.';
+$lang['i_policy'] = 'Politica ACL ini牛ial膬';
+$lang['i_pol0'] = 'Wiki Deschis膬 (cite艧te, scrie 艧i 卯ncarc膬 oricine)';
+$lang['i_pol1'] = 'Wiki Deschis膬 (citeste oricine, scrie 艧i 卯ncarc膬 doar utilizatorul 卯nregistrat)';
+$lang['i_pol2'] = 'Wiki 脦nchis膬 (cite艧te, scrie 艧i 卯ncarc膬 doar utilizatorul 卯nregistrat)';
+$lang['i_retry'] = '脦ncearc膬 din nou';
+$lang['mu_intro'] = 'Aici po牛i 卯nc膬rca mai multe fi艧iere simultan. Apas膬 butonul R膬sfoie艧te pentru a le ad膬uga. Apas膬 脦ncarc膬 c芒nd ai terminat.';
+$lang['mu_gridname'] = 'Numele fi艧ierului';
+$lang['mu_gridsize'] = 'M膬rime';
+$lang['mu_gridstat'] = 'Stare';
+$lang['mu_namespace'] = 'Spa牛iu de nume';
+$lang['mu_browse'] = 'R膬sfoie艧te';
+$lang['mu_toobig'] = 'prea mare';
+$lang['mu_ready'] = 'preg膬tit pentru 卯nc膬rcare';
+$lang['mu_done'] = 'complet';
+$lang['mu_fail'] = 'e艧uat';
+$lang['mu_authfail'] = 'sesiunea a expirat';
+$lang['mu_progress'] = '@PCT@% inc膬rcat';
+$lang['mu_filetypes'] = 'Tipuri de fi艧iere permise';
+$lang['mu_info'] = 'fi艧iere 卯nc膬rcate';
+$lang['mu_lasterr'] = 'Ultima eroare:';
+$lang['recent_global'] = 'Acum vizualiza牛i modific膬rile 卯n interiorul numelui de spa牛iu <b>%s</b>. De asemenea pute牛i <a href="%s">vizualiza modific膬rile recente ale 卯ntregului wiki</a>.';
+$lang['years'] = 'acum %d ani';
+$lang['months'] = 'acum %d luni';
+$lang['weeks'] = 'acum %d s膬pt膬m芒ni';
+$lang['days'] = 'acum %d zile';
+$lang['hours'] = 'acum %d ore';
+$lang['minutes'] = 'acum %d minute';
+$lang['seconds'] = 'acum %d secunde';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/locked.txt
new file mode 100644
index 000000000..94d6eb2ff
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/locked.txt
@@ -0,0 +1,3 @@
+====== Pagin膬 blocat膬 ======
+
+Pagina este momentan blocat膬 de alt utilizator. Trebuie s膬 a艧tep牛i p卯n膬 c卯nd acest utilizator termin膬 editarea ori expir膬 blocarea.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/login.txt
new file mode 100644
index 000000000..2f1fda9ad
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/login.txt
@@ -0,0 +1,4 @@
+====== Login ======
+
+Nu s卯nte牛i logat! Introduce牛i datele de autentificare pentru logare. Trebuie s膬 permite牛i cookie-uri pentru logare.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/mailtext.txt
new file mode 100644
index 000000000..77aca8c4b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/mailtext.txt
@@ -0,0 +1,16 @@
+A fost ad膬ugat膬 sau schimbat膬 o pagin膬. Aici s卯nt detaliile:
+
+Data : @DATE@
+Navigator : @BROWSER@
+Adresa-IP : @IPADDRESS@
+Nume gazd膬 : @HOSTNAME@
+Versiune veche : @OLDPAGE@
+Versiune nou膬 : @NEWPAGE@
+Rezumat editare: @SUMMARY@
+
+@DIFF@
+
+
+--
+Mailul a fost generat de DokuWiki la
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/newpage.txt
new file mode 100644
index 000000000..7fb9d55a6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/newpage.txt
@@ -0,0 +1,3 @@
+====== Subiectul nu exist膬 卯nc膬 ======
+
+A牛i urmat o leg膬tur膬 c膬tre un subiect care nu exist膬. O pute牛i crea prin ap膬sarea butonului ''Editeaz膬 aceast膬 pagin膬''.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/norev.txt
new file mode 100644
index 000000000..926f9144e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/norev.txt
@@ -0,0 +1,4 @@
+====== Nu exist膬 versiunea ======
+
+Versiunea specificat膬 nu exist膬. Folose艧te butonul ''Versiuni vechi'' pentru o list膬 a versiunilor acestui document.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/preview.txt
new file mode 100644
index 000000000..1964d7476
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/preview.txt
@@ -0,0 +1,4 @@
+====== Previzualizare ======
+
+Acesta este modul 卯n care va ar膬ta textul. Nu uita: **Nu** e 卯nc膬 **salvat**!
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/pwconfirm.txt
new file mode 100644
index 000000000..043e08868
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/pwconfirm.txt
@@ -0,0 +1,13 @@
+Salut @FULLNAME@!
+
+Cineva a cerut o parol膬 nou膬 pentru @TITLE@ pentru conectarea la @DOKUWIKIURL@
+
+Dac膬 nu a牛i solicitat o parol膬 nou膬, ignora牛i acest email.
+
+Pentru a confirma c膬 cererea a fost 卯ntr-adev膬r trimis膬 de dumneavoastr膬, folosi牛i link-ul de mai jos.
+
+@CONFIRM@
+
+--
+Acest mail a fost generat de DocuWiki la
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/read.txt
new file mode 100644
index 000000000..2c0aa6d90
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/read.txt
@@ -0,0 +1,2 @@
+Aceast膬 pagin膬 poate fi doar citit膬. Po牛i vedea sursa, dar n-o po牛i modifica. Consult膬 administratorul dac膬 crezi c膬 e ceva 卯n neregul膬.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/recent.txt
new file mode 100644
index 000000000..8d4aa043a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/recent.txt
@@ -0,0 +1,5 @@
+====== Schimb膬ri recente ======
+
+Urm膬toarele pagini au fost schimbate recent.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/register.txt
new file mode 100644
index 000000000..9fc6eec65
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/register.txt
@@ -0,0 +1,3 @@
+====== 脦nregistreaz膬-te ca utilizator nou ======
+Pentru a crea un wiki nou completeaz膬 mai jos toate informa牛iile. Asigur膬-te c膬 ai introdus o adres膬 de **e-mail valid膬** unde va fi trimis膬 noua parol膬. Numele de utilizator trebuie de asemenea s膬 fie valid [[doku>pagename|pagename]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/registermail.txt
new file mode 100644
index 000000000..fb754af67
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/registermail.txt
@@ -0,0 +1,14 @@
+Un nou utilizator s-a 卯nregsitrat. Iat膬 detaliile:
+
+Nume utilizator : @NEWUSER@
+Nume complet : @NEWNAME@
+E-mail : @NEWEMAIL@
+
+Data : @DATE@
+Browser : @BROWSER@
+Adres膬 IP : @IPADDRESS@
+Hostname : @HOSTNAME@
+
+--
+Acest mail a fost generat automat de c膬tre DokuWiki la
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/resendpwd.txt
new file mode 100644
index 000000000..b7de241e9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/resendpwd.txt
@@ -0,0 +1,3 @@
+====== Trimite parol膬 nou膬 ======
+
+Introduce牛i numele de utilizator 卯n formularul de mai jos pentru a solicita o nou膬 parol膬 pentru aceast膬 wiki. Un link de confirmare va fi trimis la adresa de email 卯nregistrat膬. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/revisions.txt
new file mode 100644
index 000000000..b2ff46f77
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/revisions.txt
@@ -0,0 +1,4 @@
+====== Versiune veche ======
+
+Acestea s卯nt versiunile vechi ale documentului curent. Pentru revenirea la o versiune mai veche, selecteaz-o de mai jos, clic pe ''Editeaz膬 aceast膬 pagin膬'' 艧i salveaz-o.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/searchpage.txt
new file mode 100644
index 000000000..c1169b88d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/searchpage.txt
@@ -0,0 +1,5 @@
+====== C膬utare ======
+
+Rezultatele c膬ut膬rii s卯nt afisate mai jos. Dac膬 n-a牛i g膬sit ce-a牛i c膬utat, pute牛i creea o pagin膬 nou膬 dup膬 c膬utare prin folosirea butonului ''Editeaz膬 aceast膬 pagin膬''.
+
+===== Rezultate =====
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/showrev.txt
new file mode 100644
index 000000000..c1d5e545d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/showrev.txt
@@ -0,0 +1,2 @@
+**Aceasta e o versiune veche a documentului!**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/stopwords.txt
new file mode 100644
index 000000000..29e7452d3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/stopwords.txt
@@ -0,0 +1,29 @@
+# Aceasta este o list膬 de cuvinte ignorate la indexare, c芒te un cuv芒nt pe linie
+# C芒nd edita牛i acest fi艧ier, asigura牛i-v膬 c膬 folosi牛i sf芒r艧ituri de linie UNIX (o singur膬 linie nou膬)
+# Nu e nevoie s膬 include牛i cuvinte mai scurte de 3 caractere - acestea sunt, oricum, ignorate
+# Aceast膬 list膬 se bazeaz膬 pe cele ce pot fi g膬site la http://www.ranks.nl/stopwords/
+about
+are
+and
+you
+your
+them
+their
+com
+for
+from
+into
+how
+that
+the
+this
+was
+what
+when
+where
+who
+will
+with
+und
+the
+www
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/subscribermail.txt
new file mode 100644
index 000000000..23374dc6f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/subscribermail.txt
@@ -0,0 +1,17 @@
+Bun膬!
+
+Pagina @PAGE@ din @TITLE@ wiki a fost modificat膬.
+Iat膬 modific膬rile:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+Pentru a v膬 dezabona, loga牛i-v膬 la wiki la
+@DOKUWIKIURL@, apoi vizita牛i
+@NEWPAGE@
+艧i alege牛i 'Anuleaz膬 modific膬rile'.
+
+--
+Acest mail a fost generat automat de c膬tre DokuWiki la
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/updateprofile.txt
new file mode 100644
index 000000000..e5988ec51
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/updateprofile.txt
@@ -0,0 +1,3 @@
+====== Actualizare profil utilizator ======
+
+Trebuie s膬 completa牛i doar c芒mpurile pe care dori牛i s膬 le modifica牛i. Nu pute牛i modifica numele de utilizator. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/uploadmail.txt
new file mode 100644
index 000000000..39faed370
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/uploadmail.txt
@@ -0,0 +1,14 @@
+Un fi艧ier a fost 卯nc膬rcat 卯n DocuWiki. Iat膬 detaliile:
+
+Fi艧ier : @MEDIA@
+Data : @DATE@
+Browser : @BROWSER@
+Adres膬 IP : @IPADDRESS@
+Hostname : @HOSTNAME@
+Dimensiune : @SIZE@
+MIME Type : @MIME@
+Utilizator : @USER@
+
+--
+Acest mail a fost generat automat de c膬tre DokuWiki la
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/wordblock.txt
new file mode 100644
index 000000000..1fa7bd6cd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ro/wordblock.txt
@@ -0,0 +1,4 @@
+====== SPAM blocat ======
+
+Modific膬rile **nu** au fost salvate deoarece con牛in unul sau mai multe cuvinte nepermise. Daca ai 卯ncercat s膬 spamezi Wiki-ul -- E艧ti un om r膬u! Dac膬 crezi c膬 asta e o eroare, contacteaz膬 administratorul acestui Wiki.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/admin.txt
new file mode 100644
index 000000000..cb03be52e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/admin.txt
@@ -0,0 +1,4 @@
+====== 校锌褉邪胁谢械薪懈械 ======
+
+袧懈卸械 胁褘 褋屑芯卸械褌械 薪邪泄褌懈 褋锌懈褋芯泻 邪写屑懈薪懈褋褌褉邪褌懈胁薪褘褏 芯锌械褉邪褑懈泄, 写芯褋褌褍锌薪褘褏 胁 DokuWiki.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/adminplugins.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/adminplugins.txt
new file mode 100644
index 000000000..6e3fc26f2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/adminplugins.txt
@@ -0,0 +1 @@
+===== 袛芯锌芯谢薪懈褌械谢褜薪褘械 锌谢邪谐懈薪褘 ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/backlinks.txt
new file mode 100644
index 000000000..a3b638d19
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/backlinks.txt
@@ -0,0 +1,4 @@
+====== 袨斜褉邪褌薪褘械 褋褋褘谢泻懈 ======
+
+协褌芯 褋锌懈褋芯泻 褋褌褉邪薪懈褑, 泻芯褌芯褉褘械 褋褋褘谢邪褞褌褋褟 薪邪 褌械泻褍褖褍褞 褋褌褉邪薪懈褑褍.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/conflict.txt
new file mode 100644
index 000000000..f1ac60bd4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/conflict.txt
@@ -0,0 +1,5 @@
+====== 小褍褖械褋褌胁褍械褌 斜芯谢械械 薪芯胁邪褟 胁械褉褋懈褟 ======
+
+小褍褖械褋褌胁褍械褌 斜芯谢械械 薪芯胁邪褟 胁械褉褋懈褟 写芯泻褍屑械薪褌邪, 泻芯褌芯褉褘泄 胁褘 褉械写邪泻褌懈褉芯胁邪谢懈. 孝邪泻芯械 褋谢褍褔邪械褌褋褟, 泻芯谐写邪 写褉褍谐芯泄 锌芯谢褜蟹芯胁邪褌械谢褜 懈蟹屑械薪懈谢 写芯泻褍屑械薪褌, 锌芯泻邪 胁褘 写械谢邪谢懈 褌芯 卸械 褋邪屑芯械.
+
+袙薪懈屑邪褌械谢褜薪芯 懈蟹褍褔懈褌械 褉邪蟹谢懈褔懈褟, 锌褉懈胁械写械薪薪褘械 薪懈卸械 懈 褉械褕懈褌械, 泻邪泻褍褞 胁械褉褋懈褞 芯褋褌邪胁懈褌褜. 袝褋谢懈 胁褘 胁褘斜械褉械褌械 ''小芯褏褉邪薪懈褌褜'', 褌芯 胁邪褕邪 胁械褉褋懈褟 斜褍写械褌 褋芯褏褉邪薪械薪邪. 袧邪卸邪胁 ''袨褌屑械薪懈褌褜',' 胁褘 芯褋褌邪胁懈褌械 褌械泻褍褖褍褞 胁械褉褋懈褞.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/denied.txt
new file mode 100644
index 000000000..eee59efe6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/denied.txt
@@ -0,0 +1,3 @@
+====== 袛芯褋褌褍锌 蟹邪锌褉械褖械薪 ======
+
+袠蟹胁懈薪懈褌械, 褍 胁邪褋 薪械 褏胁邪褌邪械褌 锌褉邪胁 写谢褟 褝褌芯谐芯 写械泄褋褌胁懈褟. 袦芯卸械褌 斜褘褌褜, 袙褘 蟹邪斜褘谢懈 胁芯泄褌懈 胁 胁懈泻懈 锌芯写 褋胁芯懈屑 懈屑械薪械屑?
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/diff.txt
new file mode 100644
index 000000000..4d1d4d031
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/diff.txt
@@ -0,0 +1,4 @@
+====== 袪邪蟹谢懈褔懈褟 ======
+
+袟写械褋褜 锌芯泻邪蟹邪薪褘 褉邪蟹谢懈褔懈褟 屑械卸写褍 胁褘斜褉邪薪薪芯泄 褉械胁懈蟹懈械泄 懈 褌械泻褍褖械泄 胁械褉褋懈械泄 写邪薪薪芯泄 褋褌褉邪薪懈褑褘.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/draft.txt
new file mode 100644
index 000000000..bbd2e5913
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/draft.txt
@@ -0,0 +1,6 @@
+====== 袧邪泄写械薪 褔械褉薪芯胁懈泻 ======
+
+袩芯褋谢械写薪懈泄 褉邪蟹 褉械写邪泻褌懈褉芯胁邪薪懈械 褝褌芯泄 褋褌褉邪薪懈褑褘 薪械 斜褘谢芯 泻芯褉褉械泻褌薪芯 蟹邪胁械褉褕械薪芯. 袙芯 胁褉械屑褟 胁邪褕械泄 褉邪斜芯褌褘 斜褘谢 邪胁褌芯屑邪褌懈褔械褋泻懈 褋芯褏褉邪薪褢薪 褔械褉薪芯胁懈泻, 泻芯褌芯褉褘泄 胁褘 褌械锌械褉褜 屑芯卸械褌械 胁芯褋褋褌邪薪芯胁懈褌褜 懈 锌褉芯写芯谢卸懈褌褜 锌褉械褉胁邪薪薪褍褞 锌褉邪胁泻褍. 袧懈卸械 胁褘 胁懈写懈褌械 邪胁褌芯屑邪褌懈褔械褋泻懈 褋芯褏褉邪薪械薪薪褍褞 胁械褉褋懈褞.
+
+袩芯卸邪谢褍泄褋褌邪, 褉械褕懈褌械, 褏芯褌懈褌械 谢懈 胁褘 //胁芯褋褋褌邪薪芯胁懈褌褜// 锌芯褌械褉褟薪薪褍褞 胁械褉褋懈褞, //褍写邪谢懈褌褜// 褔械褉薪芯胁懈泻, 懈谢懈 //芯褌屑械薪懈褌褜// 褉械写邪泻褌懈褉芯胁邪薪懈械.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/edit.txt
new file mode 100644
index 000000000..2237f082e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/edit.txt
@@ -0,0 +1,2 @@
+袨褌褉械写邪泻褌懈褉褍泄褌械 褋褌褉邪薪懈褑褍 懈 薪邪卸屑懈褌械 ''小芯褏褉邪薪懈褌褜''. 袩褉芯褔褌懈褌械 [[wiki:syntax]] 写谢褟 芯蟹薪邪泻芯屑谢械薪懈褟 褋 褋懈薪褌邪泻褋懈褋芯屑 胁懈泻懈. 袩芯卸邪谢褍泄褋褌邪, 褉械写邪泻褌懈褉褍泄褌械 褌芯谢褜泻芯 胁 褌芯屑 褋谢褍褔邪械, 械褋谢懈 锌谢邪薪懈褉褍械褌械 **褍谢褍褔褕懈褌褜** 褋芯写械褉卸懈屑芯械. 袝褋谢懈 袙褘 锌褉芯褋褌芯 褏芯褌懈褌械 锌芯褌械褋褌懈褉芯胁邪褌褜 褔褌芯-谢懈斜芯, 胁芯褋锌芯谢褜蟹褍泄褌械褋褜 褋锌械褑懈邪谢褜薪芯泄 褋褌褉邪薪懈褑械泄: [[playground:playground]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/editrev.txt
new file mode 100644
index 000000000..db843ec1c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/editrev.txt
@@ -0,0 +1,2 @@
+**袙褘 蟹邪谐褉褍蟹懈谢懈 褋褌邪褉褍褞 褉械胁懈蟹懈褞 写芯泻褍屑械薪褌邪!** 小芯褏褉邪薪懈胁 械褢, 胁褘 褋芯蟹写邪写懈褌械 薪芯胁褍褞 褌械泻褍褖褍褞 胁械褉褋懈褞 褋 褝褌懈屑 褋芯写械褉卸懈屑褘屑.
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/index.txt
new file mode 100644
index 000000000..376d58947
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/index.txt
@@ -0,0 +1,4 @@
+====== 小芯写械褉卸邪薪懈械 ======
+
+袩械褉械写 袙邪屑懈 褋锌懈褋芯泻 写芯褋褌褍锌薪褘褏 褋褌褉邪薪懈褑, 褍锌芯褉褟写芯褔械薪薪褘泄 锌芯 锌褉芯褋褌褉邪薪褋褌胁邪屑 懈屑褢薪 ([[doku>namespaces|namespaces]]).
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/install.html
new file mode 100644
index 000000000..d7022dd46
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/install.html
@@ -0,0 +1,7 @@
+<p>协褌邪 褋褌褉邪薪懈褑邪 锌褉械写薪邪蟹薪邪褔械薪邪 锌芯屑芯褔褜 胁 锌械褉胁芯薪邪褔邪谢褜薪芯泄 褍褋褌邪薪芯胁泻械 懈 泻芯薪褎懈谐褍褉邪褑懈懈 <a href="http://dokuwiki.org">DokuWiki</a>. 袛芯锌芯谢薪懈褌械谢褜薪邪褟 懈薪褎芯褉屑邪褑懈褟 芯 锌褉芯谐褉邪屑屑械 褍褋褌邪薪芯胁泻懈 写芯褋褌褍锌薪邪 薪邪 械褢 <a href="http://dokuwiki.org/installer">褋褌褉邪薪懈褑械 写芯泻褍屑械薪褌邪褑懈懈</a>.</p>
+
+<p>DokuWiki 懈褋锌芯谢褜蟹褍械褌 芯斜褘褔薪褘械 褎邪泄谢褘 写谢褟 褏褉邪薪械薪懈褟 褋褌褉邪薪懈褑 懈 写芯锌芯谢薪懈褌械谢褜薪芯泄 懈薪褎芯褉屑邪褑懈懈 (薪邪锌褉懈屑械褉, 懈蟹芯斜褉邪卸械薪懈泄, 锌芯懈褋泻芯胁芯谐芯 懈薪写械泻褋邪, 锌褉械写褘写褍褖懈褏 胁械褉褋懈泄 褋褌褉邪薪懈褑褘, 懈 褌.写.). 袛谢褟 褍褋锌械褕薪芯泄 褉邪斜芯褌褘 DokuWiki <strong>薪械芯斜褏芯写懈屑</strong> 写芯褋褌褍锌 薪邪 蟹邪锌懈褋褜 泻 写懈褉械泻褌芯褉懈褟屑 褋 褝褌懈屑懈 褎邪泄谢邪屑懈. 袛邪薪薪邪褟 锌褉芯谐褉邪屑屑邪 褍褋褌邪薪芯胁泻懈 薪械 屑芯卸械褌 褋邪屑芯褋褌芯褟褌械谢褜薪芯 懈蟹屑械薪褟褌褜 褋懈褋褌械屑薪褘械 锌褉邪胁邪 写芯褋褌褍锌邪 泻 写懈褉械泻褌芯褉懈褟屑. 袨斜褘褔薪芯 褝褌芯 写械谢邪械褌褋褟 薪邪锌褉褟屑褍褞 懈蟹 泻芯屑邪薪写薪芯泄 褋褌褉芯泻懈 (shell), 懈谢懈, 械褋谢懈 袙褘 懈褋锌芯谢褜蟹褍械褌械 褍写邪谢褢薪薪褘泄 褏芯褋褌懈薪谐, 褔械褉械蟹 FTP 懈谢懈 锌邪薪械谢褜 褍锌褉邪胁谢械薪懈褟 胁邪褕械谐芯 褏芯褋褌懈薪谐邪 (薪邪锌褉懈屑械褉, cPanel).</p>
+
+<p>袩褉芯谐褉邪屑屑邪 褍褋褌邪薪芯胁泻懈 胁泻谢褞褔懈褌 懈褋锌芯谢褜蟹芯胁邪薪懈械 褋锌懈褋泻芯胁 泻芯薪褌褉芯谢褟 写芯褋褌褍锌邪 (<acronym title="access control list">ACL</acronym>) 胁 袙邪褕械泄 DokuWiki. 协褌芯 锌芯蟹胁芯谢懈褌 邪写屑懈薪懈褋褌褉邪褌芯褉褍, 锌芯褋谢械 邪胁褌芯褉懈蟹邪褑懈懈 胁 DokuWiki, 懈褋锌芯谢褜蟹芯胁邪褌褜 褋锌械褑懈邪谢褜薪芯械 屑械薪褞 写谢褟 褍褋褌邪薪芯胁泻懈 锌谢邪谐懈薪芯胁, 褍锌褉邪胁谢械薪懈褟 锌芯谢褜蟹芯胁邪褌械谢褟屑懈 懈 写芯褋褌褍锌芯屑 泻 褋褌褉邪薪懈褑邪屑 胁懈泻懈, 邪 褌邪泻卸械 写谢褟 薪邪褋褌褉芯泄泻懈 泻芯薪褎懈谐褍褉邪褑懈芯薪薪褘褏 锌邪褉邪屑械褌褉芯胁. 小锌懈褋泻懈 泻芯薪褌褉芯谢褟 写芯褋褌褍锌邪 薪械 芯斜褟蟹邪褌械谢褜薪褘 写谢褟 褉邪斜芯褌褘 DokuWiki, 芯写薪邪泻芯 芯薪懈 锌芯蟹胁芯谢褟褞褌 褍锌褉芯褋褌懈褌褜 褍锌褉邪胁谢械薪懈械 胁邪褕械泄 DokuWiki.</p>
+
+<p>袨锌褘褌薪褘屑 锌芯谢褜蟹芯胁邪褌械谢褟屑 懈 锌芯谢褜蟹芯胁邪褌械谢褟屑 褋芯 褋锌械褑懈邪谢褜薪褘屑懈 褌褉械斜芯胁邪薪懈褟屑懈 泻 褍褋褌邪薪芯胁泻械 褉械泻芯屑械薪写褍械褌褋褟 芯斜褉邪褌懈褌褜褋褟 锌芯 褋谢械写褍褞褖懈屑 褋褋褘谢泻邪屑 写谢褟 褍褌芯褔薪械薪懈褟 锌芯写褉芯斜薪芯褋褌械泄 <a href="http://dokuwiki.org/install">锌褉芯褑械褋褋邪 褍褋褌邪薪芯胁泻懈</a> 懈 <a href="http://dokuwiki.org/config">锌邪褉邪屑械褌褉芯胁 泻芯薪褎懈谐褍褉邪褑懈懈</a>.</p>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/lang.php
new file mode 100644
index 000000000..f6cec8170
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/lang.php
@@ -0,0 +1,258 @@
+<?php
+/**
+ * Russian language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Yuri Pimenov <up@ftpsearch.lv>
+ * @author Igor Tarasov <tigr@mail15.com>
+ * @author Denis Simakov <akinoame1@gmail.com>
+ * @author Kaens Bard <kaens@mail.ru>
+ * @author Andrew Pleshakov <beotiger@mail.ru>
+ * @author 袟屑械泄 协褌械褉懈泄褋泻懈泄 evil_snake@eternion.ru
+ * @author Hikaru Nakajima <jisatsu@mail.ru>
+ * @author Alexei Tereschenko <alexeitlex@yahoo.com>
+ * @author Irina Ponomareva irinaponomareva@webperfectionist.com
+ * @author Alexander Sorkin <kibizoid@gmail.com>
+ * @author Kirill Krasnov <krasnovforum@gmail.com>
+ * @author Vlad Tsybenko <vlad.development@gmail.com>
+ */
+$lang['encoding'] = ' utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '芦';
+$lang['doublequoteclosing'] = '禄';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '鈥';
+$lang['btn_edit'] = '袩褉邪胁懈褌褜 褋褌褉邪薪懈褑褍';
+$lang['btn_source'] = '袩芯泻邪蟹邪褌褜 懈褋褏芯写薪褘泄 褌械泻褋褌';
+$lang['btn_show'] = '袩芯泻邪蟹邪褌褜 褋褌褉邪薪懈褑褍';
+$lang['btn_create'] = '小芯蟹写邪褌褜 褋褌褉邪薪懈褑褍';
+$lang['btn_search'] = '袩芯懈褋泻';
+$lang['btn_save'] = '小芯褏褉邪薪懈褌褜';
+$lang['btn_preview'] = '袩褉芯褋屑芯褌褉';
+$lang['btn_top'] = '袧邪胁械褉褏';
+$lang['btn_newer'] = '<< 斜芯谢械械 薪芯胁褘械';
+$lang['btn_older'] = '斜芯谢械械 褋褌邪褉褘械 >>';
+$lang['btn_revs'] = '袠褋褌芯褉懈褟 褋褌褉邪薪懈褑褘';
+$lang['btn_recent'] = '袧械写邪胁薪懈械 懈蟹屑械薪械薪懈褟';
+$lang['btn_upload'] = '袟邪泻邪褔邪褌褜';
+$lang['btn_cancel'] = '袨褌屑械薪懈褌褜';
+$lang['btn_index'] = '袙褋械 褋褌褉邪薪懈褑褘';
+$lang['btn_secedit'] = '袩褉邪胁懈褌褜';
+$lang['btn_login'] = '袙芯泄褌懈';
+$lang['btn_logout'] = '袙褘泄褌懈';
+$lang['btn_admin'] = '校锌褉邪胁谢械薪懈械';
+$lang['btn_update'] = '袨斜薪芯胁懈褌褜';
+$lang['btn_delete'] = '校写邪谢懈褌褜';
+$lang['btn_back'] = '袧邪蟹邪写';
+$lang['btn_backlink'] = '小褋褘谢泻懈 褋褞写邪';
+$lang['btn_backtomedia'] = '袙械褉薪褍褌褜褋褟 泻 胁褘斜芯褉褍 屑械写懈邪-褎邪泄谢邪';
+$lang['btn_subscribe'] = '袩芯写锌懈褋邪褌褜褋褟 (胁褋械 锌褉邪胁泻懈)';
+$lang['btn_unsubscribe'] = '袨褌锌懈褋邪褌褜褋褟 (胁褋械 锌褉邪胁泻懈)';
+$lang['btn_subscribens'] = '袩芯写锌懈褋邪褌褜褋褟 (锌褉邪胁泻懈 褝褌芯谐芯 褉邪蟹写械谢邪)';
+$lang['btn_unsubscribens'] = '袨褌锌懈褋邪褌褜褋褟 (锌褉邪胁泻懈 褝褌芯谐芯 褉邪蟹写械谢邪)';
+$lang['btn_profile'] = '袩褉芯褎懈谢褜';
+$lang['btn_reset'] = '小斜褉芯褋';
+$lang['btn_resendpwd'] = '袩芯褋谢邪褌褜 薪芯胁褘泄 锌邪褉芯谢褜';
+$lang['btn_draft'] = '袩褉邪胁懈褌褜 褔械褉薪芯胁懈泻';
+$lang['btn_recover'] = '袙芯褋褋褌邪薪芯胁懈褌褜 褔械褉薪芯胁懈泻';
+$lang['btn_draftdel'] = '校写邪谢懈褌褜 褔械褉薪芯胁懈泻';
+$lang['btn_revert'] = '袙芯褋褋褌邪薪芯胁懈褌褜';
+$lang['loggedinas'] = '袟邪褕谢懈 泻邪泻';
+$lang['user'] = '袥芯谐懈薪';
+$lang['pass'] = '袩邪褉芯谢褜';
+$lang['newpass'] = '袧芯胁褘泄 锌邪褉芯谢褜';
+$lang['oldpass'] = '袙胁械写懈褌械 褌械泻褍褖懈泄 锌邪褉芯谢褜';
+$lang['passchk'] = '锌芯胁褌芯褉懈褌械';
+$lang['remember'] = '袟邪锌芯屑薪懈褌褜 屑械薪褟';
+$lang['fullname'] = '袩芯谢薪芯械 懈屑褟';
+$lang['email'] = 'E-Mail';
+$lang['register'] = '袟邪褉械谐懈褋褌褉懈褉芯胁邪褌褜褋褟';
+$lang['profile'] = '袩褉芯褎懈谢褜 锌芯谢褜蟹芯胁邪褌械谢褟';
+$lang['badlogin'] = '袠蟹胁懈薪懈褌械, 薪械胁械褉薪芯械 懈屑褟 锌芯谢褜蟹芯胁邪褌械谢褟 懈谢懈 锌邪褉芯谢褜.';
+$lang['minoredit'] = '袧械斜芯谢褜褕懈械 懈蟹屑械薪械薪懈褟';
+$lang['draftdate'] = '效械褉薪芯胁懈泻 褋芯褏褉邪薪褢薪';
+$lang['nosecedit'] = '袟邪 褝褌芯 胁褉械屑褟 褋褌褉邪薪懈褑邪 斜褘谢邪 懈蟹屑械薪械薪邪, 懈 懈薪褎芯褉屑邪褑懈褟 芯 褋械泻褑懈懈 褍褋褌邪褉械谢邪. 袟邪谐褉褍卸械薪邪 锌芯谢薪邪褟 胁械褉褋懈褟 褋褌褉邪薪懈褑褘.';
+$lang['regmissing'] = '袠蟹胁懈薪懈褌械, 胁邪屑 褋谢械写褍械褌 蟹邪锌芯谢薪懈褌褜 胁褋械 锌芯谢褟.';
+$lang['reguexists'] = '袠蟹胁懈薪懈褌械, 锌芯谢褜蟹芯胁邪褌械谢褜 褋 褌邪泻懈屑 谢芯谐懈薪芯屑 褍卸械 褋褍褖械褋褌胁褍械褌.';
+$lang['regsuccess'] = '袩芯谢褜蟹芯胁邪褌械谢褜 褋芯蟹写邪薪, 锌邪褉芯谢褜 胁褘褋谢邪薪 薪邪 e-mail.';
+$lang['regsuccess2'] = '袩芯谢褜蟹芯胁邪褌械谢褜 褋芯蟹写邪薪.';
+$lang['regmailfail'] = '袩芯褏芯卸械, 锌褉芯斜谢械屑邪 褋 锌芯褋褘谢泻芯泄 锌邪褉芯谢褟 锌芯 锌芯褔褌械. 袩芯卸邪谢褍泄褋褌邪, 褋芯芯斜褖懈褌械 邪写屑懈薪懈褋褌褉邪褌芯褉褍!';
+$lang['regbadmail'] = '袛邪薪薪褘泄 袙邪屑懈 邪写褉械褋 e-mail 胁褘谐谢褟写懈褌 薪械锌褉邪胁懈谢褜薪褘屑. 袝褋谢懈 胁褘 褋褔懈褌邪械褌械 褝褌芯 芯褕懈斜泻芯泄, 褋芯芯斜褖懈褌械 邪写屑懈薪褍.';
+$lang['regbadpass'] = '袛胁邪 胁胁械写褢薪薪褘褏 锌邪褉芯谢褟 薪械 懈写械薪褌懈褔薪褘. 袩芯卸邪谢褍泄褋褌邪, 锌芯锌褉芯斜褍泄褌械 械褖褢 褉邪蟹.';
+$lang['regpwmail'] = '袙邪褕 锌邪褉芯谢褜 写谢褟 褋懈褋褌械屑褘 DokuWiki';
+$lang['reghere'] = '校 胁邪褋 械褖褢 薪械褌 邪泻泻邪褍薪褌邪? 袠蟹胁芯谢褜褌械 锌芯谢褍褔懈褌褜';
+$lang['profna'] = '袛邪薪薪邪褟 胁懈泻懈 薪械 锌芯写写械褉卸懈胁邪械褌 懈蟹屑械薪械薪懈械 锌褉芯褎懈谢褟';
+$lang['profnochange'] = '袠蟹屑械薪械薪懈泄 薪械 斜褘谢芯 胁薪械褋械薪芯, 锌褉芯褎懈谢褜 薪械 芯斜薪芯胁谢褢薪.';
+$lang['profnoempty'] = '袥芯谐懈薪 懈 邪写褉械褋 e-mail 薪械 屑芯谐褍褌 斜褘褌褜 锌褍褋褌褘屑懈.';
+$lang['profchanged'] = '袩褉芯褎懈谢褜 锌芯谢褜蟹芯胁邪褌械谢褟 褍褋锌械褕薪芯 芯斜薪芯胁谢褢薪.';
+$lang['pwdforget'] = '袟邪斜褘谢懈 锌邪褉芯谢褜? 袩芯谢褍褔懈褌械 薪芯胁褘泄';
+$lang['resendna'] = '袛邪薪薪邪褟 胁懈泻懈 薪械 锌芯写写械褉卸懈胁邪械褌 锌芯胁褌芯褉薪褍褞 芯褌锌褉邪胁泻褍 锌邪褉芯谢褟.';
+$lang['resendpwd'] = '袙褘褋谢邪褌褜 锌邪褉芯谢褜 写谢褟';
+$lang['resendpwdmissing'] = '袙褘 写芯谢卸薪褘 蟹邪锌芯谢薪懈褌褜 胁褋械 锌芯谢褟 褎芯褉屑褘.';
+$lang['resendpwdnouser'] = '袩芯谢褜蟹芯胁邪褌械谢褜 褋 褌邪泻懈屑 谢芯谐懈薪芯屑 薪械 芯斜薪邪褉褍卸械薪 胁 薪邪褕械泄 斜邪蟹械 写邪薪薪褘褏.';
+$lang['resendpwdbadauth'] = '袠蟹胁懈薪懈褌械, 薪械胁械褉薪褘泄 泻芯写 邪胁褌芯褉懈蟹邪褑懈懈. 校斜械写懈褌械褋褜, 褔褌芯 袙褘 锌芯谢薪芯褋褌褜褞 褋泻芯锌懈褉芯胁邪谢懈 褋褋褘谢泻褍. ';
+$lang['resendpwdconfirm'] = '小褋褘谢泻邪 写谢褟 锌芯写褌胁械褉卸写械薪懈褟 锌邪褉芯谢褟 斜褘谢邪 胁褘褋谢邪薪邪 锌芯 e-mail. ';
+$lang['resendpwdsuccess'] = '袙邪褕 薪芯胁褘泄 锌邪褉芯谢褜 斜褘谢 胁褘褋谢邪薪 锌芯 e-mail.';
+$lang['license'] = '袟邪 懈褋泻谢褞褔械薪懈械屑 褋谢褍褔邪械胁, 泻芯谐写邪 褍泻邪蟹邪薪芯 懈薪芯械, 褋芯写械褉卸懈屑芯械 褝褌芯泄 胁懈泻懈 锌褉械写芯褋褌邪胁谢褟械褌褋褟 薪邪 褍褋谢芯胁懈褟褏 褋谢械写褍褞褖械泄 谢懈褑械薪蟹懈懈:';
+$lang['licenseok'] = '袩褉懈屑械褔邪薪懈械: 褉械写邪泻褌懈褉褍褟 褝褌褍 褋褌褉邪薪懈褑褍, 袙褘 褋芯谐谢邪褕邪械褌械褋褜 薪邪 懈褋锌芯谢褜蟹芯胁邪薪懈械 胁邪褕械谐芯 胁泻谢邪写邪 薪邪 褍褋谢芯胁懈褟褏 褋谢械写褍褞褖械泄 谢懈褑械薪蟹懈懈:';
+$lang['searchmedia'] = '袩芯懈褋泻 锌芯 懈屑械薪懈 褎邪泄谢邪:';
+$lang['searchmedia_in'] = '袩芯懈褋泻 胁 %s';
+$lang['txt_upload'] = '袙褘斜械褉懈褌械 褎邪泄谢 写谢褟 蟹邪泻邪褔泻懈';
+$lang['txt_filename'] = '袙胁械写懈褌械 懈屑褟 褎邪泄谢邪 胁 胁懈泻懈 (薪械芯斜褟蟹邪褌械谢褜薪芯)';
+$lang['txt_overwrt'] = '袩械褉械蟹邪锌懈褋邪褌褜 褋褍褖械褋褌胁褍褞褖懈泄 褎邪泄谢';
+$lang['lockedby'] = '袙 写邪薪薪褘泄 屑芯屑械薪褌 蟹邪斜谢芯泻懈褉芯胁邪薪';
+$lang['lockexpire'] = '袘谢芯泻懈褉芯胁泻邪 懈褋褌械泻邪械褌 胁';
+$lang['willexpire'] = '袙邪褕邪 斜谢芯泻懈褉芯胁泻邪 褉械写邪泻褌懈褉芯胁邪薪懈褟 褝褌芯泄 褋褌褉邪薪懈褑褘 懈褋褌械泻邪械褌 胁 褌械褔械薪懈械 屑懈薪褍褌褘.\n效褌芯斜褘 懈蟹斜械卸邪褌褜 泻芯薪褎谢懈泻褌芯胁 懈 褋斜褉芯褋懈褌褜 褌邪泄屑械褉 斜谢芯泻懈褉芯胁泻懈, 薪邪卸屑懈褌械 泻薪芯锌泻褍 锌褉芯褋屑芯褌褉邪.';
+$lang['notsavedyet'] = '袧械褋芯褏褉邪薪褢薪薪褘械 懈蟹屑械薪械薪懈褟 斜褍写褍褌 锌芯褌械褉褟薪褘.\n袙褘 写械泄褋褌胁懈褌械谢褜薪芯 褏芯褌懈褌械 锌褉芯写芯谢卸懈褌褜?';
+$lang['rssfailed'] = '袩褉芯懈蟹芯褕谢邪 芯褕懈斜泻邪 锌褉懈 锌芯谢褍褔械薪懈懈 褋谢械写褍褞褖械泄 薪芯胁芯褋褌薪芯泄 谢械薪褌褘: ';
+$lang['nothingfound'] = '袧懈褔械谐芯 薪械 薪邪泄写械薪芯.';
+$lang['mediaselect'] = '袙褘斜芯褉 屑械写懈邪-褎邪泄谢邪';
+$lang['fileupload'] = '袟邪泻邪褔泻邪 屑械写懈邪-褎邪泄谢邪';
+$lang['uploadsucc'] = '袟邪泻邪褔泻邪 锌褉芯懈蟹胁械写械薪邪 褍褋锌械褕薪芯';
+$lang['uploadfail'] = '袟邪泻邪褔泻邪 薪械 褍写邪谢邪褋褜. 袙芯蟹屑芯卸薪芯, 锌褉芯斜谢械屑褘 褋 锌褉邪胁邪屑懈 写芯褋褌褍锌邪?';
+$lang['uploadwrong'] = '袙 蟹邪泻邪褔泻械 芯褌泻邪蟹邪薪芯. 肖邪泄谢褘 褋 褌邪泻懈屑 褉邪褋褕懈褉械薪懈械屑 蟹邪锌褉械褖械薪褘. ';
+$lang['uploadexist'] = '肖邪泄谢 褋 褌邪泻懈屑 懈屑械薪械屑 褋褍褖械褋褌胁褍械褌. 袟邪谐褉褍蟹泻邪 薪械 锌褉芯懈蟹胁械写械薪邪.';
+$lang['uploadbadcontent'] = '小芯写械褉卸邪薪懈械 褎邪泄谢邪 薪械 褋芯芯褌胁械褌褋褌胁褍械褌 褉邪褋褕懈褉械薪懈褞 %s.';
+$lang['uploadspam'] = '袟邪泻邪褔泻邪 蟹邪斜谢芯泻懈褉芯胁邪薪邪 褋锌邪屑-褎懈谢褜褌褉芯屑.';
+$lang['uploadxss'] = '袟邪泻邪褔泻邪 蟹邪斜谢芯泻懈褉芯胁邪薪邪 锌芯 褋芯芯斜褉邪卸械薪懈褟屑 斜械蟹芯锌邪褋薪芯褋褌懈.';
+$lang['uploadsize'] = '袟邪谐褉褍卸械薪薪褘泄 褎邪泄谢 斜褘谢 褋谢懈褕泻芯屑 斜芯谢褜褕芯泄. (屑邪泻褋. %s)';
+$lang['deletesucc'] = '肖邪泄谢 "%s" 斜褘谢 褍写邪谢褢薪.';
+$lang['deletefail'] = '袧械胁芯蟹屑芯卸薪芯 褍写邪谢懈褌褜 褎邪泄谢 "%s". 袩褉芯胁械褉褜褌械 锌褉邪胁邪 写芯褋褌褍锌邪 泻 褎邪泄谢褍.';
+$lang['mediainuse'] = '肖邪泄谢 "%s" 薪械 斜褘谢 褍写邪谢褢薪 - 褎邪泄谢 胁褋褢 械褖褢 懈褋锌芯谢褜蟹褍械褌褋褟.';
+$lang['namespaces'] = '袩褉芯褋褌褉邪薪褋褌胁邪 懈屑褢薪';
+$lang['mediafiles'] = '袛芯褋褌褍锌薪褘械 褎邪泄谢褘';
+$lang['js']['searchmedia'] = '袩芯懈褋泻 褎邪泄谢芯胁';
+$lang['js']['keepopen'] = '袧械 蟹邪泻褉褘胁邪褌褜 芯泻薪芯 锌芯褋谢械 胁褘斜芯褉邪';
+$lang['js']['hidedetails'] = '小泻褉褘褌褜 写械褌邪谢懈';
+$lang['js']['nosmblinks'] = '小褋褘谢泻邪 薪邪 褋械褌械胁褘械 泻邪褌邪谢芯谐懈 Windows 褉邪斜芯褌邪械褌 褌芯谢褜泻芯 懈蟹 袠薪褌械褉薪械褌 协泻褋锌谢芯褉械褉邪
+袧芯 袙褘 屑芯卸械褌械 褋泻芯锌懈褉芯胁邪褌褜 褋褋褘谢泻褍.';
+$lang['js']['linkwiz'] = '袦邪褋褌械褉 褋褋褘谢芯泻';
+$lang['js']['linkto'] = '小褋褘谢泻邪 薪邪:';
+$lang['js']['del_confirm'] = '袙褘 薪邪 褋邪屑芯屑 写械谢械 卸械谢邪械褌械 褍写邪谢懈褌褜 胁褘斜褉邪薪薪芯械?';
+$lang['js']['mu_btn'] = '袟邪谐褉褍蟹懈褌褜 薪械褋泻芯谢褜泻芯 褎邪泄谢芯胁 芯写薪芯胁褉械屑械薪薪芯';
+$lang['mediausage'] = '袛谢褟 褋褋褘谢泻懈 薪邪 褝褌芯褌 褎邪泄谢 懈褋锌芯谢褜蟹褍泄褌械 褋谢械写褍褞褖懈泄 褋懈薪褌邪泻褋懈褋:';
+$lang['mediaview'] = '袩芯褋屑芯褌褉械褌褜 懈褋褏芯写薪褘泄 褎邪泄谢';
+$lang['mediaroot'] = '泻芯褉械薪褜';
+$lang['mediaupload'] = '袟写械褋褜 屑芯卸薪芯 蟹邪谐褉褍蟹懈褌褜 褎邪泄谢 胁 褌械泻褍褖懈泄 泻邪褌邪谢芯谐 ("锌褉芯褋褌褉邪薪褋褌胁芯 懈屑械薪"). 效褌芯斜褘 褋芯蟹写邪褌褜 锌芯写泻邪褌邪谢芯谐懈, 写芯斜邪胁褜褌械 懈褏 泻 薪邪褔邪谢褍 懈屑械薪懈 褎邪泄谢邪 ("袟邪谐褉褍蟹懈褌褜 泻邪泻"). 袠屑械薪邪 锌芯写泻邪褌邪谢芯谐芯胁 褉邪蟹写械谢褟褞褌褋褟 写胁芯械褌芯褔懈褟屑懈. ';
+$lang['mediaextchange'] = '袪邪褋褕懈褉械薪懈械 懈蟹屑械薪懈谢芯褋褜: 褋 .%s 薪邪 .%s!';
+$lang['reference'] = '小褋褘谢泻懈 写谢褟';
+$lang['ref_inuse'] = '协褌芯褌 褎邪泄谢 薪械 屑芯卸械褌 斜褘褌褜 褍写邪谢褢薪, 褌邪泻 泻邪泻 芯薪 懈褋锌芯谢褜蟹褍械褌褋褟 褋谢械写褍褞褖懈屑懈 褋褌褉邪薪懈褑邪屑懈:';
+$lang['ref_hidden'] = '袧械泻芯褌芯褉褘械 褋褋褘谢泻懈 薪邪褏芯写褟褌褋褟 薪邪 褋褌褉邪薪懈褑邪褏, 薪邪 褔褌械薪懈械 泻芯褌芯褉褘褏 褍 袙邪褋 薪械褌 锌褉邪胁';
+$lang['hits'] = '褋芯芯褌胁械褌褋褌胁懈泄';
+$lang['quickhits'] = '小芯芯褌胁械褌褋褌胁懈褟 胁 薪邪蟹胁邪薪懈褟褏 褋褌褉邪薪懈褑';
+$lang['toc'] = '小芯写械褉卸邪薪懈械';
+$lang['current'] = '褌械泻褍褖懈泄';
+$lang['yours'] = '袙邪褕邪 胁械褉褋懈褟';
+$lang['diff'] = '锌芯泻邪蟹邪褌褜 芯褌谢懈褔懈褟 芯褌 褌械泻褍褖械泄 胁械褉褋懈懈';
+$lang['diff2'] = '袩芯泻邪蟹邪褌褜 褉邪蟹谢懈褔懈褟 屑械卸写褍 褉械胁懈蟹懈褟屑懈 ';
+$lang['line'] = '小褌褉芯泻邪';
+$lang['breadcrumb'] = '袙褘 锌芯褋械褌懈谢懈';
+$lang['youarehere'] = '袙褘 薪邪褏芯写懈褌械褋褜 蟹写械褋褜';
+$lang['lastmod'] = '袩芯褋谢械写薪懈械 懈蟹屑械薪械薪懈褟';
+$lang['by'] = ' 袨褌';
+$lang['deleted'] = '褍写邪谢械薪芯';
+$lang['created'] = '褋芯蟹写邪薪芯';
+$lang['restored'] = '褋褌邪褉邪褟 褉械胁懈蟹懈褟 胁芯褋褋褌邪薪芯胁谢械薪邪';
+$lang['external_edit'] = '胁薪械褕薪械械 懈蟹屑械薪械薪懈械';
+$lang['summary'] = '小胁芯写泻邪 懈蟹屑械薪械薪懈泄';
+$lang['noflash'] = '袛谢褟 锌褉芯褋屑芯褌褉邪 褝褌芯谐芯 褋芯写械褉卸懈屑芯谐芯 褌褉械斜褍械褌褋褟 <a href="http://www.adobe.com/products/flashplayer/">Adobe Flash Plugin</a>.';
+$lang['download'] = '小泻邪褔邪褌褜 泻芯写';
+$lang['mail_newpage'] = '褋褌褉邪薪懈褑邪 写芯斜邪胁谢械薪邪:';
+$lang['mail_changed'] = '褋褌褉邪薪懈褑邪 懈蟹屑械薪械薪邪:';
+$lang['mail_new_user'] = '薪芯胁褘泄 锌芯谢褜蟹芯胁邪褌械谢褜:';
+$lang['mail_upload'] = '褎邪泄谢 蟹邪泻邪褔邪薪:';
+$lang['qb_bold'] = '袩芯谢褍卸懈褉薪褘泄 褕褉懈褎褌';
+$lang['qb_italic'] = '袣褍褉褋懈胁薪褘泄 褕褉懈褎褌';
+$lang['qb_underl'] = '袩芯写褔械褉泻懈胁邪薪懈械';
+$lang['qb_code'] = '孝械泻褋褌 泻芯写邪';
+$lang['qb_strike'] = '袟邪褔褢褉泻薪褍褌褘泄 褕褉懈褎褌';
+$lang['qb_h1'] = '袟邪谐芯谢芯胁芯泻 褍褉芯胁薪褟 1';
+$lang['qb_h2'] = '袟邪谐芯谢芯胁芯泻 褍褉芯胁薪褟 2';
+$lang['qb_h3'] = '袟邪谐芯谢芯胁芯泻 褍褉芯胁薪褟 3';
+$lang['qb_h4'] = '袟邪谐芯谢芯胁芯泻 褍褉芯胁薪褟 4';
+$lang['qb_h5'] = '袟邪谐芯谢芯胁芯泻 褍褉芯胁薪褟 5';
+$lang['qb_h'] = '袟邪谐芯谢芯胁芯泻';
+$lang['qb_hs'] = '袙褘斜芯褉 蟹邪谐芯谢芯胁泻邪';
+$lang['qb_hplus'] = '袟邪谐芯谢芯胁芯泻 斜芯谢械械 胁褘褋芯泻芯谐芯 褍褉芯胁薪褟';
+$lang['qb_hminus'] = '袟邪谐芯谢芯胁芯泻 斜芯谢械械 薪懈蟹泻芯谐芯 褍褉芯胁薪褟 (锌芯写蟹邪谐芯谢芯胁芯泻)';
+$lang['qb_hequal'] = '袟邪谐芯谢芯胁芯泻 褌械泻褍褖械谐芯 褍褉芯胁薪褟';
+$lang['qb_link'] = '袙薪褍褌褉械薪薪褟褟 褋褋褘谢泻邪';
+$lang['qb_extlink'] = '袙薪械褕薪褟褟 褋褋褘谢泻邪';
+$lang['qb_hr'] = '袪邪蟹写械谢懈褌械谢褜';
+$lang['qb_ol'] = '协谢械屑械薪褌 薪褍屑械褉芯胁邪薪薪芯谐芯 褋锌懈褋泻邪';
+$lang['qb_ul'] = '协谢械屑械薪褌 薪械薪褍屑械褉芯胁邪薪薪芯谐芯 褋锌懈褋泻邪';
+$lang['qb_media'] = '袛芯斜邪胁懈褌褜 泻邪褉褌懈薪泻懈 懈 写褉褍谐懈械 褎邪泄谢褘';
+$lang['qb_sig'] = '袙褋褌邪胁懈褌褜 锌芯写锌懈褋褜';
+$lang['qb_smileys'] = '小屑邪泄谢懈泻懈';
+$lang['qb_chars'] = '小锌械褑懈邪谢褜薪褘械 褋懈屑胁芯谢褘';
+$lang['upperns'] = '袩械褉械泄褌懈 胁 褉芯写懈褌械谢褜褋泻芯械 锌褉芯褋褌褉邪薪褋褌胁芯 懈屑械薪';
+$lang['admin_register'] = '袛芯斜邪胁懈褌褜 锌芯谢褜蟹芯胁邪褌械谢褟';
+$lang['metaedit'] = '袩褉邪胁懈褌褜 屑械褌邪写邪薪薪褘械';
+$lang['metasaveerr'] = '袨褕懈斜泻邪 蟹邪锌懈褋懈 屑械褌邪写邪薪薪褘褏';
+$lang['metasaveok'] = '袦械褌邪写邪薪薪褘械 褋芯褏褉邪薪械薪褘';
+$lang['img_backto'] = '袙械褉薪褍褌褜褋褟 泻';
+$lang['img_title'] = '袧邪蟹胁邪薪懈械';
+$lang['img_caption'] = '袩芯写锌懈褋褜';
+$lang['img_date'] = '袛邪褌邪';
+$lang['img_fname'] = '袠屑褟 褎邪泄谢邪';
+$lang['img_fsize'] = '袪邪蟹屑械褉';
+$lang['img_artist'] = '肖芯褌芯谐褉邪褎';
+$lang['img_copyr'] = '袗胁褌芯褉褋泻懈械 锌褉邪胁邪';
+$lang['img_format'] = '肖芯褉屑邪褌';
+$lang['img_camera'] = '袦芯写械谢褜';
+$lang['img_keywords'] = '袣谢褞褔械胁褘械 褋谢芯胁邪';
+$lang['subscribe_success'] = '%s 写芯斜邪胁谢械薪(邪) 胁 褋锌懈褋芯泻 褉邪褋褋褘谢泻懈 写谢褟 褋褌褉邪薪懈褑褘 %s';
+$lang['subscribe_error'] = '袨褕懈斜泻邪 写芯斜邪胁谢械薪懈褟 锌芯谢褜蟹芯胁邪褌械谢褟 %s 胁 褋锌懈褋芯泻 褉邪褋褋褘谢泻懈 写谢褟 褋褌褉邪薪懈褑褘 %s';
+$lang['subscribe_noaddress'] = '袙 胁邪褕械屑 锌褉芯褎懈谢械 薪械 褍泻邪蟹邪薪 邪写褉械褋 褝谢械泻褌褉芯薪薪芯泄 锌芯褔褌褘. 袙胁懈写褍 褝褌芯谐芯 胁褘 薪械 屑芯卸械褌械 锌芯写锌懈褋褘胁邪褌褜褋褟 薪邪 褉邪褋褋褘谢泻懈.';
+$lang['unsubscribe_success'] = '%s 褍写邪谢械薪(邪) 懈蟹 褋锌懈褋泻邪 褉邪褋褋褘谢泻懈 写谢褟 褋褌褉邪薪懈褑褘 %s';
+$lang['unsubscribe_error'] = '袨褕懈斜泻邪 褍写邪谢械薪懈褟 %s 懈蟹 褋锌懈褋泻邪 褉邪褋褋褘谢泻懈 写谢褟 褋褌褉邪薪懈褑褘 %s';
+$lang['authmodfailed'] = '袧械锌褉邪胁懈谢褜薪邪褟 泻芯薪褎懈谐褍褉邪褑懈褟 邪褍褌械薪褌懈褎懈泻邪褑懈懈 锌芯谢褜蟹芯胁邪褌械谢褟. 袩芯卸邪谢褍泄褋褌邪, 褋芯芯斜褖懈褌械 芯斜 褝褌芯屑 胁邪褕械屑褍 邪写屑懈薪懈褋褌褉邪褌芯褉褍 胁懈泻懈.';
+$lang['authtempfail'] = '袗褍褌械薪褌懈褎懈泻邪褑懈褟 锌芯谢褜蟹芯胁邪褌械谢械泄 胁褉械屑械薪薪芯 薪械写芯褋褌褍锌薪邪. 袝褋谢懈 锌褉芯斜谢械屑邪 锌褉芯写芯谢卸邪械褌褋褟 泻邪泻芯械-褌芯 胁褉械屑褟, 锌芯卸邪谢褍泄褋褌邪, 褋芯芯斜褖懈褌械 芯斜 褝褌芯屑 胁邪褕械屑褍 邪写屑懈薪懈褋褌褉邪褌芯褉褍 胁懈泻懈.';
+$lang['i_chooselang'] = '袙褘斜械褉懈褌械 袙邪褕 褟蟹褘泻/Language';
+$lang['i_installer'] = '校褋褌邪薪芯胁泻邪 DokuWiki';
+$lang['i_wikiname'] = '袧邪蟹胁邪薪懈械 胁懈泻懈';
+$lang['i_enableacl'] = '袪邪蟹褉械褕懈褌褜 芯谐褉邪薪懈褔械薪懈械 锌褉邪胁 写芯褋褌褍锌邪 (褉械泻芯屑械薪写褍械褌褋褟)';
+$lang['i_superuser'] = '小褍锌械褉褞蟹械褉';
+$lang['i_problems'] = '袩褉芯谐褉邪屑屑邪 褍褋褌邪薪芯胁泻懈 褋褌芯谢泻薪褍谢邪褋褜 褋 锌褉芯斜谢械屑邪屑懈, 锌械褉械褔懈褋谢械薪薪褘屑懈 薪懈卸械. 效褌芯斜褘 锌褉芯写芯谢卸懈褌褜, 胁邪屑 薪械芯斜褏芯写懈屑芯 懈褏 褍褋褌褉邪薪懈褌褜. ';
+$lang['i_modified'] = '袠蟹 褋芯芯斜褉邪卸械薪懈泄 斜械蟹芯锌邪褋薪芯褋褌懈 褝褌邪 锌褉芯谐褉邪屑屑邪 蟹邪锌褍褋泻邪械褌褋褟 褌芯谢褜泻芯 薪邪 薪芯胁芯泄, 薪械懈蟹屑械薪褢薪薪芯泄 褍褋褌邪薪芯胁泻械 DokuWiki.
+ 袙邪屑 薪褍卸薪芯 谢懈斜芯 蟹邪薪芯胁芯 褉邪褋锌邪泻芯胁邪褌褜 褋泻邪褔邪薪薪褘泄 锌邪泻械褌 褍褋褌邪薪芯胁泻懈, 谢懈斜芯 芯斜褉邪褌懈褌褜褋褟 泻 锌芯谢薪芯泄
+ <a href="http://dokuwiki.org/install">懈薪褋褌褉褍泻褑懈懈 锌芯 褍褋褌邪薪芯胁泻械 DokuWiki</a>';
+$lang['i_funcna'] = '肖褍薪泻褑懈褟 PHP <code>%s</code> 薪械写芯褋褌褍锌薪邪. 袦芯卸械褌 斜褘褌褜, 芯薪邪 锌芯 泻邪泻芯泄-褌芯 锌褉懈褔懈薪械 蟹邪斜谢芯泻懈褉芯胁邪薪邪 袙邪褕懈屑 褏芯褋褌懈薪谐芯屑?';
+$lang['i_phpver'] = '袙邪褕邪 胁械褉褋懈褟 PHP (<code>%s</code>) 薪懈卸械 褌褉械斜褍械屑芯泄 (<code>%s</code>). 袙邪屑 薪械芯斜褏芯写懈屑芯 芯斜薪芯胁懈褌褜 褍褋褌邪薪芯胁谢械薪薪褍褞 胁械褉褋懈褞 PHP.';
+$lang['i_permfail'] = '<code>%s</code> 薪械写芯褋褌褍锌薪邪 写谢褟 蟹邪锌懈褋懈 DokuWiki. 袙邪屑 薪械芯斜褏芯写懈屑芯 懈褋锌褉邪胁懈褌褜 褋懈褋褌械屑薪褘械 锌褉邪胁邪 写芯褋褌褍锌邪 写谢褟 褝褌芯泄 写懈褉械泻褌芯褉懈懈!';
+$lang['i_confexists'] = '<code>%s</code> 褍卸械 褋褍褖械褋褌胁褍械褌';
+$lang['i_writeerr'] = '袧械 褍写邪谢芯褋褜 褋芯蟹写邪褌褜 <code>%s</code>. 袙邪屑 薪械芯斜褏芯写懈屑芯 锌褉芯胁械褉懈褌褜 褋懈褋褌械屑薪褘械 锌褉邪胁邪 写芯褋褌褍锌邪 泻 褎邪泄谢褍/写懈褉械泻褌芯褉懈褟屑 懈 褋芯蟹写邪褌褜 褎邪泄谢 胁褉褍褔薪褍褞. ';
+$lang['i_badhash'] = 'dokuwiki.php 薪械 褉邪褋锌芯蟹薪邪薪邪 懈谢懈 懈蟹屑械薪械薪邪 (褏褝褕=<code>%s</code>)';
+$lang['i_badval'] = '<code>%s</code> - 薪械写芯锌褍褋褌懈屑芯械 懈谢懈 锌褍褋褌芯械 蟹薪邪褔械薪懈械';
+$lang['i_success'] = '袣芯薪褎懈谐褍褉邪褑懈褟 锌褉芯褕谢邪 褍褋锌械褕薪芯. 孝械锌械褉褜 胁褘 屑芯卸械褌械 褍写邪谢懈褌褜 褎邪泄谢 install.php. 袩械褉械褏芯写懈褌械 泻
+ <a href="doku.php">胁邪褕械泄 薪芯胁芯泄 DokuWiki</a>.';
+$lang['i_failure'] = '袩褉懈 蟹邪锌懈褋懈 胁 褎邪泄谢褘 泻芯薪褎懈谐褍褉邪褑懈懈 斜褘谢懈 芯斜薪邪褉褍卸械薪褘 芯褕懈斜泻懈. 袙芯蟹屑芯卸薪芯, 胁邪屑 锌褉懈写械褌褋褟 懈褋锌褉邪胁懈褌褜 懈褏 胁褉褍褔薪褍褞, 锌褉械卸写械 褔械屑 胁褘 褋屑芯卸械褌械 懈褋锌芯谢褜蟹芯胁邪褌褜 <a href="doku.php">袙邪褕褍 薪芯胁褍褞 DokuWiki</a>.';
+$lang['i_policy'] = '袠褋褏芯写薪邪褟 锌芯谢懈褌懈泻邪 锌褉邪胁 写芯褋褌褍锌邪';
+$lang['i_pol0'] = '袨褌泻褉褘褌邪褟 胁懈泻懈 (褔褌械薪懈械, 蟹邪锌懈褋褜, 蟹邪泻邪褔泻邪 褎邪泄谢芯胁 写谢褟 胁褋械褏)';
+$lang['i_pol1'] = '袨斜褖械写芯褋褌褍锌薪邪褟 胁懈泻懈 (褔褌械薪懈械 写谢褟 胁褋械褏, 蟹邪锌懈褋褜 懈 蟹邪谐褉褍蟹泻邪 褎邪泄谢芯胁 写谢褟 蟹邪褉械谐懈褋褌褉懈褉芯胁邪薪薪褘褏 锌芯谢褜蟹芯胁邪褌械谢械泄)';
+$lang['i_pol2'] = '袟邪泻褉褘褌邪褟 胁懈泻懈 (褔褌械薪懈械, 蟹邪锌懈褋褜 懈 蟹邪谐褉褍蟹泻邪 褎邪泄谢芯胁 褌芯谢褜泻芯 写谢褟 蟹邪褉械谐懈褋褌褉懈褉芯胁邪薪薪褘褏 锌芯谢褜蟹芯胁邪褌械谢械泄)';
+$lang['i_retry'] = '袩芯胁褌芯褉懈褌褜 锌芯锌褘褌泻褍';
+$lang['mu_intro'] = '袟写械褋褜 袙褘 屑芯卸械褌械 蟹邪谐褉褍蟹懈褌褜 薪械褋泻芯谢褜泻芯 褎邪泄谢芯胁 褋褉邪蟹褍. 袣谢懈泻薪懈褌械 薪邪 "芯斜蟹芯褉", 褔褌芯斜褘 写芯斜邪胁懈褌褜 懈褏 胁 褋锌懈褋芯泻. 袧邪卸屑懈褌械 "蟹邪谐褉褍蟹懈褌褜" 泻芯谐写邪 斜褍写械褌械 谐芯褌芯胁褘.';
+$lang['mu_gridname'] = '袠屑褟 褎邪泄谢邪';
+$lang['mu_gridsize'] = '袪邪蟹屑械褉';
+$lang['mu_gridstat'] = '小褌邪褌褍褋';
+$lang['mu_namespace'] = '袩褉芯褋褌褉邪薪褋褌胁芯 懈屑褢薪';
+$lang['mu_browse'] = '袨斜蟹芯褉';
+$lang['mu_toobig'] = '褋谢懈褕泻芯屑 斜芯谢褜褕芯泄';
+$lang['mu_ready'] = '谐芯褌芯胁芯 泻 蟹邪谐褉褍蟹泻械';
+$lang['mu_done'] = '蟹邪胁械褉褕械薪芯';
+$lang['mu_fail'] = '锌褉芯胁邪谢械薪芯';
+$lang['mu_authfail'] = '懈褋褌械泻谢芯 胁褉械屑褟 褋械褋褋懈懈';
+$lang['mu_progress'] = '@PCT@% 蟹邪谐褉褍卸械薪芯';
+$lang['mu_filetypes'] = '袛芯锌褍褋褌懈屑褘械 褌懈锌褘 褎邪泄谢芯胁';
+$lang['mu_info'] = '褎邪泄谢芯胁 蟹邪谐褉褍卸械薪芯.';
+$lang['mu_lasterr'] = '袩芯褋谢械写薪褟褟 芯褕懈斜泻邪:';
+$lang['recent_global'] = '袙褘 锌褉芯褋屑邪褌褉懈胁邪械褌械 懈蟹屑械薪械薪懈褟 胁 锌褉芯褋褌褉邪薪褋褌胁械 懈屑褢薪 <b>%s</b>. 袙褘 屑芯卸械褌械 褌邪泻卸械 <a href="%s">锌褉芯褋屑芯褌褉械褌褜 薪械写邪胁薪懈械 懈蟹屑械薪械薪懈褟 薪邪 胁褋械泄 胁懈泻懈</a>.';
+$lang['years'] = '%d 谢械褌 薪邪蟹邪写';
+$lang['months'] = '%d 屑械褋褟褑(械胁) 薪邪蟹邪写';
+$lang['weeks'] = '%d 薪械写械谢褜 薪邪蟹邪写';
+$lang['days'] = '%d 写薪械泄 薪邪蟹邪写';
+$lang['hours'] = '%d 褔邪褋(芯胁) 薪邪蟹邪写';
+$lang['minutes'] = '%d 屑懈薪褍褌 薪邪蟹邪写';
+$lang['seconds'] = '%d 褋械泻褍薪写 薪邪蟹邪写';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/locked.txt
new file mode 100644
index 000000000..3e868ba9a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/locked.txt
@@ -0,0 +1,3 @@
+====== 小褌褉邪薪懈褑邪 蟹邪斜谢芯泻懈褉芯胁邪薪邪 ======
+
+协褌邪 褋褌褉邪薪懈褑邪 胁 写邪薪薪褘泄 屑芯屑械薪褌 蟹邪斜谢芯泻懈褉芯胁邪薪邪 写谢褟 褉械写邪泻褌懈褉芯胁邪薪懈褟 写褉褍谐懈屑 锌芯谢褜蟹芯胁邪褌械谢械屑. 袙邪屑 锌褉懈写褢褌褋褟 锌芯写芯卸写邪褌褜, 锌芯泻邪 褝褌芯褌 锌芯谢褜蟹芯胁邪褌械谢褜 蟹邪泻芯薪褔懈褌 褉械写邪泻褌懈褉芯胁邪薪懈械 懈谢懈 懈褋褌械褔褢褌 胁褉械屑褟 斜谢芯泻懈褉芯胁泻懈.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/login.txt
new file mode 100644
index 000000000..967131874
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/login.txt
@@ -0,0 +1,4 @@
+====== 袗胁褌芯褉懈蟹邪褑懈褟 ======
+
+袙 写邪薪薪褘泄 屑芯屑械薪褌 袙褘 薪械 胁 褋懈褋褌械屑械. 袗胁褌芯褉懈蟹懈褉褍泄褌械褋褜 锌褉懈 锌芯屑芯褖懈 褋谢械写褍褞褖械泄 褎芯褉屑褘. //袟邪屑械褔邪薪懈械:// 写谢褟 褉邪斜芯褌褘 褍 胁邪褋 写芯谢卸薪褘 斜褘褌褜 胁泻谢褞褔械薪褘 cookies.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/mailtext.txt
new file mode 100644
index 000000000..596fd8275
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/mailtext.txt
@@ -0,0 +1,17 @@
+袙 胁邪褕械泄 DokuWiki 斜褘谢邪 写芯斜邪胁谢械薪邪 懈谢懈 懈蟹屑械薪械薪邪 褋褌褉邪薪懈褑邪. 袩芯写褉芯斜薪芯褋褌懈:
+
+袛邪褌邪 : @DATE@
+袘褉邪褍蟹械褉 : @BROWSER@
+IP-邪写褉械褋 : @IPADDRESS@
+啸芯褋褌 : @HOSTNAME@
+小褌邪褉邪褟 胁械褉褋懈褟 : @OLDPAGE@
+袧芯胁邪褟 胁械褉褋懈褟 : @NEWPAGE@
+小胁芯写泻邪 懈蟹屑械薪械薪懈泄 : @SUMMARY@
+袩芯谢褜蟹芯胁邪褌械谢褜 : @USER@
+
+@DIFF@
+
+
+--
+协褌芯 锌懈褋褜屑芯 斜褘谢芯 褋谐械薪械褉懈褉芯胁邪薪芯 DokuWiki 锌芯 邪写褉械褋褍
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/newpage.txt
new file mode 100644
index 000000000..042941310
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/newpage.txt
@@ -0,0 +1,3 @@
+====== 协褌邪 褋褌褉邪薪懈褑邪 械褖褢 薪械 褋褍褖械褋褌胁褍械褌 ======
+
+袙褘 锌械褉械褕谢懈 锌芯 褋褋褘谢泻械 薪邪 褌械屑褍, 写谢褟 泻芯褌芯褉芯泄 械褖褢 薪械 褋芯蟹写邪薪邪 褋褌褉邪薪懈褑邪. 袝褋谢懈 锌芯蟹胁芯谢褟褞褌 袙邪褕懈 锌褉邪胁邪 写芯褋褌褍锌邪, 袙褘 屑芯卸械褌械 褋芯蟹写邪褌褜 械褢, 薪邪卸邪胁 薪邪 泻薪芯锌泻褍 "小芯蟹写邪褌褜 褋褌褉邪薪懈褑褍".
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/norev.txt
new file mode 100644
index 000000000..db36a0ddd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/norev.txt
@@ -0,0 +1,4 @@
+====== 孝邪泻芯泄 胁械褉褋懈懈 薪械 褋褍褖械褋褌胁褍械褌 ======
+
+校泻邪蟹邪薪薪邪褟 胁械褉褋懈褟 褋褌褉邪薪懈褑褘 薪械 褋褍褖械褋褌胁褍械褌. 袧邪卸屑懈褌械 薪邪 泻薪芯锌泻褍 ''袠褋褌芯褉懈褟 褋褌褉邪薪懈褑褘'', 褔褌芯斜褘 锌芯谢褍褔懈褌褜 褋锌懈褋芯泻 写芯褋褌褍锌薪褘褏 锌褉械写褘写褍褖懈褏 胁械褉褋懈泄 褝褌芯谐芯 写芯泻褍屑械薪褌邪.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/preview.txt
new file mode 100644
index 000000000..a3f37843d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/preview.txt
@@ -0,0 +1,4 @@
+====== 袩褉芯褋屑芯褌褉 ======
+
+袟写械褋褜 锌芯泻邪蟹邪薪芯, 泻邪泻 袙邪褕 褌械泻褋褌 斜褍写械褌 胁褘谐谢褟写械褌褜. 袙薪懈屑邪薪懈械: 褌械泻褋褌 械褖褢 **薪械 褋芯褏褉邪薪褢薪!**
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/pwconfirm.txt
new file mode 100644
index 000000000..89c84791e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/pwconfirm.txt
@@ -0,0 +1,13 @@
+袟写褉邪胁褋褌胁褍泄褌械, @FULLNAME@!
+
+袣褌芯-褌芯 蟹邪锌褉芯褋懈谢 薪芯胁褘泄 锌邪褉芯谢褜 写谢褟 胁褏芯写邪 胁 @TITLE@ 锌芯 邪写褉械褋褍 @DOKUWIKIURL@
+
+袝褋谢懈 袙褘 薪械 蟹邪锌褉邪褕懈胁邪谢懈 薪芯胁褘泄 锌邪褉芯谢褜, 锌褉芯褋褌芯 锌褉芯懈谐薪芯褉懈褉褍泄褌械 褝褌芯褌 e-mail.
+
+袛谢褟 锌芯写褌胁械褉卸写械薪懈褟, 褔褌芯 蟹邪锌褉芯褋 斜褘谢 写械泄褋褌胁懈褌械谢褜薪芯 褋写械谢邪薪 袙邪屑懈, 锌芯卸邪谢褍泄褋褌邪, 锌械褉械泄写懈褌械 锌芯 褋谢械写褍褞褖械泄 褋褋褘谢泻械.
+
+@CONFIRM@
+
+--
+协褌芯 褋芯芯斜褖械薪懈械 斜褘谢芯 褋谐械薪械褉懈褉芯胁邪薪芯 DokuWiki 锌芯 邪写褉械褋褍
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/read.txt
new file mode 100644
index 000000000..ed2754ac7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/read.txt
@@ -0,0 +1,2 @@
+协褌邪 褋褌褉邪薪懈褑邪 鈥 褌芯谢褜泻芯 写谢褟 褔褌械薪懈褟. 袙褘 屑芯卸械褌械 锌芯褋屑芯褌褉械褌褜 懈褋褏芯写薪褘泄 褌械泻褋褌, 薪芯 薪械 屑芯卸械褌械 械谐芯 懈蟹屑械薪懈褌褜. 小芯芯斜褖懈褌械 邪写屑懈薪懈褋褌褉邪褌芯褉褍, 械褋谢懈 褋褔懈褌邪械褌械, 褔褌芯 褝褌芯 薪械锌褉邪胁懈谢褜薪芯.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/recent.txt
new file mode 100644
index 000000000..aa088c734
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/recent.txt
@@ -0,0 +1,5 @@
+====== 袧械写邪胁薪懈械 懈蟹屑械薪械薪懈褟 ======
+
+协褌懈 褋褌褉邪薪懈褑褘 斜褘谢懈 懈蟹屑械薪械薪褘 薪械写邪胁薪芯.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/register.txt
new file mode 100644
index 000000000..23ed33656
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/register.txt
@@ -0,0 +1,3 @@
+====== 袪械谐懈褋褌褉邪褑懈褟 薪芯胁芯谐芯 锌芯谢褜蟹芯胁邪褌械谢褟 ======
+
+袛谢褟 褉械谐懈褋褌褉邪褑懈懈 胁 胁懈泻懈 蟹邪锌芯谢薪懈褌械 胁褋械 锌芯谢褟 薪懈卸械. 袨斜褉邪褌懈褌械 胁薪懈屑邪薪懈械 薪邪 **锌褉邪胁懈谢褜薪芯褋褌褜 邪写褉械褋邪 械-屑褝泄谢** - 褌褍写邪 斜褍写械褌 胁褘褋谢邪薪 锌邪褉芯谢褜 胁 褌芯屑 褋谢褍褔邪械, 械褋谢懈 袙邪褋 薪械 锌褉芯褋褟褌 褋邪屑芯褋褌芯褟褌械谢褜薪芯 胁胁械褋褌懈 械谐芯 蟹写械褋褜. 袥芯谐懈薪 写芯谢卸械薪 褍写芯胁谢械褌胁芯褉褟褌褜 芯谐褉邪薪懈褔械薪懈褟屑 写谢褟 [[doku>pagename|懈写械薪褌懈褎懈泻邪褌芯褉邪 褋褌褉邪薪懈褑褘]].
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/registermail.txt
new file mode 100644
index 000000000..615cff543
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/registermail.txt
@@ -0,0 +1,14 @@
+袘褘谢 蟹邪褉械谐懈褋褌褉懈褉芯胁邪薪 薪芯胁褘泄 锌芯谢褜蟹芯胁邪褌械谢褜. 袩芯写褉芯斜薪芯褋褌懈:
+
+袥芯谐懈薪 : @NEWUSER@
+袩芯谢薪芯械 懈屑褟 : @NEWNAME@
+E-mail : @NEWEMAIL@
+
+袛邪褌邪 : @DATE@
+袘褉邪褍蟹械褉 : @BROWSER@
+袗写褉械褋 IP : @IPADDRESS@
+啸芯褋褌 : @HOSTNAME@
+
+--
+协褌芯 褋芯芯斜褖械薪懈械 斜褘谢芯 褋谐械薪械褉懈褉芯胁邪薪芯 DokuWiki 锌芯 邪写褉械褋褍
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/resendpwd.txt
new file mode 100644
index 000000000..9bcd98dea
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/resendpwd.txt
@@ -0,0 +1,3 @@
+====== 袩芯褋谢邪褌褜 薪芯胁褘泄 锌邪褉芯谢褜 ======
+
+袛谢褟 锌芯谢褍褔械薪懈褟 薪芯胁芯谐芯 锌邪褉芯谢褟 胁胁械写懈褌械 褌褉械斜褍械屑褘械 写邪薪薪褘械 薪懈卸械. 袙邪褕 薪芯胁褘泄 锌邪褉芯谢褜 斜褍写械褌 锌芯褋谢邪薪 锌芯 邪写褉械褋褍 械-屑褝泄谢, 蟹邪褉械谐懈褋褌褉懈褉芯胁邪薪薪芯屑褍 薪邪 袙邪褕械 懈屑褟. 校泻邪蟹邪薪薪芯械 薪懈卸械 懈屑褟 写芯谢卸薪芯 斜褘褌褜 袙邪褕懈屑 谢芯谐懈薪芯屑 胁 褝褌芯泄 胁懈泻懈.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/revisions.txt
new file mode 100644
index 000000000..4341969a3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/revisions.txt
@@ -0,0 +1,3 @@
+====== 袠褋褌芯褉懈褟 褋褌褉邪薪懈褑褘 ======
+
+袩械褉械写 袙邪屑懈 鈥 懈褋褌芯褉懈褟 锌褉邪胁芯泻 褌械泻褍褖械谐芯 写芯泻褍屑械薪褌邪. 效褌芯斜褘 胁械褉薪褍褌褜褋褟 泻 芯写薪芯泄 懈蟹 锌褉械写褘写褍褖懈褏 胁械褉褋懈泄, 胁褘斜械褉懈褌械 薪褍卸薪褍褞, 薪邪卸屑懈褌械 ''袩褉邪胁懈褌褜 褋褌褉邪薪懈褑褍'' 懈 褋芯褏褉邪薪懈褌械.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/searchpage.txt
new file mode 100644
index 000000000..33cac358a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/searchpage.txt
@@ -0,0 +1,5 @@
+====== 袩芯懈褋泻 ======
+
+袩械褉械写 袙邪屑懈 鈥 褉械蟹褍谢褜褌邪褌褘 锌芯懈褋泻邪. 袝褋谢懈 袙褘 薪械 薪邪褕谢懈 褌芯, 褔褌芯 懈褋泻邪谢懈, 袙褘 屑芯卸械褌械 褋芯蟹写邪褌褜 薪芯胁褍褞 褋褌褉邪薪懈褑褍 褋 懈屑械薪械屑, 褋芯胁锌邪写邪褞褖懈屑 褋 蟹邪锌褉芯褋芯屑. 效褌芯斜褘 褋写械谢邪褌褜 褝褌芯, 锌褉芯褋褌芯 薪邪卸屑懈褌械 薪邪 泻薪芯锌泻褍 ''小芯蟹写邪褌褜 褋褌褉邪薪懈褑褍''.
+
+===== 袪械蟹褍谢褜褌邪褌褘 ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/showrev.txt
new file mode 100644
index 000000000..acb814e17
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/showrev.txt
@@ -0,0 +1,2 @@
+**协褌芯 鈥 褋褌邪褉邪褟 胁械褉褋懈褟 写芯泻褍屑械薪褌邪!**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/stopwords.txt
new file mode 100644
index 000000000..a6df13902
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/stopwords.txt
@@ -0,0 +1,93 @@
+# This is a list of words the indexer ignores, one word per line
+# When you edit this file be sure to use UNIX line endings (single newline)
+# No need to include words shorter than 3 chars - these are ignored anyway
+斜芯谢械械
+斜芯谢褜褕械
+斜褍写械褌
+斜褍写褌芯
+斜褘谢邪
+斜褘谢芯
+斜褘褌褜
+胁写褉褍谐
+胁械写褜
+胁锌褉芯褔械屑
+胁褋械谐写邪
+胁褋械褏
+胁褋械谐芯
+谐芯胁芯褉懈谢
+谐芯胁芯褉懈谢邪
+写邪卸械
+写褉褍谐芯泄
+写褉褍谐邪褟
+械褋谢懈
+械褋褌褜
+卸懈蟹薪褜
+卸懈蟹薪褟
+蟹邪褔械屑
+蟹写械褋褜
+懈薪芯谐写邪
+泻邪卸械褌褋褟
+泻邪泻邪褟
+泻邪泻芯泄
+泻邪泻芯械
+泻芯谐写邪
+泻芯薪械褔薪芯
+谢褍褔褕械
+屑械卸写褍
+屑械薪械械
+屑械薪褜褕械
+屑械薪褟
+屑薪芯谐芯
+屑芯卸械褌
+屑芯卸薪芯
+薪邪写芯
+薪邪泻芯薪械褑
+薪械谐芯
+薪械谢褜蟹褟
+薪懈斜褍写褜
+薪懈泻芯谐写邪
+薪懈褔械谐芯
+薪褍卸薪芯
+芯写懈薪
+芯写薪邪
+芯锌褟褌褜
+锌械褉械写
+锌芯褋谢械
+锌芯褌芯屑
+锌芯褌芯屑褍
+锌芯褔褌懈
+褉邪蟹胁械
+褋胁芯械
+褋胁芯褢
+褋胁芯泄
+褋胁芯褞
+褋胁芯褟
+褋械斜械
+褋械斜褟
+褋械谐芯写薪褟
+褋械泄褔邪褋
+褋泻邪蟹邪谢
+褋泻邪蟹邪谢邪
+褋泻邪蟹邪褌褜
+褋芯胁褋械屑
+褌邪泻邪褟
+褌邪泻芯械
+褌邪泻芯泄
+褌械斜褟
+褌械锌械褉褜
+褌芯谐写邪
+褌芯谐芯
+褌芯卸械
+褌芯谢褜泻芯
+褌芯屑褍
+褏芯褉芯褕芯
+褏芯褌褜
+褔械谐芯
+褔械褉械蟹
+褔褌芯斜
+褔褌芯斜褘
+褔褍褌褜
+褝褌芯谐芯
+褝褌芯泄
+褝褌懈屑
+褝褌芯褌
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/subscribermail.txt
new file mode 100644
index 000000000..1a846ac32
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/subscribermail.txt
@@ -0,0 +1,17 @@
+袟写褉邪胁褋褌胁褍泄褌械!
+
+小褌褉邪薪懈褑邪 @PAGE@ 胁 胁懈泻懈 @TITLE@ 斜褘谢邪 懈蟹屑械薪械薪邪.
+袧懈卸械 锌褉械写褋褌邪胁谢械薪褘 懈蟹屑械薪械薪懈褟:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+效褌芯斜褘 芯褌锌懈褋邪褌褜褋褟 芯褌 懈蟹屑械薪械薪懈泄 褝褌芯泄 褋褌褉邪薪懈褑褘,
+胁芯泄写懈褌械 锌芯写 褋胁芯懈屑 懈屑械薪械屑 胁 袙懈泻懈 锌芯 邪写褉械褋褍
+@DOKUWIKIURL@, 锌械褉械泄写懈褌械 薪邪 褋褌褉邪薪懈褑褍
+@NEWPAGE@ 懈 薪邪卸屑懈褌械 '袨褌锌懈褋邪褌褜褋褟'.
+
+--
+协褌芯 褋芯芯斜褖械薪懈械 斜褘谢芯 褋谐械薪械褉懈褉芯胁邪薪芯 DokuWiki 锌芯 邪写褉械褋褍
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/updateprofile.txt
new file mode 100644
index 000000000..136184467
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/updateprofile.txt
@@ -0,0 +1,5 @@
+====== 袨斜薪芯胁懈褌褜 锌褉芯褎懈谢褜 ======
+
+袧械芯斜褏芯写懈屑芯 蟹邪锌芯谢薪懈褌褜 褌芯谢褜泻芯 褌械 锌芯谢褟, 泻芯褌芯褉褘械 袙褘 褏芯褌懈褌械 懈蟹屑械薪懈褌褜. 袠屑褟 锌芯谢褜蟹芯胁邪褌械谢褟 薪械 屑芯卸械褌 斜褘褌褜 懈蟹屑械薪械薪芯.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/uploadmail.txt
new file mode 100644
index 000000000..07dbf186d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/uploadmail.txt
@@ -0,0 +1,14 @@
+袙 胁邪褕褍 DokuWiki 斜褘谢 蟹邪泻邪褔邪薪 褎邪泄谢. 袩芯写褉芯斜薪邪褟 懈薪褎芯褉屑邪褑懈褟:
+
+肖邪泄谢 : @MEDIA@
+袛邪褌邪 : @DATE@
+袘褉邪褍蟹械褉 : @BROWSER@
+袗写褉械褋 IP : @IPADDRESS@
+啸芯褋褌 : @HOSTNAME@
+袪邪蟹屑械褉 : @SIZE@
+孝懈锌 MIME : @MIME@
+袩芯谢褜蟹芯胁邪褌械谢褜: @USER@
+
+--
+协褌芯 锌懈褋褜屑芯 斜褘谢芯 褋谐械薪械褉懈褉芯胁邪薪芯 DokuWiki 锌芯 邪写褉械褋褍
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/wordblock.txt
new file mode 100644
index 000000000..09c663fb3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/ru/wordblock.txt
@@ -0,0 +1,3 @@
+====== 小袩袗袦 蟹邪斜谢芯泻懈褉芯胁邪薪 ======
+
+袙邪褕懈 懈蟹屑械薪械薪懈褟 **薪械 斜褘谢懈** 褋芯褏褉邪薪械薪褘, 褌邪泻 泻邪泻 芯薪懈 褋芯写械褉卸邪褌 芯写薪芯 懈谢懈 斜芯谢械械 蟹邪锌褉械褖械薪薪褘褏 褋谢芯胁. 袝褋谢懈 袙褘 锌褘褌邪谢懈褋褜 写芯斜邪胁懈褌褜 褋锌邪屑 胁 袙懈泻懈 -- 邪泄-褟泄-褟泄! 袝褋谢懈 袙褘 褋褔懈褌邪械褌械, 褔褌芯 褝褌芯 泻邪泻邪褟-褌芯 芯褕懈斜泻邪, 芯斜褉邪褌懈褌械褋褜 泻 邪写屑懈薪懈褋褌褉邪褌芯褉褍 胁懈泻懈.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/admin.txt
new file mode 100644
index 000000000..039da2ae2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/admin.txt
@@ -0,0 +1,5 @@
+====== Administr谩cia ======
+
+Ni啪拧ie m么啪te n谩js钮 zoznam administrat铆vnych 煤loch, ktor茅 m么啪te vykon谩va钮 v DokuWiki.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/backlinks.txt
new file mode 100644
index 000000000..b3217d545
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/backlinks.txt
@@ -0,0 +1,3 @@
+====== Sp盲tn茅 odkazy ======
+
+Tu je zoznam str谩nok, ktor茅 pravdepodobne odkazuj煤 na aktu谩lnu str谩nku.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/conflict.txt
new file mode 100644
index 000000000..d3cd0f590
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/conflict.txt
@@ -0,0 +1,5 @@
+====== Existuje nov拧ia verzia ======
+
+Existuje nov拧ia verzia pr谩ve upravovan茅ho dokumentu. To se st谩va, ke膹 niekto in媒 zmenil dokument, ktor媒 pr谩ve upravujete.
+
+Prehliadnite si ni啪拧ie uveden茅 rozdiely, pr铆padne rozdiely z obidvoch verzi铆 ru膷ne spojte dohromady a rozhodnite sa, ktor煤 verziu uchova钮. Ak zvol铆te ''Ulo啪i钮', bude ulo啪en谩 va拧a verzia. V opa膷nom pr铆pade stla膷te ''Storno'' pre uchovanie p么vodnej verzie.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/denied.txt
new file mode 100644
index 000000000..6f673c7d6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/denied.txt
@@ -0,0 +1,3 @@
+====== Nepovolen谩 akcia ======
+
+Prep谩膷te, ale nem谩te dostato膷n茅 opr谩vnenie k tejto 膷innosti. Mo啪no ste se zabudli prihl谩si钮?
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/diff.txt
new file mode 100644
index 000000000..0e29e7f7b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/diff.txt
@@ -0,0 +1,4 @@
+====== Rozdiely ======
+
+Tu m么啪ete vidie钮 rozdiely medzi vybranou verziou a aktu谩lnou verzou danej str谩nky.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/draft.txt
new file mode 100644
index 000000000..7e6776deb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/draft.txt
@@ -0,0 +1,6 @@
+====== N谩jden媒 s煤bor konceptu ======
+
+Va拧a posledn谩 edit谩cia tejto str谩nky nebola ukon膷en谩 korektne. Dokuwiki automaticky ulo啪ila po膷as va拧ej pr谩ce koncept a ten m么啪ete teraz pou啪i钮 pre pokra膷ovanie edit谩cie. Ni啪拧ie m么啪ete vidie钮 d谩ta, ktor茅 boli ulo啪en茅.
+
+Pros铆m, rozhodnite sa, 膷i chcete //obnovi钮// va拧u posledn煤 edit谩ciu, //zmaza钮// automaticky ulo啪en媒 koncept, alebo //stornova钮// proces edit谩cie.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/edit.txt
new file mode 100644
index 000000000..1adf4e16f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/edit.txt
@@ -0,0 +1 @@
+Upravte str谩nku a stla膷te ''Ulo啪i钮''. Na str谩nke [[wiki:syntax]] sa m么啪ete dozvedie钮 viac o Wiki syntaxi. Pros铆m upravujte str谩nky len pokia木 ich m么啪ete **vylep拧it**. Pokia木 si chcete nie膷o len vysk煤拧a钮, pou啪ite [[playground:playground| pieskovisko]].
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/editrev.txt
new file mode 100644
index 000000000..6a837c244
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/editrev.txt
@@ -0,0 +1 @@
+**M谩te na膷铆tan煤 star拧iu verziu dokumentu!** Pokia木 ju ulo啪铆te, vytvor铆te t媒m nov煤 aktu谩ln煤 verziu.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/index.txt
new file mode 100644
index 000000000..7b26d42ce
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/index.txt
@@ -0,0 +1,3 @@
+====== Index ======
+
+Tu je k dispoz铆cii index v拧etk媒ch dostupn媒ch str谩nok Zoraden媒ch pod木a [[doku>namespaces|menn媒ch priestorov]].
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/install.html
new file mode 100644
index 000000000..c45b82005
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/install.html
@@ -0,0 +1,23 @@
+<p>T谩to str谩nka sprev谩dza prvou in拧tal谩ciou a konfigur谩ciou
+<a href="http://dokuwiki.org">Dokuwiki</a>. Viac inform谩ci铆 o tomto In拧tal谩tore je dostupn媒ch na jeho
+<a href="http://dokuwiki.org/installer">dokumenta膷nej str谩nke</a>.</p>
+
+<p>DokuWiki pou啪铆va be啪n茅 s煤bory pre ukladanie wiki str谩nok a in媒ch inform谩ci铆
+priraden媒ch k t媒mto str谩nkam (napr. obr谩zkov, vyh木ad谩vac铆ch indexov, star媒ch
+rev铆zi铆). Ak chcete 煤spe拧ne nar谩ba钮 s DokuWiki, <strong>mus铆</strong>
+ma钮 pr谩va pre z谩pis do adres谩rov, kde sa ukladaj煤 tieto s煤bory. Tento In拧tal谩tor
+nie je schopn媒 nastavi钮 pr铆stupov茅 pr谩va pre adres谩re. Je potrebn茅 to urobi钮
+priamo cez pr铆kazov媒 riadok, alebo ak vyu啪铆vate webhosting, cez FTP, alebo va拧e
+webhostingov茅 administra膷n茅 rozhranie.</p>
+
+<p>Tento In拧tal谩tor nastav铆 <acronym title="access control list - zoznam pr铆stupov媒ch pr谩v">ACL</acronym>
+konfigur谩ciu va拧ej Dokuwiki. Umo啪艌uje vytvori钮 administr谩torsk茅 konto
+s pr铆stupom do administra膷n茅ho menu s mo啪nos钮ou in拧tal谩cie pluginov, spr谩vy
+u啪铆vate木ov, spr谩vy pr铆stupov媒ch pr谩v k wiki str谩nkam a zmeny konfigura膷n媒ch
+nastaven铆. Nie je nevyhnutn茅 pre pou啪铆vanie Dokuwiki, ale umo啪艌uje to 木ah拧ie
+spravova钮 Dokuwiki.</p>
+
+<p>Sk煤sen铆 u啪铆vatelia, alebo u啪铆vatelia so 拧peci谩lnymi po啪iadavkami m么啪u pou啪i钮
+tieto odkazy pre bli啪拧ie inform谩cie t媒kaj煤ce sa
+<a href="http://dokuwiki.org/install">in拧tala膷n媒ch pokynov</a>
+a <a href="http://dokuwiki.org/config">konfigura膷n媒ch nastaven铆</a>.</p>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/lang.php
new file mode 100644
index 000000000..2d9c8efea
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/lang.php
@@ -0,0 +1,227 @@
+<?php
+/**
+ * Slovak language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Ondrej Vegh <ov@vsieti.sk> with help of the scholars from Zdruzena stredna skola polygraficka in Bratislava
+ * @author Michal Mesko <michal.mesko@gmail.com>
+ * @author exusik@gmail.com
+ * @author Martin Michalek <michalek.dev@gmail.com>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '鈥';
+$lang['btn_edit'] = 'Upravi钮 str谩nku';
+$lang['btn_source'] = 'Zobrazi钮 zdroj str谩nky';
+$lang['btn_show'] = 'Zobrazi钮 str谩nku';
+$lang['btn_create'] = 'Vytvori钮 str谩nku';
+$lang['btn_search'] = 'H木ada钮';
+$lang['btn_save'] = 'Ulo啪i钮';
+$lang['btn_preview'] = 'N谩h木ad';
+$lang['btn_top'] = 'Hore';
+$lang['btn_newer'] = '<< nov拧ie';
+$lang['btn_older'] = 'star拧ie >>';
+$lang['btn_revs'] = 'Star拧ia verzia';
+$lang['btn_recent'] = 'Posledn茅 煤pravy';
+$lang['btn_upload'] = 'Nahra钮';
+$lang['btn_cancel'] = 'Storno';
+$lang['btn_index'] = 'Index';
+$lang['btn_secedit'] = 'Upravi钮';
+$lang['btn_login'] = 'Prihl谩si钮 sa';
+$lang['btn_logout'] = 'Odhl谩si钮 sa';
+$lang['btn_admin'] = 'Admin';
+$lang['btn_update'] = 'Aktualizova钮';
+$lang['btn_delete'] = 'Zmaza钮';
+$lang['btn_back'] = 'Sp盲钮';
+$lang['btn_backlink'] = 'Sp盲tn茅 linky';
+$lang['btn_backtomedia'] = 'Sp盲钮 na v媒ber m茅dia';
+$lang['btn_subscribe'] = 'Posiela钮 zmeny';
+$lang['btn_unsubscribe'] = 'Neposiela钮 zmeny';
+$lang['btn_subscribens'] = 'Posiela钮 zmeny menn媒ch priestorov';
+$lang['btn_unsubscribens'] = 'Neposiela钮 zmeny menn媒ch priestorov';
+$lang['btn_profile'] = 'Aktualizova钮 profil';
+$lang['btn_reset'] = 'Zru拧i钮';
+$lang['btn_resendpwd'] = 'Posla钮 nov茅 heslo';
+$lang['btn_draft'] = 'Upravi钮 koncept';
+$lang['btn_recover'] = 'Obnovi钮 koncept';
+$lang['btn_draftdel'] = 'Zmaza钮 koncept';
+$lang['loggedinas'] = 'Prihl谩sen媒(谩) ako';
+$lang['user'] = 'U啪铆vate木sk茅 meno';
+$lang['pass'] = 'Heslo';
+$lang['newpass'] = 'Nov茅 heslo';
+$lang['oldpass'] = 'Potvr膹 aktu谩lne heslo';
+$lang['passchk'] = 'E拧te raz znovu';
+$lang['remember'] = 'Zapam盲taj si ma';
+$lang['fullname'] = 'Cel茅 meno';
+$lang['email'] = 'E-Mail';
+$lang['register'] = 'Registrova钮';
+$lang['profile'] = 'U啪铆vate木sk媒 profil';
+$lang['badlogin'] = 'Zadan茅 u啪铆vate木sk茅 meno a heslo nie je spr谩vne.';
+$lang['minoredit'] = 'Men拧ie zmeny';
+$lang['draftdate'] = 'Koncept automaticky ulo啪en媒';
+$lang['nosecedit'] = 'Skr谩nka bola medzi膷asom zmenen谩, inform谩cie o sekcii s煤 zastaral茅 a z tohto d么vodu bola nahran谩 cel谩 str谩nka.';
+$lang['regmissing'] = 'Mus铆te vyplni钮 v拧etky 煤daje.';
+$lang['reguexists'] = 'U啪铆vate木 s rovnak媒m menom je u啪 zaregistrovan媒.';
+$lang['regsuccess'] = 'U啪铆vate木sk媒 煤膷et bol vytvoren媒 a heslo zaslan茅 mailom.';
+$lang['regsuccess2'] = 'U啪铆vate木sk媒 煤膷et bol vytvoren媒.';
+$lang['regmailfail'] = 'Zd谩 sa, 啪e nastala chyba pri posielan铆 mailu s heslom. Sk煤ste kontaktova钮 spr谩vcu.';
+$lang['regbadmail'] = 'Zadan谩 mailov谩 adresa nie je platn谩. Pokia木 si mysl铆te, 啪e to je zle, sk煤ste kontaktova钮 spr谩vcu.';
+$lang['regbadpass'] = 'Dve zadan茅 hesl谩 nie s煤 rovnak茅, sk煤ste pros铆m znovu.';
+$lang['regpwmail'] = 'Va拧e heslo do syst茅mu DokuWiki';
+$lang['reghere'] = 'Nem谩te u啪ivate木sk媒 煤膷et? Vytvorte si ho';
+$lang['profna'] = 'Toto wiki nepodporuje zmenu profilu';
+$lang['profnochange'] = '沤iadne zmeny, nie je 膷o robi钮.';
+$lang['profnoempty'] = 'Pr谩zdne meno, alebo e-mailov谩 adresa nie s煤 povolen茅.';
+$lang['profchanged'] = 'U啪铆vate木sk媒 煤膷et 煤spe拧ne zmenen媒.';
+$lang['pwdforget'] = 'Zabudli ste heslo? Z铆skajte nov茅!';
+$lang['resendna'] = 'Toto wiki nepodporuje znovuposielanie hesla.';
+$lang['resendpwd'] = 'Po拧li nov茅 heslo pre';
+$lang['resendpwdmissing'] = 'Prep谩膷te, mus铆te vyplni钮 v拧etky polia.';
+$lang['resendpwdnouser'] = 'Prep谩膷te, nem么啪eme n谩js钮 zadan茅ho u啪铆vate木a v datab谩ze.';
+$lang['resendpwdbadauth'] = 'Prep谩膷te, tento autoriza膷n媒 k贸d nie je platn媒. Uistite sa, 膷i ste pou啪ili cel媒 autoriza膷n媒 odkaz.';
+$lang['resendpwdconfirm'] = 'Autoriza膷n媒 odkaz bol zaslan媒 na e-mail.';
+$lang['resendpwdsuccess'] = 'Va拧e nov茅 heslo bolo zaslan茅 na e-mail.';
+$lang['license'] = 'Ak nie je uveden茅 inak, obsah tejto wiki je uverejnen媒 pod nasleduj煤cou licenciou:';
+$lang['licenseok'] = 'Pozn谩mka: Zmenou tejto str谩nky s煤hlas铆te s uverejnen铆m obsahu pod nasleduj煤cou licenciou:';
+$lang['txt_upload'] = 'Vyberte s煤bor ako pr铆lohu';
+$lang['txt_filename'] = 'Wiki meno (voliteln茅)';
+$lang['txt_overwrt'] = 'Prep铆sa钮 existuj煤ci s煤bor';
+$lang['lockedby'] = 'Pr谩ve zamknut茅:';
+$lang['lockexpire'] = 'Z谩mok vypr拧铆:';
+$lang['willexpire'] = 'V谩拧 z谩mok pre edit谩ciu za chv铆lu vypr拧铆.\nAby ste predi拧li konfliktom, stla膷te tla膷铆tko N谩h木ad a z谩mok sa pred暮啪i.';
+$lang['notsavedyet'] = 'Neulo啪en茅 zmeny bud煤 straten茅.\nChcete naozaj pokra膷ova钮?';
+$lang['rssfailed'] = 'Nastala chyba pri vytv谩ran铆 tohto RSS: ';
+$lang['nothingfound'] = 'Ni膷 nen谩jden茅.';
+$lang['mediaselect'] = 'V媒ber dokumentu';
+$lang['fileupload'] = 'Nahr谩vanie dokumentu';
+$lang['uploadsucc'] = 'Prenos prebehol v poriadku';
+$lang['uploadfail'] = 'Chyba pri nahr谩van铆. Mo啪no kv么li zle nastaven媒m pr谩vam?';
+$lang['uploadwrong'] = 'Prenos s煤boru s takouto pr铆ponou nie je dovolen媒.';
+$lang['uploadexist'] = 'S煤bor skuto膷ne existuje. Nie je 膷o robi钮.';
+$lang['uploadbadcontent'] = 'Nahran媒 obsah sa nezhoduje s pr铆ponou s煤boru %s.';
+$lang['uploadspam'] = 'Nahr谩vanie bolo zablokovan茅 spamov媒m blacklistom.';
+$lang['uploadxss'] = 'Nahr谩vanie bolo zablokovan茅 kv么li potenci谩lnemu 拧kodliv茅mu obsahu.';
+$lang['uploadsize'] = 'Nahran媒 s煤bor bol pr铆li拧 ve木k媒. (max %s)';
+$lang['deletesucc'] = 'S煤bor "%s" bol zmazan媒.';
+$lang['deletefail'] = '"%s" nie je mo啪n茅 zmaza钮 - skontrolujte opr谩vnenia.';
+$lang['mediainuse'] = 'S煤bor "%s" nebol zmazan媒 - je st谩le pou啪铆van媒.';
+$lang['namespaces'] = 'Menn茅 priestory';
+$lang['mediafiles'] = 'Dostupn茅 s煤bory';
+$lang['js']['keepopen'] = 'Po vybran铆 s煤boru ponecha钮 okno otvoren茅';
+$lang['js']['hidedetails'] = 'Skry钮 detaily';
+$lang['js']['nosmblinks'] = 'Odkazovanie na zdielan茅 prostriedky Windows funguje len v Internet Explorer.
+Aj napriek tomu tento odkaz m么啪ete skop铆rovat a vlo啪it inde.';
+$lang['js']['mu_btn'] = 'Nahra钮 viac s煤borov naraz';
+$lang['mediausage'] = 'Pre odk谩zanie na s煤bor pou啪ite nasleduj煤cu syntax:';
+$lang['mediaview'] = 'Zobrazi钮 p么vodn媒 s煤bor';
+$lang['mediaroot'] = 'root';
+$lang['mediaupload'] = 'Nahra钮 s煤bor do aktu谩lneho menn茅ho priestoru. Pre vytvorenie menn茅ho podpriestoru, pridajte jeho n谩zov na za膷iatok wiki mena (oddelen媒 dvojbodkou)';
+$lang['mediaextchange'] = 'Pr铆pona s煤boru bola zmenen谩 z .%s na .%s!';
+$lang['reference'] = 'Referencie pre';
+$lang['ref_inuse'] = 'S煤bor nem么啪e by钮 zmazan媒, preto啪e je st谩le pou啪铆van媒 nasleduj煤cimi str谩nkami:';
+$lang['ref_hidden'] = 'Niektor茅 referencie s煤 na str谩nky pre ktor茅 nem谩te pr谩vo na 膷铆tanie';
+$lang['hits'] = '- po膷et v媒skytov';
+$lang['quickhits'] = 'Zodpovedaj煤ce str谩nky';
+$lang['toc'] = 'Obsah';
+$lang['current'] = 'aktu谩lne';
+$lang['yours'] = 'Va拧a verzia';
+$lang['diff'] = 'zobrazit rozdiely vo膷i aktu谩lnej verzii';
+$lang['diff2'] = 'Uk谩za钮 rozdiely medzi vybran媒mi verziami';
+$lang['line'] = 'Riadok';
+$lang['breadcrumb'] = 'Hist贸ria';
+$lang['youarehere'] = 'Nach谩dzate sa';
+$lang['lastmod'] = 'Posledn谩 煤prava';
+$lang['by'] = 'od';
+$lang['deleted'] = 'odstranen茅';
+$lang['created'] = 'vytvoren茅';
+$lang['restored'] = 'star谩 verzia bola obnovena';
+$lang['external_edit'] = 'extern谩 煤prava';
+$lang['summary'] = 'Koment谩r k 煤prav谩m';
+$lang['noflash'] = 'Pre zobrazenie tohto obsahu potrebujete <a href="http://www.adobe.com/products/flashplayer/">Adobe Flash Plugin</a>.';
+$lang['mail_newpage'] = 'str谩nka pridan谩:';
+$lang['mail_changed'] = 'str谩nka zmenen谩:';
+$lang['mail_new_user'] = 'Nov媒 u啪铆vate木:';
+$lang['mail_upload'] = 'nahran媒 s煤bor:';
+$lang['qb_bold'] = 'Tu膷n茅';
+$lang['qb_italic'] = 'Kurz铆va';
+$lang['qb_underl'] = 'Pod膷iarknutie';
+$lang['qb_code'] = 'Neform谩tova钮 (zdrojov媒 k贸d)';
+$lang['qb_strike'] = 'Pre膷iarknutie';
+$lang['qb_h1'] = 'Nadpis 1. 煤rovne';
+$lang['qb_h2'] = 'Nadpis 2. 煤rovne';
+$lang['qb_h3'] = 'Nadpis 3. 煤rovne';
+$lang['qb_h4'] = 'Nadpis 4. 煤rovne';
+$lang['qb_h5'] = 'Nadpis 5. 煤rovne';
+$lang['qb_link'] = 'Intern媒 odkaz';
+$lang['qb_extlink'] = 'Extern媒 odkaz';
+$lang['qb_hr'] = 'Horizont谩lna linka';
+$lang['qb_ol'] = '膶铆slovan媒 zoznam';
+$lang['qb_ul'] = 'Ne膷铆slovan媒 zoznam';
+$lang['qb_media'] = 'Vlo啪i钮 obr谩zky alebo in茅 s煤bory';
+$lang['qb_sig'] = 'Vlo啪i钮 podpis';
+$lang['qb_smileys'] = 'Smajl铆ky';
+$lang['qb_chars'] = '艩peci谩lne znaky';
+$lang['js']['del_confirm'] = 'Zmaza钮 t煤to polo啪ku?';
+$lang['admin_register'] = 'Pridaj nov茅ho u啪铆vate木a';
+$lang['metaedit'] = 'Upravi钮 metainform谩cie';
+$lang['metasaveerr'] = 'Z谩pis metainform谩ci铆 zlyhal';
+$lang['metasaveok'] = 'Metainform谩cie ulo啪en茅';
+$lang['img_backto'] = 'Sp盲钮 na';
+$lang['img_title'] = 'Titul';
+$lang['img_caption'] = 'Popis';
+$lang['img_date'] = 'D谩tum';
+$lang['img_fname'] = 'N谩zov s煤boru';
+$lang['img_fsize'] = 'Ve木kos钮';
+$lang['img_artist'] = 'Fotograf';
+$lang['img_copyr'] = 'Kop铆rovacie pr谩va';
+$lang['img_format'] = 'Form谩t';
+$lang['img_camera'] = 'Fotoapar谩t';
+$lang['img_keywords'] = 'Kl煤膷ov茅 slov谩';
+$lang['subscribe_success'] = 'Pridan茅 %s do zoznamu objedn谩vok pre %s';
+$lang['subscribe_error'] = 'Chyba pri pridan铆 %s do zoznamu objedn谩vok pre %s';
+$lang['subscribe_noaddress'] = 'Nie je 啪iadna e-mailov谩 adresa priraden谩 k va拧emu menu,nem么啪te by钮 pridan媒 do zoznamu objedn谩vok';
+$lang['unsubscribe_success'] = 'Odobran茅 %s zo zoznamu objedn谩vok pre %s';
+$lang['unsubscribe_error'] = 'Chaba pri odobran铆 %s zo zoznamu objedn谩vok pre %s';
+$lang['authmodfailed'] = 'U啪铆vate木sk谩 autentifik谩cia nie je mo啪n谩. Pros铆m informujte spr谩vcu tohto syst茅mu.';
+$lang['authtempfail'] = 'U啪铆vate木sk谩 autentifik谩cia je do膷asne nedostupn谩. Ak t谩to situ谩cia pretrv谩, pros铆m informujte spr谩vcu tohto syst茅mu.';
+$lang['i_chooselang'] = 'Zvo木te v谩拧 jazyk';
+$lang['i_installer'] = 'DokuWiki in拧tal谩tor';
+$lang['i_wikiname'] = 'N谩zov Wiki';
+$lang['i_enableacl'] = 'Aktivova钮 ACL (doporu膷en茅)';
+$lang['i_superuser'] = 'Spr谩vca';
+$lang['i_problems'] = 'In拧tal谩tor narazil na ni啪拧ie uveden茅 probl茅my. Nem么啪ete pokra膷ova钮, pokia木 ich neodstr谩nite.';
+$lang['i_modified'] = 'Z bezpe膷nostn媒ch d么vodov bude tento skript fungova钮 iba s novou, neupravenou in拧tal谩ciou Dokuwiki. M么啪ete bu膹 znovu rozbali钮 stiahnut媒 in拧tala膷n媒 bal铆膷ek, alebo pre拧tudova钮 <a href="http://dokuwiki.org/install"> in拧tala膷n茅 in拧trukcie Dokuwiki</a>';
+$lang['i_funcna'] = 'PHP funkcia <code>%s</code> nie je dostupn谩. Je mo啪n茅, 啪e ju z ur膷it媒ch d么vodov zablokoval v谩拧 poskytovate木 webhostingu?';
+$lang['i_phpver'] = 'Va拧a verzia PHP <code>%s</code> je ni啪拧ia ako po啪adovan谩 <code>%s</code>. Potrebujete aktualizova钮 Va拧u instal谩ciu PHP.';
+$lang['i_permfail'] = '<code>%s</code> nie je zapisovate木n媒 pre DokuWiki. Mus铆te zmeni钮 pr铆stupov茅 pr谩va pre tento adres谩r!';
+$lang['i_confexists'] = '<code>%s</code> u啪 existuje';
+$lang['i_writeerr'] = 'Nie je mo啪n茅 vytvori钮 <code>%s</code>. Potrebujete skontrolova钮 pr铆stupov茅 pr谩va pre adres谩r/s煤bor a vytvori钮 ho manu谩lne.';
+$lang['i_badhash'] = 'nerozpoznan媒, alebo zmenen媒 s煤bor dokuwiki.php (hash=<code>%s</code>)';
+$lang['i_badval'] = '<code>%s</code> - bola zadan谩 nespr谩vna, alebo 啪iadna hodnota';
+$lang['i_success'] = 'Konfigur谩cia bola 煤spe拧ne ukon膷en谩. Teraz m么啪te zmaza钮 s煤bor install.php. Pokra膷ujte vo
+ <a href="doku.php">va拧ej novej DokuWiki</a>.';
+$lang['i_failure'] = 'Pri z谩pise konfigura膷n茅ho s煤boru nastali nejak茅 chyby. Potrebujete ich opravi钮 manu谩lne pred t媒m ako budete m么c钮 pou啪铆va钮
+ <a href="doku.php">va拧u nov煤 DokuWiki</a>.';
+$lang['i_policy'] = 'Po膷iato膷n谩 ACL politika';
+$lang['i_pol0'] = 'Otvoren谩 Wiki (膷铆tanie, z谩pis a nahr谩vanie pre ka啪d茅ho)';
+$lang['i_pol1'] = 'Verejn谩 Wiki (膷铆tanie pre ka啪d茅ho, z谩pis a nahr谩vanie pre registrovan媒ch u啪铆vate木ov)';
+$lang['i_pol2'] = 'Uzatvoren谩 Wiki (膷铆tanie, z谩pis a nahr谩vanie len pre registrovan媒ch u啪铆vate木ov)';
+$lang['i_retry'] = 'Sk煤si钮 znovu';
+$lang['mu_intro'] = 'Na tomto mieste m么啪ete nahr谩va钮 viac s煤borov naraz. Tla膷idlom Preh木ad谩va钮 prid谩te s煤bory do zoznamu. Tla膷idlom Nahra钮 vykon谩te prenos s煤borov.';
+$lang['mu_gridname'] = 'N谩zov s煤boru';
+$lang['mu_gridsize'] = 'Ve木kos钮';
+$lang['mu_gridstat'] = 'Status';
+$lang['mu_namespace'] = 'Oblas钮 mien';
+$lang['mu_browse'] = 'Preh木ad谩va钮';
+$lang['mu_toobig'] = 'pr铆li拧 ve木k媒';
+$lang['mu_ready'] = 'pripraven媒 na nahratie';
+$lang['mu_done'] = 'dokon膷en媒';
+$lang['mu_fail'] = 'ne煤spe拧n媒';
+$lang['mu_authfail'] = 'spojenie vypr拧alo';
+$lang['mu_progress'] = '@PCT@% nahran媒ch';
+$lang['mu_filetypes'] = 'Povolen茅 typy s煤borov';
+$lang['recent_global'] = 'Pr谩ve prehliadate zmeny v mennom priestore <b>%s</b>. M么啪ete si tie啪 pozrie钮 <a href="%s">aktu谩lne zmeny celej wiki</a>.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/locked.txt
new file mode 100644
index 000000000..0e7d9645e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/locked.txt
@@ -0,0 +1,3 @@
+====== Str谩nka je uzamknut谩 ======
+
+Tato str谩nka je pr谩ve uzamknut谩 pre 煤pravy in媒m u啪铆vate木om. Mus铆te po膷ka钮 pok媒m dan媒 u啪铆vate木 dokon膷铆 svoje 煤pravy, alebo pok媒m tento z谩mok vypr拧铆.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/login.txt
new file mode 100644
index 000000000..3bfc91037
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/login.txt
@@ -0,0 +1,3 @@
+====== Prihl谩senie ======
+
+Moment谩lne nie ste prihl谩sen媒(谩)! Pros铆m vlo啪te svoje identifika膷n茅 煤daje. Pre prihl谩senie mus铆te ma钮 zapnut茅 cookies.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/mailtext.txt
new file mode 100644
index 000000000..78757799e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/mailtext.txt
@@ -0,0 +1,17 @@
+Str谩nka vo va拧om DokuWiki bola zmenen谩. Tu s煤 podrobnosti:
+
+D谩tum : @DATE@
+Prehliada膷 : @BROWSER@
+IP adresa : @IPADDRESS@
+Hostitel : @HOSTNAME@
+Star谩 verzia : @OLDPAGE@
+Nov谩 verzia : @NEWPAGE@
+Koment谩r : @SUMMARY@
+User : @USER@
+
+@DIFF@
+
+
+--
+T谩to spr谩va bola vygenerovan谩 syst茅mom DokuWiki:
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/newpage.txt
new file mode 100644
index 000000000..180d80ee1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/newpage.txt
@@ -0,0 +1,3 @@
+====== Str谩nka s t媒mto n谩zvom e拧te neexistuje ======
+
+Odkaz v谩s zaviedol na str谩nku, ktor谩 e拧te neexistuje. M么啪ete ju vytvori钮 stla膷en铆m tla膷铆tka ''Vytvori钮 str谩nku''.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/norev.txt
new file mode 100644
index 000000000..8d35f7f20
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/norev.txt
@@ -0,0 +1,3 @@
+====== Tak谩to verzia neexistuje ======
+
+Zadan谩 verzia neexistuje. Stla膷te tla膷铆tko ''Star拧ia verzia'' pre zoznam star拧铆ch verzi铆 tohoto dokumentu.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/preview.txt
new file mode 100644
index 000000000..871bca371
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/preview.txt
@@ -0,0 +1,3 @@
+====== N谩h木ad ======
+
+Tu je n谩h木ad, ako bude dokument vyzera钮. Pozor: S煤bor zatia木 **nie je ulo啪en媒**!
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/pwconfirm.txt
new file mode 100644
index 000000000..19903203d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/pwconfirm.txt
@@ -0,0 +1,15 @@
+Ahoj @FULLNAME@!
+
+Niekto 啪iadal o nov茅 heslo pre va拧e @TITLE@
+konto na @DOKUWIKIURL@
+
+Ak ste ne啪iadali o nov茅 heslo, potom iba ignorujte tento mail.
+
+Pre potvrdenie, 啪e po啪iadavka bola skuto膷ne odoslan谩 vami,
+pou啪ite pros铆m nasleduj煤ci odkaz.
+
+@CONFIRM@
+
+--
+Tento mail bol generovan媒 Dokuwiki na adrese
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/read.txt
new file mode 100644
index 000000000..a50b2afb6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/read.txt
@@ -0,0 +1,2 @@
+T谩to str谩nka je iba na 膷铆tanie. M么啪ete si iba prehliadnu钮 zdrojov媒 k贸d, ale nie meni钮 ho. Op媒tajte sa spr谩vcu, ak si mysl铆钮e 啪e nie膷o nie je v poriadku.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/recent.txt
new file mode 100644
index 000000000..408d1075b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/recent.txt
@@ -0,0 +1,3 @@
+====== Posledn茅 煤pravy ======
+
+N谩sleduj煤ce str谩nky boli ned谩vno zmenen茅.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/register.txt
new file mode 100644
index 000000000..8dfe6be4e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/register.txt
@@ -0,0 +1,3 @@
+====== Zaregistrujte sa ako nov媒 u啪铆vate木 ======
+
+Aby ste z铆skali u啪ivate木sk媒 煤膷et, vypl艌钮e pros铆m v拧etky inform谩cie v n谩sleduj煤com formul谩ri. Zadajte **platn煤** mailov煤 adresu, na ktor煤 bude zaslan茅 heslo. U啪ivate木sk茅 meno mus铆 by钮 v platnom [[doku>pagename|form谩te]] (ktor媒 je rovnak媒 ako form谩t n谩zvu str谩nky).
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/registermail.txt
new file mode 100644
index 000000000..3fdc63f74
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/registermail.txt
@@ -0,0 +1,14 @@
+Nov媒 u啪铆vate木 bol registrovan媒. Tu s煤 detaily:
+
+U啪铆vate木sk茅 meno : @NEWUSER@
+Cel茅 meno : @NEWNAME@
+E-Mail : @NEWEMAIL@
+
+D谩tum : @DATE@
+Prehliada膷 : @BROWSER@
+IP adresa : @IPADDRESS@
+Meno servera : @HOSTNAME@
+
+--
+Tento mail bol generovan媒 Dokuwiki na adrese
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/resendpwd.txt
new file mode 100644
index 000000000..b51706c96
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/resendpwd.txt
@@ -0,0 +1,4 @@
+====== Posla钮 nov茅 heslo ======
+
+Vyplnte nie啪拧ie po啪adovan茅 inform谩cie pre z铆skanie nov茅ho hesla pre v谩拧 煤膷et v tomto wiki. Va拧e nov茅 heslo bude zaslan茅 na va拧u registrovan煤 e-mailov煤 adresu. U啪铆vate木sk茅 meno m谩 by钮 va拧e prihlasovaciemeno do wiki.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/revisions.txt
new file mode 100644
index 000000000..887386eab
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/revisions.txt
@@ -0,0 +1,3 @@
+====== Star拧ia verzia ======
+
+Tu s煤 star拧ie verzie dan茅ho dokumentu. Pre n谩vrat ku star拧ej verzii si ju zvo木te zo zoznamu ni啪拧ie, stla膷te tla膷idlo ''Upravi钮 str谩nku'' a ulo啪te ju.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/searchpage.txt
new file mode 100644
index 000000000..3fdf074b7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/searchpage.txt
@@ -0,0 +1,5 @@
+====== Vyh木ad谩vanie ======
+
+V媒sledky h木adania m么啪ete vidie钮 ni啪拧ie. Pokia木 ste nena拧li, 膷o h木ad谩te, sk煤ste po啪adovan煤 str谩nku sami vytvori钮 stla膷en铆m tla膷idla ''Vytvori钮 str谩nku''.
+
+===== V媒sledky =====
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/showrev.txt
new file mode 100644
index 000000000..036769694
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/showrev.txt
@@ -0,0 +1,3 @@
+**Toto je star拧ia verzia dokumentu!**
+----
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/stopwords.txt
new file mode 100644
index 000000000..86eb84046
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/stopwords.txt
@@ -0,0 +1,28 @@
+#Toto je zoznam slov ignorovan媒ch index茅rom, jedno slovo na riadok
+# Ke膹 editujete tento s煤bor, uistite sa, 膷i pou啪铆vate UNIXov茅 konce riadkov (jednoduch媒 nov媒 riadok)
+# Nie je potrebn茅 vklada钮 slov谩 kra钮拧ie ako 3 znaky - tie s煤 ignorovan茅 v啪dy.
+# Tento zoznam je zalo啪en媒 na inom n谩jdenom na http://www.ranks.nl/stopwords/
+okolo
+tvoj
+ale
+ako
+ak媒
+ak谩
+ak茅
+kde
+k媒m
+kom
+komu
+ich
+jeho
+jej
+tvoj
+m么j
+moja
+moje
+moji
+n谩拧
+v谩拧
+www
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/subscribermail.txt
new file mode 100644
index 000000000..47505bc1c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/subscribermail.txt
@@ -0,0 +1,18 @@
+Zdrav铆m!
+
+Str谩nka @PAGE@ v @TITLE@ wiki bola zmenen谩.
+Tu s煤 zmeny:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+Pre odhl谩senie sa z tejto str谩nky cho膹te na
+@DOKUWIKIURL@, potom nav拧t铆vte
+@NEWPAGE@
+a zvo木te 'Neposiela钮 zmeny'.
+
+--
+Tento mail bol vygenerovan媒 DokuWiki na
+@DOKUWIKIURL@
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/updateprofile.txt
new file mode 100644
index 000000000..67b823dc5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/updateprofile.txt
@@ -0,0 +1,6 @@
+====== Zmena v谩拧ho u啪铆vate木sk茅ho profilu ======
+
+Potrebujete vyplni钮 len tie polia, ktor茅 chcete zmeni钮. Nem么啪ete zmeni钮 prihlasovacie meno.
+
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/uploadmail.txt
new file mode 100644
index 000000000..871fcaeb2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/uploadmail.txt
@@ -0,0 +1,14 @@
+S煤bor bol nahran媒 do DokuWiki. Tu s煤 podrobnosti:
+
+S煤bor : @MEDIA@
+D谩tum : @DATE@
+Prehliada膷 : @BROWSER@
+IP adresa : @IPADDRESS@
+N谩zov hostite木a : @HOSTNAME@
+Ve木kos钮 : @SIZE@
+MIME Typ : @MIME@
+U啪铆vate木 : @USER@
+
+--
+Tento mail vygenerovalo DokuWiki na
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/wordblock.txt
new file mode 100644
index 000000000..4901b2aab
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sk/wordblock.txt
@@ -0,0 +1,3 @@
+====== SPAM nebol povolen媒 ======
+
+Va拧e zmeny **neboli ulo啪en茅**, preto啪e obsahuj煤 jedno alebo viacej nepovolen媒ch slov. Wiki si nepotrp铆 na spam! Pokia木 sa domnievate, 啪e ide o omyl, kontaktujte spr谩vcu.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/backlinks.txt
new file mode 100644
index 000000000..e637199c0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/backlinks.txt
@@ -0,0 +1,4 @@
+====== Kaj je povezano sem ======
+
+To je seznam strani, ki so povezane na trenutno stran. Opomba: CamelCase povezave niso zaznane kot take povezave.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/conflict.txt
new file mode 100644
index 000000000..7ada08b5f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/conflict.txt
@@ -0,0 +1,6 @@
+====== Obstaja novej拧a razli膷ica ======
+
+Obstaja novej拧a razli膷ica dokumenta, ki ga urejate. Do tega pride, ko kak drugi uporabnik spremeni dokument med va拧im urejanjem.
+
+Temeljito preglejte spodaj prikazane razlike in se potem odlo膷ite, katero verzijo 啪elite obdr啪ati. 膶e izberete ''shrani'', bo shranjena va拧a razli膷ica. Pritisnite ''prekli膷i'', 膷e 啪elite ohraniti trenutno razli膷ico.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/denied.txt
new file mode 100644
index 000000000..96c03a569
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/denied.txt
@@ -0,0 +1,4 @@
+====== Nimate dovoljenja ======
+
+Oprostite, za nadaljevanje nimati dovolj dovoljenj. Mogo膷e ste se pozabili prijaviti?
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/diff.txt
new file mode 100644
index 000000000..f98f7e5ce
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/diff.txt
@@ -0,0 +1,4 @@
+====== Primerjaj izbrane razli膷ice ======
+
+Prikazana je razlika med izbrano in trenutno razli膷ico te strani.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/edit.txt
new file mode 100644
index 000000000..180a97ade
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/edit.txt
@@ -0,0 +1,2 @@
+Uredite stran in pritisnite ''Shrani''. Glej [[wiki:syntax]] za navodila za urejanje. Prosimo vas, da stran spremenite le, 膷e jo nameravate **izbolj拧ati**. 膶e ho膷ete preizkusiti kak拧no zadevo, se poigrajte v [[playground:playground|peskovniku]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/editrev.txt
new file mode 100644
index 000000000..cf2b4ec7b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/editrev.txt
@@ -0,0 +1,2 @@
+**Nalo啪ili ste staro razli膷ico dokumenta!** 膶e jo shranite, boste ustvarili novo razli膷ico s to vsebino.
+---- \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/index.txt
new file mode 100644
index 000000000..89dd05fbe
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/index.txt
@@ -0,0 +1,4 @@
+====== Kazalo ======
+
+To je kazalo vseh strani, ki so na voljo, urejenimi po [[doku>namespaces|imenskih prostorih]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/lang.php
new file mode 100644
index 000000000..bbffcfbb1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/lang.php
@@ -0,0 +1,162 @@
+<?php
+/**
+ * slovenian language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Jaka Kranjc <lynxlupodian@hotmail.com>
+ * @author Bo拧tjan Seni膷ar <senicar@gmail.com>
+ * @author Dejan Levec <webphp@gmail.com>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '鈥';
+$lang['btn_edit'] = 'Uredi to stran';
+$lang['btn_source'] = 'Prika啪i izvorno kodo strani';
+$lang['btn_show'] = 'Prika啪i stran';
+$lang['btn_create'] = 'Ustvari to stran';
+$lang['btn_search'] = 'I拧膷i';
+$lang['btn_save'] = 'Shrani';
+$lang['btn_preview'] = 'Predogled';
+$lang['btn_top'] = 'Nazaj na vrh';
+$lang['btn_newer'] = '<< novej拧i';
+$lang['btn_older'] = 'starej拧i >>';
+$lang['btn_revs'] = 'Stare razli膷ice';
+$lang['btn_recent'] = 'Novosti';
+$lang['btn_upload'] = 'Po拧lji';
+$lang['btn_cancel'] = 'Prekli膷i';
+$lang['btn_index'] = 'Kazalo';
+$lang['btn_secedit'] = 'Uredi';
+$lang['btn_login'] = 'Prijava';
+$lang['btn_logout'] = 'Odjava';
+$lang['btn_admin'] = 'Administrator';
+$lang['btn_update'] = 'Posodobi';
+$lang['btn_delete'] = 'Izbri拧i';
+$lang['btn_back'] = 'Nazaj';
+$lang['btn_profile'] = 'Posodobi profil';
+$lang['btn_reset'] = 'Ponastavi';
+$lang['btn_resendpwd'] = 'Po拧lji novo geslo';
+$lang['btn_draft'] = 'Uredi osnutek';
+$lang['btn_recover'] = 'Obnovi osnutek';
+$lang['btn_draftdel'] = 'Izbri拧i osnutek';
+$lang['loggedinas'] = 'Prijavljen kot';
+$lang['user'] = 'Uporabni拧ko ime';
+$lang['pass'] = 'Geslo';
+$lang['newpass'] = 'Novo geslo';
+$lang['oldpass'] = 'Potrdi trenutno geslo';
+$lang['passchk'] = 'ponovno';
+$lang['remember'] = 'Zapomni si me';
+$lang['fullname'] = 'Pravo ime';
+$lang['email'] = 'Elektronska po拧ta';
+$lang['register'] = 'Odpri nov ra膷un';
+$lang['profile'] = 'Profil uporabnika';
+$lang['badlogin'] = 'Oprostite, uporabni拧ko ime ali geslo ni pravo.';
+$lang['minoredit'] = 'Manj拧e spremembe';
+$lang['draftdate'] = 'Samodejno shranjevanje osnutka vklju膷eno';
+$lang['regmissing'] = 'Oprostite, zapolniti morate vsa polja.';
+$lang['reguexists'] = 'Oprostite, uporabnik s tem imenom 啪e obstaja.';
+$lang['regsuccess'] = 'Uporabnik je bil ustvarjen. Geslo je bilo poslano na va拧 elektronski naslov.';
+$lang['regsuccess2'] = 'Uporabnik je bil ustvarjen.';
+$lang['regmailfail'] = 'Zgleda, da je pri拧lo do napake pri po拧iljanju gesla. Prosimo da stopite v stik z administratorjem!';
+$lang['regbadmail'] = 'Podan elektronski naslov izgleda neveljaven - 膷e mislite da je to napaka, stopite v stik z administratorjem.';
+$lang['regbadpass'] = 'Gesli nista enaki.';
+$lang['regpwmail'] = 'Va拧e geslo za DokuWiki';
+$lang['reghere'] = 'Nimate 拧e ra膷una? Priskrbite si ga';
+$lang['profnochange'] = 'Brez sprememb, ni膷esar za storiti.';
+$lang['profchanged'] = 'Uporabni拧ki profil uspe拧no posodobljen';
+$lang['pwdforget'] = 'Pozabili geslo? Pridobite novega';
+$lang['resendpwd'] = 'Po拧lji novo geslo za';
+$lang['resendpwdmissing'] = 'Se opravi膷ujemo, vendar morate izpolniti vsa polja.';
+$lang['resendpwdnouser'] = 'Se opravi膷ujemo, vendar tega uporabni拧kega imena ni v bazi.';
+$lang['resendpwdconfirm'] = 'Potrditvena povezava je bila poslana na va拧 elektronski naslov';
+$lang['resendpwdsuccess'] = 'Va拧e novo geslo je bilo poslano na va拧 elektronski naslov';
+$lang['txt_upload'] = 'Izberite datoteko za po拧iljanje';
+$lang['txt_filename'] = 'Vnesite wikiname (neobvezno)';
+$lang['txt_overwrt'] = 'Prepi拧i obstoje膷o datoteko';
+$lang['lockedby'] = 'Trenutno zaklenjeno od';
+$lang['lockexpire'] = 'Zaklep prete膷e';
+$lang['willexpire'] = 'Va拧 zaklep za urejevanje bo pretekel 膷ez eno minuto.\nDa se izognete konfliktom, uporabite predogled, da se merilnik 膷asa za zaklep ponastavi.';
+$lang['notsavedyet'] = 'Obstajajo neshranjene spremembe, ki bodo izgubljene.\nRes 啪elite nadaljevati?';
+$lang['rssfailed'] = 'Pri拧lo je do napake pri prena拧anju tega dovoda: ';
+$lang['nothingfound'] = 'Ni膷 ni bilo najdeno.';
+$lang['mediaselect'] = 'Mediafile Izbira';
+$lang['fileupload'] = 'Mediafile Po拧iljanje';
+$lang['uploadsucc'] = 'Po拧iljanje uspelo';
+$lang['uploadfail'] = 'Po拧iljanje je spodletelo. Mogo膷e nimate dovoljenj?';
+$lang['uploadwrong'] = 'Po拧iljanje zavrnjeno. Ta datote膷na kon膷nica je prepovedana';
+$lang['uploadexist'] = 'Dokument 啪e obstaja. Brez sprememb.';
+$lang['uploadxss'] = 'Prenos je bil zaustavljen zaradi mo啪ne zlonamerne vsebine.';
+$lang['uploadsize'] = 'Prenesen dokument je prevelik. (max. %s)';
+$lang['deletesucc'] = 'Datoteka "%s" je bila izbrisana.';
+$lang['mediainuse'] = 'Dokument "%s" ni bil izbrisan - je 拧e vedno v uporabi.';
+$lang['namespaces'] = 'Imenski prostori';
+$lang['mediafiles'] = 'Datoteke ki so na voljo v';
+$lang['js']['hidedetails'] = 'Skrij podrobnosti';
+$lang['js']['nosmblinks'] = 'Povezovanje do Windows deljenih datotek deluje samo v Microsoft Internet Explorer-ju.
+艩e vedno pa lahko ro膷no kopirate povezavo.';
+$lang['js']['mu_btn'] = 'Prenesite ve膷 dokumentov naenkrat.';
+$lang['mediaview'] = 'Poglej originalno datoteko';
+$lang['hits'] = 'Zadetkov';
+$lang['quickhits'] = 'Ujemanja v imenih strani';
+$lang['toc'] = 'Kazalo';
+$lang['current'] = 'trenutna';
+$lang['yours'] = 'Va拧a razli膷ica';
+$lang['diff'] = 'prika啪i razlike s trenutno razli膷ico';
+$lang['line'] = 'Vrstica';
+$lang['breadcrumb'] = 'Sled';
+$lang['youarehere'] = 'Tukaj ste';
+$lang['lastmod'] = 'Zadnji膷 spremenil/a';
+$lang['by'] = 'od';
+$lang['deleted'] = 'odstranjena';
+$lang['created'] = 'ustvarjena';
+$lang['restored'] = 'stara razli膷ica povrnjena';
+$lang['summary'] = 'Povzetek urejanja';
+$lang['noflash'] = 'Za prikaz vsebine potrebujete <a href="http://www.adobe.com/products/flashplayer/">Adobe Flash Plugin</a>';
+$lang['mail_newpage'] = '[DokuWiki] stran dodana:';
+$lang['mail_changed'] = '[DokuWiki] stran spremenjena:';
+$lang['mail_new_user'] = 'nov uporabnik.';
+$lang['mail_upload'] = 'nalo啪ena datoteka:';
+$lang['qb_bold'] = 'Krepki tisk';
+$lang['qb_italic'] = 'Le啪e膷i tisk';
+$lang['qb_underl'] = 'Pod膷rtano besedilo';
+$lang['qb_code'] = 'Koda';
+$lang['qb_strike'] = 'Pre膷rtano besedilo';
+$lang['qb_h1'] = 'Naslov prve stopnje';
+$lang['qb_h2'] = 'Naslov drugee stopnje';
+$lang['qb_h3'] = 'Naslov tretje stopnje';
+$lang['qb_h4'] = 'Naslov 膷etrte stopnje';
+$lang['qb_h5'] = 'Naslov pete stopnje';
+$lang['qb_link'] = 'Notranja povezava';
+$lang['qb_extlink'] = 'Zunanja povezava';
+$lang['qb_hr'] = 'Vodoravna 膷rta';
+$lang['qb_ol'] = 'Element urejenega seznama';
+$lang['qb_ul'] = 'Element neurejenega seznama';
+$lang['qb_media'] = 'Dodaj slike in druge datoteke';
+$lang['qb_sig'] = 'Vstavi podpis';
+$lang['qb_smileys'] = 'Sme拧ki';
+$lang['qb_chars'] = 'Posebni znaki';
+$lang['admin_register'] = 'Dodaj novega uporabnika';
+$lang['metaedit'] = 'Popravi metapodatke';
+$lang['metasaveerr'] = 'Zapisovanje metapodatkov ni uspelo';
+$lang['metasaveok'] = 'Meta podatki shranjeni';
+$lang['img_backto'] = 'Nazaj na';
+$lang['img_title'] = 'Naslov';
+$lang['img_caption'] = 'Opis';
+$lang['img_date'] = 'Datum';
+$lang['img_fname'] = 'Ime datoteke';
+$lang['img_fsize'] = 'Velikost';
+$lang['img_artist'] = 'Fotograf';
+$lang['img_format'] = 'Velikost';
+$lang['img_camera'] = 'Fotoaparat';
+$lang['img_keywords'] = 'Klju膷ne besede';
+$lang['i_chooselang'] = 'Izberite jezik';
+$lang['i_installer'] = 'DokuWiki namestitev';
+$lang['i_wikiname'] = 'Wiki ime';
+$lang['i_enableacl'] = 'Omogo膷i ACL (priporo膷eno)';
+$lang['i_superuser'] = 'Naduporabnik';
+$lang['i_confexists'] = '<code>%s</code> 啪e obstaja';
+$lang['mu_gridsize'] = 'Velikost';
+$lang['mu_done'] = 'kon膷ano';
+$lang['mu_filetypes'] = 'Dovoljeni tipi datotek';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/locked.txt
new file mode 100644
index 000000000..dbdcf48a1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/locked.txt
@@ -0,0 +1,3 @@
+====== Stran je zaklenjena ======
+
+To stran je nekdo zaklenjenil za urejanje. Po膷akati morate, da jo ta uporabnik neha urejati ali pa da pote膷e zaklep.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/login.txt
new file mode 100644
index 000000000..f385d0099
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/login.txt
@@ -0,0 +1,4 @@
+====== Prijava ======
+
+Niste prijavljeni! Spodaj vnesite svoje podatke in se prijavite. Da se lahko prijavite, morate imeti omogo膷ene pi拧kotke.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/mailtext.txt
new file mode 100644
index 000000000..a46c672d6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/mailtext.txt
@@ -0,0 +1,17 @@
+Stran na va拧em DokuWiki je bila dodana ali spremenjena. Podrobnosti:
+
+Datum : @DATE@
+Brskalnik : @BROWSER@
+IP-naslov : @IPADDRESS@
+Gostitelj : @HOSTNAME@
+Stara razli膷ica : @OLDPAGE@
+Nova Razli膷ica : @NEWPAGE@
+Povzetek urejanja: @SUMMARY@
+Uporabnik : @USER@
+
+@DIFF@
+
+
+--
+To sporo膷ilo je ustvaril DokuWiki na
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/newpage.txt
new file mode 100644
index 000000000..c58ab17ff
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/newpage.txt
@@ -0,0 +1,3 @@
+====== Ta stran 拧e ne obstaja ======
+
+Sledili ste povezavi na stran, ki 拧e ne obstaja. Ustvarite jo lahko, tako da pritisnete na ''Ustvari to stran''.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/norev.txt
new file mode 100644
index 000000000..28b9f8ac5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/norev.txt
@@ -0,0 +1,4 @@
+====== Ta razli膷ica ne obstaja ======
+
+Podana razli膷ica ne obstaja. Uporabite gumb ''Stare razli膷ice'' za seznam starih razli膷ic tega dokumenta.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/preview.txt
new file mode 100644
index 000000000..4ebf183ec
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/preview.txt
@@ -0,0 +1,4 @@
+====== Predogled ======
+
+To je predogled strani. Lahko si ogledate kako bo izgledal dokument. Ne pozabite pa, da 拧e ni shranjen!
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/pwconfirm.txt
new file mode 100644
index 000000000..96c3a64d5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/pwconfirm.txt
@@ -0,0 +1,13 @@
+Pozdravljen @FULLNAME@!
+
+Nekdo je v va拧em imenu zahteval novo geslo za uporabni拧ko ime @TITLE@ na @DOKUWIKIURL@.
+
+膶e novega gesla niste zahtevali, prezrite to sporo膷ilo.
+
+Za potrditev novega gesla, kliknite spodnjo povezavo.
+
+@CONFIRM@
+
+--
+To sporo膷ilo je ustvaril DokuWiki na
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/read.txt
new file mode 100644
index 000000000..1423a4f16
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/read.txt
@@ -0,0 +1,2 @@
+Ta stran je samo za branje. Lahko si ogledate njeno izvorno kodo, spreminjati pa je ne morete. Vpra拧ajte administratorja, 膷e se vam to zdi narobe.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/recent.txt
new file mode 100644
index 000000000..534063384
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/recent.txt
@@ -0,0 +1,5 @@
+====== Trenutne spremembe ======
+
+Slede膷e strani so bile nedavno spremenjene.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/register.txt
new file mode 100644
index 000000000..d1f7ab49e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/register.txt
@@ -0,0 +1,4 @@
+====== Odpri nov ra膷un ======
+
+Vnesite vse potrebne podatke in si ustvarite ra膷un za ta wiki. Preverite da ste vnesli **veljaven e-mail naslov** - tja bo poslano geslo. Uporabni拧ko ime mora biti veljavno [[doku>pagename|ime strani]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/registermail.txt
new file mode 100644
index 000000000..d9622325e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/registermail.txt
@@ -0,0 +1,14 @@
+Nov uporabnik registriran. Podatki:
+
+Uporabni拧ko ime: @NEWUSER@
+Polno ime: @NEWNAME@
+E-mail: @NEWEMAIL@
+
+Datum: @DATE@
+Brskalnik: @BROWSER@
+IP naslov: @IPADDRESS@
+Hostname: @HOSTNAME@
+
+--
+To sporo膷ilo je ustvaril DokuWiki na
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/resendpwd.txt
new file mode 100644
index 000000000..9f46a7a59
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/resendpwd.txt
@@ -0,0 +1,3 @@
+====== Po拧lji novo geslo ======
+
+Za pridobitev novega gesla, vnesite va拧e uporabni拧ko ime v obrazec spodaj. Na va拧 email naslov bo poslano sporo膷ilo s povezavo za potrditev avtenti膷nosti. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/revisions.txt
new file mode 100644
index 000000000..19bf39a87
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/revisions.txt
@@ -0,0 +1,4 @@
+====== Stare razli膷ice ======
+
+To so stare razli膷ice tega dokumenta. Da ga povrnete na starej拧o razli膷ico, to prvo izberite, pritisnite na ''Uredi to stran'' in jo 拧e shranite.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/searchpage.txt
new file mode 100644
index 000000000..b41c6dd44
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/searchpage.txt
@@ -0,0 +1,5 @@
+====== I拧膷i ======
+
+Spodaj so prikazani rezultati va拧ega iskanja. 膶e niste na拧li kar ste iskali, lahko ustvarite novo stran z imenom va拧e poizvedbe, tako da uporabite gumb ''Uredi to stran''.
+
+===== Rezultati ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/showrev.txt
new file mode 100644
index 000000000..00111ffc5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/showrev.txt
@@ -0,0 +1,2 @@
+**To je stara razli膷ica tega dokumenta!**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/wordblock.txt
new file mode 100644
index 000000000..c9d9c1ca0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sl/wordblock.txt
@@ -0,0 +1,4 @@
+====== Blokiran SPAM ======
+
+Va拧e spremembe **niso** bile shranjene, ker so vsebovale eno ali ve膷 prepovedanih besed. 膶e ste poskusili nasmetiti Wiki -- Fuj Fido! 膶e mislite da je to napaka, stopite v stik z administratorjem.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/admin.txt
new file mode 100644
index 000000000..1e42970d3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/admin.txt
@@ -0,0 +1,4 @@
+====== 袗写屑懈薪懈褋褌褉邪褑懈褬邪 ======
+
+袠蟹锌芯写 褋械 薪邪谢邪蟹懈 谢懈褋褌邪 写芯褋褌褍锌薪懈褏 邪写屑懈薪懈褋褌褉邪褌芯褉褋泻懈褏 芯锌褑懈褬邪 褍 DokuWiki-褬褍.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/backlinks.txt
new file mode 100644
index 000000000..dae8d5ab2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/backlinks.txt
@@ -0,0 +1,4 @@
+====== 袩芯胁褉邪褌薪械 胁械蟹械 ======
+
+袨胁芯 褬械 谢懈褋褌邪 褋褌褉邪薪懈褑邪 泻芯褬械 懈屑邪褬褍 胁械蟹械 泻邪 褌褉械薪褍褌薪芯褬 褋褌褉邪薪懈褑懈.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/conflict.txt
new file mode 100644
index 000000000..2a1427ec4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/conflict.txt
@@ -0,0 +1,6 @@
+====== 袩芯褋褌芯褬懈 薪芯胁懈褬邪 胁械褉蟹懈褬邪 ======
+
+袩芯褋褌芯褬懈 薪芯胁懈褬邪 胁械褉蟹懈褬邪 写芯泻褍屑械薪褌邪 泻芯褬懈 褋褌械 懈蟹屑械薪懈谢懈. 袨胁芯 褋械 写械褕邪胁邪 泻邪写邪 薪械泻懈 写褉褍谐懈 泻芯褉懈褋薪懈泻 懈蟹屑械薪懈 写芯泻褍屑械薪褌 写芯泻 谐邪 袙懈 褬芯褕 褍胁械泻 屑械褮邪褌械.
+
+袩褉芯褍褔懈褌械 褉邪蟹谢懈泻械 泻芯褬械 褋褍 写芯谢械 写械褌邪褭薪芯 锌褉懈泻邪蟹邪薪械, 锌邪 薪邪泻芯薪 褌芯谐邪 芯写谢褍褔懈褌械 泻芯褬褍 胁械褉蟹懈褬褍 卸械谢懈褌械 写邪 蟹邪写褉卸懈褌械. 袗泻芯 懈蟹邪斜械褉械褌械 ''褋邪褔褍胁邪褬'', 袙邪褕邪 胁械褉蟹懈褬邪 褯械 写邪 斜褍写械 褋邪褔褍胁邪薪邪. 袗泻芯 懈蟹邪斜械褉懈褌械 ''锌芯薪懈褕褌懈'', 褌褉械薪褍褌薪邪 胁械褉蟹懈褬邪 褯械 写邪 斜褍写械 褋邪褔褍胁邪薪邪.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/denied.txt
new file mode 100644
index 000000000..b74f2b1f8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/denied.txt
@@ -0,0 +1,4 @@
+====== 袟邪斜褉邪褮械薪 锌褉懈褋褌褍锌 ======
+
+袠蟹胁懈薪懈褌械, 邪谢懈 薪械屑邪褌械 写芯胁芯褭薪芯 锌褉邪胁邪 写邪 薪邪褋褌邪胁懈褌械. 袦芯卸写邪 褋褌械 蟹邪斜芯褉邪胁懈谢懈 写邪 褋械 锌褉懈褬邪胁懈褌械?
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/diff.txt
new file mode 100644
index 000000000..39b7427ec
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/diff.txt
@@ -0,0 +1,4 @@
+====== 袪邪蟹谢懈泻械 ======
+
+袨胁写械 褋褍 锌褉懈泻邪蟹邪薪械 褉邪蟹谢懈泻械 懈蟹屑械褣褍 懈蟹邪斜褉邪薪械 褉械胁懈蟹懈褬械 懈 褌褉械薪褍褌薪械 胁械褉蟹懈褬械 褋褌褉邪薪懈褑械.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/draft.txt
new file mode 100644
index 000000000..046c709cf
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/draft.txt
@@ -0,0 +1 @@
+====== 袩褉芯薪邪褣械薪邪 褬械 褋泻懈褑邪 写邪褌芯褌械泻械 ====== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/edit.txt
new file mode 100644
index 000000000..2d6fa7b8e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/edit.txt
@@ -0,0 +1,2 @@
+袠蟹屑械薪懈褌械 芯胁褍 褋褌褉邪薪懈褑褍 懈 锌褉懈褌懈褋薪懈褌械 ''小邪褔褍胁邪褬''. 袩芯谐谢械写邪褬褌械 [[wiki:syntax]] 蟹邪 褋懈薪褌邪泻褋褍 袙懈泻懈褬邪. 袦芯谢懈屑 袙邪褋, 懈蟹屑械薪懈褌械 芯胁褍 褋褌褉邪薪懈褑褍 褋邪屑芯 邪泻芯 懈屑邪褌械 薪邪屑械褉褍 写邪 褬械 **锌芯斜芯褭褕邪褌械**. 袗泻芯 卸械谢懈褌械 写邪 褌械褋褌懈褉邪褌械 屑芯谐褍褯薪芯褋褌懈, 薪邪褍褔懈褌械 写邪 薪邪锌褉邪胁懈褌械 褋胁芯褬械 泻芯褉邪泻械 薪邪 [[playground:playground]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/editrev.txt
new file mode 100644
index 000000000..327902943
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/editrev.txt
@@ -0,0 +1,2 @@
+**校褔懈褌邪谢懈 褋褌械 褋褌邪褉褍 褉械胁懈蟹懈褬褍 写芯泻褍屑械薪褌邪!** 袗泻芯 褬械 褋邪褔褍胁邪褌械, 薪邪锌褉邪胁懈褯械褌械 薪芯胁褍 胁械褉蟹懈褬褍 褋邪 芯胁懈屑 锌芯写邪褑懈屑邪.
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/index.txt
new file mode 100644
index 000000000..fe6467a1d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/index.txt
@@ -0,0 +1,4 @@
+====== 袠薪写械泻褋 ======
+
+袨胁写械 褬械 懈薪写械泻褋 褋胁懈褏 写芯褋褌褍锌薪懈褏 褋褌褉邪薪懈褑邪 锌芯褉械褣邪薪懈褏 锌芯 [[doku>namespaces|懈屑械薪褋泻懈屑 锌褉芯褋褌芯褉懈屑邪]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/install.html
new file mode 100644
index 000000000..b9ab35c71
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/install.html
@@ -0,0 +1,12 @@
+<p>袨胁邪 褋褌褉邪薪懈褑邪 褯械 胁邪屑 锌芯屑芯褯懈 褍 懈薪褋褌邪谢邪褑懈褬懈 懈 锌芯写械褕邪胁邪褮褍 <a href="http://dokuwiki.org">Dokuwiki-褬邪</a>. 袙懈褕械 懈薪褎芯褉屑邪褑懈褬邪 芯 懈薪褋褌邪谢邪褑懈褬懈 屑芯卸械褌械 锌褉芯薪邪褯懈 褍
+<a href="http://dokuwiki.org/installer">写芯泻褍屑械薪褌邪褑懈褬懈</a>.</p>
+
+<p>DokuWiki 泻芯褉懈褋褌懈 芯斜懈褔薪械 写邪褌芯褌械泻械 蟹邪 褋泻谢邪写懈褕褌械褮械 胁懈泻懈 褋褌褉邪薪懈褑邪 懈 芯褋褌邪谢懈褏 懈薪褎芯褉屑邪褑懈褬邪 胁械蟹邪薪懈褏 蟹邪 褋褌褉邪薪懈褑械 (褋谢懈泻械, 懈薪写械泻褋 锌褉械褌褉邪谐械, 褋褌邪褉械 锌褉械锌褉邪胁泻械, 懈褌写.).
+袛邪 斜懈 褉邪写懈芯 泻邪泻芯 褌褉械斜邪 DokuWiki 泻邪芯 邪锌谢懈泻邪褑懈褬邪 <strong>屑芯褉邪</strong> 懈屑邪褌懈 屑芯谐褍褯薪芯褋褌 锌懈褋邪褮邪 锌芯写 褎邪褋褑懈泻谢邪屑邪 褍 泻芯褬懈屑邪 褋械 薪邪谢邪蟹械 芯胁械 写邪褌芯褌械泻械. 袨胁邪褬 锌褉芯谐褉邪屑 蟹邪 懈薪褋褌邪谢邪褑懈褬褍 薪械屑邪 屑芯谐褍褯薪芯褋褌 锌芯褋褌邪胁褭邪褮邪 写芯蟹胁芯谢邪 蟹邪 褎邪褋褑懈泻谢械. 孝芯 褋械 芯斜懈褔薪芯 褉邪写懈 写懈褉械泻褌薪芯 懈蟹 泻芯屑邪薪写薪械 谢懈薪懈褬械 懈谢懈 邪泻芯 泻芯褉懈褋褌懈褌械 懈蟹薪邪褬屑褮械薪懈 褋械褉胁械褉, 锌芯屑芯褯褍 肖孝袩邪 懈谢懈 泻褉芯蟹 袣芯薪褌褉芯谢薪懈 锌邪薪械谢 (薪锌褉. cPanel).</p>
+
+<p>袨胁邪褬 锌褉芯谐褉邪屑 蟹邪 懈薪褋褌邪谢邪褑懈褬褍 DokuWiki-邪 褯械 锌芯褋褌邪胁懈褌懈 锌芯写械褕邪胁邪褮邪 蟹邪
+<acronym title="access control list">袩褉邪胁邪 锌褉懈褋褌褍锌邪</acronym>, 泻芯褬械 褯械 芯屑芯谐褍褯懈褌懈 锌褉懈褬褬邪胁褭懈胁邪褮械 泻邪芯 邪写屑懈薪懈褋褌褉邪褌芯褉 懈 锌褉懈褋褌褍锌 屑械薪懈褬褍 蟹邪 懈薪褋褌邪谢邪褑懈褬褍 写芯写邪褌邪泻邪, 褍锌褉邪胁褭邪褮械 泻芯褉懈褋薪懈褑懈屑邪, 褍锌褉邪胁褭邪褮械 锌褉懈褋褌褍锌芯屑 泻邪 褋褌褉邪薪懈褑邪屑邪 懈 邪谢褌械褉薪邪褌胁薪邪 锌芯写械褕邪胁邪褮邪. 袧懈褬械 薪械芯锌褏芯写薪芯 写邪 斜懈 DokuWiki 褉邪写懈芯, 邪谢懈 褯械 胁邪屑 芯谢邪泻褕邪褌懈 邪写屑懈薪懈褋褌褉邪褑懈褬褍.</p>
+
+<p>袠褋泻褍褋薪懈褬懈 泻芯褉懈褋薪懈褑懈 懈 泻芯褉懈褋薪懈褑懈 褋邪 锌芯褋械斜薪懈屑 蟹邪褏褌械胁懈屑邪 斜懈 褌褉械斜邪谢芯 写邪 锌芯谐谢械写邪褬褍 褋谢械写械褯械 谢懈薪泻芯胁械 褋邪 写械褌邪褭薪懈屑 褍锌褍褌褋褌胁懈屑邪 芯
+<a href="http://dokuwiki.org/install">懈薪褋褌褉褍泻褑懈褬邪屑邪 蟹邪 懈薪褋褌邪谢邪褑懈褬褍</a>
+懈 <a href="http://dokuwiki.org/config">锌芯写械褕邪胁邪褮懈屑邪</a>.</p> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/lang.php
new file mode 100644
index 000000000..94829d314
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/lang.php
@@ -0,0 +1,224 @@
+<?php
+/**
+ * serbian language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Filip Brcic <brcha@users.sourceforge.net>
+ * @author 袠胁邪薪 袩械褌褉芯胁懈褯 petrovicivan@ubuntusrbija.org
+ * @author Ivan Petrovic <petrovicivan@ubuntusrbija.org>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '\'';
+$lang['btn_edit'] = '袠蟹屑械薪懈 芯胁褍 褋褌褉邪薪懈褑褍';
+$lang['btn_source'] = '袩褉懈泻邪卸懈 懈蟹胁芯褉薪懈 泻芯写';
+$lang['btn_show'] = '袩褉懈泻邪卸懈 褋褌褉邪薪懈褑褍';
+$lang['btn_create'] = '袧邪锌褉邪胁懈 芯胁褍 褋褌褉邪薪懈褑褍';
+$lang['btn_search'] = '孝褉邪卸懈';
+$lang['btn_save'] = '小邪褔褍胁邪褬';
+$lang['btn_preview'] = '袩褉械谐谢械写邪褬';
+$lang['btn_top'] = '袙褉邪褌懈 褋械 薪邪 胁褉褏';
+$lang['btn_newer'] = '<< 薪芯胁懈褬械';
+$lang['btn_older'] = '褋褌邪褉懈褬械 >>';
+$lang['btn_revs'] = '小褌邪褉械 胁械褉蟹懈褬械';
+$lang['btn_recent'] = '小泻芯褉懈褬械 懈蟹屑械薪械';
+$lang['btn_upload'] = '袩芯褕邪褭懈';
+$lang['btn_cancel'] = '袩芯薪懈褕褌懈';
+$lang['btn_index'] = '袠薪写械泻褋';
+$lang['btn_secedit'] = '袠蟹屑械薪懈';
+$lang['btn_login'] = '袩褉懈褬邪胁懈 褋械';
+$lang['btn_logout'] = '袨写褬邪胁懈 褋械';
+$lang['btn_admin'] = '袗写屑懈薪懈褋褌褉邪褑懈褬邪';
+$lang['btn_update'] = '袗卸褍褉懈褉邪褬';
+$lang['btn_delete'] = '袠蟹斜褉懈褕懈';
+$lang['btn_back'] = '袧邪褌褉邪谐';
+$lang['btn_backlink'] = '袩芯胁褉邪褌薪械 胁械蟹械';
+$lang['btn_backtomedia'] = '袙褉邪褌懈 褋械 薪邪 懈蟹斜芯褉 屑械写懈褬褋泻械 写邪褌芯褌械泻械';
+$lang['btn_subscribe'] = '袩褉懈褬邪胁懈 褋械 薪邪 懈蟹屑械薪械';
+$lang['btn_unsubscribe'] = '袨写褬邪胁懈 褋械 褋邪 懈蟹屑械薪邪';
+$lang['btn_subscribens'] = '袩褉械褌锌谢邪褌懈 褋械 薪邪 锌褉芯屑械薪械 褍 懈屑械薪褋泻芯屑 锌褉芯褋褌芯褉褍';
+$lang['btn_unsubscribens'] = '袨褌泻邪卸懈 锌褉械褌锌谢邪褌褍 薪邪 锌褉芯屑械薪械 褍 懈屑械薪褋泻芯屑 锌褉芯褋褌芯褉褍';
+$lang['btn_profile'] = '袗卸褍褉懈褉邪褬 锌褉芯褎懈谢';
+$lang['btn_reset'] = '袩芯薪懈褕褌懈';
+$lang['btn_resendpwd'] = '袩芯褕邪褭懈 薪芯胁褍 谢芯蟹懈薪泻褍';
+$lang['btn_draft'] = '袠蟹屑械薪懈 薪邪褑褉褌';
+$lang['btn_recover'] = '袨锌芯褉邪胁懈 薪邪褑褉褌';
+$lang['btn_draftdel'] = '袨斜褉懈褕懈 薪邪褑褉褌';
+$lang['loggedinas'] = '袩褉懈褬邪胁褭械薪 泻邪芯';
+$lang['user'] = '袣芯褉懈褋薪懈褔泻芯 懈屑械';
+$lang['pass'] = '袥芯蟹懈薪泻邪';
+$lang['newpass'] = '袧芯胁邪 谢芯蟹懈薪泻邪';
+$lang['oldpass'] = '袩芯褌胁褉写懈 薪芯胁褍 谢芯蟹懈薪泻褍';
+$lang['passchk'] = '锌芯薪芯胁芯';
+$lang['remember'] = '袟邪锌邪屑褌懈 屑械';
+$lang['fullname'] = '袠屑械 懈 锌褉械蟹懈屑械';
+$lang['email'] = '袝-邪写褉械褋邪';
+$lang['register'] = '袪械谐懈褋褌褉褍褬 褋械';
+$lang['profile'] = '袣芯褉懈褋薪懈褔泻懈 锌褉芯褎懈谢';
+$lang['badlogin'] = '袠蟹胁懈薪懈褌械, 薪懈褬械 写芯斜褉芯 泻芯褉懈褋薪懈褔泻芯 懈屑械 懈谢懈 褕懈褎褉邪.';
+$lang['minoredit'] = '袦邪谢邪 懈蟹屑械薪邪';
+$lang['draftdate'] = '袧邪褑褉褌 褬械 邪褍褌芯屑邪褌褋泻懈 褋邪褔褍胁邪薪';
+$lang['nosecedit'] = '小褌褉邪薪邪 褬械 褍 屑械褣褍胁褉械屑械薪褍 锌褉芯屑械褮械薪邪, 锌芯谐谢邪胁褭械 褬械 蟹邪褋褌邪褉械谢芯 懈 锌芯薪芯胁芯 褋械 褍褔懈褌邪胁邪 褑械谢邪 褋褌褉邪薪邪.';
+$lang['regmissing'] = '袠蟹胁懈薪懈褌械, 屑芯褉邪褌械 写邪 锌芯锌褍薪懈褌械 褋胁邪 锌芯褭邪.';
+$lang['reguexists'] = '袠蟹胁懈薪懈褌械, 泻芯褉懈褋薪懈泻 褋邪 懈褋褌懈屑 懈屑械薪芯屑 胁械褯 锌芯褋褌芯褬懈.';
+$lang['regsuccess'] = '袣芯褉懈褋薪懈泻 褬械 薪邪锌褉邪胁褭械薪 懈 谢芯蟹懈薪泻邪 褬械 锌芯褋谢邪褌邪 锌褍褌械屑 械-锌芯褕褌械.';
+$lang['regsuccess2'] = '袣芯褉懈褋薪懈泻 褬械 薪邪锌褉邪胁褭械薪.';
+$lang['regmailfail'] = '袠蟹谐谢械写邪 写邪 褬械 写芯褕谢芯 写芯 谐褉械褕泻械 锌褉懈谢懈泻芯屑 褋谢邪褮邪 谢芯蟹懈薪泻械 械-锌芯褕褌芯屑. 袦芯谢懈屑 袙邪褋, 泻芯薪褌邪泻褌懈褉邪褬褌械 邪写屑懈薪懈褋褌褉邪褌芯褉邪!';
+$lang['regbadmail'] = '袛邪褌邪 械-邪写褉械褋邪 薪懈褬械 褍 褉械写褍 - 邪泻芯 屑懈褋谢懈褌械 写邪 褬械 芯胁芯 谐褉械褕泻邪, 泻芯薪褌邪泻褌懈褉邪褬褌械 邪写屑懈薪懈褋褌褉邪褌芯褉邪';
+$lang['regbadpass'] = '袛胁械 蟹邪写邪褌械 谢芯蟹懈薪泻械 薪懈褋褍 懈褋褌械. 袦芯谢懈屑 袙邪褋, 锌褉芯斜邪褬褌械 锌芯薪芯胁芯.';
+$lang['regpwmail'] = '袙邪褕邪 DokuWiki 谢芯蟹懈薪泻邪';
+$lang['reghere'] = '袌芯褕 褍胁械泻 薪械屑邪褌械 薪邪谢芯谐? 小邪屑芯 薪邪锌褉邪胁懈褌械 褬械写邪薪';
+$lang['profna'] = '袨胁邪褬 胁懈泻懈 薪械 写芯蟹胁芯褭邪胁邪 懈蟹屑械薪褍 锌褉芯褎懈谢邪';
+$lang['profnochange'] = '袧械屑邪 锌褉芯屑械薪邪.';
+$lang['profnoempty'] = '袧懈褬械 写芯蟹胁芯褭械薪芯 芯褋褌邪胁懈褌懈 锌褉邪蟹薪芯 锌芯褭械 懈屑械薪邪 懈谢懈 械-邪写褉械褋械.';
+$lang['profchanged'] = '袣芯褉懈褋薪懈褔泻懈 锌褉芯褎懈谢 褬械 邪卸褍褉懈褉邪薪.';
+$lang['pwdforget'] = '袟邪斜芯褉邪胁懈谢懈 褋褌械 谢芯蟹懈薪泻褍? 袧邪锌褉邪胁懈褌械 薪芯胁褍';
+$lang['resendna'] = '袨胁邪褬 胁懈泻懈 薪械 写芯蟹胁芯褭邪胁邪 褋谢邪褮械 谢芯蟹懈薪泻懈.';
+$lang['resendpwd'] = '袩芯褕邪褭懈 薪芯胁褍 谢芯蟹懈薪泻褍 蟹邪';
+$lang['resendpwdmissing'] = '袞邪芯 屑懈 褬械, 褋胁邪 锌芯褭邪 屑芯褉邪褬褍 斜懈褌懈 锌芯锌褍褮械薪邪.';
+$lang['resendpwdnouser'] = '袞邪芯 屑懈 褬械, 芯胁邪褬 泻芯褉懈褋薪懈泻 薪械 锌芯褋褌芯褬懈 褍 薪邪褕芯褬 斜邪蟹懈.';
+$lang['resendpwdbadauth'] = '袞邪芯 屑懈 褬械, 锌芯褌胁褉写薪懈 泻芯写 薪懈褬械 懈褋锌褉邪胁邪薪. 袩褉芯胁械褉懈褌械 写邪 谢懈 褋褌械 泻芯褉懈褋褌懈谢懈 泻芯屑锌谢械褌邪薪 锌芯褌胁褉写薪懈 谢懈薪泻.';
+$lang['resendpwdconfirm'] = '袩芯褌胁褉写薪懈 谢懈薪泻 褬械 锌芯褋褌邪褌 泻邪芯 械-锌芯褉褍泻邪.';
+$lang['resendpwdsuccess'] = '袙邪褕邪 薪芯胁邪 谢芯蟹懈薪泻邪 褬械 锌芯褋谢邪褌邪 泻邪芯 械-锌芯褉褍泻邪.';
+$lang['license'] = '袨褋懈屑 谐写械 褬械 写褉褍谐邪褔懈褬械 薪邪蟹薪邪褔械薪芯, 屑邪褌械褉懈褬邪谢 薪邪 芯胁芯屑 胁懈泻懈褬褍 褬械 锌芯写 褋谢械写械褯芯屑 谢懈褑械薪褑芯屑:';
+$lang['licenseok'] = '袧邪锌芯屑械薪邪: 袠蟹屑械薪芯屑 芯胁械 褋褌褉邪薪械 褋谢邪卸械褌械 褋械 写邪 褯械 胁邪褕械 懈蟹屑械薪械 斜懈褌懈 锌芯写 褋谢械写械褯芯屑 谢懈褑械薪褑芯屑:';
+$lang['txt_upload'] = '袠蟹邪斜械褉懈褌械 写邪褌芯褌械泻褍 蟹邪 褋谢邪褮械';
+$lang['txt_filename'] = '校薪械褋懈褌械 胁懈泻懈-懈屑械 (芯锌褑懈芯薪芯)';
+$lang['txt_overwrt'] = '袩褉械锌懈褕懈褌械 褌褉械薪褍褌薪懈 褎邪褬谢';
+$lang['lockedby'] = '孝褉械薪褍褌薪芯 蟹邪泻褭褍褔邪薪芯 芯写 褋褌褉邪薪械';
+$lang['lockexpire'] = '袟邪泻褭褍褔邪胁邪褮械 懈褋褌懈褔械';
+$lang['willexpire'] = '袙邪褕械 蟹邪泻褭褍褔邪胁邪褮械 蟹邪 懈蟹屑械薪褍 芯胁械 褋褌褉邪薪懈褑械 褯械 写邪 懈褋褌械泻薪械 蟹邪 褬械写邪薪 屑懈薪褍褌.\n袛邪 斜懈 褋褌械 懈蟹斜械谐谢懈 泻芯薪褎谢懈泻褌械, 懈褋泻芯褉懈褋褌懈褌械 写褍谐屑械 蟹邪 锌褉械谐谢械写 泻邪泻芯 斜懈 褋褌械 褉械褋械褌芯胁邪谢懈 褌邪褬屑械褉 蟹邪泻褭褍褔邪胁邪褮邪.';
+$lang['notsavedyet'] = '袧械褋邪褔褍胁邪薪械 懈蟹屑械薪械 褯械 斜懈褌懈 懈蟹谐褍斜褭械薪械.\n袛邪 谢懈 褋褌胁邪褉薪芯 卸械谢懈褌械 写邪 薪邪褋褌邪胁懈褌械?';
+$lang['rssfailed'] = '袛芯褕谢芯 褬械 写芯 谐褉械褕泻械 锌褉懈谢懈泻芯屑 锌褉械褍蟹懈屑邪褮邪 芯胁芯谐 写芯胁芯写邪: ';
+$lang['nothingfound'] = '袧懈褕褌邪 薪懈褬械 薪邪褣械薪芯.';
+$lang['mediaselect'] = '袠蟹斜芯褉 屑械写懈褬褋泻械 写邪褌芯褌械泻械';
+$lang['fileupload'] = '小谢邪褮械 屑械写懈褬褋泻械 写邪褌芯褌械泻械';
+$lang['uploadsucc'] = '校褋锌械褕薪芯 褋谢邪褮械';
+$lang['uploadfail'] = '袧械褍褋锌械褕薪芯 褋谢邪褮械. 袦芯卸写邪 薪械屑邪褌械 写芯蟹胁芯谢褍?';
+$lang['uploadwrong'] = '小谢邪褮械 褬械 蟹邪斜褉邪褮械薪芯. 袨胁邪褬 薪邪褋褌邪胁邪泻 写邪褌芯褌械泻械 褬械 蟹邪斜褉邪褮械薪!';
+$lang['uploadexist'] = '袛邪褌芯褌械泻邪 胁械褯 锌芯褋褌芯褬懈. 袧懈褕褌邪 薪懈褬械 褍褔懈褮械薪芯.';
+$lang['uploadbadcontent'] = '袦邪褌械褉懈褬邪谢 泻芯褬懈 褕邪褭械褌械 薪械 芯写谐芯胁邪褉邪 %s ';
+$lang['uploadspam'] = '小谢邪褮械 褬械 斜谢芯泻懈褉邪薪芯 褬械褉 褋械 薪邪谢邪蟹懈褌械 薪邪 褑褉薪芯褬 谢懈褋褌懈 锌芯褕懈褭邪芯褑邪.';
+$lang['uploadxss'] = '小谢邪褮械 褬械 斜谢芯泻懈褉邪薪芯 褬械褉 褬械 锌芯褌械薪褑懈褬邪谢薪芯 屑邪谢懈褑懈芯蟹薪芯谐 褋邪写褉卸邪褬邪.';
+$lang['uploadsize'] = '袩芯褋谢邪褌邪 写邪褌芯褌械泻邪 褬械 锌褉械胁械谢懈泻邪. (屑邪泻褋懈屑褍屑 褬械 %s)';
+$lang['deletesucc'] = '肖邪褬谢 "%s" 褬械 懈蟹斜褉懈褋邪薪.';
+$lang['deletefail'] = '"%s" 薪懈褬械 屑芯谐邪芯 写邪 斜褍写械 懈蟹斜褉懈褋邪薪 - 锌褉芯胁械褉懈褌械 写芯蟹胁芯谢械.';
+$lang['mediainuse'] = '肖邪褬谢 "%s" 薪懈褬械 懈蟹斜褉懈褋邪薪 - 褬芯褕 褬械 褍 褍锌芯褌褉械斜懈.';
+$lang['namespaces'] = '袠屑械薪褋泻懈 锌褉芯褋褌芯褉懈';
+$lang['mediafiles'] = '袛芯褋褌褍锌薪懈 褎邪褬谢芯胁懈 褍';
+$lang['js']['keepopen'] = '袟邪写褉卸懈 芯褌胁芯褉械薪 锌褉芯蟹芯褉 薪邪泻芯薪 芯写邪斜懈褉邪';
+$lang['js']['hidedetails'] = '小邪泻褉懈褬 写械褌邪褭械';
+$lang['js']['nosmblinks'] = '袩芯胁械蟹懈胁邪褮械 褋邪 Windows 写械褭械薪懈屑 褎芯谢写械褉懈屑邪 褉邪写懈 褋邪屑芯 褍 袦邪褬泻褉芯褋芯褎褌芯胁芯屑 袠薪褌械褉薪械褌 袩褉械褌褉邪卸懈胁邪褔褍.
+袠锌邪泻, 屑芯卸械褌械 写邪 懈褋泻芯锌懈褉邪褌械 懈 蟹邪谢械锌懈褌械 胁械蟹褍.';
+$lang['js']['mu_btn'] = '小谢邪褮械 胁懈褕械 写邪褌芯褌械泻邪 芯写褬械写薪芯屑';
+$lang['mediausage'] = '袣芯褉懈褋褌懈褌械 褋谢械写械褯褍 褋懈薪褌邪泻褋褍 蟹邪 褉械褎械褉械薪褑褍 泻邪 芯胁芯褬 写邪褌芯褌械褑懈:';
+$lang['mediaview'] = '袩褉懈泻邪卸懈 芯褉懈谐懈薪邪谢薪褍 写邪褌芯褌械泻褍';
+$lang['mediaroot'] = '锌芯褔械褌邪泻';
+$lang['mediaupload'] = '袩芯褕邪褭懈 写邪褌芯褌械泻褍 褍 褌褉械薪褍褌薪懈 懈屑械薪褋泻懈 锌褉芯褋褌芯褉. 袛邪 斜懈褋褌械 薪邪锌褉邪胁懈谢懈 锌芯写锌褉芯褋褌芯褉械, 锌褉械写胁懈写懈褌械 懈褏 褍 锌芯褭械 鈥炐熜狙埿把櫺 泻邪芯鈥 褉邪蟹写胁芯褬械薪芯 写胁芯褌邪褔泻邪屑邪.';
+$lang['mediaextchange'] = '袧邪褋褌邪胁邪泻 写邪褌芯褌械泻械 褬械 锌褉芯屑械褮械薪 懈蟹 .%s 褍 .%s!';
+$lang['reference'] = '袪械褎械褉械薪褑械 蟹邪';
+$lang['ref_inuse'] = '肖邪褬谢 薪械 屑芯卸械 写邪 斜褍写械 懈蟹斜褉懈褋邪薪 褬械褉 谐邪 褬芯褕 褍胁械泻 泻芯褉懈褋褌械 褋谢械写械褯械 褋褌褉邪薪懈褑械:';
+$lang['ref_hidden'] = '袧械泻械 褉械褎械褉械薪褑械 褋褍 薪邪 褋褌褉邪薪懈褑邪屑邪 蟹邪 泻芯褬械 薪械屑邪褌械 写芯蟹胁芯谢械 蟹邪 褔懈褌邪褮械';
+$lang['hits'] = '袩芯谐芯褌褑懈';
+$lang['quickhits'] = '袠屑械薪邪 褋褌褉邪薪懈褑邪 泻芯褬械 褋械 锌芯泻谢邪锌邪褬褍';
+$lang['toc'] = '小邪写褉卸邪褬';
+$lang['current'] = '褌褉械薪褍褌薪芯';
+$lang['yours'] = '袙邪褕邪 胁械褉蟹懈褬邪';
+$lang['diff'] = '锌褉懈泻邪卸懈 褉邪蟹谢懈泻械 写芯 褌褉械薪褍褌薪械 胁械褉蟹懈褬械';
+$lang['diff2'] = '袩褉懈泻邪卸懈 褉邪蟹谢懈泻械 懈蟹屑械褣褍 芯写邪斜褉邪薪懈褏 褉械胁懈蟹懈褬邪';
+$lang['line'] = '袥懈薪懈褬邪';
+$lang['breadcrumb'] = '孝褉邪谐';
+$lang['youarehere'] = '小邪写邪 褋褌械 芯胁写械';
+$lang['lastmod'] = '袩芯褋谢械写褮懈 锌褍褌 屑械褮邪薪芯';
+$lang['by'] = '芯写';
+$lang['deleted'] = '懈蟹斜褉懈褋邪薪芯';
+$lang['created'] = '薪邪锌褉邪胁褭械薪芯';
+$lang['restored'] = '褋褌邪褉邪 胁械褉蟹懈褬邪 锌芯胁褉邪褯械薪邪';
+$lang['external_edit'] = '褋锌芯褭薪邪 懈蟹屑械薪邪';
+$lang['summary'] = '小邪卸械褌邪泻 懈蟹屑械薪械';
+$lang['noflash'] = '袟邪 锌褉懈泻邪蟹懈胁邪褮械 芯胁械 胁褉褋褌械 屑邪褌械褉懈褬邪谢邪 锌芯褌褉械斜邪薪 胁邪屑 褬械 <a href="http://www.adobe.com/products/flashplayer/">Adobe Flash Plugin</a>.';
+$lang['mail_newpage'] = '褋褌褉邪薪懈褑邪 写芯写邪褌邪:';
+$lang['mail_changed'] = '褋褌褉邪薪懈褑邪 懈蟹屑械褮械薪邪:';
+$lang['mail_new_user'] = '薪芯胁懈 泻芯褉懈褋薪懈泻:';
+$lang['mail_upload'] = '锌芯褋谢邪褌邪 写邪褌芯褌械泻邪:';
+$lang['qb_bold'] = '袦邪褋褌邪薪 褌械泻褋褌';
+$lang['qb_italic'] = '袣褍褉蟹懈胁薪懈 褌械泻褋褌';
+$lang['qb_underl'] = '袩芯写胁褍褔械薪懈 褌械泻褋褌';
+$lang['qb_code'] = '袠蟹胁芯褉薪懈 泻芯写';
+$lang['qb_strike'] = '袩褉械褑褉褌邪薪懈 褌械泻褋褌';
+$lang['qb_h1'] = '袧邪褋谢芯胁 1. 薪懈胁芯邪';
+$lang['qb_h2'] = '袧邪褋谢芯胁 2. 薪懈胁芯邪';
+$lang['qb_h3'] = '袧邪褋谢芯胁 3. 薪懈胁芯邪';
+$lang['qb_h4'] = '袧邪褋谢芯胁 4. 薪懈胁芯邪';
+$lang['qb_h5'] = '袧邪褋谢芯胁 5. 薪懈胁芯邪';
+$lang['qb_link'] = '校薪褍褌褉邪褕褮邪 胁械蟹邪';
+$lang['qb_extlink'] = '小锌芯褭邪褕褮邪 胁械蟹邪';
+$lang['qb_hr'] = '啸芯褉懈蟹芯薪褌邪谢薪邪 谢懈薪懈褬邪';
+$lang['qb_ol'] = '袝谢械屑械薪褌 褍褉械褣械薪械 谢懈褋褌械';
+$lang['qb_ul'] = '袝谢械屑械薪褌 薪械褍褉械褣械薪械 谢懈褋褌械';
+$lang['qb_media'] = '袛芯写邪褬 褋谢懈泻械 懈 写褉褍谐械 褎邪褬谢芯胁械';
+$lang['qb_sig'] = '校斜邪褑懈 锌芯褌锌懈褋';
+$lang['qb_smileys'] = '小屑械褕泻芯';
+$lang['qb_chars'] = '袩芯褋械斜薪懈 泻邪褉邪泻褌械褉懈';
+$lang['js']['del_confirm'] = '袨斜褉懈褕懈 芯胁邪褬 褍薪芯褋?';
+$lang['admin_register'] = '袛芯写邪褬 薪芯胁芯谐 泻芯褉懈褋薪懈泻邪';
+$lang['metaedit'] = '袠蟹屑械薪懈 屑械褌邪-锌芯写邪褌泻械';
+$lang['metasaveerr'] = '袟邪锌懈褋懈胁邪褮械 屑械褌邪-锌芯写邪褌邪泻邪 薪懈褬械 斜懈谢芯 褍褋锌械褕薪芯';
+$lang['metasaveok'] = '袦械褌邪-锌芯写邪褑懈 褋褍 褋邪褔褍胁邪薪懈';
+$lang['img_backto'] = '袧邪褌褉邪谐 薪邪';
+$lang['img_title'] = '袧邪褋谢芯胁';
+$lang['img_caption'] = '袧邪蟹懈胁';
+$lang['img_date'] = '袛邪褌褍屑';
+$lang['img_fname'] = '袠屑械 褎邪褬谢邪';
+$lang['img_fsize'] = '袙械谢懈褔懈薪邪';
+$lang['img_artist'] = '肖芯褌芯谐褉邪褎';
+$lang['img_copyr'] = '袩褉邪胁邪 泻芯锌懈褉邪褮邪';
+$lang['img_format'] = '肖芯褉屑邪褌';
+$lang['img_camera'] = '袣邪屑械褉邪';
+$lang['img_keywords'] = '袣褭褍褔薪械 褉械褔懈';
+$lang['subscribe_success'] = '袛芯写邪芯 褋邪屑 %s 薪邪 谢懈褋褌褍 锌褉械褌锌谢邪褌薪懈泻邪 蟹邪 %s';
+$lang['subscribe_error'] = '袛芯褕谢芯 褬械 写芯 谐褉械褕泻械 锌褉懈 写芯写邪胁邪褮褍 %s 薪邪 谢懈褋褌褍 锌褉械褌锌谢邪褌薪懈泻邪 蟹邪 %s';
+$lang['subscribe_noaddress'] = '袧械 锌芯褋褌芯褬懈 械-邪写褉械褋邪 邪褋芯褑懈褉邪薪邪 褋邪 袙邪褕懈屑 薪邪谢芯谐芯屑. 袧械 屑芯卸械褌械 写邪 斜褍写械褌械 写芯写邪褌懈 薪邪 谢懈褋褌褍 锌褉械褌锌谢邪褌薪懈泻邪';
+$lang['unsubscribe_success'] = '袠蟹斜褉懈褋邪芯 褋邪屑 %s 褋邪 谢懈褋褌械 锌褉械褌锌谢邪褌薪懈泻邪 蟹邪 %s';
+$lang['unsubscribe_error'] = '袛芯褕谢芯 褬械 写芯 谐褉械褕泻械 锌褉懈谢懈泻芯屑 斜褉懈褋邪褮邪 %s 褋邪 谢懈褋褌械 锌褉械褌锌谢邪褌薪懈泻邪 蟹邪 %s';
+$lang['authmodfailed'] = '袥芯褕械 锌芯写械褕械薪邪 锌褉芯胁械褉邪 泻芯褉懈褋薪懈泻邪. 袦芯谢懈屑 袙邪褋 写邪 芯斜邪胁械褋褌懈褌械 邪写屑懈薪懈褋褌褉邪褌芯褉邪 胁懈泻懈褬邪.';
+$lang['authtempfail'] = '袩褉芯胁械褉邪 泻芯褉懈褋薪懈泻邪 褬械 褌褉械薪褍褌薪芯 薪械写芯褋褌褍锌薪邪. 袗泻芯 褋械 褋懈褌褍邪褑懈褬邪 薪邪褋褌邪胁懈, 屑芯谢懈屑芯 袙邪褋 写邪 芯斜邪胁械褋褌懈褌械 邪写屑懈薪懈褋褌褉邪褌芯褉邪 胁懈泻懈褬邪.';
+$lang['i_chooselang'] = '袨写邪斜械褉懈褌械 褬械蟹懈泻';
+$lang['i_installer'] = '袛芯泻褍胁懈泻懈 懈薪褋褌邪谢邪褑懈褬邪';
+$lang['i_wikiname'] = '袧邪蟹懈胁 胁懈泻懈褬邪';
+$lang['i_enableacl'] = '校泻褭褍褔懈 ';
+$lang['i_superuser'] = '小褍锌械褉泻芯褉懈褋薪懈泻';
+$lang['i_problems'] = '袠薪褋褌邪谢邪褑懈褬邪 褬械 薪邪懈褕谢邪 薪邪 锌褉芯斜谢械屑械 泻芯褬懈 褋褍 薪邪胁邪写械薪懈 褍 褌械泻褋褌褍 懈褋锌芯写. 袧械 屑芯卸械褌械 薪邪褋褌邪胁懈褌懈 写邪褭械 写芯泻 懈褏 薪械 懈褋锌褉邪胁懈褌械.';
+$lang['i_modified'] = '袠蟹 褋懈谐褍褉薪芯褋薪懈褏 褉邪蟹谢芯谐邪 芯胁邪 褋泻褉懈锌褌邪 褉邪写懈 褋邪屑芯 褋邪 薪芯胁芯屑 Dokuwiki 懈薪褋褌邪谢邪褑懈褬芯屑. 孝褉械斜邪谢芯 斜懈 懈谢懈 写邪 芯锌械褌 褉邪褋锌邪泻褍褬械褌械 邪褉褏懈胁褍 锌褉械褍蟹械褌褍 褋邪 褋邪褬褌邪 懈谢懈 写邪 锌芯谐谢械写邪褌械 <a href="http://dokuwiki.org/install">Dokuwiki 懈薪褋褌褉褍泻褑懈褬械 蟹邪 懈薪褋褌邪谢邪褑懈褬褍</a>';
+$lang['i_funcna'] = '袩啸袩 褎褍薪泻褑懈褬邪 <code>%s</code> 薪懈褬械 写芯褋褌褍锌薪邪. 袦芯卸写邪 褬械 袙邪褕 褏芯褋褌懈薪谐 锌褉芯胁邪褬写械褉 蟹邪斜褉邪薪懈芯 懈蟹 薪械泻芯谐 褉邪蟹谢芯谐邪?';
+$lang['i_phpver'] = '<code>%s</code> 袙械褉蟹懈褬邪 袙邪褕械谐 袩啸袩邪 褬械 薪懈卸邪 芯写 薪械芯锌褏芯写薪械 <code>%s</code>. 孝褉械斜邪谢芯 斜懈 写邪 薪邪写芯谐褉邪写懈褌械 袩啸袩 懈薪褋褌邪谢邪褑懈褬褍.';
+$lang['i_permfail'] = 'DokuWiki 薪械屑邪 写芯蟹胁芯谢褍 锌懈褋邪褮邪 褍 <code>%s</code>. 袩芯褌褉械斜薪芯 褬械 写邪 锌芯锌褉邪胁懈褌械 写芯蟹胁芯谢械 蟹邪 芯胁褍 褎邪褋褑懈泻谢褍!';
+$lang['i_confexists'] = '<code>%s</code> 胁械褯 锌芯褋褌芯褬懈';
+$lang['i_writeerr'] = '袧械 屑芯谐褍 写邪 薪邪锌褉邪胁懈屑 <code>%s</code>. 袩褉芯胁械褉懈褌械 写芯蟹胁芯谢械 邪 蟹邪褌懈屑 褉褍褔薪芯 薪邪锌褉邪胁懈褌械 芯胁褍 写邪褌芯褌械泻褍.';
+$lang['i_badhash'] = 'dokuwiki.php 薪懈褬械 锌褉械锌芯蟹薪邪褌 懈谢懈 褬械 懈蟹屑械褮械薪 (hash=<code>%s</code>)';
+$lang['i_badval'] = '<code>%s</code> - 薪械写芯蟹胁芯褭械薪邪 懈谢懈 锌褉邪蟹薪邪 胁褉械写薪芯褋褌';
+$lang['i_success'] = '袩芯写械褕邪胁邪褮邪 褋褍 蟹邪胁褉褕械薪邪. 小邪写邪 屑芯卸械褌械 芯斜褉懈褋邪褌懈 写邪褌芯褌械泻褍 install.php. 袧邪褋褌邪胁懈褌械 褍 <a href="doku.php">袙邪褕 薪芯胁懈 DokuWiki</a>.';
+$lang['i_failure'] = '袩芯褬邪胁懈谢懈 褋褍 褋械 锌褉芯斜谢械屑懈 锌褉懈 锌懈褋邪褮褍 写邪褌芯褌械泻械 褋邪 锌芯写械褕邪胁邪褮懈屑邪. 孝褉械斜邪谢芯 斜懈 写邪 懈褏 褉褍褔薪芯 懈褋锌褉邪胁懈褌械 锌褉械 薪械谐芯 褕褌芯 褯械褌械 屑芯褯懈 写邪 泻芯褉懈褋褌懈褌械 <a href="doku.php">袙邪褕 薪芯胁懈 DokuWiki</a>.';
+$lang['i_policy'] = '袠薪懈褑懈褬邪谢薪械 泻芯褉懈褋薪懈褔泻械 写芯蟹胁芯谢械';
+$lang['i_pol0'] = '袨褌胁芯褉械薪懈 胁懈泻懈 (褔懈褌邪褮械, 锌懈褋邪褮械, 褋谢邪褮械 写邪褌芯褌械泻邪 蟹邪 褋胁械)';
+$lang['i_pol1'] = '袌邪胁薪懈 胁懈泻懈 (褔懈褌邪褮械 蟹邪 褋胁械, 锌懈褋邪褮械 懈 褋谢邪褮械 写邪褌芯褌械泻邪 褋邪屑芯 蟹邪 褉械谐懈褋褌褉芯胁邪薪械 泻芯褉懈褋薪懈泻械)';
+$lang['i_pol2'] = '袟邪褌胁芯褉械薪懈 胁懈泻懈 (褔懈褌邪褮械, 锌懈褋邪褮械 懈 褋谢邪褮械 写邪褌芯褌械泻邪 褋邪屑芯 蟹邪 褉械谐懈褋褌褉芯胁邪薪械 泻芯褉懈褋薪懈泻械)';
+$lang['i_retry'] = '袩芯薪芯胁懈';
+$lang['mu_intro'] = '袨写邪胁写械 屑芯卸械褌械 锌芯褋谢邪褌懈 胁懈褕械 写邪褌芯褌械泻邪 芯写褬械写薪芯屑. 袣谢懈泻薪懈褌械 薪邪 写褍谐屑械 孝褉邪卸懈 写邪 斜懈褋褌械 写芯写邪谢懈 写邪褌芯褌械泻械 薪邪 谢懈褋褌褍. 袣邪写邪 蟹邪胁褉褕懈褌械 褋邪 芯写邪斜懈褉邪褮械屑 泻谢懈泻薪懈褌械 薪邪 袩芯褕邪褭懈.';
+$lang['mu_gridname'] = '袧邪蟹懈胁 写邪褌芯褌械泻械';
+$lang['mu_gridsize'] = '袙械谢懈褔懈薪邪';
+$lang['mu_gridstat'] = '小褌邪褌褍褋';
+$lang['mu_namespace'] = '袠屑械薪褋泻懈 锌褉芯褋褌芯褉';
+$lang['mu_browse'] = '孝褉邪卸懈';
+$lang['mu_toobig'] = '锌褉械胁械谢懈泻芯';
+$lang['mu_ready'] = '褋锌褉械屑薪芯 蟹邪 褋谢邪褮械';
+$lang['mu_done'] = '蟹邪胁褉褕械薪芯';
+$lang['mu_fail'] = '薪懈褬械 褍褋锌械谢芯';
+$lang['mu_authfail'] = '褋械褋懈褬邪 褬械 懈褋褌械泻谢邪';
+$lang['mu_progress'] = '@PCT@% 锌芯褋谢邪褌芯';
+$lang['mu_filetypes'] = '袛芯蟹胁芯褭械薪懈 褌懈锌芯胁懈 写邪褌芯褌械泻邪';
+$lang['recent_global'] = '孝褉械薪褍褌薪芯 锌褉邪褌懈褌械 锌褉芯屑械薪械 褍 懈屑械薪褋泻芯屑 锌褉芯褋褌芯褉褍 <b>%s</b>. 孝邪泻芯褣械, 屑芯卸械褌械 锌褉邪褌懈褌懈 <a href="%s">锌褉屑械薪械 薪邪 褑械谢芯屑 胁懈泻懈褬褍</a>.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/locked.txt
new file mode 100644
index 000000000..4bcc0ac5c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/locked.txt
@@ -0,0 +1,3 @@
+====== 小褌褉邪薪懈褑邪 褬械 蟹邪泻褭褍褔邪薪邪 ======
+
+袨胁褍 褋褌褉邪薪懈褑邪 褬械 写褉褍谐懈 泻芯褉懈褋薪懈泻 褍 芯胁芯屑 褌褉械薪褍褌泻褍 蟹邪泻褭褍褔邪芯 蟹邪 懈蟹屑械薪械. 袦芯褉邪褯械褌械 写邪 褋邪褔械泻邪褌械 写芯泻 芯薪 薪械 蟹邪胁褉褕懈 褋邪 懈蟹屑械薪邪屑邪 懈谢懈 薪械 懈褋褌械泻薪械 蟹邪泻褭褍褔邪胁邪褮械.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/login.txt
new file mode 100644
index 000000000..c2f5a6fb6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/login.txt
@@ -0,0 +1,4 @@
+====== 袩褉懈褬邪胁褭懈胁邪褮械 ======
+
+孝褉械薪褍褌薪芯 薪懈褋褌械 锌褉懈褬邪胁褭械薪懈! 校薪械褋懈褌械 袙邪褕械 懈薪褎芯褉屑邪褑懈褬械 懈褋锌芯写 写邪 斜懈褋褌械 褋械 锌褉懈褬邪胁懈谢懈. 袟邪 褌芯 褬械 薪械芯锌褏芯写薪芯 写邪 泻芯谢邪褔懈褯懈 斜褍写褍 芯屑芯谐褍褯械薪.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/mailtext.txt
new file mode 100644
index 000000000..2ed99bf34
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/mailtext.txt
@@ -0,0 +1,17 @@
+小褌褉邪薪懈褑邪 薪邪 袙邪褕械屑 DokuWiki-褬褍 褬械 写芯写邪褌邪 懈谢懈 懈蟹屑械褮械薪邪. 袝胁芯 写械褌邪褭邪
+
+袛邪褌褍屑 : @DATE@
+袙械斜 褔懈褌邪褔 : @BROWSER@
+袠袩 邪写褉械褋邪 : @IPADDRESS@
+袠屑械 写芯屑邪褯懈薪邪 : @HOSTNAME@
+小褌邪褉邪 褉械胁懈蟹懈褬邪 : @OLDPAGE@
+袧芯胁邪 褉械胁懈蟹懈褬邪 : @NEWPAGE@
+小邪卸械褌邪泻 懈蟹屑械薪邪 : @SUMMARY@
+袣芯褉懈褋薪懈泻 : @USER@
+
+@DIFF@
+
+
+--
+袨胁褍 锌芯褉褍泻褍 褬械 谐械薪械褉懈褋邪芯 DokuWiki 褋邪
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/newpage.txt
new file mode 100644
index 000000000..40a36e6f6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/newpage.txt
@@ -0,0 +1,3 @@
+====== 袨胁邪 褌械屑邪 褬芯褕 褍胁械泻 薪械 锌芯褋褌芯褬懈 ======
+
+袩褉邪褌懈谢懈 褋褌械 胁械蟹褍 写芯 褌械屑械 泻芯褬邪 褬芯褕 褍胁械泻 薪械 锌芯褋褌芯褬懈. 袦芯卸械褌械 写邪 褬械 薪邪锌褉邪胁懈褌械 泻芯褉懈褋褌械褯懈 写褍谐屑械 ''袧邪锌褉邪胁懈 芯胁褍 褋褌褉邪薪懈褑褍''.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/norev.txt
new file mode 100644
index 000000000..73f8d0b48
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/norev.txt
@@ -0,0 +1,4 @@
+====== 袧械 锌芯褋褌芯褬懈 褌邪泻胁邪 褉械胁懈蟹懈褬邪 ======
+
+袟邪写邪褌邪 褉械胁懈蟹懈褬邪 薪械 锌芯褋褌芯褬懈. 袠褋泻芯褉懈褋褌懈褌械 写褍谐屑械 ''小褌邪褉械 褉械胁懈蟹懈褬械'' 写邪 懈蟹谢懈褋褌邪褌械 褋褌邪褉械 褉械胁懈蟹懈褬械 芯胁芯谐 写芯泻褍屑械薪褌邪.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/preview.txt
new file mode 100644
index 000000000..be928884f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/preview.txt
@@ -0,0 +1,4 @@
+====== 袩褉械谐谢械写 ======
+
+袨胁芯 褬械 锌褉械谐谢械写 褌芯谐邪 泻邪泻芯 斜懈 袙邪褕 褌械泻褋褌 懈蟹谐谢械写邪芯. 袧械 蟹邪斜芯褉邪胁懈褌械: 芯薪 褬芯褕 **薪懈褬械 褋邪褔褍胁邪薪**!
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/pwconfirm.txt
new file mode 100644
index 000000000..35e23b75d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/pwconfirm.txt
@@ -0,0 +1,13 @@
+袟写褉邪胁芯 @FULLNAME@!
+
+袧械泻芯 褬械 蟹邪褌褉邪卸懈芯 薪芯胁褍 谢芯蟹懈薪泻褍 蟹邪 袙邪褕 薪邪谢芯谐 @TITLE@ 薪邪 @DOKUWIKIURL@
+
+袗泻芯 褌芯 薪懈褋褌械 袙懈, 褋邪屑芯 懈谐薪芯褉懈褕懈褌械 芯胁褍 锌芯褉褍泻褍.
+
+校 褋褍锌褉芯褌薪芯屑, 写邪 斜懈褋褌械 锌芯褌胁褉写懈谢懈 蟹邪褏褌械胁 泻谢懈泻薪懈褌械 薪邪 褋谢械写械褯懈 谢懈薪泻:
+
+@CONFIRM@
+
+--
+袨胁褍 锌芯褉褍泻褍 褬械 谐械薪械褉懈褋邪芯 DokuWiki sa
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/read.txt
new file mode 100644
index 000000000..c2d9ffff7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/read.txt
@@ -0,0 +1,2 @@
+袨胁邪 褋褌褉邪薪懈褑邪 褬械 褋邪屑芯 蟹邪 褔懈褌邪褮械. 袦芯卸械褌械 写邪 锌芯谐谢械写邪褌械 懈蟹胁芯褉薪懈 泻芯写, 邪谢懈 薪械 屑芯卸械褌械 写邪 褬械 屑械褮邪褌械. 袨斜褉邪褌懈褌械 褋械 邪写屑懈薪懈褋褌褉邪褌芯褉褍 邪泻芯 屑懈褋谢懈褌械 写邪 褌芯 薪懈褬械 褍褉械写褍.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/recent.txt
new file mode 100644
index 000000000..54c0c26f0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/recent.txt
@@ -0,0 +1,5 @@
+====== 小泻芯褉懈褬械 懈蟹屑械薪械 ======
+
+小谢械写械褯械 褋褌褉邪薪懈褑械 褋褍 斜懈谢械 懈蟹屑械褮械薪械 褍 褋泻芯褉懈褬械 胁褉械屑械.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/register.txt
new file mode 100644
index 000000000..a553b7a1f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/register.txt
@@ -0,0 +1,4 @@
+====== 袪械谐懈褋褌褉褍褬褌械 褋械 泻邪芯 薪芯胁懈 泻芯褉懈褋薪懈泻 ======
+
+袩芯锌褍薪懈褌械 褋胁械 懈薪褎芯褉屑邪褑懈褬械 懈褋锌芯写 泻邪泻芯 斜懈 褋褌械 薪邪锌褉邪胁懈谢懈 薪芯胁懈 薪邪谢芯谐 薪邪 芯胁芯屑 胁懈泻懈褬褍. 袨斜邪胁械蟹薪芯 褍锌懈褕懈褌械 **褌邪褔薪褍 械-邪写褉械褋褍** - 袙邪褕邪 薪芯胁邪 谢芯蟹懈薪泻邪 褯械 褌邪屑芯 斜懈褌懈 锌芯褋谢邪褌邪. 袣芯褉懈褋薪懈褔泻芯 懈屑械 斜懈 褌褉械斜邪谢芯 写邪 斜褍写械 懈褋锌褉邪胁薪芯 [[doku>pagename|懈屑械 褋褌褉邪薪懈褑械]]
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/registermail.txt
new file mode 100644
index 000000000..efdcbb5c5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/registermail.txt
@@ -0,0 +1,15 @@
+袪械谐懈褋褌褉芯胁邪薪 褬械 薪芯胁懈 泻芯褉懈褋薪懈泻. 袨胁芯 褋褍 写械褌邪褭懈:
+
+袣芯褉懈褋薪懈褔泻芯 懈屑械: @NEWUSER@
+袠屑械 懈 锌褉械蟹懈屑械: @NEWNAME@
+袝-邪写褉械褋邪: @NEWEMAIL@
+
+袛邪褌褍屑: @DATE@
+袙械斜 褔懈褌邪褔: @BROWSER@
+袠袩 邪写褉械褋邪: @IPADDRESS@
+袛芯屑邪褯懈薪: @HOSTNAME@
+
+
+--
+袨胁褍 锌芯褉褍泻褍 褬械 谐械薪械褉懈褋邪芯 DokuWiki sa
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/resendpwd.txt
new file mode 100644
index 000000000..7f6623dbd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/resendpwd.txt
@@ -0,0 +1,3 @@
+====== 袩芯褕邪褭懈 薪芯胁褍 谢芯蟹懈薪泻褍 ======
+
+袦芯谢懈屑 袙邪褋 褍薪械褋懈褌械 泻芯褉懈褋薪懈褔泻芯 懈屑械 褍 褎芯褉屑褍 写邪 斜懈褋褌械 蟹邪褌褉邪卸懈谢懈 薪芯胁褍 谢芯蟹懈薪泻褍 蟹邪 袙邪褕 薪邪谢芯谐 薪邪 芯胁芯屑 胁懈泻懈褬褍. 袩芯褌胁褉写薪懈 谢懈薪泻 褯械 斜懈褌懈 锌芯褋谢邪褌 薪邪 械-邪写褉械褋褍 泻芯褬褍 褋褌械 泻芯褉懈褋褌懈谢懈 薪邪 褉械谐懈褋褌褉邪褑懈褬懈. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/revisions.txt
new file mode 100644
index 000000000..1ca995a11
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/revisions.txt
@@ -0,0 +1,4 @@
+====== 小褌邪褉械 褉械胁懈蟹懈褬械 ======
+
+袨胁芯 褋褍 褋褌邪褉懈褬械 褉械胁懈蟹懈褬械 褌褉械薪褍褌薪芯谐 写芯泻褍屑械薪褌邪. 袛邪 斜懈 褋褌械 锌芯胁褉邪褌懈谢懈 褋褌邪褉褍 褉械胁懈蟹懈褬褍, 懈蟹邪斜械褉懈褌械 褬械 芯写芯蟹写芯, 泻谢懈泻薪懈褌械 薪邪 ''袠蟹屑械薪懈 褋褌褉邪薪懈褑褍'' 懈 褋邪褔褍胁邪褬褌械 褬械.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/searchpage.txt
new file mode 100644
index 000000000..010966a7c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/searchpage.txt
@@ -0,0 +1,5 @@
+====== 袩褉械褌褉邪谐邪 ======
+
+袠褋锌芯写 屑芯卸械褌械 写邪 薪邪褣械褌械 褉械蟹褍谢褌邪褌械 袙邪褕械 锌褉械褌褉邪谐械. 袗泻芯 薪懈褋褌械 薪邪褕谢懈 褌芯 褕褌芯 褋褌械 褌褉邪卸懈谢懈, 屑芯卸械褌械 写邪 薪邪锌褉邪胁懈褌械 薪芯胁褍 褋褌褉邪薪懈褑褍 薪邪蟹胁邪薪褍 锌芯 袙邪褕械屑 褍锌懈褌褍 泻芯褉懈褋褌械褯懈 写褍谐屑械 ''袠蟹屑械薪懈 芯胁褍 褋褌褉邪薪懈褑褍''.
+
+===== 袪械蟹褍谢褌邪褌懈 =====
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/showrev.txt
new file mode 100644
index 000000000..f2aabb2cb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/showrev.txt
@@ -0,0 +1,2 @@
+**袨胁芯 褬械 褋褌邪褉邪 胁械褉蟹懈褬邪 写芯泻褍屑械薪褌邪!**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/stopwords.txt
new file mode 100644
index 000000000..78093e29a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/stopwords.txt
@@ -0,0 +1,12 @@
+# 袨胁芯 褬械 谢懈褋褌邪 褉械褔懈 泻芯褬械 褋械 薪械褯械 懈薪写械泻褋懈褉邪褌懈, 锌芯 褬械写薪邪 褉械褔 褍 褉械写褍
+# 袣邪写邪 屑械褮邪褌械 芯胁褍 写邪褌芯褌械泻褍 锌褉芯胁械褉懈褌械 写邪 谢懈 褬械 薪芯胁懈 褉械写 蟹邪锌懈褋邪薪 锌芯 UNIX 褋懈褋褌械屑褍
+# 袧械屑邪 锌芯褌褉械斜械 褍薪芯褋懈褌懈 褉械褔懈 泻褉邪褯械 芯写 3 褋谢芯胁邪 - 芯薪械 褋械 锌褉械褋泻邪褔褍 懈薪邪褔械
+胁邪褕
+芯薪懈
+褮懈褏芯胁
+泻邪泻芯
+芯胁芯
+褕褌邪
+泻邪写
+谐写械
+www
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/subscribermail.txt
new file mode 100644
index 000000000..fd3de7d38
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/subscribermail.txt
@@ -0,0 +1,17 @@
+袟写褉邪胁芯!
+
+袠蟹屑械褮械薪邪 褬械 褋褌褉邪薪懈褑邪 @PAGE@ 薪邪 @TITLE@ 胁懈泻懈褬褍.
+袝胁芯 懈蟹屑械薪邪:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+袛邪 褋械 芯写褬邪胁懈褌械 褋邪 芯胁械 褋褌褉邪薪懈褑械, 锌褉懈褬邪胁懈褌械 褋械 薪邪 胁懈泻懈 薪邪
+@DOKUWIKIURL@ 懈 芯薪写邪 锌芯褋械褌懈褌械
+@NEWPAGE@
+懈 懈蟹邪斜械褉懈褌械 '袨写褬邪胁懈 褋械 褋邪 懈蟹屑械薪邪'.
+
+--
+袨胁褍 锌芯褉褍泻褍 褬械 谐械薪械褉懈褋邪芯 DokuWiki 薪邪
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/updateprofile.txt
new file mode 100644
index 000000000..15b9955e9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/updateprofile.txt
@@ -0,0 +1,3 @@
+====== 袗卸褍褉懈褉邪褮械 袙邪褕械谐 锌褉芯褎懈谢邪 ======
+
+袩芯褌褉械斜薪芯 褬械 锌芯锌褍薪懈褌懈 褋邪屑芯 芯薪邪 锌芯褭邪 泻芯褬邪 卸械谢懈褌械 写邪 锌褉芯屑械薪懈褌械. 袩芯褭械 袣芯褉懈褋薪懈褔泻芯 懈屑械 薪械 屑芯卸械褌械 写邪 锌褉芯屑械薪懈褌懈. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/uploadmail.txt
new file mode 100644
index 000000000..36b3bb31d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/uploadmail.txt
@@ -0,0 +1,14 @@
+袧芯胁邪 写邪褌芯褌械泻邪 褬械 锌芯褋谢邪褌邪 薪邪 袙邪褕 DokuWiki. 袨胁芯 褋褍 褮械薪懈 写械褌邪褮懈:
+
+袛邪褌芯褌械泻邪: @MEDIA@
+袛邪褌褍屑: @DATE@
+袙械斜 褔懈褌邪褔: @BROWSER@
+袠袩 邪写褉械褋邪: @IPADDRESS@
+袛芯屑邪褯懈薪: @HOSTNAME@
+袙械谢懈褔懈薪邪: @SIZE@
+MIME 褌懈锌: @MIME@
+袣芯褉懈褋薪懈泻: @USER@
+
+--
+袨胁褍 锌芯褉褍泻褍 褬械 谐械薪械褉懈褋邪芯 DokuWiki sa
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/wordblock.txt
new file mode 100644
index 000000000..56ecde3fd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sr/wordblock.txt
@@ -0,0 +1,4 @@
+====== 小袩袗袦 褬械 斜谢芯泻懈褉邪薪 ======
+
+袙邪褕械 懈蟹屑械薪械 **薪懈褋褍** 褋邪褔褍胁邪薪械 褬械褉 褋邪写褉卸械 褬械写薪褍 懈谢懈 胁懈褕械 斜谢芯泻懈褉邪薪懈褏 褉械褔懈. 袗泻芯 褋褌械 锌芯泻褍褕邪谢懈 写邪 褋锌邪屑褍褬械褌械 胁懈泻懈 -- 薪邪写褉褭邪褯械褌械! 袗泻芯 屑懈褋谢懈褌械 写邪 褬械 芯胁芯 谐褉械褕泻邪, 泻芯薪褌邪泻褌懈褉邪褬褌械 邪写屑懈薪懈褋褌褉邪褌芯褉邪 芯胁芯谐 胁懈泻懈褬邪.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/admin.txt
new file mode 100644
index 000000000..10887da7d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/admin.txt
@@ -0,0 +1,4 @@
+====== Administration ======
+
+Nedan hittar du en lista 枚ver de tillg盲ngliga administrativa uppgifterna i DokuWiki.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/adminplugins.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/adminplugins.txt
new file mode 100644
index 000000000..0af37c769
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/adminplugins.txt
@@ -0,0 +1,2 @@
+
+===== Ytterligare Till盲gg ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/backlinks.txt
new file mode 100644
index 000000000..c907c8ecf
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/backlinks.txt
@@ -0,0 +1,3 @@
+====== Tillbakal盲nkar ======
+
+Detta 盲r en lista 枚ver sidor som verkar l盲nka tillbaka till den aktuella sidan.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/conflict.txt
new file mode 100644
index 000000000..42168d1b4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/conflict.txt
@@ -0,0 +1,6 @@
+====== Det finns en senare version ======
+
+Det finns en senare version av dokumentet du har redigerat. Detta kan h盲nda n盲r en annan anv盲ndare redigerar dokumentet samtidigt som du.
+
+Granska skillnaderna som visas nedan noga, och v盲lj sedan vilken version du vill beh氓lla. Om du v盲ljer ''spara'', s氓 kommer din version att sparas. V盲lj ''avbryt'' f枚r att beh氓lla den nuvarande versionen.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/denied.txt
new file mode 100644
index 000000000..64d129227
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/denied.txt
@@ -0,0 +1,4 @@
+====== 脜tkomst nekad ======
+
+Tyv盲rr, du har inte beh枚righet att forts盲tta. Kanske har du gl枚mt att logga in?
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/diff.txt
new file mode 100644
index 000000000..9fb8c20f2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/diff.txt
@@ -0,0 +1,4 @@
+====== Skillnader ======
+
+H盲r visas skillnader mellan den valda versionen och den nuvarande versionen av sidan.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/draft.txt
new file mode 100644
index 000000000..3749ad035
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/draft.txt
@@ -0,0 +1,6 @@
+====== Utkast hittat ======
+
+Din senaste redigering av sidan avslutades inte p氓 ett korrekt s盲tt. DokuWiki sparade automatiskt ett utkast under tiden du arbetade, och nu kan du anv盲nda det f枚r att forts盲tta redigeringen. Nedan kan du se det inneh氓ll som sparats fr氓n din f枚rra session.
+
+Best盲m om du vill //氓terskapa// din f枚rlorade redigeringssession, //radera// det automatiskt sparade utkastet eller //avbryta// redigeringen.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/edit.txt
new file mode 100644
index 000000000..187b11fca
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/edit.txt
@@ -0,0 +1,2 @@
+Redigera sidan och klicka ''Spara''. Se [[wiki:syntax]] f枚r Wikisyntax. Redigera bara sidan om du kan **f枚rb盲ttra** den. Om du vill testa hur saker och ting fungerar, g枚r det p氓 [[playground:playground|lekplatsen]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/editrev.txt
new file mode 100644
index 000000000..8bd1adb0a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/editrev.txt
@@ -0,0 +1,2 @@
+**Du har h盲mtat en tidigare version av dokumentet!** Om du sparar den s氓 kommer du att skapa en ny version med detta inneh氓ll.
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/index.txt
new file mode 100644
index 000000000..24d715b74
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/index.txt
@@ -0,0 +1,4 @@
+====== Inneh氓llsf枚rteckning ======
+
+Detta 盲r en inneh氓llsf枚rteckning 枚ver alla tillg盲ngliga sidor, sorterad efter [[doku>namespaces|namnrymder]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/install.html
new file mode 100644
index 000000000..11e2eea49
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/install.html
@@ -0,0 +1,25 @@
+<p>Denna sida hj盲lper dig med nyinstallation och inst盲llningar f枚r
+<a href="http://dokuwiki.org">Dokuwiki</a>. Mer information om
+installationsprogrammet finns p氓 dess egen
+<a href="http://dokuwiki.org/installer">dokumentationssida</a>.</p>
+
+<p>DokuWiki anv盲nder vanliga filer f枚r att lagra wikisidor och annan
+information som h盲r till sidorna (till exempel bilder, s枚kindex, gamla
+versioner, etc). F枚r att kunna fungera
+<strong>m氓ste</strong> DokuWiki ha skrivr盲ttigheter i de kataloger d盲r
+filerna ligger. Detta installationsprogram kan inte 盲ndra r盲ttigheter
+p氓 kataloger. Det m氓ste normalt g枚ras direkt p氓 en kommandorad, eller
+om du anv盲nder ett webbhotell, via FTP eller din leverant枚rs kontrollpanel
+(till exempel cPanel).</p>
+
+<p>Detta installationsprogram anpassar inst盲llningarna i din DokuWiki f枚r
+<acronym title="access control list">ACL</acronym> (beh枚righetslista), vilket i sin tur g枚r att
+administrat枚ren kan logga in och komma 氓t DokuWikis administrationsmenu f枚r
+att installera insticksmoduler, hantera anv盲ndare, hantera beh枚righet till
+wikisidor och 盲ndra inst盲llningar. ACL 盲r inget krav f枚r att DokuWiki ska
+fungera, men det f枚renklar administrationen.</p>
+
+<p>Erfarna anv盲ndare, eller anv盲ndare med s盲rskilda behov, kan anv盲nda dessa
+l盲nkar f枚r att hitta mer detaljer om
+<a href="http://dokuwiki.org/install">installation</a>
+och <a href="http://dokuwiki.org/config">inst盲llningar</a>.</p>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/lang.php
new file mode 100644
index 000000000..062e83a31
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/lang.php
@@ -0,0 +1,261 @@
+<?php
+/**
+ * Swedish language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Joaquim Homrighausen <joho@webbplatsen.se>
+ * @author Per Foreby <per@foreby.se>
+ * @author Nicklas Henriksson <nicklas[at]nihe.se>
+ * @author H氓kan Sandell <hakan.sandell[at]mydata.se>
+ * @author Dennis Karlsson
+ * @author Tormod Otter Johansson <tormod@latast.se>
+ * @author emil@sys.nu
+ * @author Pontus Bergendahl <pontus.bergendahl@gmail.com>
+ * @author Tormod Johansson tormod.otter.johansson@gmail.com
+ * @author Emil Lind <emil@sys.nu>
+ * @author Bogge Bogge <bogge@bogge.com>
+ * @author Peter 脜str枚m <eaustreum@gmail.com>
+ * @author H氓kan Sandell <hakan.sandell@home.se>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '鈥';
+$lang['btn_edit'] = 'Redigera sidan';
+$lang['btn_source'] = 'Visa k盲llkod';
+$lang['btn_show'] = 'Visa sidan';
+$lang['btn_create'] = 'Skapa sidan';
+$lang['btn_search'] = 'S枚k';
+$lang['btn_save'] = 'Spara';
+$lang['btn_preview'] = 'Granska';
+$lang['btn_top'] = 'Till b枚rjan av sidan';
+$lang['btn_newer'] = '<< nyare';
+$lang['btn_older'] = '盲ldre >>';
+$lang['btn_revs'] = 'Historik';
+$lang['btn_recent'] = 'Nyligen 盲ndrat';
+$lang['btn_upload'] = 'Ladda upp';
+$lang['btn_cancel'] = 'Avbryt';
+$lang['btn_index'] = 'Index';
+$lang['btn_secedit'] = 'Redigera';
+$lang['btn_login'] = 'Logga in';
+$lang['btn_logout'] = 'Logga ut';
+$lang['btn_admin'] = 'Admin';
+$lang['btn_update'] = 'Uppdatera';
+$lang['btn_delete'] = 'Radera';
+$lang['btn_back'] = 'Tillbaka';
+$lang['btn_backlink'] = 'Tillbakal盲nkar';
+$lang['btn_backtomedia'] = 'Tillbaka till val av Mediafil';
+$lang['btn_subscribe'] = 'Prenumerera p氓 盲ndringar';
+$lang['btn_unsubscribe'] = 'S盲g upp prenumeration p氓 盲ndringar';
+$lang['btn_subscribens'] = 'Prenumerera p氓 namnrymds盲ndringar';
+$lang['btn_unsubscribens'] = 'Sluta prenumerera p氓 namnrymds盲ndringar
+';
+$lang['btn_profile'] = 'Uppdatera profil';
+$lang['btn_reset'] = '脜terst盲ll';
+$lang['btn_resendpwd'] = 'Skicka nytt l枚senord';
+$lang['btn_draft'] = 'Redigera utkast';
+$lang['btn_recover'] = '脜terskapa utkast';
+$lang['btn_draftdel'] = 'Radera utkast';
+$lang['btn_revert'] = '脜terst盲ll';
+$lang['loggedinas'] = 'Inloggad som';
+$lang['user'] = 'Anv盲ndarnamn';
+$lang['pass'] = 'L枚senord';
+$lang['newpass'] = 'Nytt l枚senord';
+$lang['oldpass'] = 'Bekr盲fta nuvarande l枚senord';
+$lang['passchk'] = 'en g氓ng till';
+$lang['remember'] = 'Kom ih氓g mig';
+$lang['fullname'] = 'Namn';
+$lang['email'] = 'E-post';
+$lang['register'] = 'Registrera';
+$lang['profile'] = 'Anv盲ndarprofil';
+$lang['badlogin'] = 'Felaktigt anv盲ndarnamn eller l枚senord.';
+$lang['minoredit'] = 'Sm氓 盲ndringar';
+$lang['draftdate'] = 'Utkast automatiskt sparat';
+$lang['nosecedit'] = 'Sidan 盲ndrades medan du skrev, sektionsinformationen var inte uppdaterad. Laddar hela sidan ist盲llet.';
+$lang['regmissing'] = 'Du m氓ste fylla i alla f盲lten.';
+$lang['reguexists'] = 'Det finns redan en anv盲ndare med det anv盲ndarnamnet.';
+$lang['regsuccess'] = 'Anv盲ndarkontot skapat, l枚senordet har skickats via e-post.';
+$lang['regsuccess2'] = 'Anv盲ndarkontot skapat.';
+$lang['regmailfail'] = 'Ett fel uppstod n盲r ditt l枚senord skulle skickas via e-post. Var god kontakta administrat枚ren!';
+$lang['regbadmail'] = 'Den angivna e-postadressen verkar vara ogiltig - om du anser detta felaktigt, var god kontakta administrat枚ren';
+$lang['regbadpass'] = 'De tv氓 angivna l枚senorden 盲r inte identiska. F枚rs枚k igen.';
+$lang['regpwmail'] = 'Ditt DokuWikil枚senord';
+$lang['reghere'] = 'Har du inte ett konto 盲n? Skaffa ett';
+$lang['profna'] = 'Denna wiki st枚djer inte 盲ndringar av profiler';
+$lang['profnochange'] = 'Ingenting 盲ndrades, inget att g枚ra.';
+$lang['profnoempty'] = 'Namn och e-postadress m氓ste fyllas i.';
+$lang['profchanged'] = 'Anv盲ndarprofilen uppdaterad.';
+$lang['pwdforget'] = 'Gl枚mt ditt l枚senord? Ordna ett nytt';
+$lang['resendna'] = 'Den h盲r wikin st枚djer inte utskick av l枚senord.';
+$lang['resendpwd'] = 'Skicka nytt l枚senord f枚r';
+$lang['resendpwdmissing'] = 'Du m氓ste fylla i alla f盲lt.';
+$lang['resendpwdnouser'] = 'Den h盲r anv盲ndaren hittas inte i databasen.';
+$lang['resendpwdbadauth'] = 'Den h盲r verifieringskoden 盲r inte giltig. Kontrollera att du anv盲nde hela verifieringsl盲nken.';
+$lang['resendpwdconfirm'] = 'En verifieringsl盲nk har skickats med e-post.';
+$lang['resendpwdsuccess'] = 'Ditt nya l枚senord har skickats med e-post.';
+$lang['license'] = 'Om inte annat angivet, inneh氓llet i denna wiki 盲r licensierat under f枚ljande licenser:';
+$lang['licenseok'] = 'Notera: Genom att 盲ndra i denna sidan s氓 accepterar du att licensiera ditt bidrag under f枚ljande licenser:';
+$lang['searchmedia'] = 'S枚k efter filnamn:';
+$lang['searchmedia_in'] = 'S枚k i %s';
+$lang['txt_upload'] = 'V盲lj fil att ladda upp';
+$lang['txt_filename'] = 'Ladda upp som (ej obligatoriskt)';
+$lang['txt_overwrt'] = 'Skriv 枚ver befintlig fil';
+$lang['lockedby'] = 'L氓st av';
+$lang['lockexpire'] = 'L氓s upph枚r att g盲lla';
+$lang['willexpire'] = 'Ditt redigeringsl氓s f枚r detta dokument kommer snart att upph枚ra.\nF枚r att undvika versionskonflikter b枚r du f枚rhandsgranska ditt dokument f枚r att f枚rl盲nga redigeringsl氓set.';
+$lang['notsavedyet'] = 'Det finns 盲ndringar som inte 盲r sparade.\n脛r du s盲ker p氓 att du vill forts盲tta?';
+$lang['rssfailed'] = 'Ett fel uppstod n盲r detta RSS-fl枚de skulle h盲mtas: ';
+$lang['nothingfound'] = 'Inga filer hittades.';
+$lang['mediaselect'] = 'Mediafiler';
+$lang['fileupload'] = 'Ladda upp mediafiler';
+$lang['uploadsucc'] = 'Uppladdningen lyckades';
+$lang['uploadfail'] = 'Uppladdningen misslyckades, fel filskydd?';
+$lang['uploadwrong'] = 'Uppladdning nekad. Fil盲ndelsen 盲r inte till氓ten!';
+$lang['uploadexist'] = 'Filen finns redan. Ingenting gjordes.';
+$lang['uploadbadcontent'] = 'Det uppladdade inneh氓llet st盲mde inte 枚verens med fil盲ndelsen %s.';
+$lang['uploadspam'] = 'Uppladdningen stoppades av sp盲rrlistan f枚r spam.';
+$lang['uploadxss'] = 'Uppladdningen stoppades p氓 grund av eventuellt skadligt inneh氓ll.';
+$lang['uploadsize'] = 'Den uppladdade filen 盲r f枚r stor. (max. %s)';
+$lang['deletesucc'] = 'Filen "%s" har raderats.';
+$lang['deletefail'] = 'Kunde inte radera "%s" - kontrollera filskydd.';
+$lang['mediainuse'] = 'Filen "%s" har inte raderats - den anv盲nds fortfarande.';
+$lang['namespaces'] = 'Namnrymder';
+$lang['mediafiles'] = 'Tillg盲ngliga filer i';
+$lang['js']['searchmedia'] = 'S枚k efter filer';
+$lang['js']['keepopen'] = 'L盲mna f枚nstret 枚ppet efter val av fil';
+$lang['js']['hidedetails'] = 'D枚lj detaljer';
+$lang['js']['nosmblinks'] = 'L盲nkning till Windowsresurser fungerar bara med Microsofts Internet Explorer.
+Du kan fortfarande klippa och klistra in l盲nken om du anv盲nder en annan webbl盲sare 盲n MSIE.';
+$lang['js']['linkwiz'] = 'Snabbguide L盲nkar';
+$lang['js']['linkto'] = 'L盲nk till:';
+$lang['js']['del_confirm'] = 'Vill du verkligen radera?';
+$lang['js']['mu_btn'] = 'Ladda upp flera filer samtidigt';
+$lang['mediausage'] = 'Anv盲nd f枚ljande syntax f枚r att referera till denna fil:';
+$lang['mediaview'] = 'Visa originalfilen';
+$lang['mediaroot'] = 'rot';
+$lang['mediaupload'] = 'H盲r kan du ladda upp en fil till den nuvarande namnrymden. F枚r att skapa undernamnrymder, skriv dem f枚re filnamnet under "Ladda upp som". Separera namnrymd och filnamn med kolon.';
+$lang['mediaextchange'] = 'Fil盲ndelsen 盲ndrad fr氓n .%s till .%s!';
+$lang['reference'] = 'Referenser till';
+$lang['ref_inuse'] = 'Filen kan inte raderas eftersom den fortfarande anv盲nds av f枚ljande sidor:';
+$lang['ref_hidden'] = 'Vissa referenser 盲r p氓 sidor som du inte har r盲tt att l盲sa';
+$lang['hits'] = 'Tr盲ffar';
+$lang['quickhits'] = 'Matchande sidnamn';
+$lang['toc'] = 'Inneh氓llsf枚rteckning';
+$lang['current'] = 'aktuell';
+$lang['yours'] = 'Din version';
+$lang['diff'] = 'visa skillnader mot aktuell version';
+$lang['diff2'] = 'Visa skillnader mellan valda versioner';
+$lang['line'] = 'Rad';
+$lang['breadcrumb'] = 'Sp氓r';
+$lang['youarehere'] = 'H盲r 盲r du';
+$lang['lastmod'] = 'Senast uppdaterad';
+$lang['by'] = 'av';
+$lang['deleted'] = 'raderad';
+$lang['created'] = 'skapad';
+$lang['restored'] = 'tidigare version 氓terst盲lld';
+$lang['external_edit'] = 'extern redigering';
+$lang['summary'] = 'Redigeringskommentar';
+$lang['noflash'] = '<a href="http://www.adobe.com/products/flashplayer/">Adobe Flash Plugin</a> beh枚vs f枚r att visa detta inneh氓ll.';
+$lang['download'] = 'Ladda ner kodfragmentet';
+$lang['mail_newpage'] = 'sida tillagd:';
+$lang['mail_changed'] = 'sida 盲ndrad:';
+$lang['mail_new_user'] = 'Ny anv盲ndare:';
+$lang['mail_upload'] = 'fil uppladdad:';
+$lang['qb_bold'] = 'Fet text';
+$lang['qb_italic'] = 'Kursiv text';
+$lang['qb_underl'] = 'Understruken text';
+$lang['qb_code'] = 'Kodtext';
+$lang['qb_strike'] = '脰verstruken text';
+$lang['qb_h1'] = 'Rubrik niv氓 1';
+$lang['qb_h2'] = 'Rubrik niv氓 2';
+$lang['qb_h3'] = 'Rubrik niv氓 3';
+$lang['qb_h4'] = 'Rubrik niv氓 4';
+$lang['qb_h5'] = 'Rubrik niv氓 5';
+$lang['qb_h'] = 'Rubrik';
+$lang['qb_hs'] = 'V盲lj Rubrik';
+$lang['qb_hplus'] = 'St枚rre Rubrik';
+$lang['qb_hminus'] = 'Mindre Rubrik';
+$lang['qb_hequal'] = 'Rubrik samma niv氓.';
+$lang['qb_link'] = 'Intern L盲nk';
+$lang['qb_extlink'] = 'Extern L盲nk';
+$lang['qb_hr'] = 'Horisontell linje';
+$lang['qb_ol'] = 'Punkt i sorterad lista';
+$lang['qb_ul'] = 'Punkt i osorterad lista';
+$lang['qb_media'] = 'L盲gg till bilder och andra filer';
+$lang['qb_sig'] = 'Infoga signatur';
+$lang['qb_smileys'] = 'Smileys';
+$lang['qb_chars'] = 'Specialtecken';
+$lang['upperns'] = 'hoppa till f枚r盲ldernamnrymd';
+$lang['admin_register'] = 'L盲gg till ny anv盲ndare';
+$lang['metaedit'] = 'Redigera metadata';
+$lang['metasaveerr'] = 'Skrivning av metadata misslyckades';
+$lang['metasaveok'] = 'Metadata sparad';
+$lang['img_backto'] = 'Tillbaka till';
+$lang['img_title'] = 'Rubrik';
+$lang['img_caption'] = 'Bildtext';
+$lang['img_date'] = 'Datum';
+$lang['img_fname'] = 'Filnamn';
+$lang['img_fsize'] = 'Storlek';
+$lang['img_artist'] = 'Fotograf';
+$lang['img_copyr'] = 'Copyright';
+$lang['img_format'] = 'Format';
+$lang['img_camera'] = 'Kamera';
+$lang['img_keywords'] = 'Nyckelord';
+$lang['subscribe_success'] = 'Lade till %s i prenumerationslistan f枚r %s';
+$lang['subscribe_error'] = 'Fel vid till盲gg av %s i prenumerationslistan f枚r %s';
+$lang['subscribe_noaddress'] = 'Det finns ingen adress knuten till ditt konto, det g氓r inte att l盲gga till dig i prenumerationslistan';
+$lang['unsubscribe_success'] = 'Tog bort %s fr氓n prenumerationslistan f枚r %s';
+$lang['unsubscribe_error'] = 'Fel vid borttagning %s fr氓n prenumerationslistan list f枚r %s';
+$lang['authmodfailed'] = 'Felaktiga inst盲llningar f枚r anv盲ndarautentisering. Var v盲nlig meddela wikiadministrat枚ren.';
+$lang['authtempfail'] = 'Tillf盲lligt fel p氓 anv盲ndarautentisering. Om felet kvarst氓r, var v盲nlig meddela wikiadministrat枚ren.';
+$lang['i_chooselang'] = 'V盲lj spr氓k';
+$lang['i_installer'] = 'Installation av DokuWiki';
+$lang['i_wikiname'] = 'Wikins namn';
+$lang['i_enableacl'] = 'Aktivera beh枚righetslistan (ACL) (rekommenderas)';
+$lang['i_superuser'] = 'Anv盲ndarnamn f枚r administrat枚ren';
+$lang['i_problems'] = 'Installationsprogrammet hittade n氓gra problem som visas nedan. Du kan inte forts盲tta innan du har fixat dem.';
+$lang['i_modified'] = 'Av s盲kerhetssk盲l fungerar det h盲r skriptet bara med en ny och omodifierad installation av Dokuwiki.
+ Du f氓r antingen packa upp det nedladdade paketet p氓 nytt, eller konsultera de kompletta
+ <a href="http://dokuwiki.org/install">instruktionerna f枚r installation av Dokuwiki</a>';
+$lang['i_funcna'] = 'PHP-funktionen <code>%s</code> 盲r inte tillg盲nglig. Kanske ditt webbhotell har avaktiverat den av n氓gon anledning?';
+$lang['i_phpver'] = 'Din PHP-version <code>%s</code> 盲r l盲gre 盲n vad som kr盲vs <code>%s</code>. Du beh枚ver uppgradera din PHP-installation.';
+$lang['i_permfail'] = '<code>%s</code> 盲r inte skrivbar av DokuWiki. Du beh枚ver 盲ndra filskyddet p氓 den h盲r katalogen!';
+$lang['i_confexists'] = '<code>%s</code> finns redan';
+$lang['i_writeerr'] = 'Kan inte skapa <code>%s</code>. Kontrollera filskyddet p氓 kataloger/filer och skapa filen manuellt.';
+$lang['i_badhash'] = 'ok盲nd eller 盲ndrad dokuwiki.php (hash=<code>%s</code>)';
+$lang['i_badval'] = '<code>%s</code> - felaktig eller blank';
+$lang['i_success'] = 'Konfigurationen avslutades utan fel. Du kan radera filen install.php nu. Forts盲tt till
+ <a href="doku.php">din nya DokuWiki</a>.';
+$lang['i_failure'] = 'Fel uppstod vid skrivning av konfigurationsfilerna. Du kan beh枚va ordna till dem manuellt innan
+ du kan anv盲nda <a href="doku.php">din nya DokuWiki</a>.';
+$lang['i_policy'] = 'Initial ACL-policy';
+$lang['i_pol0'] = '脰ppen wiki (alla f氓r l盲sa, skriva och ladda upp filer)';
+$lang['i_pol1'] = 'Publik wiki (alla f氓r l盲sa, registrerade anv盲ndare f枚r skriva och ladda upp filer)';
+$lang['i_pol2'] = 'Sluten wiki (endast registrerade anv盲ndare f氓r l盲sa, skriva och ladda upp filer)';
+$lang['i_retry'] = 'F枚rs枚k igen';
+$lang['mu_intro'] = 'H盲r kan du ladda upp flera filer p氓 en g氓ng. Klicka p氓 bl盲ddra-knappen f枚r att l盲gga till dem i k枚n. Tryck p氓 ladda upp n盲r du 盲r klar.';
+$lang['mu_gridname'] = 'Filnamn';
+$lang['mu_gridsize'] = 'Storlek';
+$lang['mu_gridstat'] = 'Status';
+$lang['mu_namespace'] = 'Namnrymd';
+$lang['mu_browse'] = 'Bl盲ddra';
+$lang['mu_toobig'] = 'f枚r stor';
+$lang['mu_ready'] = 'redo att ladda upp';
+$lang['mu_done'] = 'komplett';
+$lang['mu_fail'] = 'misslyckades';
+$lang['mu_authfail'] = 'sessionen 枚ver tid';
+$lang['mu_progress'] = '@PCT@% uppladdade';
+$lang['mu_filetypes'] = 'Till氓tna filtyper';
+$lang['mu_info'] = 'filerna uppladdade.';
+$lang['mu_lasterr'] = 'Senaste fel:';
+$lang['recent_global'] = 'Du bevakar 盲ndringar i namnrymden <b>%s</b>. Du kan ocks氓 titta p氓 <a href="%s">senaste 盲ndringar f枚r hela wikin</a>.';
+$lang['years'] = '%d 氓r sedan';
+$lang['months'] = '%d m氓nader sedan';
+$lang['weeks'] = '%d veckor sedan';
+$lang['days'] = '%d dagar sedan';
+$lang['hours'] = '%d timmar sedan';
+$lang['minutes'] = '%d minuter sedan';
+$lang['seconds'] = '%d sekunder sedan';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/locked.txt
new file mode 100644
index 000000000..cb64eaf02
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/locked.txt
@@ -0,0 +1,3 @@
+====== Sidan l氓st ======
+
+Den h盲r sidan 盲r f枚r n盲rvarande l氓st f枚r redigering av en annan anv盲ndare. Du m氓ste v盲nta tills den anv盲ndaren 盲r klar med sin redigering, eller tills dess att dokumentl氓set upph枚r att g盲lla.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/login.txt
new file mode 100644
index 000000000..5f0e3b262
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/login.txt
@@ -0,0 +1,4 @@
+====== Logga in ======
+
+Du 盲r inte inloggad! Ange ditt anv盲ndarnamn och l枚senord i formul盲ret nedan f枚r att logga in. St枚d f枚r cookies m氓ste vara aktiverat i din webbl盲sare f枚r att du skall kunna logga in.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/mailtext.txt
new file mode 100644
index 000000000..616bb4eee
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/mailtext.txt
@@ -0,0 +1,17 @@
+En sida i din DokuWiki har lagts till eller 盲ndrats. H盲r 盲r detaljerna:
+
+Datum : @DATE@
+Webbl盲sare : @BROWSER@
+IP-adress : @IPADDRESS@
+Datornamn : @HOSTNAME@
+Tidigare version : @OLDPAGE@
+Aktuell version : @NEWPAGE@
+Redigeringskommentar : @SUMMARY@
+Anv盲ndare : @USER@
+
+@DIFF@
+
+
+--
+Detta meddelande har skapats av DokuWiki p氓
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/newpage.txt
new file mode 100644
index 000000000..3e0951056
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/newpage.txt
@@ -0,0 +1,3 @@
+====== Det h盲r 盲mnet finns inte 盲nnu ======
+
+Du har f枚ljt en l盲nk till ett 盲mne som inte finns 盲nnu. Du kan skapa det genom att klicka p氓 ''Skapa den h盲r sidan''.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/norev.txt
new file mode 100644
index 000000000..46df86235
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/norev.txt
@@ -0,0 +1,4 @@
+====== Det finns ingen s氓dan version ======
+
+Den angivna versionen finns inte. Anv盲nd ''Historik'' f枚r en f枚rteckning 枚ver de versioner som finns av detta dokument.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/preview.txt
new file mode 100644
index 000000000..5c3a65341
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/preview.txt
@@ -0,0 +1,4 @@
+====== F枚rhandsgranskning ======
+
+Detta 盲r en f枚rhandstitt p氓 hur din text kommer att se ut n盲r den visas. Kom ih氓g: Den 盲r **inte sparad** 盲nnu!
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/pwconfirm.txt
new file mode 100644
index 000000000..541437716
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/pwconfirm.txt
@@ -0,0 +1,16 @@
+Hej @FULLNAME@!
+
+N氓gon har bett om ett nytt l枚senord f枚r ditt konto p氓 @TITLE@
+(@DOKUWIKIURL@)
+
+Om det inte var du som bad om ett nytt l枚senord kan du helt
+enkelt ignorera det h盲r brevet.
+
+F枚r att bekr盲fta att f枚rfr氓gan verkligen kom fr氓n dig, var v盲nlig
+och anv盲nd f枚ljande l盲nk.
+
+@CONFIRM@
+
+--
+Detta meddelande har skapats av DokuWiki p氓
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/read.txt
new file mode 100644
index 000000000..5391b3ddf
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/read.txt
@@ -0,0 +1,2 @@
+Denna sida 盲r skrivskyddad. Du kan titta p氓 k盲llkoden, men inte 盲ndra den. Kontakta administrat枚ren om du anser att du b枚r kunna 盲ndra sidan.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/recent.txt
new file mode 100644
index 000000000..d8c39dfa5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/recent.txt
@@ -0,0 +1,5 @@
+====== Senaste 盲ndringarna ======
+
+F枚ljande sidor/dokument har nyligen uppdaterats.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/register.txt
new file mode 100644
index 000000000..e75d2a672
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/register.txt
@@ -0,0 +1,4 @@
+====== Registrera dig som anv盲ndare ======
+
+Fyll i all information som efterfr氓gas i formul盲ret nedan f枚r att skapa ett nytt konto i denna wiki. Var s盲rskilt noga med att ange en **giltig e-postadress** - om du inte blir ombedd att ange ett l枚senord h盲r kommer ett nytt l枚senord att skickas till den adressen. Anv盲ndarnamnet skall vara ett giltigt [[doku>pagename|sidnamn]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/registermail.txt
new file mode 100644
index 000000000..c0edc3728
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/registermail.txt
@@ -0,0 +1,14 @@
+En ny anv盲ndare har registrerat sig. H盲r 盲r detaljerna:
+
+Anv盲ndarnamn : @NEWUSER@
+Namn : @NEWNAME@
+E-post : @NEWEMAIL@
+
+Datum : @DATE@
+Webbl盲sare : @BROWSER@
+IP-adress : @IPADDRESS@
+Datornamn : @HOSTNAME@
+
+--
+Detta meddelande har skapats av DokuWiki p氓
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/resendpwd.txt
new file mode 100644
index 000000000..0757ee9dd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/resendpwd.txt
@@ -0,0 +1,4 @@
+====== Skicka nytt l枚senord ======
+
+Fyll i ditt anv盲ndarnamn i formul盲ret nedan f枚r att f氓 ett nytt l枚senord till ditt konto i denna wiki. En l盲nk f枚r verifiering kommer att skickas till din registrerade e-postadress.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/revisions.txt
new file mode 100644
index 000000000..b9dfc5600
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/revisions.txt
@@ -0,0 +1,4 @@
+====== Historik ======
+
+H盲r visas tidigare versioner av detta dokument. F枚r att 氓terst盲lla dokumentet till en tidigare version, v盲lj den 枚nskade versionen nedan, klicka p氓 ''Redigera sida'' och spara sedan dokumentet.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/searchpage.txt
new file mode 100644
index 000000000..bcc88cd95
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/searchpage.txt
@@ -0,0 +1,5 @@
+====== S枚k ======
+
+Nedan ser du resultatet av s枚kningen. Om du inte hittar det du letar efter, s氓 kan du skapa eller redigera sidan med n氓gon av knapparna.
+
+===== Resultat =====
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/showrev.txt
new file mode 100644
index 000000000..a79b30b11
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/showrev.txt
@@ -0,0 +1,2 @@
+**Detta 盲r en gammal version av dokumentet!**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/stopwords.txt
new file mode 100644
index 000000000..357659673
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/stopwords.txt
@@ -0,0 +1,129 @@
+# This is a list of words the indexer ignores, one word per line
+# When you edit this file be sure to use UNIX line endings (single newline)
+# No need to include words shorter than 3 chars - these are ignored anyway
+# This list is based upon the ones found at http://www.ranks.nl/stopwords/
+about
+are
+and
+you
+your
+them
+their
+com
+for
+from
+into
+how
+that
+the
+this
+was
+what
+when
+where
+who
+will
+with
+und
+the
+www
+
+# F枚ljande svenska stoppord kommer fr氓n
+# http://snowball.tartarus.org/algorithms/swedish/stop.txt. Ord kortare 盲n tre
+# bokst盲ver har tagits bort (se kommentaren ovan) Se 盲ven
+# http://www.cling.gu.se/theses/2004/cl0sknub_cl0tsven.pdf. Vi beh氓ller de
+# engelska orden eftersom det 盲r r盲tt vanligt med engelska texter.
+och
+det
+att
+jag
+hon
+som
+han
+den
+med
+var
+sig
+f枚r
+till
+men
+ett
+hade
+icke
+mig
+henne
+sin
+har
+inte
+hans
+honom
+skulle
+hennes
+d盲r
+min
+man
+vid
+kunde
+n氓got
+fr氓n
+n盲r
+efter
+upp
+dem
+vara
+vad
+枚ver
+dig
+kan
+sina
+h盲r
+mot
+alla
+under
+n氓gon
+eller
+allt
+mycket
+sedan
+denna
+sj盲lv
+detta
+utan
+varit
+hur
+ingen
+mitt
+bli
+blev
+oss
+din
+dessa
+n氓gra
+deras
+blir
+mina
+samma
+vilken
+s氓dan
+v氓r
+blivit
+dess
+inom
+mellan
+s氓dant
+varf枚r
+varje
+vilka
+ditt
+vem
+vilket
+sitta
+s氓dana
+vart
+dina
+vars
+v氓rt
+v氓ra
+ert
+era
+vilkas
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/subscribermail.txt
new file mode 100644
index 000000000..147585caf
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/subscribermail.txt
@@ -0,0 +1,24 @@
+Hej!
+
+Sidan @PAGE@ i wikin @TITLE@ har 盲ndrats.
+H盲r 盲r 盲ndringarna:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+Datum : @DATE@
+Anv盲ndare : @USER@
+Kommentar : @SUMMARY@
+Gammal sida : @OLDPAGE@
+Ny sida : @NEWPAGE@
+
+F枚r att s盲ga upp prenumerationen p氓 den h盲r sidan,
+logga in i wikin p氓
+@DOKUWIKIURL@ och g氓 till
+@NEWPAGE@
+d盲r du v盲ljer 'S盲g upp prenumeration p氓 盲ndringar'.
+
+--
+Detta meddelande har skapats av DokuWiki p氓
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/updateprofile.txt
new file mode 100644
index 000000000..98ed6e33f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/updateprofile.txt
@@ -0,0 +1,5 @@
+====== Uppdatera din anv盲ndarprofil ======
+
+Du beh枚ver bara fylla i de f盲lt som du vill 盲ndra. Du kan inte 盲ndra ditt anv盲ndarnamn.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/uploadmail.txt
new file mode 100644
index 000000000..5963adcbd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/uploadmail.txt
@@ -0,0 +1,14 @@
+En fil har laddats upp till din DokuWiki. H盲r 盲r detaljerna:
+
+Fil : @MEDIA@
+Datum : @DATE@
+Webbl盲sare : @BROWSER@
+IP-adress : @IPADDRESS@
+Datornamn : @HOSTNAME@
+Storlek : @SIZE@
+MIME-typ : @MIME@
+Anv盲ndare : @USER@
+
+--
+Detta meddelande har skapats av DokuWiki p氓
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/wordblock.txt
new file mode 100644
index 000000000..e1b632ce4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/sv/wordblock.txt
@@ -0,0 +1,4 @@
+====== Stoppade SPAM ======
+
+Dina 盲ndringar har **inte** sparats p氓 grund av att de inneh氓ller ett eller flera ord som sp盲rrats. Om du har f枚rs枚kt att spara skr盲p, s k ''spam'' -- Fyyy p氓 dig! Om du anser att det h盲r beror p氓 ett fel, kontakta wikins administrat枚r.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/admin.txt
new file mode 100644
index 000000000..677e779b6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/admin.txt
@@ -0,0 +1,3 @@
+====== 喔囙覆喔權笜喔膏福喔佮覆喔`竸喔о笟喔勦父喔∴福喔班笟喔 ======
+
+喔斷箟喔侧笝喔ム箞喔侧竾喔權傅喙夃竸喔膏笓喔覆喔∴覆喔`笘喔炧笟喔`覆喔⑧竵喔侧福喔囙覆喔權竸喔о笟喔勦父喔∴福喔班笟喔氞笚喔编箟喔囙斧喔∴笖喙冟笝喙傕笖喔佮父喔о复喔佮复 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/adminplugins.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/adminplugins.txt
new file mode 100644
index 000000000..85a6b17bd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/adminplugins.txt
@@ -0,0 +1 @@
+====== 喔涏弗喔编箠喔佮腑喔脆笝喙喔福喔脆浮 ====== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/backlinks.txt
new file mode 100644
index 000000000..fff6898d8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/backlinks.txt
@@ -0,0 +1,3 @@
+====== 喔ム复喔囙竸喙屶竵喔ム副喔(Backlinks) ======
+
+喔權傅喙堗竸喔粪腑喔`覆喔⑧笂喔粪箞喔箑喔炧笀喔椸傅喙堗笂喔掂箟喔ム复喔囙竸喙屶竵喔ム副喔氞浮喔侧涪喔编竾喙喔炧笀喔涏副喔堗笀喔膏笟喔编笝 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/conflict.txt
new file mode 100644
index 000000000..5e786a6b3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/conflict.txt
@@ -0,0 +1,5 @@
+====== 喔∴傅喙喔權阜喙夃腑喔覆喔`父喙堗笝喙冟斧喔∴箞喔佮抚喙堗覆喙喔佮复喔斷競喔多箟喔 ======
+
+喔∴傅喙喔竵喔覆喔`福喔膏箞喔權箖喔浮喙堗竵喔о箞喔侧笚喔掂箞喔勦父喔撪箘喔斷箟喙佮竵喙夃箘喔傕箘喔о箟 喔∴副喔權箑喔佮复喔斷競喔多箟喔權箑喔∴阜喙堗腑喔溹腹喙夃箖喔娻箟喔`覆喔⑧腑喔粪箞喔權箘喔斷箟喔椸赋喔佮覆喔`箒喔佮箟喙勦競喙喔竵喔覆喔`箖喔權競喔撪赴喔椸傅喙堗競喔撪赴喙喔斷傅喔⑧抚喔佮副喔權竵喔编笟喔椸傅喙堗竸喔膏笓喔佮赋喔ム副喔囙箒喔佮箟喙勦競喔∴副喔
+
+喙冟斧喙夃笗喔`抚喔堗釜喔笟喔勦抚喔侧浮喙佮笗喔佮笗喙堗覆喔囙笚喔掂箞喙佮釜喔斷竾喙勦抚喙夃笖喙夃覆喔權弗喙堗覆喔囙笝喔掂箟喙冟斧喙夃笚喔编箞喔о笘喔多竾, 喙佮弗喙夃抚喔曕副喔斷釜喔脆笝喙冟笀喔о箞喔侧笀喔班箑喔佮箛喔氞笁喔氞副喔氞箘喔笝喙勦抚喙 喔栢箟喔侧竸喔膏笓喙喔ム阜喔竵 "喔氞副喔權笚喔多竵", 喔夃笟喔编笟喔傕腑喔囙竸喔膏笓喔堗赴喔栢腹喔佮笟喔编笝喔椸付喔佮箘喔о箟 喔福喔粪腑喔佮笖 "喔⑧竵喙喔ム复喔" 喙喔炧阜喙堗腑喙喔佮箛喔氞笁喔氞副喔氞笡喔编笀喔堗父喔氞副喔 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/denied.txt
new file mode 100644
index 000000000..88b012a67
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/denied.txt
@@ -0,0 +1,3 @@
+====== 喔涏笍喔脆箑喔笜喔复喔椸笜喔脆箤 ======
+
+喔傕腑喔笭喔编涪 喔勦父喔撪箘喔∴箞喔∴傅喔复喔椸笜喔脆箤喙喔炧傅喔⑧竾喔炧腑喔椸傅喙堗笀喔班笖喔赤箑喔權复喔權竵喔侧福喔曕箞喔 喔氞覆喔囙笚喔掂竸喔膏笓喔覆喔堗笀喔班弗喔粪浮喔ム箛喔竵喔复喔? \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/diff.txt
new file mode 100644
index 000000000..e21759e03
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/diff.txt
@@ -0,0 +1,3 @@
+====== 喔勦抚喔侧浮喙佮笗喔佮笗喙堗覆喔 ======
+
+喔權傅喙堗箑喔涏箛喔權竵喔侧福喙佮釜喔斷竾喔勦抚喔侧浮喙佮笗喔佮笗喙堗覆喔囙福喔班斧喔о箞喔侧竾喙喔炧笀喔腑喔囙福喔膏箞喔 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/draft.txt
new file mode 100644
index 000000000..37b1841a2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/draft.txt
@@ -0,0 +1,5 @@
+====== 喔炧笟喙勦笩喔ム箤喔夃笟喔编笟喔`箞喔侧竾 ======
+
+喙喔嬥釜喔娻副喙堗笝喔椸傅喙堗竸喔膏笓喙佮竵喙夃箘喔傕笁喔氞副喔氞弗喙堗覆喔父喔斷箖喔權箑喔炧笀喔權傅喙夃箘喔∴箞喔栢腹喔佮笀喔编笖喙喔佮箛喔氞箖喔箟喔浮喔氞腹喔`笓喙 喙傕笖喔佮父喔о复喔佮复喙勦笖喙夃笚喔赤竵喔侧福喔氞副喔權笚喔多竵喔夃笟喔编笟喔`箞喔侧竾喙冟斧喙夃箓喔斷涪喔副喔曕箓喔權浮喔编笗喔脆箖喔權福喔班斧喔о箞喔侧竾喔椸傅喙堗竸喔膏笓喔佮赋喔ム副喔囙笚喔赤竾喔侧笝 喔副喔權笅喔多箞喔囙競喔撪赴喔權傅喙夃竸喔膏笓喔覆喔堗笗喙夃腑喔囙竵喔侧福喙冟笂喙夃浮喔编笝喙喔炧阜喙堗腑喙佮竵喙夃箘喔傕笗喙堗腑 喔斷箟喔侧笝喔ム箞喔侧竾喔權傅喙夃竸喔膏笓喔堗赴喙喔箛喔權競喙夃腑喔∴腹喔ム笚喔掂箞喔栢腹喔佮笟喔编笝喔椸付喔佮箘喔о箟喔堗覆喔佮竵喔侧福喔椸赋喔囙覆喔權竸喔`副喙夃竾喔ム箞喔侧釜喔膏笖
+
+喔佮福喔膏笓喔侧笗喔编笖喔复喔權箖喔堗抚喙堗覆喔勦父喔撪笗喙夃腑喔囙竵喔侧福喔椸傅喙堗笀喔 //喔佮腹喙夃竸喔粪笝//喔囙覆喔權笁喔氞副喔氞笚喔掂箞喙佮竵喙夃箘喔傕弗喙堗覆喔父喔, //喔ム笟喔椸复喙夃竾/// 喔曕副喔о笁喔氞副喔氞福喙堗覆喔囙笚喔掂箞喙勦笖喙夃笟喔编笝喔椸付喔佮腑喔编笗喙傕笝喔∴副喔曕复喙勦抚喙, //喔⑧竵喙喔ム复喔// 喔佮福喔班笟喔о笝喔佮覆喔`箒喔佮箟喙勦競喔權傅喙 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/edit.txt
new file mode 100644
index 000000000..81dc0000b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/edit.txt
@@ -0,0 +1 @@
+喙佮竵喙夃箘喔傕斧喔權箟喔侧笝喔掂箟喙佮弗喙夃抚喔佮笖 "喔氞副喔權笚喔多竵" 喙冟斧喙夃腑喙堗覆喔橻[wiki:syntax|喙勦抚喔⑧竵喔`笓喙屶抚喔脆竵喔碷] 喔赋喔福喔编笟喔勦箟喔權斧喔侧箘喔о涪喔佮福喔撪箤喔椸傅喙堗箖喔娻箟喙冟笝喔о复喔佮复 喙佮弗喔班竵喔`父喔撪覆喙佮竵喙夃箘喔傕箑喔夃笧喔侧赴喙喔炧笀喔椸傅喙堗竸喔膏笓喔覆喔∴覆喔`笘**喔涏福喔编笟喔涏福喔膏竾**喙冟斧喙夃浮喔编笝喔斷傅喔傕付喙夃笝喙勦笖喙, 喔栢箟喔侧斧喔侧竵喔勦父喔撪笗喙夃腑喔囙竵喔侧福喔椸傅喙堗笀喔班笚喔斷釜喔笟喔赴喙勦福喔氞覆喔囙腑喔⑧箞喔侧竾 喙冟斧喙夃箘喔涏弗喔竾喙喔ム箞喔權竸喔`副喙夃竾喙佮福喔佮箘喔斷箟喙冟笝[[playground:playground|喔笝喔侧浮喙喔斷箛喔佮箑喔ム箞喔橾] \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/editrev.txt
new file mode 100644
index 000000000..28e6760d3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/editrev.txt
@@ -0,0 +1,2 @@
+**喔勦父喔撪箘喔斷箟喙傕斧喔ム笖喙喔覆喙喔竵喔覆喔`笁喔氞副喔氞箑喔佮箞喔侧競喔多箟喔權浮喔!** 喔栢箟喔侧竸喔膏笓喔氞副喔權笚喔多竵喔∴副喔 喔勦父喔撪笀喔班釜喔`箟喔侧竾喙喔竵喔覆喔`福喔膏箞喔權箖喔浮喙堗笖喙夃抚喔⑧競喙夃腑喔∴腹喔ム箑喔弗喙堗覆喔權傅喙
+---- \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/index.txt
new file mode 100644
index 000000000..eb32a64c0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/index.txt
@@ -0,0 +1,2 @@
+====== 喔斷副喔娻笝喔 ======
+喔權傅喙堗竸喔粪腑喔斷副喔娻笝喔掂福喔о浮喔椸父喔佮箑喔炧笀 喙喔`傅喔⑧竾喔曕覆喔[doku>namespaces|喙喔權浮喔箑喔涏笅]] \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/lang.php
new file mode 100644
index 000000000..e7937ecbc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/lang.php
@@ -0,0 +1,249 @@
+<?php
+/**
+ * th language file
+ *
+ * This file was initially built by fetching translations from other
+ * Wiki projects. See the @url lines below. Additional translations
+ * and fixes where done for DokuWiki by the people mentioned in the
+ * lines starting with @author
+ *
+ * @url http://svn.wikimedia.org/viewvc/mediawiki/trunk/phase3/languages/messages/MessagesTh.php?view=co
+ * @author Komgrit Niyomrath <n.komgrit@gmail.com>
+ * @author Kittithat Arnontavilas mrtomyum@gmail.com
+ * @author Arthit Suriyawongkul <arthit@gmail.com>
+ * @author Kittithat Arnontavilas <mrtomyum@gmail.com>
+ * @author Thanasak Sompaisansin <jombthep@gmail.com>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥 ';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '鈥';
+$lang['btn_edit'] = '喙佮竵喙夃箘喔傕斧喔權箟喔侧笝喔掂箟';
+$lang['btn_source'] = '喔斷腹喙傕竸喙夃笖';
+$lang['btn_show'] = '喙佮釜喔斷竾喙喔炧笀';
+$lang['btn_create'] = '喔福喙夃覆喔囙箑喔炧笀喔權傅喙';
+$lang['btn_search'] = '喔勦箟喔權斧喔';
+$lang['btn_save'] = '喔氞副喔權笚喔多竵';
+$lang['btn_preview'] = '喙佮釜喔斷竾喔曕副喔о腑喔⑧箞喔侧竾';
+$lang['btn_top'] = '喔佮弗喔编笟喔腹喙堗笖喙夃覆喔權笟喔';
+$lang['btn_newer'] = '<< 喙冟斧喔∴箞喔佮抚喙堗覆';
+$lang['btn_older'] = '喙喔佮箞喔侧竵喔о箞喔 >>';
+$lang['btn_revs'] = '喔夃笟喔编笟喙喔佮箞喔侧箚';
+$lang['btn_recent'] = '喔涏福喔编笟喔涏福喔膏竾喔ム箞喔侧釜喔膏笖';
+$lang['btn_upload'] = '喔箞喔囙競喙夃腑喔∴腹喔ム箑喔傕箟喔侧釜喔灌箞喔`赴喔氞笟';
+$lang['btn_cancel'] = '喔⑧竵喙喔ム复喔';
+$lang['btn_index'] = '喔斷副喔娻笝喔';
+$lang['btn_secedit'] = '喙佮竵喙夃箘喔';
+$lang['btn_login'] = '喔ム箛喔竵喔复喔';
+$lang['btn_logout'] = '喔ム箛喔竵喙喔覆喔曕箤';
+$lang['btn_admin'] = '喔溹腹喙夃竸喔о笟喔勦父喔∴福喔班笟喔';
+$lang['btn_update'] = '喔涏福喔编笟喔涏福喔膏竾';
+$lang['btn_delete'] = '喔ム笟';
+$lang['btn_back'] = '喔⑧箟喔笝喔佮弗喔编笟';
+$lang['btn_backlink'] = '喔笝喙夃覆喔椸傅喙堗弗喔脆竾喔佮箤喔∴覆';
+$lang['btn_backtomedia'] = '喔佮弗喔编笟喙勦笡喔⑧副喔囙斧喔權箟喔侧箑喔ム阜喔竵喙勦笩喔ム箤喔阜喙堗腑';
+$lang['btn_subscribe'] = '喙喔澿箟喔侧笖喔';
+$lang['btn_unsubscribe'] = '喙喔ム复喔佮箑喔澿箟喔侧笖喔';
+$lang['btn_subscribens'] = '喔浮喔编竸喔`箑喔炧阜喙堗腑喔曕覆喔∴竵喔侧福喙喔涏弗喔掂箞喔⑧笝喙佮笡喔ム竾喙喔權浮喔箑喔涏笅';
+$lang['btn_unsubscribens'] = '喔⑧竵喙喔ム复喔佮釜喔∴副喔勦福喙喔炧阜喙堗腑喔曕覆喔∴竵喔侧福喙喔涏弗喔掂箞喔⑧笝喙佮笡喔ム竾喙喔權浮喔箑喔涏笅';
+$lang['btn_profile'] = '喙佮竵喙夃競喙夃腑喔∴腹喔ム笢喔灌箟喙冟笂喙';
+$lang['btn_reset'] = '喙喔`复喙堗浮喙冟斧喔∴箞';
+$lang['btn_resendpwd'] = '喔ム阜喔∴福喔副喔 喔箞喔囙箖喔箟喙冟斧喔∴箞喔椸覆喔囙腑喔掂箑喔∴弗';
+$lang['btn_draft'] = '喙佮竵喙夃箘喔傕箑喔竵喔覆喔`笁喔氞副喔氞福喙堗覆喔';
+$lang['btn_recover'] = '喔佮腹喙夃竸喔粪笝喙喔竵喔覆喔`笁喔氞副喔氞福喙堗覆喔';
+$lang['btn_draftdel'] = '喔ム笟喙喔竵喔覆喔`笁喔氞副喔氞福喙堗覆喔';
+$lang['btn_revert'] = '喔佮腹喙夃竸喔粪笝';
+$lang['loggedinas'] = '喔ム竾喔娻阜喙堗腑喙喔傕箟喔侧箖喔娻箟喙喔涏箛喔';
+$lang['user'] = '喔娻阜喙堗腑喔溹腹喙夃箖喔娻箟:';
+$lang['pass'] = '喔`斧喔编釜喔溹箞喔侧笝';
+$lang['newpass'] = '喔`斧喔编釜喔溹箞喔侧笝喙冟斧喔∴箞';
+$lang['oldpass'] = '喔`斧喔编釜喔溹箞喔侧笝喙喔斷复喔:';
+$lang['passchk'] = '喔炧复喔∴笧喙屶福喔副喔笢喙堗覆喔權腑喔掂竵喔勦福喔编箟喔:';
+$lang['remember'] = '喔堗赋喔娻阜喙堗腑喙佮弗喔班福喔副喔笢喙堗覆喔';
+$lang['fullname'] = '喔娻阜喙堗腑喔堗福喔脆竾:';
+$lang['email'] = '喔傅喙喔∴弗:';
+$lang['register'] = '喔福喙夃覆喔囙笟喔编笉喔娻傅喔溹腹喙夃箖喔娻箟';
+$lang['profile'] = '喔傕箟喔浮喔灌弗喔箞喔о笝喔曕副喔о笢喔灌箟喙冟笂喙';
+$lang['badlogin'] = '喔傕副喔斷競喙夃腑喔:';
+$lang['minoredit'] = '喙喔涏箛喔權竵喔侧福喙佮竵喙夃箘喔傕箑喔ム箛喔佮笝喙夃腑喔';
+$lang['draftdate'] = '喔氞副喔權笚喔多竵喔夃笟喔编笟喔`箞喔侧竾喙喔∴阜喙堗腑';
+$lang['nosecedit'] = '喙冟笝喔娻箞喔о竾喙喔о弗喔侧笚喔掂箞喔溹箞喔侧笝喔∴覆喔權傅喙夃箑喔炧笀喔栢腹喔佮箒喔佮箟喙勦競喙勦笡喙佮弗喙夃抚, 喙喔權阜喙夃腑喔覆喙冟笝喙喔嬥竸喔娻副喙堗笝喔權傅喙夃箘喔∴箞喔椸副喔權釜喔∴副喔 喔佮福喔膏笓喔侧箓喔弗喔斷箑喔炧笀喙冟斧喔∴箞喔椸副喙夃竾喔笝喙夃覆喙佮笚喔';
+$lang['regmissing'] = '喔傕腑喔笭喔编涪 喔勦父喔撪笗喙夃腑喔囙竵喔`腑喔佮箖喔箟喔勦福喔氞笚喔膏竵喔娻箞喔竾';
+$lang['reguexists'] = '喔娻阜喙堗腑喔氞副喔嵿笂喔掂笚喔掂箞喙冟釜喙堗笝喔编箟喔權浮喔掂笢喔灌箟喔阜喙堗笝喙勦笖喙夃箖喔娻箟喙佮弗喙夃抚 喔佮福喔膏笓喔侧箑喔ム阜喔竵喔娻阜喙堗腑喔溹腹喙夃箖喔娻箟喔阜喙堗笝';
+$lang['regsuccess'] = '喔溹腹喙夃箖喔娻箟喔栢腹喔佮釜喔`箟喔侧竾喙佮弗喙夃抚 喙佮弗喔班福喔副喔笢喙堗覆喔權箘喔斷箟喔栢腹喔佮釜喙堗竾喙勦笡喔椸覆喔囙腑喔掂箑喔∴弗喙佮弗喙夃抚';
+$lang['regsuccess2'] = '喔娻阜喙堗腑喔氞副喔嵿笂喔掂箘喔斷箟喔栢腹喔佮釜喔`箟喔侧竾喔傕付喙夃笝';
+$lang['regmailfail'] = '喔斷腹喙喔浮喔粪腑喔權笀喔班浮喔掂競喙夃腑喔溹复喔斷笧喔ム覆喔斷箖喔權竵喔侧福喔箞喔囙福喔副喔笢喙堗覆喔權笚喔侧竾喙喔∴弗喙 喔佮福喔膏笓喔侧笗喔脆笖喔曕箞喔笢喔灌箟喔斷腹喙佮弗喔`赴喔氞笟';
+$lang['regbadmail'] = '喔`腹喔涏箒喔氞笟喔傅喙喔∴弗喙勦浮喙堗笘喔灌竵喔曕箟喔竾 喙冟斧喙夃箖喔箞喔傅喙喔∴弗喙冟斧喙夃笘喔灌竵喔曕箟喔竾喔曕覆喔∴福喔灌笡喙佮笟喔氞腑喔掂箑喔∴弗 喔福喔粪腑喙冟斧喙夃笚喔赤笂喙堗腑喔囙腑喔掂箑喔∴弗喙冟斧喙夃抚喙堗覆喔囙箒喔椸笝';
+$lang['regbadpass'] = '喔`斧喔编釜喔溹箞喔侧笝喔椸傅喙堗箖喔箞喙勦浮喙堗笘喔灌竵喔曕箟喔竾';
+$lang['regpwmail'] = '喔`斧喔编釜喔溹箞喔侧笝喙喔傕箟喔侧箓喔斷竵喔膏抚喔脆竵喔脆競喔竾喔勦父喔';
+$lang['reghere'] = '喔勦父喔撪涪喔编竾喙勦浮喙堗浮喔掂笟喔编笉喔娻傅喔福喔粪腑 喔佮箛喙佮竸喙堗釜喔`箟喔侧竾喔傕付喙夃笝喔∴覆喔副喔佮腑喔编笝喔笝喔多箞喔';
+$lang['profna'] = '喔о复喔佮复喔權傅喙夃箘喔∴箞喔`腑喔囙福喔编笟喔佮覆喔`箒喔佮箟喙勦競喔傕箟喔浮喔灌弗喔箞喔о笝喔曕副喔';
+$lang['profnochange'] = '喙勦浮喙堗浮喔掂竵喔侧福喙喔涏弗喔掂箞喔⑧笝喙佮笡喔ム竾喔傕箟喔浮喔灌弗喔箞喔о笝喔曕副喔';
+$lang['profnoempty'] = '喙勦浮喙堗腑喔權父喔嵿覆喔曕复喙冟斧喙夃箑喔о箟喔權抚喙堗覆喔囙笂喔粪箞喔 喔福喔粪腑喔傅喙喔∴弗';
+$lang['profchanged'] = '喔涏福喔编笟喔涏福喔膏竾喔傕箟喔浮喔灌弗喔箞喔о笝喔曕副喔о笢喔灌箟喙冟笂喙夃釜喔赤箑喔`箛喔';
+$lang['pwdforget'] = '喔ム阜喔∴福喔副喔笢喙堗覆喔權斧喔`阜喔? 喙喔覆喔副喔權箖喔浮喙堗釜喔';
+$lang['resendna'] = '喔о复喔佮复喔權傅喙夃箘喔∴箞喔`腑喔囙福喔编笟喔佮覆喔`釜喙堗竾喔`斧喔编釜喔溹箞喔侧笝喔嬥箟喔';
+$lang['resendpwd'] = '喔箞喔囙福喔副喔笢喙堗覆喔權箖喔浮喙堗箖喔箟喔佮副喔';
+$lang['resendpwdmissing'] = '喔傕腑喔笭喔编涪, 喔勦父喔撪笗喙夃腑喔囙竵喔`腑喔佮笚喔膏竵喔娻箞喔竾';
+$lang['resendpwdnouser'] = '喔傕腑喔笭喔编涪, 喙喔`覆喙勦浮喙堗笧喔氞笢喔灌箟喙冟笂喙夃竸喔權笝喔掂箟喙冟笝喔愢覆喔權競喙夃腑喔∴腹喔ム競喔竾喙喔`覆';
+$lang['resendpwdbadauth'] = '喔傕腑喔笭喔编涪, 喔`斧喔编釜喔權傅喙夃涪喔编竾喙冟笂喙夃箘喔∴箞喙勦笖喙 喔佮福喔膏笓喔侧笗喔`抚喔堗釜喔笟喔о箞喔侧竸喔膏笓喔佮笖喔ム复喙夃竾喔勦箤喔⑧阜喔權涪喔编笝喙佮弗喙夃抚';
+$lang['resendpwdconfirm'] = '喔傅喙喔∴弗喔⑧阜喔權涪喔编笝喙勦笖喙夃笘喔灌竵喔箞喔囙箘喔涏笚喔掂箞喔傅喙喔∴弗喔椸傅喙堗箘喔斷箟喔栢腹喔佮箑喔笝喔 喔佮箞喔笝喔椸傅喙堗腑喔掂箑喔∴弗喔堗赴喔栢腹喔佮釜喙堗竾喙勦笡喔椸傅喙堗笂喔粪箞喔笟喔编笉喔娻傅喔權副喙夃笝 喔勦父喔撪笗喙夃腑喔囙笡喔忇复喔氞副喔曕复喔曕覆喔∴竸喔赤箒喔權赴喔權赋喙冟笝喔傅喙喔∴弗喙喔炧阜喙堗腑喔⑧阜喔權涪喔编笝喔о箞喔侧斧喔∴覆喔⑧箑喔ム涪喔氞副喔嵿笂喔掂笝喔编箟喔權箑喔涏箛喔權競喔竾喔勦父喔';
+$lang['resendpwdsuccess'] = '喔`斧喔编釜喔溹箞喔侧笝喙冟斧喔∴箞喔傕腑喔囙竸喔膏笓喙勦笖喙夃笘喔灌竵喔箞喔囙箖喔箟喙佮弗喙夃抚喔椸覆喔囙腑喔掂箑喔∴弗';
+$lang['license'] = '喙喔о箟喔權箒喔曕箞喔堗赴喙勦笖喙夃箒喔堗箟喔囙箘喔о箟喙喔涏箛喔權腑喔粪箞喔權箖喔 喙喔權阜喙夃腑喔覆喔氞笝喔о复喔佮复喔權傅喙夃笘喔灌竵喔佮赋喔笝喔斷釜喔脆笚喔樴复喙屶箘喔о箟喔犩覆喔⑧箖喔曕箟喔副喔嵿笉喔侧腑喔權父喔嵿覆喔曕复喔曕箞喔箘喔涏笝喔掂箟:';
+$lang['licenseok'] = '喙傕笡喔`笖喔椸福喔侧笟: 喙喔∴阜喙堗腑喙喔`复喙堗浮喙佮竵喙夃箘喔傕斧喔權箟喔侧笝喔掂箟 喔栢阜喔抚喙堗覆喔勦父喔撪笗喔佮弗喔囙箖喔箟喔复喔椸笜喔脆箤喔佮副喔氞箑喔權阜喙夃腑喔覆喔傕腑喔囙竸喔膏笓喔涪喔灌箞喔犩覆喔⑧箖喔曕箟喔副喔嵿笉喔侧腑喔權父喔嵿覆喔曕复喔權傅喙';
+$lang['searchmedia'] = '喔阜喔氞竸喙夃笝喙勦笩喔ム箤喔娻阜喙堗腑:';
+$lang['searchmedia_in'] = '喔阜喔氞竸喙夃笝喙冟笝 %s';
+$lang['txt_upload'] = '喙喔ム阜喔竵喙勦笩喔ム箤喔椸傅喙堗笀喔班腑喔编笧喙傕斧喔ム笖';
+$lang['txt_filename'] = '喔副喔炧箓喔弗喔斷箑喔涏箛喔(喔曕副喔о箑喔ム阜喔竵)';
+$lang['txt_overwrt'] = '喙喔傕傅喔⑧笝喔椸副喔氞箘喔熰弗喙屶笚喔掂箞喔∴傅喔涪喔灌箞喙佮弗喙夃抚';
+$lang['lockedby'] = '喔曕腑喔權笝喔掂箟喔栢腹喔佮弗喙娻腑喔勦箓喔斷涪';
+$lang['lockexpire'] = '喔佮覆喔`弗喙娻腑喔勦笀喔班斧喔∴笖喔覆喔⑧父喙喔∴阜喙堗腑';
+$lang['willexpire'] = '喔佮覆喔`弗喙娻腑喔勦箑喔炧阜喙堗腑喙佮竵喙夃箘喔傕斧喔權箟喔侧笝喔掂箟喔佮赋喔ム副喔囙笀喔班斧喔∴笖喙喔о弗喔侧箖喔權腑喔掂竵 \n 喔權覆喔椸傅 喙喔炧阜喙堗腑喔椸傅喙堗笀喔班斧喔ム傅喔佮箑喔ム傅喙堗涪喔囙競喙夃腑喔傕副喔斷箒喔⑧箟喔囙箖喔箟喙冟笂喙夃笡喔膏箞喔 "Preview" 喙喔炧阜喙堗腑喔`傅喙喔嬥箛喔椸箑喔о弗喔侧箖喔浮喙';
+$lang['notsavedyet'] = '喔佮覆喔`箒喔佮箟喙勦競喔椸傅喙堗箘喔∴箞喙勦笖喙夃笟喔编笝喔椸付喔佮笀喔班釜喔灌笉喔覆喔 \n 喔曕箟喔竾喔佮覆喔`笚喔赤笗喙堗腑喔堗福喔脆竾喙嗋斧喔`阜喔?';
+$lang['rssfailed'] = '喔∴傅喔傕箟喔笢喔脆笖喔炧弗喔侧笖喔傕笓喔班笖喔灌笖喔熰傅喔斷笝喔掂箟';
+$lang['nothingfound'] = '喙勦浮喙堗笧喔氞釜喔脆箞喔囙箖喔';
+$lang['mediaselect'] = '喙勦笩喔ム箤喔阜喙堗腑';
+$lang['fileupload'] = '喔副喔涏箓喔弗喔';
+$lang['uploadsucc'] = '喔副喔涏箓喔弗喔斷釜喔赤箑喔`箛喔';
+$lang['uploadfail'] = '喙喔佮复喔斷竸喔о覆喔∴競喔编笖喔傕箟喔竾喙冟笝喔佮覆喔`腑喔编笡喙傕斧喔ム笖';
+$lang['uploadwrong'] = '喔佮覆喔`腑喔编笧喙傕斧喔ム笖喔栢腹喔佮笡喔忇复喙喔笜 喔箞喔о笝喔傕涪喔侧涪喙勦笩喔ム箤喔權傅喙夃笗喙夃腑喔囙斧喙夃覆喔!';
+$lang['uploadexist'] = '喙勦笩喔ム箤喔權傅喙夃浮喔掂腑喔⑧腹喙堗箒喔ム箟喔 喙勦浮喙堗浮喔掂竵喔侧福喔氞副喔權笚喔多竵喙冟笖喙嗋箑喔佮复喔斷競喔多箟喔';
+$lang['uploadbadcontent'] = '喙喔權阜喙夃腑喔覆喔椸傅喙堗腑喔编笧喙傕斧喔ム笖喙勦浮喙堗笗喔`竾喔佮副喔氞釜喙堗抚喔權競喔⑧覆喔⑧箘喔熰弗喙 %s ';
+$lang['uploadspam'] = '喔佮覆喔`腑喔编笧喙傕斧喔ム笖喔栢腹喔佮竵喔掂笖喔佮副喔權笀喔侧竵喔氞副喔嵿笂喔掂笖喔赤釜喙佮笡喔';
+$lang['uploadxss'] = '喙勦笩喔ム箤喔權傅喙夃浮喔掂釜喙堗抚喔權笡喔`赴喔佮腑喔氞競喔竾喙傕竸喙夃笖喙喔笂喔椸傅喙喔箛喔∴箒喔弗喔福喔粪腑喔竸喔`复喔涏笗喙 喔嬥付喙堗竾喔覆喔堗竵喙堗腑喙冟斧喙夃箑喔佮复喔斷竸喔о覆喔∴笢喔脆笖喔炧弗喔侧笖喙冟笝喔佮覆喔`箒喔笖喔囙笢喔ム競喔竾喙喔о箛喔氞箑喔氞福喔侧抚喙屶箑喔嬥腑喔`箤';
+$lang['uploadsize'] = '喙勦笩喔ム箤喔椸傅喙堗腑喔编笧喙傕斧喔ム笖喙冟斧喔嵿箞喙喔佮复喔權箘喔 (喔腹喔囙釜喔膏笖 %s)';
+$lang['deletesucc'] = '喙勦笩喔ム箤 "%s" 喔栢腹喔佮弗喔';
+$lang['deletefail'] = '"%s" 喙勦浮喙堗釜喔侧浮喔侧福喔栢弗喔氞箘喔斷箟 - 喙冟斧喙夃笗喔`抚喔堗釜喔笟喔复喔椸笜喔脆箤喔佮覆喔`箖喔娻箟喔傕腑喔囙竸喔膏笓';
+$lang['mediainuse'] = '喙勦笩喔ム箤 "%s" 喙勦浮喙堗箘喔斷箟喔栢腹喔佮弗喔 - 喔∴副喔權笘喔灌竵喙冟笂喙夃腑喔⑧腹喙';
+$lang['namespaces'] = '喙喔權浮喔箑喔涏笅';
+$lang['mediafiles'] = '喔∴傅喙勦笩喔ム箤喔炧福喙夃腑喔∴箖喔娻箟喔涪喔灌箞喙冟笝';
+$lang['js']['searchmedia'] = '喔勦箟喔權斧喔侧箘喔熰弗喙';
+$lang['js']['keepopen'] = '喙喔涏复喔斷斧喔權箟喔侧笗喙堗覆喔囙箘喔о箟喔`赴喔抚喙堗覆喔囙笚喔掂箞喙喔ム阜喔竵';
+$lang['js']['hidedetails'] = '喔嬥箞喔笝喔`覆喔⑧弗喔班箑喔傅喔⑧笖';
+$lang['js']['nosmblinks'] = '喙喔娻阜喙堗腑喔∴箘喔涏涪喔编竾喔笝喙夃覆喔曕箞喔侧竾喙佮笟喙堗竾喔涏副喔 喔椸赋喔囙覆喔權箘喔斷箟喔佮副喔氞箑喔夃笧喔侧赴喙勦浮喙傕竸喔`笅喔笩喔椸箤喔复喔權箑喔曕腑喔`箤喙喔權箛喔曕箑喔箛喔佮笅喙傕笡喔`箑喔`腑喔`箤(IE) 喔勦父喔撪涪喔编竾喔勦竾喔覆喔∴覆喔`笘喔勦副喔斷弗喔竵喙佮弗喔班箒喔涏赴喔ム复喙夃竾喔勦箤喙勦笖喙';
+$lang['js']['linkwiz'] = '喔ム复喔囙竸喙屶抚喔脆箑喔ㄠ俯';
+$lang['js']['linkto'] = '喔ム复喔囙竸喙屶箘喔:';
+$lang['js']['del_confirm'] = '喔曕箟喔竾喔佮覆喔`弗喔氞福喔侧涪喔佮覆喔`笚喔掂箞喙喔ム阜喔竵喔堗福喔脆竾喙嗋斧喔`阜喔?';
+$lang['js']['mu_btn'] = '喔副喔炧箓喔弗喔斷斧喔ム覆喔⑧箚喙勦笩喔ム箤喙冟笝喔勦福喔编箟喔囙箑喔斷傅喔⑧抚';
+$lang['mediausage'] = '喙冟斧喙夃箖喔娻箟喙勦抚喔⑧竵喔`笓喙屶笗喙堗腑喙勦笡喔權傅喙夃箑喔炧阜喙堗腑喔箟喔侧竾喔复喔囙箘喔熰弗喙屶笝喔掂箟';
+$lang['mediaview'] = '喔斷腹喙勦笩喔ム箤喔曕箟喔權笁喔氞副喔';
+$lang['mediaroot'] = '喔`覆喔(喔`腹喔)';
+$lang['mediaupload'] = '喔副喔炧箓喔弗喔斷箘喔熰弗喙屶箘喔涏涪喔编竾喙喔權浮喔箑喔涏笅喔涏副喔堗笀喔膏笟喔编笝喔堗覆喔佮笚喔掂箞喔權傅喙 喔覆喔佮笀喔班釜喔`箟喔侧竾喙喔權浮喔箑喔涏笅喔⑧箞喔涪 喙冟斧喙夃笧喔脆浮喔炧箤喔曕箞喔競喙夃腑喔勦抚喔侧浮喔傕腑喔囙竸喔膏笓喔弗喔编竾喔娻阜喙堗腑喙勦笩喔ム箤喙冟笝喔娻箞喔竾 "喔副喔炧箓喔弗喔斷箑喔涏箛喔" 喙傕笖喔⑧箖喔箟喔勦副喙堗笝喔斷箟喔о涪喙傕竸喔ム箞喔笝(:)';
+$lang['mediaextchange'] = '喔箞喔о笝喔傕涪喔侧涪喙勦笩喔ム箤喔栢腹喔佮箑喔涏弗喔掂箞喔⑧笝喔堗覆喔 .%s 喙勦笡喙喔涏箛喔 .%s!';
+$lang['reference'] = '喔箟喔侧竾喔复喔囙釜喔赤斧喔`副喔';
+$lang['ref_inuse'] = '喙勦浮喙堗釜喔侧浮喔侧福喔栢弗喔氞箘喔熰弗喙屶箘喔斷箟 喙喔炧福喔侧赴喔∴副喔權涪喔编竾喔勦竾喔栢腹喔佮箖喔娻箟喙傕笖喔⑧箑喔炧笀喔斷副喔囙笗喙堗腑喙勦笡喔權傅喙:';
+$lang['ref_hidden'] = '喔∴傅喔佮覆喔`腑喙夃覆喔囙腑喔脆竾喔氞覆喔囙福喔侧涪喔佮覆喔`箖喔權箑喔炧笀 喔勦父喔撪箘喔∴箞喔∴傅喔复喔椸笜喔脆箤喙冟笝喔佮覆喔`腑喙堗覆喔';
+$lang['hits'] = '喔勦赋喔椸傅喙堗笗喔`竾喔佮副喔';
+$lang['quickhits'] = '喔娻阜喙堗腑喙喔炧笀喔椸傅喙堗笗喔`竾喔佮副喔';
+$lang['toc'] = '喔覆喔`笟喔编笉';
+$lang['current'] = '喔夃笟喔编笟喔涏副喔堗笀喔膏笟喔编笝';
+$lang['yours'] = '喔夃笟喔编笟喔傕腑喔囙竸喔膏笓';
+$lang['diff'] = '喙佮釜喔斷竾喔堗父喔斷箒喔曕竵喔曕箞喔侧竾喔佮副喔氞笁喔氞副喔氞笡喔编笀喔堗父喔氞副喔';
+$lang['diff2'] = '喙佮釜喔斷竾喔堗父喔斷箒喔曕竵喔曕箞喔侧竾喔`赴喔抚喙堗覆喔囙笁喔氞副喔氞笚喔掂箞喙喔ム阜喔竵喙勦抚喙';
+$lang['line'] = '喔氞福喔`笚喔编笖';
+$lang['breadcrumb'] = '喔曕覆喔∴福喔涪';
+$lang['youarehere'] = '喔勦父喔撪腑喔⑧腹喙堗笚喔掂箞喔權傅喙';
+$lang['lastmod'] = '喙佮竵喙夃箘喔傕竸喔`副喙夃竾喔ム箞喔侧釜喔膏笖';
+$lang['by'] = '喙傕笖喔';
+$lang['deleted'] = '喔栢腹喔佮笘喔笖喔腑喔';
+$lang['created'] = '喔栢腹喔佮釜喔`箟喔侧竾';
+$lang['restored'] = '喔⑧箟喔笝喙勦笡喔`父喙堗笝喔佮箞喔笝喔笝喙夃覆';
+$lang['external_edit'] = '喙佮竵喙夃箘喔傕笭喔侧涪喔權腑喔';
+$lang['summary'] = '喔福喔膏笡(喔浮喔侧涪喙喔笗喔)喔佮覆喔`箒喔佮箟喙勦競喔權傅喙';
+$lang['noflash'] = '喔曕箟喔竾喔佮覆喔`笗喔编抚喙喔ム箞喔權箒喔熰弗喔 <a href="http://www.adobe.com/products/flashplayer/">Adobe Flash Plugin</a> 喙喔炧阜喙堗腑喙佮釜喔斷竾喔溹弗喙喔權阜喙夃腑喔覆喔權傅喙';
+$lang['download'] = '喔斷覆喔о笝喙屶箓喔弗喔斷釜喔權复喔涏箑喔涏箛喔(Snippet)';
+$lang['mail_newpage'] = '喙喔炧复喙堗浮喙喔炧笀喙佮弗喙夃抚:';
+$lang['mail_changed'] = '喙佮竵喙夃箘喔傕箑喔炧笀喙佮弗喙夃抚:';
+$lang['mail_new_user'] = '喔溹腹喙夃箖喔娻箟喔勦笝喙冟斧喔∴箞:';
+$lang['mail_upload'] = '喙勦笩喔ム箤喔副喔炧箓喔弗喔斷箒喔ム箟喔:';
+$lang['qb_bold'] = '喔椸赋喔曕副喔о斧喔權覆';
+$lang['qb_italic'] = '喔椸赋喔曕副喔о箑喔傅喔⑧竾';
+$lang['qb_underl'] = '喔傕傅喔斷箑喔箟喔權箖喔曕箟喔傕箟喔竸喔о覆喔';
+$lang['qb_code'] = '喔傕箟喔竸喔о覆喔∴箑喔涏箛喔權箓喔勦箟喔斷箓喔涏福喙佮竵喔`浮';
+$lang['qb_strike'] = '喔傕傅喔斷竼喙堗覆喔傕箟喔竸喔о覆喔';
+$lang['qb_h1'] = '喔副喔о箑喔`阜喙堗腑喔囙福喔班笖喔编笟喔椸傅喙 1';
+$lang['qb_h2'] = '喔副喔о箑喔`阜喙堗腑喔囙福喔班笖喔编笟喔椸傅喙 2';
+$lang['qb_h3'] = '喔副喔о箑喔`阜喙堗腑喔囙福喔班笖喔编笟喔椸傅喙 3';
+$lang['qb_h4'] = '喔副喔о箑喔`阜喙堗腑喔囙福喔班笖喔编笟喔椸傅喙 4';
+$lang['qb_h5'] = '喔副喔о箑喔`阜喙堗腑喔囙福喔班笖喔编笟喔椸傅喙 5';
+$lang['qb_h'] = '喔副喔о箑喔`阜喙堗腑喔';
+$lang['qb_hs'] = '喙喔ム阜喔竵喔副喔о箑喔`阜喙堗腑喔';
+$lang['qb_hplus'] = '喔副喔о箑喔`阜喙堗腑喔囙笚喔掂箞喔腹喔囙竵喔о箞喔';
+$lang['qb_hminus'] = '喔副喔о箑喔`阜喙堗腑喔囙笚喔掂箞喔曕箞喔赤竵喔о箞喔';
+$lang['qb_hequal'] = '喔副喔о箑喔`阜喙堗腑喔囙福喔班笖喔编笟喙喔斷傅喔⑧抚喔佮副喔';
+$lang['qb_link'] = '喔ム复喔囙竵喙屶笭喔侧涪喙冟笝喙喔о箛喔';
+$lang['qb_extlink'] = '喔ム复喔囙竵喙屶箘喔涏笚喔掂箞喔阜喙堗笝 (喔涪喙堗覆喔ム阜喔 http:// 喔權赋喔笝喙夃覆喙喔浮喔)';
+$lang['qb_hr'] = '喙喔箟喔權笝喔笝';
+$lang['qb_ol'] = '喔`覆喔⑧竵喔侧福喔椸傅喙堗箑喔`傅喔⑧竾喔ム赋喔斷副喔氞箒喔ム箟喔';
+$lang['qb_ul'] = '喔`覆喔⑧竵喔侧福喔椸傅喙堗涪喔编竾喙勦浮喙堗箘喔斷箟喙喔`傅喔⑧竾喔ム赋喔斷副喔';
+$lang['qb_media'] = '喙喔炧复喙堗浮喔犩覆喔炧箒喔ム赴喙勦笩喔ム箤喔阜喙堗笝喙';
+$lang['qb_sig'] = '喔ム覆喔⑧箑喔嬥箛喔權笧喔`箟喔浮喔ム竾喙喔о弗喔';
+$lang['qb_smileys'] = '喔犩覆喔炧箒喔笖喔囙腑喔侧福喔∴笓喙';
+$lang['qb_chars'] = '喔副喔佮競喔`赴喔炧复喙喔ㄠ俯';
+$lang['upperns'] = '喔佮福喔班箓喔斷笖喔傕付喙夃笝喙勦笡喔⑧副喔囙箑喔權浮喔箑喔涏笅喙佮浮喙';
+$lang['admin_register'] = '喔福喙夃覆喔囙笟喔编笉喔娻傅喔溹腹喙夃箖喔娻箟';
+$lang['metaedit'] = '喙佮竵喙夃箘喔傕競喙夃腑喔∴腹喔ム箑喔∴笗喙夃覆';
+$lang['metasaveerr'] = '喔∴傅喔傕箟喔笢喔脆笖喔炧弗喔侧笖喙冟笝喔佮覆喔`箑喔傕傅喔⑧笝喔傕箟喔浮喔灌弗喙喔∴笗喙夃覆';
+$lang['metasaveok'] = '喔氞副喔權笚喔多竵喙喔∴笗喙夃覆喔斷覆喔曕箟喔侧箒喔ム箟喔';
+$lang['img_backto'] = '喔佮弗喔编笟喙勦笡喔⑧副喔';
+$lang['img_title'] = '喔娻阜喙堗腑喔犩覆喔';
+$lang['img_caption'] = '喔勦赋喔氞福喔`涪喔侧涪喔犩覆喔';
+$lang['img_date'] = '喔о副喔權笚喔掂箞';
+$lang['img_fname'] = '喔娻阜喙堗腑喙勦笩喔ム箤';
+$lang['img_fsize'] = '喔傕笝喔侧笖喔犩覆喔';
+$lang['img_artist'] = '喔溹腹喙夃釜喔`箟喔侧竾喔福喔`竸喙';
+$lang['img_copyr'] = '喔溹腹喙夃笘喔粪腑喔ム复喔傕釜喔脆笚喔樴复喙';
+$lang['img_format'] = '喔`腹喔涏箒喔氞笟';
+$lang['img_camera'] = '喔佮弗喙夃腑喔';
+$lang['img_keywords'] = '喔勦赋喔弗喔编竵';
+$lang['subscribe_success'] = '喙喔炧复喙堗浮 %s 喙喔傕箟喔侧箘喔涏箖喔權福喔侧涪喔娻阜喙堗腑喔浮喔侧笂喔脆竵喔ム竾喔椸赴喙喔氞傅喔⑧笝喔赋喔福喔编笟 %s';
+$lang['subscribe_error'] = '喔∴傅喔傕箟喔笢喔脆笖喔炧弗喔侧笖喙冟笝喔佮覆喔`箑喔炧复喙堗浮 %s 喙冟笝喔`覆喔⑧笂喔粪箞喔釜喔∴副喔勦福喔浮喔侧笂喔脆竵喔赋喔福喔编笟 %s';
+$lang['subscribe_noaddress'] = '喙勦浮喙堗浮喔掂笚喔掂箞喔涪喔灌箞喔椸傅喙堗笗喔`竾喔佮副喔氞笂喔粪箞喔弗喙囙腑喔佮腑喔脆笝喔傕腑喔囙竸喔膏笓 喔娻阜喙堗腑喔勦父喔撪箘喔∴箞喔覆喔∴覆喔`笘喔栢腹喔佮箑喔炧复喙堗浮喙喔傕箟喔侧箘喔涏箖喔權福喔侧涪喔娻阜喙堗腑喔浮喔编竸喔`釜喔∴覆喔娻复喔';
+$lang['unsubscribe_success'] = '喔栢腑喔 % 喔腑喔佮笀喔侧竵喔`覆喔⑧笂喔粪箞喔釜喔∴副喔勦福喔浮喔侧笂喔脆竵喔傕腑喔 %s';
+$lang['unsubscribe_error'] = '喔∴傅喔傕箟喔笢喔脆笖喔炧弗喔侧笖喙冟笝喔佮覆喔`笘喔笖 %s 喔腑喔佮笀喔侧竵喔`覆喔⑧笂喔粪箞喔釜喔∴覆喔娻复喔佮競喔竾 %s';
+$lang['authmodfailed'] = '喔∴傅喔佮覆喔`竵喔赤斧喔權笖喔勦箞喔侧釜喔脆笚喔樴复喙屶笢喔灌箟喙冟笂喙夃箘喔о箟喙勦浮喙堗笖喔 喔佮福喔膏笓喔侧箒喔堗箟喔囙笢喔灌箟喔斷腹喙佮弗喔`赴喔氞笟喔о复喔佮复喔傕腑喔囙竸喔膏笓';
+$lang['authtempfail'] = '喔`赴喔氞笟喔曕福喔о笀喔腑喔氞釜喔脆笚喔樴复喙屶笢喔灌箟喙冟笂喙夃箘喔∴箞喔炧福喙夃腑喔∴箖喔娻箟喔囙覆喔權笂喔编箞喔о竸喔`覆喔 喔覆喔佮釜喔栢覆喔權竵喔侧福喔撪箤喔⑧副喔囙箘喔∴箞喙喔涏弗喔掂箞喔⑧笝喙佮笡喔ム竾 喔佮福喔膏笓喔侧箒喔堗箟喔囙笢喔灌箟喔斷腹喙佮弗喔`赴喔氞抚喔脆竵喔脆競喔竾喔勦父喔';
+$lang['i_chooselang'] = '喙喔ム阜喔竵喔犩覆喔┼覆喔傕腑喔囙竸喔膏笓';
+$lang['i_installer'] = '喔曕副喔о笗喔脆笖喔曕副喙夃竾喙傕笖喔佮父喔о复喔佮复';
+$lang['i_wikiname'] = '喔娻阜喙堗腑喔о复喔佮复';
+$lang['i_enableacl'] = '喙喔涏复喔斷福喔班笟喔 ACL(喙佮笝喔班笝喔)';
+$lang['i_superuser'] = '喔嬥父喔涏箑喔涏腑喔`箤喔⑧腹喔箑喔嬥腑喔`箤';
+$lang['i_problems'] = '喔曕副喔о笗喔脆笖喔曕副喙夃竾喔炧笟喔涏副喔嵿斧喔侧笟喔侧竾喔涏福喔班竵喔侧福 喔曕覆喔∴笚喔掂箞喔`赴喔氞父喔斷箟喔侧笝喔ム箞喔侧竾 喔勦父喔撪箘喔∴箞喔覆喔∴覆喔`笘喔椸赋喔曕箞喔箘喔斷箟喔堗笝喔佮抚喙堗覆喔堗赴喙勦笖喙夃箒喔佮箟喙勦競喔复喙堗竾喙喔弗喙堗覆喔權副喙夃笝';
+$lang['i_modified'] = '喔斷箟喔о涪喙喔笗喔膏笢喔ム笖喙夃覆喔權竸喔о覆喔∴笡喔ム腑喔斷笭喔编涪 喔竸喔`复喔涏笗喙屶笝喔掂箟喔堗赴喔椸赋喔囙覆喔權竵喔编笟喙喔夃笧喔侧赴喙傕笖喔佮父喔о复喔佮复喔椸傅喙堗笗喔脆笖喔曕副喙夃竾喙冟斧喔∴箞喔福喔粪腑喔⑧副喔囙箘喔∴箞喙勦笖喙夃笖喔编笖喙佮笡喔ム竾喙佮竵喙夃箘喔
+喔勦父喔撪竸喔о福喙喔ム阜喔竵喔`赴喔抚喙堗覆喔囙竸喔ム傅喙堗箘喔熰弗喙屶笀喔侧竵喙佮笧喔勦箑喔佮笀喔椸傅喙堗箘喔斷箟喔斷覆喔о笝喙屶箓喔弗喔斷浮喔侧腑喔掂竵喔勦福喔编箟喔 喔福喔粪腑喔ㄠ付喔佮俯喔侧笀喔侧竵喔勦腹喙堗浮喔粪腑
+<a href="http://dokuwiki.org/install">Dokuwiki installation instructions</a>';
+$lang['i_funcna'] = 'PHP function <code>%s</code> 喙勦浮喙堗釜喔侧浮喔侧福喔栢箖喔娻箟喔囙覆喔權箘喔斷箟 喔覆喔堗箑喔涏箛喔權箑喔炧福喔侧赴喔溹腹喙夃箖喔箟喔氞福喔脆竵喔侧福喙傕府喔箘喔∴箞喙喔涏复喔斷箖喔箟喙冟笂喙夃竾喔侧笝';
+$lang['i_phpver'] = 'PHP 喔`父喙堗笝喔椸傅喙堗竸喔膏笓喔佮赋喔ム副喔囙箖喔娻箟喔囙覆喔權腑喔⑧腹喙堗竸喔粪腑 <code>%s</code> 喔勦父喔撪笀喔赤箑喔涏箛喔權笗喙夃腑喔囙腑喔编笧喙喔佮福喔 PHP 喙冟斧喙夃箑喔涏箛喔權福喔膏箞喔 <code>%s</code> 喔福喔粪腑喔腹喔囙竵喔о箞喔';
+$lang['i_permfail'] = '<code>%s</code> DokuWiki 喙勦浮喙堗釜喔侧浮喔侧福喔栢箑喔傕傅喔⑧笝喔傕箟喔浮喔灌弗喙勦笖喙 喔曕箟喔竾喔曕副喙夃竾喔勦箞喔侧釜喔脆笚喔樴复喙屶竵喔侧福喔笝喔膏笉喔侧笗喔傕腑喔囙箘喔斷箑喔`竸喔椸腑喔`傅喔權傅喙夃箑喔傅喔⑧竵喙堗腑喔!';
+$lang['i_confexists'] = '<code>%s</code> 喔栢腹喔佮箖喔娻箟喔囙覆喔權箘喔涏箒喔ム箟喔';
+$lang['i_writeerr'] = '喙勦浮喙堗釜喔侧浮喔侧福喔栢釜喔`箟喔侧竾 <code>%s</code>. 喔曕福喔о笀喔腑喔氞釜喔脆笚喔樴复喙屶竵喔侧福喔笝喔膏笉喔侧笗喔傕腑喔囙箘喔斷箑喔`竸喔椸腑喔`傅喔福喔粪腑喙勦笩喔ム箤 喙佮弗喙夃抚喔福喙夃覆喔囙箘喔熰弗喙屶笖喙夃抚喔⑧笗喔權箑喔竾';
+$lang['i_policy'] = '喔權箓喔⑧笟喔侧涪喔复喔椸笜喔脆箤喙喔傕箟喔侧笘喔多竾(ACL)喔曕副喙夃竾喔曕箟喔';
+$lang['i_pol0'] = '喔о复喔佮复喙喔涏复喔斷竵喔о箟喔侧竾 (喙冟竸喔`竵喙 喔箞喔侧笝, 喙喔傕傅喔⑧笝, 喔副喔炧箓喔弗喔斷箘喔斷箟)';
+$lang['i_pol1'] = '喔о复喔佮复喔覆喔樴覆喔`笓喔 (喔椸父喔佮竸喔權腑喙堗覆喔權箘喔斷箟, 喙喔傕傅喔⑧笝 喙佮弗喔 喔副喔炧箓喔弗喔斷箑喔夃笧喔侧赴喔溹腹喙夃箖喔娻箟喔椸傅喙堗弗喔囙笚喔班箑喔氞傅喔⑧笝喙佮弗喙夃抚)';
+$lang['i_pol2'] = '喔о复喔佮复喔犩覆喔⑧箖喔 (喔箞喔侧笝, 喙喔傕傅喔⑧笝, 喔副喔炧箓喔弗喔 喔赋喔福喔编笟喔溹腹喙夃箖喔娻箟喔椸傅喙堗弗喔囙笚喔班箑喔氞傅喔⑧笝喙佮弗喙夃抚喙喔椸箞喔侧笝喔编箟喔)';
+$lang['i_retry'] = '喔ム腑喔囙箖喔浮喙';
+$lang['mu_intro'] = '喔椸傅喙堗笝喔掂箞喔勦父喔撪釜喔侧浮喔侧福喔栢腑喔编笧喙傕斧喔ム笖喔弗喔侧涪喙嗋箘喔熰弗喙屶箘喔斷箟喔炧福喙夃腑喔∴箚喔佮副喔 喔勦弗喔脆箠喔佮笡喔膏箞喔∴笟喔`覆喔о笅喙屶箑喔炧阜喙堗腑喙喔炧复喙堗浮喔∴副喔權箑喔傕箟喔侧箘喔涏箖喔權竸喔脆抚 喔佮笖喔涏父喙堗浮喔副喔炧箓喔弗喔斷箑喔∴阜喙堗腑喙喔福喙囙笀喙佮弗喙夃抚';
+$lang['mu_gridname'] = '喔娻阜喙堗腑喙勦笩喔ム箤';
+$lang['mu_gridsize'] = '喔傕笝喔侧笖';
+$lang['mu_gridstat'] = '喔笘喔侧笝喔';
+$lang['mu_namespace'] = '喙喔權浮喔箑喔涏笅';
+$lang['mu_browse'] = '喙喔`傅喔⑧竵喔斷腹';
+$lang['mu_toobig'] = '喙冟斧喔嵿箞喙勦笡';
+$lang['mu_ready'] = '喔炧福喙夃腑喔∴腑喔编笡喙傕斧喔ム笖';
+$lang['mu_done'] = '喙喔福喙囙笀喔复喙夃笝';
+$lang['mu_fail'] = '喔ム箟喔∴箑喔弗喔';
+$lang['mu_authfail'] = '喔о覆喔`赴喔浮喔斷腑喔侧涪喔';
+$lang['mu_progress'] = '@PCT@% 喔副喔涏箓喔弗喔斷箒喔ム箟喔';
+$lang['mu_filetypes'] = '喔娻笝喔脆笖喙佮笩喙夃浮喔椸傅喙堗腑喔權父喔嵿覆喔';
+$lang['mu_info'] = '喙佮笩喙夃浮喔副喔涏箓喔弗喔斷箒喔ム箟喔';
+$lang['mu_lasterr'] = '喔溹复喔斷笧喔ム覆喔斷弗喙堗覆喔父喔:';
+$lang['years'] = '%d 喔涏傅喔佮箞喔笝';
+$lang['months'] = '%d 喙喔斷阜喔笝喔佮箞喔笝';
+$lang['weeks'] = '%d 喔副喔涏笖喔侧斧喙屶竵喙堗腑喔';
+$lang['days'] = '%d 喔о副喔權竵喙堗腑喔';
+$lang['hours'] = '%d 喔娻副喙堗抚喙傕浮喔囙竵喙堗腑喔';
+$lang['minutes'] = '%d 喔權覆喔椸傅喔佮箞喔笝';
+$lang['seconds'] = '%d 喔о复喔權覆喔椸傅喔佮箞喔笝';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/locked.txt
new file mode 100644
index 000000000..a198ad717
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/locked.txt
@@ -0,0 +1,3 @@
+====== 喙喔炧笀喔栢腹喔佮弗喙娻腑喔 ======
+
+喙喔炧笀喔權傅喙夃竵喔赤弗喔编竾喔栢腹喔佮弗喙娻腑喔勦笀喔侧竵喔佮覆喔`箒喔佮箟喙勦競喙傕笖喔⑧笢喔灌箟喙冟笂喙夃笚喙堗覆喔權腑喔粪箞喔 喔勦父喔撪笗喙夃腑喔囙福喔笀喔權竵喔о箞喔侧笢喔灌箟喙冟笂喙夃竸喔權笝喔掂箟喔堗赴喙佮竵喙夃箘喔傕箑喔福喙囙笀 喔福喔粪腑喔佮覆喔`弗喙娻腑喔勦笝喔编箟喔權斧喔∴笖喙喔о弗喔 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/login.txt
new file mode 100644
index 000000000..d384c2d27
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/login.txt
@@ -0,0 +1,4 @@
+====== 喔ム箛喔竵喔复喔 ======
+
+喔勦父喔撪涪喔编竾喙勦浮喙堗箘喔斷箟喙喔傕箟喔侧釜喔灌箞喔`赴喔氞笟(喔ム箛喔竵喔复喔)喙冟笝喔傕笓喔班笝喔掂箟! 喔佮福喔竵喔`覆喔⑧弗喔班箑喔傅喔⑧笖喙喔炧阜喙堗腑喔炧复喔腹喔堗笝喙屶釜喔脆笚喔樴复喙屶競喙夃覆喔囙弗喙堗覆喔囙笝喔掂箟喙喔炧阜喙堗腑喔ム箛喔竵喔复喔 喔勦父喔撪笗喙夃腑喔囙箑喔涏复喔斷竸喔膏箠喔佮竵喔掂箟喙冟斧喙夃笚喔赤竾喔侧笝喔佮箞喔笝喔椸傅喙堗笀喔班弗喙囙腑喔佮腑喔脆笝
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/mailtext.txt
new file mode 100644
index 000000000..a4af8a0f3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/mailtext.txt
@@ -0,0 +1,17 @@
+喙喔炧笀喙冟笝喙傕笖喔佮父喔о复喔佮复喔傕腑喔囙竸喔膏笓喙勦笖喙夃笘喔灌竵喙喔炧复喙堗浮 喔福喔粪腑喙佮竵喙夃箘喔 喔權傅喙堗竸喔粪腑喔`覆喔⑧弗喔班箑喔傅喔⑧笖:
+
+喔о副喔權笚喔掂箞: @DATE@
+喔氞福喔侧抚喙喔嬥腑喔`箤: @BROWSER@
+喔椸傅喙堗腑喔⑧腹喙堗箘喔笧喔: @IPADDRESS@
+喔娻阜喙堗腑喙傕府喔笗喙: @HOSTNAME@
+喔夃笟喔编笟喙喔佮箞喔: @OLDPAGE@
+喔夃笟喔编笟喙冟斧喔∴箞: @NEWPAGE@
+喔福喔膏笡喔佮覆喔`箒喔佮箟喙勦競: @SUMMARY@
+喔溹腹喙夃箖喔娻箟: @USER@
+
+@DIFF@
+
+
+--
+喔堗笖喔浮喔侧涪喔權傅喙夃釜喔`箟喔侧竾喔傕付喙夃笝喙傕笖喔⑧箓喔斷竵喔膏抚喔脆竵喔脆笚喔掂箞
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/newpage.txt
new file mode 100644
index 000000000..cab906d4c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/newpage.txt
@@ -0,0 +1,3 @@
+====== 喔⑧副喔囙箘喔∴箞喔∴傅喔副喔о競喙夃腑喔權傅喙 ======
+
+喔勦父喔撪箘喔斷箟喔佮笖喔ム复喙夃竾喔勦箤喙喔傕箟喔侧浮喔侧涪喔编竾喔副喔о競喙夃腑喔椸傅喙堗涪喔编竾喙勦浮喙堗箘喔斷箟喔福喙夃覆喔 喔栢箟喔侧竸喔膏笓喙勦笖喙夃福喔编笟喔笝喔膏笉喔侧笗喔 喔勦父喔撪腑喔侧笀喔堗赴喔福喙夃覆喔囙浮喔编笝喙勦笖喙夃笖喙夃抚喔⑧竵喔侧福喔佮笖喔涏父喙堗浮 "喔福喙夃覆喔囙箑喔炧笀喔權傅喙" \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/norev.txt
new file mode 100644
index 000000000..9127a20c3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/norev.txt
@@ -0,0 +1,3 @@
+====== 喙勦浮喙堗浮喔掂笁喔氞副喔氞笚喔掂箞喔`赴喔氞父 ======
+
+喔夃笟喔编笟喔椸傅喙堗福喔班笟喔膏箘喔∴箞喔∴傅喔涪喔灌箞喔堗福喔脆竾 喔佮福喔膏笓喔侧箖喔娻箟喔涏父喙堗浮 "喔夃笟喔编笟喙喔佮箞喔侧箚" 喙喔炧阜喙堗腑喙佮釜喔斷竾喔`覆喔⑧竵喔侧福喔`父喙堗笝喙喔佮箞喔侧箚喔傕腑喔囙箑喔竵喔覆喔`笝喔掂箟喔 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/preview.txt
new file mode 100644
index 000000000..caaf8ad2d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/preview.txt
@@ -0,0 +1,3 @@
+====== 喔斷腹喔曕副喔о腑喔⑧箞喔侧竾 ======
+
+喔權傅喙堗竸喔粪腑喔笝喙夃覆喔曕副喔о腑喔⑧箞喔侧竾喔傕腑喔囙競喙夃腑喔勦抚喔侧浮喔椸傅喙堗竸喔膏笓喔佮福喔竵 喔堗赋喙勦抚喙夃抚喙堗覆: 喔∴副喔權涪喔编竾 **喙勦浮喙堗箘喔斷箟喔氞副喔權笚喔多竵** 喙喔佮箛喔氞箘喔о箟! \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/pwconfirm.txt
new file mode 100644
index 000000000..e6ab876cf
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/pwconfirm.txt
@@ -0,0 +1,14 @@
+喙喔箟 @FULLNAME@!
+
+喔∴傅喔氞覆喔囙竸喔權福喙夃腑喔囙競喔福喔副喔笢喙堗覆喔權箖喔浮喙堗釜喔赤斧喔`副喔 @TITLE@ 喔傕腑喔囙竸喔膏笓
+喙喔炧阜喙堗腑喔ム箛喔竵喔复喔權笚喔掂箞 @DOKUWIKIURL@
+
+喔栢箟喔侧竸喔膏福喙勦浮喙堗箘喔斷箟喔`箟喔竾喔傕腑喔`斧喔编釜喔溹箞喔侧笝喙冟斧喔∴箞 喔佮箛喙勦浮喙堗笗喙夃腑喔囙釜喔權箖喔堗腑喔掂箑喔∴弗喔權傅喙
+
+喔覆喔佮笗喙夃腑喔囙竵喔侧福喔⑧阜喔權涪喔编笝喔о箞喔侧笝喔掂箞喔佮覆喔`福喙夃腑喔囙競喔笝喔掂箟喔栢腹喔佮釜喙堗竾喙傕笖喔⑧竸喔膏笓喔堗福喔脆竾喙 喔佮福喔膏笓喔侧箖喔娻箟喔ム复喔囙竸喙屶笖喔编竾喔曕箞喔箘喔涏笝喔掂箟
+
+@CONFIRM@
+
+--
+喔堗笖喔浮喔侧涪喔權傅喙夃笘喔灌竵喔福喙夃覆喔囙競喔多箟喔權箓喔斷涪喙傕笖喔佮父喔о复喔佮复喔椸傅喙
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/read.txt
new file mode 100644
index 000000000..ac4f31290
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/read.txt
@@ -0,0 +1 @@
+喔笝喙夃覆喔權傅喙夃浮喔掂箘喔о箟喔箞喔侧笝喔涪喙堗覆喔囙箑喔斷傅喔⑧抚 喔勦父喔撪釜喔侧浮喔侧福喔栢腑喙堗覆喔權競喙夃腑喔勦抚喔侧浮喔曕箟喔權笁喔氞副喔 喙勦浮喙堗釜喔侧浮喔侧福喔栢箒喔佮箟喙勦競喙勦笖喙 喙冟斧喙夃釜喔笟喔栢覆喔∴笢喔灌箟喔斷腹喙佮弗喔`赴喔氞笟喔栢箟喔侧竸喔膏笓喔勦复喔斷抚喙堗覆喔權傅喙堗竸喔粪腑喔傕箟喔笢喔脆笖喔炧弗喔侧笖 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/recent.txt
new file mode 100644
index 000000000..1655ae82b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/recent.txt
@@ -0,0 +1,3 @@
+====== 喔佮覆喔`箑喔涏弗喔掂箞喔⑧笝喙佮笡喔ム竾喙喔∴阜喙堗腑喙喔`箛喔о箚喔權傅喙 ======
+
+喙喔炧笀喙喔弗喙堗覆喔權傅喙夃笘喔灌竵喙喔涏弗喔掂箞喔⑧笝喙佮笡喔ム竾喙喔∴阜喙堗腑喙喔`箛喔о箚喔權傅喙 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/register.txt
new file mode 100644
index 000000000..ed4a40876
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/register.txt
@@ -0,0 +1,3 @@
+====== 喔ム竾喔椸赴喙喔氞傅喔⑧笝喙喔涏箛喔權笢喔灌箟喙冟笂喙夃斧喔權箟喔侧箖喔浮喙 ======
+
+喔佮福喔竵喔傕箟喔浮喔灌弗喔椸副喙夃竾喔浮喔斷笖喙夃覆喔權弗喙堗覆喔囙箑喔炧阜喙堗腑喔福喙夃覆喔囙笟喔编笉喔娻傅喙冟斧喔∴箞喙冟笝喔о复喔佮复喔權傅喙 喙冟斧喙夃箒喔權箞喙冟笀喔о箞喔侧竸喔膏笓喙冟斧喙 **喔椸傅喙堗腑喔⑧腹喙堗腑喔掂箑喔∴弗喔椸傅喙堗箖喔娻箟喙勦笖喙夃笀喔`复喔** 喔栢箟喔侧竸喔膏笓喙勦浮喙堗笘喔灌竵喔栢覆喔∴箖喔箟喔佮福喔竵喔`斧喔编釜喔溹覆喙堗笝喔椸傅喙堗笝喔掂箞, 喔`斧喔编釜喔溹箞喔侧笝喙冟斧喔∴箞喔堗赴喔栢腹喔佮釜喙堗竾喙勦笡喔⑧副喔囙笚喔掂箞喔涪喔灌箞喔斷副喔囙竵喔ム箞喔侧抚 喔娻阜喙堗腑喔ム箛喔竵喔复喔權竸喔о福喔堗赴喙冟笂喙夃箘喔斷箟喔栢腹喔佮笗喙夃腑喔囙笗喔侧浮[[doku>pagename|pagename]]. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/registermail.txt
new file mode 100644
index 000000000..a96026d31
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/registermail.txt
@@ -0,0 +1,14 @@
+喔∴傅喔溹腹喙夃箖喔娻箟喔勦笝喙冟斧喔∴箞喙勦笖喙夃弗喔囙笚喔班箑喔氞傅喔⑧笝 喔權傅喙堗竸喔粪腑喔`覆喔⑧弗喔班箑喔傅喔⑧笖:
+
+喔娻阜喙堗腑喔溹腹喙夃箖喔娻箟 : @NEWUSER@
+喔娻阜喙堗腑喙喔曕箛喔 : @NEWNAME@
+喔傅喙喔∴弗 : @NEWEMAIL@
+
+喔о副喔權笚喔掂箞 : @DATE@
+喔氞福喔侧抚喙喔嬥腑喔`箤 : @BROWSER@
+喔椸傅喙堗腑喔⑧腹喙堗箘喔笧喔 : @IPADDRESS@
+喔娻阜喙堗腑喙傕府喔笗喙 : @HOSTNAME@
+
+--
+喔堗笖喔浮喔侧涪喔權傅喙夃笘喔灌竵喔福喙夃覆喔囙競喔多箟喔權箓喔斷涪喙傕笖喔佮父喔о复喔佮复喔椸傅喙
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/resendpwd.txt
new file mode 100644
index 000000000..1935abedd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/resendpwd.txt
@@ -0,0 +1,3 @@
+====== 喔箞喔囙福喔副喔笢喙堗覆喔權箖喔浮喙 ======
+
+喔佮福喔膏笓喔侧竵喔`腑喔佮笂喔粪箞喔笢喔灌箟喙冟笂喙夃箖喔權笂喙堗腑喔囙笖喙夃覆喔權弗喙堗覆喔囙箑喔炧阜喙堗腑喔`箟喔竾喔傕腑喔`斧喔编釜喔溹箞喔侧笝喙冟斧喔∴箞喔堗覆喔佮笟喔编笉喔娻傅喔傕腑喔囙竸喔膏笓喙冟笝喔о复喔佮复喔權傅喙 喔ム复喔囙竸喙屶涪喔粪笝喔⑧副喔權笀喔班笘喔灌竵喔箞喔囙箘喔涏涪喔编竾喔椸傅喙堗腑喔⑧腹喙堗腑喔掂箑喔∴弗喔椸傅喙堗竸喔膏笓喔ム竾喔椸赴喙喔氞傅喔⑧笝喙勦抚喙 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/revisions.txt
new file mode 100644
index 000000000..98a49d724
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/revisions.txt
@@ -0,0 +1,3 @@
+====== 喔夃笟喔编笟喙喔佮箞喔 ======
+
+喙喔弗喙堗覆喔權傅喙夃箑喔涏箛喔權福喔侧涪喔佮覆喔`笁喔氞副喔氞箑喔佮箞喔侧競喔竾喙喔竵喔覆喔`笡喔编笀喔堗父喔氞副喔 喔覆喔佮笗喙夃腑喔囙竵喔侧福喔勦阜喔權釜喔犩覆喔炧笁喔氞副喔氞箑喔佮箞喔 喙冟斧喙夃箑喔ム阜喔竵喔∴副喔權笀喔侧竵喔斷箟喔侧笝喔ム箞喔侧竾, 喔勦弗喔脆箠喔 "喙佮竵喙夃箘喔傕箑喔炧笀喔權傅喙" 喙佮弗喙夃抚喔堗付喔囙竸喙堗腑喔⑧笟喔编笝喔椸付喔佮浮喔编笝 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/searchpage.txt
new file mode 100644
index 000000000..d6399a9e9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/searchpage.txt
@@ -0,0 +1,4 @@
+====== 喔阜喔氞竸喙夃笝 ======
+喔勦父喔撪釜喔侧浮喔侧福喔栢笧喔氞笢喔ム弗喔编笧喔樴箤喔佮覆喔`釜喔粪笟喔勦箟喔權競喔竾喔勦父喔撪笖喙夃覆喔權弗喙堗覆喔 喔栢箟喔侧竸喔膏笓喙勦浮喙堗笧喔氞釜喔脆箞喔囙笚喔掂箞喔勦笝喔∴腑喔囙斧喔 喔勦父喔撪釜喔侧浮喔侧福喔栢箑喔ム阜喔竵喔椸傅喙堗笀喔班釜喔`箟喔侧竾 喔福喔粪腑喙佮竵喙夃箘喔傕笂喔粪箞喔箑喔炧笀喔弗喔编竾喔堗覆喔佮笖喔灌笢喔ム釜喔粪笟喔勦箟喔權箒喔ム箟喔о笖喙夃抚喔⑧笡喔膏箞喔∴笚喔掂箞喙喔浮喔侧赴喔浮
+
+====== 喔溹弗喔ム副喔炧笜喙 ====== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/showrev.txt
new file mode 100644
index 000000000..f93869f38
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/showrev.txt
@@ -0,0 +1,2 @@
+**喔權傅喙堗竸喔粪腑喙喔竵喔覆喔`福喔膏箞喔/喔夃笟喔编笟喙喔佮箞喔**
+---- \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/subscribermail.txt
new file mode 100644
index 000000000..8f65b1061
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/subscribermail.txt
@@ -0,0 +1,22 @@
+喔抚喔编釜喔斷傅!
+
+喙喔炧笀 @PAGE@ 喙冟笝喔о复喔佮复 @TITLE@ 喔栢腹喔佮箒喔佮箟喙勦競 喙佮弗喔班笝喔掂箞喔勦阜喔福喔侧涪喔佮覆喔`箑喔涏弗喔掂箞喔⑧笝喙佮笡喔ム竾:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+喔о副喔權笚喔掂箞 : @DATE@
+喔溹腹喙夃箖喔娻箟: @USER@
+喔福喔膏笡喔佮覆喔`箒喔佮箟喙勦競: @SUMMARY@
+喔夃笟喔编笟喙喔佮箞喔: @OLDPAGE@
+喔夃笟喔编笟喙冟斧喔∴箞: @NEWPAGE@
+
+喙喔炧阜喙堗腑喔⑧竵喙喔ム复喔佮竵喔侧福喙佮笀喙夃竾喙喔曕阜喔笝喙冟笝喙喔炧笀喔權傅喙, 喙冟斧喙夃弗喙囙腑喔佮腑喔脆笝喙喔傕箟喔侧箘喔涏涪喔编竾喔о复喔佮复喔椸傅喙
+@DOKUWIKIURL@ 喙佮弗喙夃抚喙喔傕箟喔侧箘喔涏笚喔掂箞喔⑧副喔
+@NEWPAGE@
+喙佮弗喔班涪喔佮箑喔ム复喔佮竵喔侧福喔ム竾喔椸赴喙喔氞傅喔⑧笝喙喔澿箟喔侧笖喔灌竵喔侧福喙喔涏弗喔掂箞喔⑧笝喙佮笡喔ム竾喔傕腑喔囙箑喔炧笀 喔福喔粪腑 喙喔權浮喔箑喔涏笅 喔權副喙夃笝喙
+
+--
+喔堗笖喔浮喔侧涪喔權傅喙夃笘喔灌竵喔福喙夃覆喔囙競喔多箟喔權箓喔斷涪 喙傕笖喔佮父喔о复喔佮复喔椸傅喙
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/updateprofile.txt
new file mode 100644
index 000000000..3e0a8dffd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/updateprofile.txt
@@ -0,0 +1,3 @@
+====== 喔涏福喔编笟喔涏福喔膏竾喔傕箟喔浮喔灌弗喔箞喔о笝喔曕副喔о競喔竾喔氞副喔嵿笂喔掂竸喔膏笓 ======
+
+喔勦父喔撪箑喔炧傅喔⑧竾喔曕箟喔竾喔佮覆喔`竵喔`腑喔佮笂喙堗腑喔囙笚喔掂箞喔曕箟喔竾喔佮覆喔`箒喔佮箟喙勦競喙喔弗喙堗覆喔權傅喙夃箖喔箟喔勦福喔 喙佮笗喙堗箘喔∴箞喔覆喔∴覆喔`笘喙喔涏弗喔掂箞喔⑧笝喔娻阜喙堗腑喔溹腹喙夃箖喔娻箟喙勦笖喙 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/uploadmail.txt
new file mode 100644
index 000000000..026965753
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/uploadmail.txt
@@ -0,0 +1,14 @@
+喔∴傅喙勦笩喔ム箤喙勦笖喙夃笘喔灌竵喔副喔炧箓喔弗喔斷箑喔傕箟喔侧箘喔涏涪喔编竾喙傕笖喔佮父喔о复喔佮复喔傕腑喔囙竸喔膏笓 喔權傅喙堗竸喔粪腑喔`覆喔⑧弗喔班箑喔傅喔⑧笖:
+
+喙勦笩喔ム箤: @MEDIA@
+喔о副喔權笚喔掂箞: @DATE@
+喙喔氞福喔侧箑喔嬥腑喔`箤: @BROWSER@
+喔椸傅喙堗腑喔⑧腹喙堗箘喔笧喔: @IPADDRESS@
+喔娻阜喙堗腑喙傕府喔笗喙: @HOSTNAME@
+喔傕笝喔侧笖: @SIZE@
+MIME Type : @MIME@
+喔溹腹喙夃箖喔娻箟: @USER@
+
+--
+This mail was generated by DokuWiki at
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/wordblock.txt
new file mode 100644
index 000000000..653e341e7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/th/wordblock.txt
@@ -0,0 +1,3 @@
+====== 喔箒喔涏浮喔栢腹喔佮竵喔掂笖喔佮副喔 ======
+
+喔佮覆喔`箑喔涏弗喔掂箞喔⑧笝喙佮笡喔ム竾喔傕腑喔囙竸喔膏笓 **喙勦浮喙**喙勦笖喙夃福喔编笟喔佮覆喔`笟喔编笝喔椸付喔佮箑喔炧福喔侧赴喔∴副喔權浮喔掂斧喔權付喙堗竾喔傕箟喔竸喔о覆喔∴笗喙夃腑喔囙斧喙夃覆喔∴斧喔`阜喔浮喔侧竵喔佮抚喙堗覆 喔栢箟喔侧竸喔膏笓喔炧涪喔侧涪喔侧浮喔箒喔涏浮喔о复喔佮复喔權傅喙 --喔勦父喔撪箘喔∴箞喔權箞喔侧福喔编竵喙喔ム涪喔權赴 喙喔斷箛喔佮箓喔囙箞! 喙佮笗喙堗笘喙夃覆喔勦父喔撪竸喔脆笖喔о箞喔侧笝喔掂箞喙喔涏箛喔權競喙夃腑喔溹复喔斷笧喔ム覆喔斷箒喔ム箟喔о弗喔班竵喙 喔娻箞喔о涪喔曕复喔斷笗喙堗腑喔溹腹喙夃笖喔灌箒喔ム抚喔脆竵喔脆笝喔掂箟喙冟斧喙夃笖喙夃抚喔 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/admin.txt
new file mode 100644
index 000000000..2292b6eeb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/admin.txt
@@ -0,0 +1,3 @@
+====== Y枚netim ======
+
+A艧a臒谋da DokuWiki i莽in yap谋labilecek y枚netim i艧leri vard谋r.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/backlinks.txt
new file mode 100644
index 000000000..e219a60c0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/backlinks.txt
@@ -0,0 +1,4 @@
+====== Geri linkler ======
+
+Bu sayfaya ba臒lant谋 veren sayfalar谋n listesi a艧a臒谋dad谋r.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/conflict.txt
new file mode 100644
index 000000000..504947998
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/conflict.txt
@@ -0,0 +1,6 @@
+====== Yeni versiyon mevcut ======
+
+De臒i艧tirdi臒iniz d枚k眉man谋n daha yeni bir versiyonu mevcut. Bu durum, siz d枚k眉man谋 de臒i艧tirirken ba艧ka bir kullan谋c谋n谋n da ayn谋 d枚k眉man谋 de臒i艧tirmesi halinde olur.
+
+A艧a臒谋da g枚sterilen farklar谋 dikkatlice inceleyin, daha sonra hangi versiyonun korunaca臒谋na karar verin. E臒er ''Kaydet''i se莽erseniz, sizin s眉r眉m眉n眉z kaydedilir. Mevcut s眉r眉m眉 korumak i莽in ''陌ptal''e t谋klay谋n.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/denied.txt
new file mode 100644
index 000000000..04e9b8bfb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/denied.txt
@@ -0,0 +1,4 @@
+====== Yetki Reddedildi ======
+
+脺zg眉n眉z, devam etmek i莽in yetkiniz yok. Giri艧 yapmay谋 unutmu艧 olabilir misiniz?
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/diff.txt
new file mode 100644
index 000000000..72baa676b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/diff.txt
@@ -0,0 +1,4 @@
+====== Farklar ======
+
+Bu sayfan谋n se莽ili s眉r眉m眉 ile mevcut s眉r眉m眉 aras谋ndaki farklar谋 g枚sterir.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/draft.txt
new file mode 100644
index 000000000..b1a8881b8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/draft.txt
@@ -0,0 +1,5 @@
+====== Taslak Dosyas谋 Bulundu ======
+
+Bu sayfadaki en son oturumunuz d眉zg眉n olarak tamamlanmam谋艧. DokuWiki otomatik olarak bir taslak kaydetmi艧 oldu臒u i莽in 莽al谋艧man谋za devam edebilirsiniz. A艧a臒谋da en son oturumunuzda kaydedilmi艧 olan tasla臒谋 g枚rebilirsiniz.
+
+Bu tasla臒谋 //geri getirebilir//, //silebilir// veya d眉zenleme s眉recinden //vazge莽ebilirsiniz//. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/edit.txt
new file mode 100644
index 000000000..4f84c4e53
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/edit.txt
@@ -0,0 +1,2 @@
+Sayfay谋 de臒i艧tirin ve ''Kaydete'' bas谋n. Wiki s枚zdizimi i莽in [[wiki:syntax]]'a bak谋n谋z. L眉tfen sayfay谋 sadece e臒er **geli艧tirebiliyorsan谋z** de臒i艧tirin. E臒er testler yapmak istiyorsan谋z, [[playground:playground|playground]] adresini kullan谋n.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/editrev.txt
new file mode 100644
index 000000000..9c70fbed3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/editrev.txt
@@ -0,0 +1,2 @@
+**Sayfan谋n eski bir s眉r眉m眉n眉 y眉klediniz!** E臒er kaydederseniz, bu veriyle yeni bir s眉r眉m olu艧turacaks谋n谋z.
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/index.txt
new file mode 100644
index 000000000..e361e8784
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/index.txt
@@ -0,0 +1,4 @@
+====== 陌ndeks ======
+
+Bu mevcut t眉m sayfalar谋n [[doku>namespaces|isim alanlar谋na]] g枚re s谋ral谋 bir indeksidir.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/install.html
new file mode 100644
index 000000000..59e7f57a7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/install.html
@@ -0,0 +1,8 @@
+<p>Bu sayfa <a href="http://dokuwiki.org">Dokuwiki</a> kurman谋za yard谋mc谋 olmaktad谋r. Kurulum hakk谋nda bilgi sahibi olmak i莽in <a href="http://dokuwiki.org/installer">bu sayfay谋</a> ziyaret edebilirsiniz.</p>
+
+<p>DokuWiki wiki sayfalar谋n谋 ve wiki sayfalar谋na ili艧kin verileri (resimler, arama indeksi, ge莽mi艧 s眉r眉mler) dosyalarda tutar. DokuWikiyi sorunsuz olarak kullanmak i莽in bu dosyalar谋n bulundu臒u dizinlere <b>mutlaka</b> yazma izniniz olmas谋 gereklidir. Bu kurulum beti臒i yazma izinlerini ayarlayamamaktad谋r. 陌zinleri shell, FTP veya kontrol paneliniz (CPanel, Plesk vs.) arac谋l谋臒谋 ile d眉zenleyebilirsiniz.</p>
+
+<p>Kurulum beti臒i <acronym title="access control list">ACL</acronym>'yi otomatik olarak ayarlamaktad谋r. B枚ylece y枚netici izinleri belirlenip, DokuWiki kullan谋m谋 kolayla艧t谋r谋lmaktad谋r.</p>
+
+<p>Deneyimli kullan谋c谋lar <a href="http://dokuwiki.org/install">bu sayfay谋 </a>
+ edebilir ve <a href="http://dokuwiki.org/config">bu sayfa yard谋m谋yla</a> yap谋land谋rma hakk谋nda ekstra bilgi sahibi olabilir.</p> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/lang.php
new file mode 100644
index 000000000..dd045a6c7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/lang.php
@@ -0,0 +1,226 @@
+<?php
+/**
+ * turkish language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Selim Farsako臒lu <farsakogluselim@yahoo.de>
+ * @author Ayd谋n Co艧kuner aydinweb@gmail.com
+ * @author Ayd谋n Co艧kuner <aydinweb@gmail.com>
+ * @author yavuzselim@gmail.com
+ * @author Cihan Kahveci kahvecicihan@gmail.com
+ * @author Yavuz Selim <yavuzselim@gmail.com>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '鈥';
+$lang['btn_edit'] = 'Sayfay谋 d眉zenle';
+$lang['btn_source'] = 'Kayna臒谋 g枚ster';
+$lang['btn_show'] = 'Sayfay谋 g枚ster';
+$lang['btn_create'] = 'Bu sayfay谋 olu艧tur';
+$lang['btn_search'] = 'Ara';
+$lang['btn_save'] = 'Kaydet';
+$lang['btn_preview'] = '脰nizleme';
+$lang['btn_top'] = 'Ba艧a d枚n';
+$lang['btn_newer'] = '<< daha yeniler';
+$lang['btn_older'] = 'daha eskiler >>';
+$lang['btn_revs'] = 'Eski s眉r眉mler';
+$lang['btn_recent'] = 'En son de臒i艧iklikler';
+$lang['btn_upload'] = 'Y眉kle';
+$lang['btn_cancel'] = '陌ptal';
+$lang['btn_index'] = '陌ndeks';
+$lang['btn_secedit'] = 'D眉zenle';
+$lang['btn_login'] = 'Giri艧 yap';
+$lang['btn_logout'] = '脟谋k谋艧 yap';
+$lang['btn_admin'] = 'Y枚netici';
+$lang['btn_update'] = 'G眉ncelle';
+$lang['btn_delete'] = 'Sil';
+$lang['btn_back'] = 'Geri';
+$lang['btn_backlink'] = 'Geri linkler';
+$lang['btn_backtomedia'] = '脟okluortam dosyas谋 se莽imine d枚n';
+$lang['btn_subscribe'] = 'Sayfa De臒i艧ikliklerini Bildir';
+$lang['btn_unsubscribe'] = 'Sayfa De臒i艧ikliklerini Bildirme';
+$lang['btn_subscribens'] = 'Namespace De臒i艧ikliklerini Bildir';
+$lang['btn_unsubscribens'] = 'Namespace De臒i艧ikliklerini Bildirme';
+$lang['btn_profile'] = 'Kullan谋c谋 Bilgilerini G眉ncelle';
+$lang['btn_reset'] = 'S谋f谋rla';
+$lang['btn_resendpwd'] = 'Yeni parola g枚nder';
+$lang['btn_draft'] = 'Tasla臒谋 d眉zenle';
+$lang['btn_recover'] = 'Tasla臒谋 geri y眉kle';
+$lang['btn_draftdel'] = 'Tasla臒谋 sil';
+$lang['loggedinas'] = 'Giri艧 ismi';
+$lang['user'] = 'Kullan谋c谋 ismi';
+$lang['pass'] = 'Parola';
+$lang['newpass'] = 'Yeni Parola';
+$lang['oldpass'] = 'Kullan谋lan parolay谋 do臒rula';
+$lang['passchk'] = 'Bir kez daha girin';
+$lang['remember'] = 'Beni hat谋rla';
+$lang['fullname'] = 'Tam isim';
+$lang['email'] = 'E-posta';
+$lang['register'] = 'Kay谋t ol';
+$lang['profile'] = 'Kullan谋c谋 Bilgileri';
+$lang['badlogin'] = '脺zg眉n眉z, Kullan谋c谋 ad谋 veya 艧ifre yanl谋艧 oldu.';
+$lang['minoredit'] = 'K眉莽眉k De臒i艧iklikler';
+$lang['draftdate'] = 'Taslak 艧u saatte otomatik kaydedildi:';
+$lang['nosecedit'] = 'Sayfa yak谋n zamanda de臒i艧tirilmi艧tir, b枚l眉m bilgisi eski kalm谋艧t谋r. Bunun i莽in b枚l眉m yerine t眉m sayfa y眉klenmi艧tir.';
+$lang['regmissing'] = '脺zg眉n眉z, t眉m alanlar谋 doldurmal谋s谋n谋z.';
+$lang['reguexists'] = '脺zg眉n眉z, bu isime sahip bir kullan谋c谋 zaten mevcut.';
+$lang['regsuccess'] = 'Kullan谋c谋 olu艧turuldu ve 艧ifre e-posta adresine g枚nderildi.';
+$lang['regsuccess2'] = 'Kullan谋c谋 olu艧turuldu.';
+$lang['regmailfail'] = '艦ifrenizi e-posta ile g枚nderirken bir hata olu艧mu艧 gibi g枚r眉n眉yor. L眉tfen y枚netici ile temasa ge莽iniz!';
+$lang['regbadmail'] = 'Verilen e-posta adresi ge莽ersiz gibi g枚r眉n眉yor - bunun bir hata oldu臒unu d眉艧眉n眉yorsan谋z y枚netici ile temasa ge莽iniz.';
+$lang['regbadpass'] = 'Girilen parolalar ayn谋 de臒il. L眉tfen tekrar deneyiniz.';
+$lang['regpwmail'] = 'DokuWiki parolan谋z';
+$lang['reghere'] = 'Daha hesab谋n谋z yok mu? Hemen bir tane a莽t谋r谋n!';
+$lang['profna'] = 'Bu wiki kullan谋c谋 bilgilerini de臒i艧tirmeyi desteklememektedir';
+$lang['profnochange'] = 'De臒i艧iklik yok, bir艧ey yap谋lmad谋.';
+$lang['profnoempty'] = 'Bo艧 isim veya e-posta adresine izin verilmiyor.';
+$lang['profchanged'] = 'Kullan谋c谋 bilgileri ba艧ar谋yla de臒i艧tirildi.';
+$lang['pwdforget'] = 'Parolan谋z谋 m谋 unuttunuz? Yeni bir parola al谋n';
+$lang['resendna'] = 'Bu wiki parolay谋 tekrar g枚ndermeyi desteklememektedir.';
+$lang['resendpwd'] = 'Yeni parolay谋 g枚nder:';
+$lang['resendpwdmissing'] = '脺zg眉n眉z, t眉m alanlar谋 doldurmal谋s谋n谋z.';
+$lang['resendpwdnouser'] = '脺zg眉n眉z, veritaban谋m谋zda bu kullan谋c谋y谋 bulamad谋k.';
+$lang['resendpwdbadauth'] = '脺zg眉n眉z, bu do臒rulama kodu do臒ru de臒il. Do臒rulama linkini tam olarak kulland谋臒谋n谋za emin olun.';
+$lang['resendpwdconfirm'] = 'Do臒rulama linki e-posta adresinize g枚nderildi.';
+$lang['resendpwdsuccess'] = 'Yeni parolan谋z e-posta adresinize g枚nderildi.';
+$lang['license'] = 'Aksi belirtilmedi臒i halde, bu wikinin i莽eri臒inin telif haklar谋 艧u lisans ile korunmaktad谋r:';
+$lang['licenseok'] = 'Not: Bu sayfay谋 de臒i艧tirerek yaz谋n谋z谋n 艧u lisans ile yay谋nlanmas谋n谋 kabul etmi艧 olacaks谋n谋z:';
+$lang['txt_upload'] = 'Y眉klenecek dosyay谋 se莽';
+$lang['txt_filename'] = 'Dosya ad谋 (zorunlu de臒il)';
+$lang['txt_overwrt'] = 'Mevcut dosyan谋n 眉st眉ne yaz';
+$lang['lockedby'] = '艦u an 艧unun taraf谋ndan kilitli:';
+$lang['lockexpire'] = 'Kilitin a莽谋lma tarihi:';
+$lang['willexpire'] = 'Bu sayfay谋 de臒i艧tirme kilidinin s眉resi yakla艧谋k bir dakika i莽inde ge莽ecek.\n脟ak谋艧malar谋 枚nlemek i莽in 枚nizleme tu艧unu kullanarak kilit sayac谋n谋 s谋f谋rla.';
+$lang['notsavedyet'] = 'Kaydedilmemi艧 de臒i艧iklikler kaybolacak.\nDevam etmek istiyor musunuz?';
+$lang['rssfailed'] = 'Bu beslemeyi 莽ekerken hata olu艧tu: ';
+$lang['nothingfound'] = 'Hi莽bir 艧ey yok.';
+$lang['mediaselect'] = '脟okluortam dosyas谋 se莽imi';
+$lang['fileupload'] = '脟okluortam dosyas谋 y眉kleme';
+$lang['uploadsucc'] = 'Y眉kleme tamam';
+$lang['uploadfail'] = 'Y眉kleme ba艧ar谋s谋z. Yetki hatas谋 olabilir!';
+$lang['uploadwrong'] = 'Y眉kleme engellendi. Bu dosya uzant谋s谋na izin verilmiyor!';
+$lang['uploadexist'] = 'Dosya zaten var. Hi莽bir 艧ey yap谋lmad谋.';
+$lang['uploadbadcontent'] = 'Y眉klenen i莽erik %s uzant谋s谋 ile uyu艧muyor.';
+$lang['uploadspam'] = 'Y眉kleme i艧lemi spam karalistesi taraf谋ndan engellendi.';
+$lang['uploadxss'] = 'Y眉kleme i艧lemi muhtemel k枚t眉 i莽erik sebebiyle engellendi.';
+$lang['uploadsize'] = 'Y眉klenmek istenen dosya boyutu 莽ok b眉y眉k (en fazla %s)';
+$lang['deletesucc'] = '"%s" dosyas谋 silindi.';
+$lang['deletefail'] = '"%s" silinemedi - yetkileri kontrol et.';
+$lang['mediainuse'] = '"%s" dosyas谋 silinmedi, hala kullan谋mda.';
+$lang['namespaces'] = 'Namespaces';
+$lang['mediafiles'] = '艦uradaki kullan谋ma haz谋r dosyalar:';
+$lang['js']['keepopen'] = 'Se莽im yap谋ld谋臒谋nda bu pencereyi a莽谋k tut';
+$lang['js']['hidedetails'] = 'Ayr谋nt谋lar谋 gizle';
+$lang['js']['nosmblinks'] = 'Windows payla艧谋m谋 sadece Microsoft Internet Explorer ile 莽al谋艧maktad谋r. Yine de hala ba臒lant谋y谋 kopyalay谋p yap谋艧t谋rarak kullanabilirsiniz. ';
+$lang['js']['mu_btn'] = 'Birden fazla dosyay谋 bir seferde g枚nder';
+$lang['mediausage'] = '艦u ';
+$lang['mediaview'] = '脰zg眉n dosyay谋 g枚ster';
+$lang['mediaroot'] = 'K枚k dizini';
+$lang['mediaupload'] = 'Dosya bu namespace\'e y眉klenir. Alt namespace olu艧turmak i莽in "Dosya ad谋" k谋sm谋n谋n谋n ba艧谋na alt namespace ad谋n谋 ekleyip ard谋ndan iki nokta koyun.';
+$lang['mediaextchange'] = 'Dosya uzant谋s谋 .%s\'den .%s\'e 莽evrildi!';
+$lang['reference'] = '艦unun i莽in referanslar:';
+$lang['ref_inuse'] = 'Dosya silinemiyor, 莽眉nk眉 艧u sayfalar taraf谋ndan hala kullan谋lmakta:';
+$lang['ref_hidden'] = 'Baz谋 referanslar okuma yetkiniz olmayan sayfalarda';
+$lang['hits'] = 'tane bulundu';
+$lang['quickhits'] = 'Uyan sayfalar';
+$lang['toc'] = '陌莽indekiler';
+$lang['current'] = 'mevcut';
+$lang['yours'] = 'Senin S眉r眉m眉n';
+$lang['diff'] = 'Kullan谋lan s眉r眉m ile farklar谋 g枚ster';
+$lang['diff2'] = 'Se莽ili s眉r眉mler aras谋ndaki fark谋 g枚ster';
+$lang['line'] = 'Sat谋r';
+$lang['breadcrumb'] = '陌z';
+$lang['youarehere'] = 'Buradas谋n谋z';
+$lang['lastmod'] = 'Son de臒i艧tirilme';
+$lang['by'] = 'De臒i艧tiren:';
+$lang['deleted'] = 'silindi';
+$lang['created'] = 'olu艧turuldu';
+$lang['restored'] = 'eski s眉r眉me d枚n眉ld眉';
+$lang['external_edit'] = 'D谋艧ar谋dan d眉zenle';
+$lang['summary'] = '脰zeti d眉zenle';
+$lang['noflash'] = 'Bu i莽eri臒i g枚stermek i莽in <a href="http://www.adobe.com/products/flashplayer/">Adobe Flash Eklentisi</a> gerekmektedir.';
+$lang['mail_newpage'] = 'sayfa eklenme:';
+$lang['mail_changed'] = 'sayfa de臒i艧tirilme:';
+$lang['mail_new_user'] = 'yeni kullan谋c谋';
+$lang['mail_upload'] = 'dosya y眉klendi:';
+$lang['qb_bold'] = 'Kal谋n Yaz谋';
+$lang['qb_italic'] = 'E臒ik Yaz谋';
+$lang['qb_underl'] = 'Alt谋 脟izgili Yaz谋';
+$lang['qb_code'] = 'Kod Haline Getir';
+$lang['qb_strike'] = 'Ortas谋 脟izilmi艧 Yaz谋';
+$lang['qb_h1'] = '1. Seviye Ba艧l谋k';
+$lang['qb_h2'] = '2. Seviye Ba艧l谋k';
+$lang['qb_h3'] = '3. Seviye Ba艧l谋k';
+$lang['qb_h4'] = '4. Seviye Ba艧l谋k';
+$lang['qb_h5'] = '5. Seviye Ba艧l谋k';
+$lang['qb_link'] = '陌莽 Ba臒lant谋';
+$lang['qb_extlink'] = 'D谋艧 Ba臒lant谋';
+$lang['qb_hr'] = 'Yatay 脟izgi';
+$lang['qb_ol'] = 'S谋ral谋 liste';
+$lang['qb_ul'] = 'S谋ras谋z liste';
+$lang['qb_media'] = 'Resim ve ba艧ka dosyalar ekle';
+$lang['qb_sig'] = '陌mza Ekle';
+$lang['qb_smileys'] = 'G眉len Y眉zler';
+$lang['qb_chars'] = '脰zel Karakterler';
+$lang['js']['del_confirm'] = 'Bu giri艧i sil?';
+$lang['admin_register'] = 'Yeni kullan谋c谋 ekle...';
+$lang['metaedit'] = 'Metaverileri De臒i艧tir';
+$lang['metasaveerr'] = 'Metaveri yazma ba艧ar谋s谋z ';
+$lang['metasaveok'] = 'Metaveri kaydedildi';
+$lang['img_backto'] = '艦una d枚n:';
+$lang['img_title'] = 'Ba艧l谋k';
+$lang['img_caption'] = 'Serlevha';
+$lang['img_date'] = 'Tarih';
+$lang['img_fname'] = 'Dosya Ad谋';
+$lang['img_fsize'] = 'Boyut';
+$lang['img_artist'] = 'Foto臒raf莽谋';
+$lang['img_copyr'] = 'Telif Hakk谋';
+$lang['img_format'] = 'Bi莽im';
+$lang['img_camera'] = 'Foto臒raf Makinas谋';
+$lang['img_keywords'] = 'Anahtar S枚zc眉kler';
+$lang['subscribe_success'] = '%s, %s\'in abonelik listesine eklendi';
+$lang['subscribe_error'] = '%s; %s\'in abonelik listesine eklenirken hata olu艧tu';
+$lang['subscribe_noaddress'] = 'Giri艧 bilgilerinizle ili艧kili bir adres yok, abonelik listesine eklenemezsiniz';
+$lang['unsubscribe_success'] = '%s, %s\'in abonelik listesinden 莽谋kart谋ld谋';
+$lang['unsubscribe_error'] = '%s, %s\'in listesinden 莽谋kart谋l谋rken hata olu艧tu';
+$lang['authmodfailed'] = 'Yanl谋艧 kullan谋c谋 onaylama ayar谋. L眉tfen Wiki y枚neticisine bildiriniz.';
+$lang['authtempfail'] = 'Kullan谋c谋 do臒rulama ge莽ici olarak yap谋lam谋yor. E臒er bu durum devam ederse l眉tfen Wiki y枚neticine haber veriniz.';
+$lang['i_chooselang'] = 'Dili se莽iniz';
+$lang['i_installer'] = 'Dokuwiki Kurulum Sihirbaz谋';
+$lang['i_wikiname'] = 'Wiki Ad谋';
+$lang['i_enableacl'] = 'ACL\'yi etkinle艧tir (tavsiye edilir)';
+$lang['i_superuser'] = 'Ana Kullan谋c谋';
+$lang['i_problems'] = 'Kurulum sihirbaz谋 a艧a臒谋da g枚sterilen sorunlar谋 buldu. Bunlar谋 d眉zeltmeden devam etmeniz m眉mk眉n de臒il.';
+$lang['i_modified'] = 'G眉zenlik sebebiyle bu script sadece yeni ve de臒i艧tirilmemi艧 bir Dokuwiki kurulumunda 莽al谋艧谋r. Ya indirdi臒iniz paketi yeniden a莽mal谋 ya da <a href="http://dokuwiki.org/install"> adresindeki Dokuwiki kurulum k谋lavuzu</a>na bakmal谋s谋n谋z.';
+$lang['i_funcna'] = '<code>%s</code> PHP fonksiyonu bulunmamaktad谋r. Bar谋nd谋rma(Hosting) hizmetinde bu 枚zellik kapat谋lm谋艧 olabilir.';
+$lang['i_phpver'] = '<code>%s</code> PHP s眉r眉m眉, gereken <code>%s</code> s眉r眉m眉nden daha d眉艧眉k. PHP kurulumunu y眉kseltmeniz gerekmektedir.';
+$lang['i_permfail'] = '<code>%s</code> Dokuwiki taraf谋ndan yaz谋labilir de臒il. 陌zin ayarlar谋n谋 bu klas枚r i莽in d眉zeltmeniz gerekmektedir!';
+$lang['i_confexists'] = '<code>%s</code> zaten var';
+$lang['i_writeerr'] = '<code>%s</code> olu艧turulamad谋. Dosya/Klas枚r izin ayarlar谋n谋 g枚zden ge莽irip dosyay谋 elle olu艧turmal谋s谋n谋z.';
+$lang['i_badhash'] = 'dokuwiki.php tan谋namad谋 ya da de臒i艧tirilmi艧 (hash=<code>%s</code>)';
+$lang['i_badval'] = '<code>%s</code> - Yanl谋艧 veya bo艧 de臒er';
+$lang['i_success'] = 'Kurulum ba艧ar谋yla tamamland谋. 艦imdi install.php dosyas谋n谋 silebilirsiniz. <a href="doku.php">Yeni DokuWikiniz</a>i kullanabilirsiniz.';
+$lang['i_failure'] = 'Ayar dosyalar谋n谋 yazarken baz谋 hatalar olu艧tu. <a href="doku.php">Yeni DokuWikiniz</a>i kullanmadan 枚nce bu hatalar谋n谋z谋 elle d眉zeltmeniz gerekebilir.';
+$lang['i_policy'] = '陌lk ACL ayar谋';
+$lang['i_pol0'] = 'Tamamen A莽谋k Wiki (herkes okuyabilir, yazabilir ve dosya y眉kleyebilir)';
+$lang['i_pol1'] = 'A莽谋k Wiki (herkes okuyabilir, ancak sadece 眉ye olanlar yazabilir ve dosya y眉kleyebilir)';
+$lang['i_pol2'] = 'Kapal谋 Wiki (sadece 眉ye olanlar okuyabilir, yazabilir ve dosya y眉kleyebilir)';
+$lang['i_retry'] = 'Tekrar Dene';
+$lang['mu_intro'] = 'Burada birden fazla dosyay谋 bir seferde y眉kleyebilirsiniz. S谋raya eklemek i莽in G枚zat butonuna t谋klay谋n. Bitince y眉kleye t谋klay谋n';
+$lang['mu_gridname'] = 'Dosya Ad谋';
+$lang['mu_gridsize'] = 'Boyutu';
+$lang['mu_gridstat'] = 'Durumu';
+$lang['mu_namespace'] = 'Namespace';
+$lang['mu_browse'] = 'G枚zat';
+$lang['mu_toobig'] = '莽ok b眉y眉k';
+$lang['mu_ready'] = 'y眉klenmeye haz谋r';
+$lang['mu_done'] = 'tamamland谋';
+$lang['mu_fail'] = 'ba艧ar谋s谋z';
+$lang['mu_authfail'] = 'oturum zaman a艧谋m谋na u臒rad谋';
+$lang['mu_progress'] = '@PCT@% y眉klendi';
+$lang['mu_filetypes'] = '陌zin verilen Dosya T眉rleri';
+$lang['recent_global'] = '<b>%s</b> namespace\'i i莽erisinde yap谋lan de臒i艧iklikleri g枚r眉nt眉lemektesiniz. Wiki\'deki t眉m de臒i艧iklikleri de <a href="%s">bu adresten</a> g枚rebilirsiniz. ';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/locked.txt
new file mode 100644
index 000000000..14385426e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/locked.txt
@@ -0,0 +1,4 @@
+====== Sayfa kilitli ======
+
+Bu sayfa 艧u anda ba艧ka bir kullan谋c谋n谋n de臒i艧tirmesi i莽in kilitli. Kilitin s眉resi ge莽ene veya bu kullan谋c谋 de臒i艧tirmeyi bitirene kadar beklemelisiniz.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/login.txt
new file mode 100644
index 000000000..2ce378d00
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/login.txt
@@ -0,0 +1,4 @@
+====== Giri艧 ======
+
+艦u an giri艧 yapm谋艧 de臒ilsiniz! Giri艧 yapmak i莽in giri艧 bilgilerinizi a艧a臒谋ya yaz谋n. Giri艧 yapmak i莽in 莽erezleri a莽mal谋s谋n谋z.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/mailtext.txt
new file mode 100644
index 000000000..7e8fc8d81
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/mailtext.txt
@@ -0,0 +1,16 @@
+DokuWikinizde bir sayfa eklendi veya de臒i艧ti. Detaylar 艧unlar:
+
+Tarih : @DATE@
+Taray谋c谋 : @BROWSER@
+IP-Adresi : @IPADDRESS@
+Sunucu ad谋 : @HOSTNAME@
+Eski S眉r眉m : @OLDPAGE@
+Yeni S眉r眉m : @NEWPAGE@
+De臒i艧tirme 脰zeti : @SUMMARY@
+Kullan谋c谋 : @USER@
+
+@DIFF@
+
+
+--
+Bu e-posta @DOKUWIKIURL@ adresindeki DokuWiki taraf谋ndan haz谋rland谋.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/newpage.txt
new file mode 100644
index 000000000..8a47e6bf3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/newpage.txt
@@ -0,0 +1,4 @@
+====== Bu ba艧l谋k hen眉z mevcut de臒il ======
+
+Hen眉z mevcut olmayan bir ba艧l谋臒谋n linkiyle geldiniz. ''bu sayfay谋 olu艧tur'' tu艧una t谋klayarak sayfay谋 olu艧turabilirsiniz.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/norev.txt
new file mode 100644
index 000000000..e6f97bef2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/norev.txt
@@ -0,0 +1,4 @@
+====== B枚yle bir s眉r眉m yok ======
+
+Belirtilen s眉r眉m mevcut de臒il. Bu d枚k眉man谋n eski s眉r眉mlerinin bir listesine ula艧mak i莽in ''Eski s眉r眉mler'' tu艧unu kullan谋n.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/preview.txt
new file mode 100644
index 000000000..71a8a42f6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/preview.txt
@@ -0,0 +1,4 @@
+====== 脰nizleme ======
+
+Bu yaz谋n谋z谋n nas谋l 莽谋kaca臒谋n谋n bir 枚nizlemesi. Unutma: Yaz谋 hen眉z **kaydedilmedi!**
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/pwconfirm.txt
new file mode 100644
index 000000000..22348bb75
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/pwconfirm.txt
@@ -0,0 +1,13 @@
+Merhaba @FULLNAME@!
+
+@DOKUWIKIURL@ adresinde kullan谋lan @TITLE@ hesab谋 i莽in parola talebinde bulunuldu.
+
+E臒er b枚yle bir talebiniz olmad谋ysa, bu e-postay谋 g枚rmezden gelebilirsiniz.
+
+Onaylamak istiyorsan谋z a艧a臒谋daki linke t谋klay谋n谋z.
+
+@CONFIRM@
+
+--
+Bu e-posta a艧a臒谋daki DokuWiki taraf谋ndan otomatik olarak olu艧turulmu艧tur.
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/read.txt
new file mode 100644
index 000000000..59314f152
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/read.txt
@@ -0,0 +1,2 @@
+Bu sayfa salt okunur. Kayna臒谋 g枚rebilirsiniz ama de臒i艧tiremezsiniz. Bunun yanl谋艧 oldu臒unu d眉艧眉n眉yorsan谋z y枚neticiye dan谋艧谋n.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/recent.txt
new file mode 100644
index 000000000..99efc8fb1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/recent.txt
@@ -0,0 +1,5 @@
+====== Son de臒i艧iklikler ======
+
+A艧a臒谋daki sayfalar yak谋n zamanda de臒i艧tirildi.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/register.txt
new file mode 100644
index 000000000..b67e4b5c5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/register.txt
@@ -0,0 +1,4 @@
+====== Yeni kullan谋c谋 olarak kaydolun ======
+
+Bu wikide yeni bir hesap a莽mak i莽in a艧a臒谋daki t眉m bilgileri doldurunuz. **Do臒ru e-posta adresi verdi臒inizden** emin olun, yeni parolan谋z e-postan谋za g枚nderilecek. Giri艧 ad谋n谋z ge莽erli bir [[doku>pagename|sayfa ad谋]] olmal谋d谋r.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/registermail.txt
new file mode 100644
index 000000000..7754a5671
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/registermail.txt
@@ -0,0 +1,13 @@
+Yeni bir kullan谋c谋 kay谋t oldu. Ayr谋nt谋lar谋 a艧a臒谋da listelenmi艧tir:
+
+Kullan谋c谋 ad谋 : @NEWUSER@
+陌sim : @NEWNAME@
+E-posta : @NEWEMAIL@
+
+Tarih : @DATE@
+Taray谋c谋 : @BROWSER@
+IP Numaras谋 : @IPADDRESS@
+Host : @HOSTNAME@
+
+--
+Bu e-posta @DOKUWIKIURL@ adresindeki DokuWiki taraf谋ndan haz谋rland谋. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/resendpwd.txt
new file mode 100644
index 000000000..1a34396ea
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/resendpwd.txt
@@ -0,0 +1,3 @@
+====== Yeni Parola G枚nderimi ======
+
+L眉tfen bu wikide kullanm谋艧 oldu臒unuz kullan谋c谋 ad谋n谋 a艧a臒谋daki forma yaz谋n谋z. Onay linki, kay谋tl谋 e-posta adresinize g枚nderilecektir.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/revisions.txt
new file mode 100644
index 000000000..841fba2b9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/revisions.txt
@@ -0,0 +1,4 @@
+====== Eski s眉r眉mler ======
+
+Bunlar mevcut d枚k眉man谋n daha eski s眉r眉mleridir. Eski bir s眉r眉me 莽evirmek i莽in, s眉r眉m眉 a艧a臒谋dan se莽in, ''Sayfay谋 de臒i艧tir''e t谋klay谋n ve kaydedin.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/searchpage.txt
new file mode 100644
index 000000000..ae6d50c77
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/searchpage.txt
@@ -0,0 +1,5 @@
+====== Arama ======
+
+A艧a臒谋da araman谋n sonu莽lar谋 listelenmi艧tir. Arad谋臒谋n谋z 艧eyi bulamad谋ysan谋z, ''Sayfay谋 de臒i艧tir'' tu艧una t谋klayarak girdi臒iniz sorgu ad谋yla yeni bir sayfa olu艧turabilirsiniz .
+
+===== Sonu莽lar =====
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/showrev.txt
new file mode 100644
index 000000000..4cf3d2638
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/showrev.txt
@@ -0,0 +1,2 @@
+**Bu, d枚k眉man谋n eski bir s眉r眉m眉d眉r!**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/stopwords.txt
new file mode 100644
index 000000000..293067a1c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/stopwords.txt
@@ -0,0 +1,29 @@
+# Bu indeksleyicinin yok sayd谋臒谋 kelimelerin bir listesidir, sat谋r ba艧谋na bir kelime yaz谋l谋r
+# Bu dosyay谋 de臒i艧tirirken UNIX sat谋r sonlar谋 (tek sat谋r sonu) kulland谋臒谋n谋z emin olun
+# 3 karakterden k谋sa kelimeleri eklenmesine gerek yoktur, bunlar zaten indekslenmez
+# Bu liste http://www.ranks.nl/stopwords/ alt谋ndakilerden derlenmi艧tir
+about
+are
+and
+you
+your
+them
+their
+com
+for
+from
+into
+how
+that
+the
+this
+was
+what
+when
+where
+who
+will
+with
+und
+the
+www
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/subscribermail.txt
new file mode 100644
index 000000000..a37a8cf41
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/subscribermail.txt
@@ -0,0 +1,18 @@
+Merhaba!
+
+
+
+@TITLE@ wikisindeki @PAGE@ sayfas谋 de臒i艧tirildi.
+De臒i艧iklikler a艧a臒谋dad谋r:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+Bu sayfaya abonelikten 莽谋kmak i莽in
+@DOKUWIKIURL@ adresindeki wikiye gir, ard谋ndan
+@NEWPAGE@
+sayfas谋na git ve 'Sayfa De臒i艧ikliklerini Bildirme' butonuna t谋kla.
+
+--
+Bu e-posta @DOKUWIKIURL@ adresindeki DokuWiki taraf谋ndan haz谋rland谋.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/updateprofile.txt
new file mode 100644
index 000000000..20b07f949
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/updateprofile.txt
@@ -0,0 +1,3 @@
+====== Kullan谋c谋 Bilgilerini G眉ncelleme ======
+
+陌stedi臒iniz kullan谋c谋 bilgilerini de臒i艧tirebilirsiniz. Ancak kullan谋c谋 ad谋n谋z谋 de臒i艧tirmeniz m眉mk眉n de臒ildir.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/uploadmail.txt
new file mode 100644
index 000000000..2d75345ba
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/uploadmail.txt
@@ -0,0 +1,13 @@
+Yeni dosya y眉klendi. Ayr谋nt谋lar谋 a艧a臒谋da listelenmi艧tir:
+
+Dosya : @MEDIA@
+Tarih : @DATE@
+Taray谋c谋 : @BROWSER@
+IP Adresi : @IPADDRESS@
+Host : @HOSTNAME@
+Boyut : @SIZE@
+MIME Type : @MIME@
+Kullan谋c谋 : @USER@
+
+--
+Bu e-posta @DOKUWIKIURL@ adresindeki DokuWiki taraf谋ndan haz谋rland谋. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/wordblock.txt
new file mode 100644
index 000000000..506cbc793
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/tr/wordblock.txt
@@ -0,0 +1,4 @@
+====== SPAM bloke edildi ======
+
+De臒i艧iklikleriniz **kaydedilmedi**, 莽眉nk眉 de臒i艧ikliklerinizde bir veya daha fazla engellenen kelime var. E臒er Wikiyi spamlamaya 莽al谋艧谋yorsan -- Oh olsun! E臒er bunun bir hata oldu臒unu d眉艧眉n眉yorsan谋z, bu Wiki y枚neticisiyle ileti艧ime ge莽in.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/admin.txt
new file mode 100644
index 000000000..f698d937e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/admin.txt
@@ -0,0 +1,4 @@
+====== 袗写屑褨薪褨褋褌褉褍胁邪薪薪褟 ======
+
+袧懈卸褔械 胁懈 屑芯卸械褌械 蟹薪邪泄褌懈 锌械褉械谢褨泻 邪写屑褨薪褨褋褌褉邪褌懈胁薪懈褏 蟹邪写邪褔, 褖芯 薪邪褟胁薪褨 胁 袛芯泻褍袙褨泻褨.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/adminplugins.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/adminplugins.txt
new file mode 100644
index 000000000..3689ccd00
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/adminplugins.txt
@@ -0,0 +1 @@
+===== 袛芯写邪褌泻芯胁褨 锌谢邪谐褨薪懈 ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/backlinks.txt
new file mode 100644
index 000000000..5f293e56f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/backlinks.txt
@@ -0,0 +1,3 @@
+====== 袟胁芯褉芯褌薪褨 蟹胁'褟蟹泻懈 ======
+
+笑械 锌械褉械谢褨泻 褋褌芯褉褨薪芯泻, 褟泻褨, 蟹写邪褦褌褜褋褟, 锌芯褋懈谢邪褞褌褜褋褟 薪邪 锌芯褌芯褔薪褍 褋褌芯褉褨薪泻褍.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/conflict.txt
new file mode 100644
index 000000000..5a8930721
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/conflict.txt
@@ -0,0 +1,8 @@
+====== 袉褋薪褍褦 斜褨谢褜褕 薪芯胁邪 胁械褉褋褨褟 ======
+
+袉褋薪褍褦 薪芯胁褨褕邪 胁械褉褋褨褟 写芯泻褍屑械薪褌褍, 褖芯 胁懈 褉械写邪谐褍胁邪谢懈. 笑械 屑芯卸械 褋褌邪褌懈褋褟, 泻芯谢懈 褨薪褕懈泄 泻芯褉懈褋褌褍胁邪褔 蟹屑褨薪懈胁 写芯泻褍屑械薪褌 锌褨写 褔邪褋 胁邪褕芯谐芯 褉械写邪谐褍胁邪薪薪褟.
+
+校胁邪卸薪芯 锌械褉械谐谢褟薪褜褌械 褉芯蟹斜褨卸薪芯褋褌褨 褌邪 胁懈褉褨褕褨褌褜, 褟泻褍 胁械褉褋褨褞 蟹邪谢懈褕懈褌懈. 携泻褖芯 胁懈 薪邪褌懈褋薪械褌械
+''蟹斜械褉械谐褌懈'', 斜褍写械 蟹斜械褉械卸械薪邪 胁邪褕邪 胁械褉褋褨褟. 携泻褖芯 薪邪褌懈褋薪械褌械 ''褋泻邪褋褍胁邪褌懈'' --- 褌芯 蟹邪谢懈褕懈褌褜褋褟
+锌芯褌芯褔薪邪 胁械褉褋褨褟.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/denied.txt
new file mode 100644
index 000000000..5db12e1bc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/denied.txt
@@ -0,0 +1,4 @@
+====== 袛芯褋褌褍锌 蟹邪斜芯褉芯薪械薪芯 ======
+
+袙懈斜邪褔褌械, 邪谢械 褍 胁邪褋 薪械 胁懈褋褌邪褔邪褦 锌褉邪胁 写谢褟 锌褉芯写芯胁卸械薪薪褟. 袦芯卸谢懈胁芯 胁懈 蟹邪斜褍谢懈 褍胁褨泄褌懈 胁 褋懈褋褌械屑褍?
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/diff.txt
new file mode 100644
index 000000000..cfdf9a887
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/diff.txt
@@ -0,0 +1,4 @@
+====== 袪芯蟹斜褨卸薪芯褋褌褨 ======
+
+孝褍褌 锌芯泻邪蟹邪薪褨 褉芯蟹斜褨卸薪芯褋褌褨 屑褨卸 胁懈斜褉邪薪芯褞 褉械胁褨蟹褨褦褞 褌邪 锌芯褌芯褔薪芯褞 胁械褉褋褨褦褞 褋褌芯褉褨薪泻懈.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/draft.txt
new file mode 100644
index 000000000..f6acca8ed
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/draft.txt
@@ -0,0 +1,6 @@
+====== 袟薪邪泄写械薪芯 褔械褉薪械褌泻褍 ======
+
+袨褋褌邪薪薪褦 褉械写邪谐褍胁邪薪薪褟 褑褨褦褩 褋褌芯褉褨薪泻懈 薪械 斜褍谢芯 蟹邪胁械褉褕械薪芯 泻芯褉械泻褌薪芯. 袛芯泻褍袙褨泻褨 邪胁褌芯屑邪褌懈褔薪芯 蟹斜械褉械谐谢邪 褔械褉薪械褌泻褍 锌褨写 褔邪褋 胁邪褕芯褩 褉芯斜芯褌懈. 袙懈 屑芯卸械褌械 胁懈泻芯褉懈褋褌邪褌懈 褔械褉薪械褌泻褍 写谢褟 锌褉芯写芯胁卸械薪薪褟 褉械写邪谐褍胁邪薪薪褟. 袧懈卸褔械 胁懈 屑芯卸械褌械 锌芯斜邪褔懈褌懈 写邪薪褨, 蟹斜械褉械卸械薪褨 蟹 锌芯锌械褉械写薪褜芯谐芯 褋械邪薪褋褍.
+
+袘褍写褜 谢邪褋泻邪 胁懈褉褨褕懈褌褜, 褔懈 胁懈 斜邪卸邪褦褌械 //胁褨写薪芯胁懈褌懈// 芯褋褌邪薪薪褨泄 褋械邪薪褋 褉械写邪谐褍胁邪薪薪褟, //蟹薪懈褖懈褌懈// 蟹斜械褉械卸械薪褍 褔械褉薪械褌泻褍 邪斜芯 //褋泻邪褋褍胁邪褌懈// 褉械写邪谐褍胁邪薪薪褟.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/edit.txt
new file mode 100644
index 000000000..82dbc1a50
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/edit.txt
@@ -0,0 +1 @@
+袙褨写褉械写邪谐褍泄褌械 褋褌芯褉褨薪泻褍 褌邪 薪邪褌懈褋薪褨褌褜 ''袟斜械褉械谐褌懈''. 袙懈泻芯褉懈褋褌芯胁褍泄褌械 [[wiki:syntax|锌芯褋褨斜薪懈泻]] 蟹 褋懈薪褌邪泻褋懈褋褍 写谢褟 写芯胁褨写泻懈. 袘褍写褜 谢邪褋泻邪, 蟹屑褨薪褞泄褌械 褋褌芯褉褨薪泻褍 谢懈褕械 褍 褌芯屑褍 胁懈锌邪写泻褍, 泻芯谢懈 胁懈 屑芯卸械褌械 **锌芯泻褉邪褖懈褌懈** 褩褩. 携泻褖芯 胁懈 斜邪卸邪褦褌械 褖芯褋褜 褋锌褉芯斜褍胁邪褌懈, 胁懈泻芯褉懈褋褌芯胁褍泄褌械 褋锌械褑褨邪谢褜薪褍 褋褌芯褉褨薪泻褍 [[playground:playground]]
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/editrev.txt
new file mode 100644
index 000000000..aae86fa50
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/editrev.txt
@@ -0,0 +1,2 @@
+**袙懈 蟹邪胁邪薪褌邪卸懈谢懈 褋褌邪褉褍 胁械褉褋褨褞 写芯泻褍屑械薪褌褍!** 携泻褖芯 胁懈 蟹斜械褉械卸械褌械 褩褩, 胁懈 褋褌胁芯褉懈褌械 薪芯胁褍 胁械褉褋褨褞 蟹 褑褨屑懈 写邪薪懈屑懈.
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/index.txt
new file mode 100644
index 000000000..0ba0d185b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/index.txt
@@ -0,0 +1,4 @@
+====== 袟屑褨褋褌 ======
+
+笑械 锌械褉械谢褨泻 褍褋褨褏 写芯褋褌褍锌薪懈褏 褋褌芯褉褨薪芯泻, 褍锌芯褉褟写卸械薪懈褏 蟹邪 [[doku>namespaces|锌褉芯褋褌芯褉邪屑懈 褨屑械薪]]
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/install.html
new file mode 100644
index 000000000..084da8662
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/install.html
@@ -0,0 +1,21 @@
+<p>笑褟 褋褌芯褉褨薪泻邪 写芯锌芯屑邪谐邪褦 锌褉懈 锌械褉褕褨泄 褍褋褌邪薪芯胁褑褨 褌邪 薪邪褋褌褉芯泄褑褨 <a href="http://dokuwiki.org">袛芯泻褍袙褨泻褨</a>.
+袘褨谢褜褕械 褨薪褎芯褉屑邪褑褨褩 锌褉芯 锌褉芯谐褉邪屑褍 褍褋褌邪薪芯胁泻懈 屑芯卸薪邪 蟹薪邪泄褌懈 薪邪 <a href="http://dokuwiki.org/installer">褋褌芯褉褨薪褑褨 写芯泻褍屑械薪褌邪褑褨褩</a>.</p>
+
+<p>袛芯泻褍袙褨泻褨 胁懈泻芯褉懈褋褌芯胁褍褞 蟹胁懈褔邪泄薪褨 褎邪泄谢懈 写谢褟 蟹斜械褉褨谐邪薪薪褟 褋褌芯褉褨薪芯泻 胁褨泻褨 褌邪 褨薪褕芯褩 褨薪褎芯褉屑邪褑褨褩,
+褖芯写芯 褑懈褏 褋褌芯褉褨薪芯泻 (薪邪锌褉懈泻谢邪写, 蟹芯斜褉邪卸械薪褜, 褨薪写械泻褋褨胁 锌芯褕褍泻褍, 褋褌邪褉懈褏 褉械胁褨蟹褨泄 褌邪 褨薪.). 袛谢褟
+褍褋锌褨褕薪芯谐芯 褎褍薪泻褑褨芯薪褍胁邪薪薪褟 袛芯泻褍袙褨泻褨 <strong>屑邪褦</strong> 屑邪褌懈 锌褉邪胁邪 薪邪 蟹邪锌懈褋 写谢褟 锌邪锌芯泻, 褖芯
+屑褨褋褌褟褌褜 褑褨 褎邪泄谢懈. 笑褟 锌褉芯谐褉邪屑邪 褍褋褌邪薪芯胁泻懈 薪械 屑芯卸械 蟹屑褨薪褞胁邪褌懈 锌褉邪胁邪 写芯褋褌褍锌褍. 袟胁懈褔邪泄薪芯 褑械
+褉芯斜懈褌褜褋褟 蟹邪 写芯锌芯屑芯谐芯褞 褨薪褌械褉锌褉械褌邪褌芯褉邪 shell, 邪斜芯, 褟泻褖芯 胁懈 胁懈泻芯褉懈褋褌芯胁褍褦褌械 褏芯褋褌懈薪谐,
+蟹邪 写芯锌芯屑芯谐芯褞 FTP 邪斜芯 锌邪薪械谢褨 褍锌褉邪胁谢褨薪薪褟 褏芯褋褌懈薪谐芯屑 (薪邪锌褉懈泻谢邪写 cPanel).</p>
+
+<p>笑褟 锌褉芯谐褉邪屑邪 褍褋褌邪薪芯胁泻懈 薪邪谢邪褕褌褍褦 胁邪褕褍 袛芯泻褍袙褨泻褨 写谢褟 胁懈泻芯褉懈褋褌邪薪薪褟
+<acronym title="褋锌懈褋芯泻 泻芯薪褌褉芯谢褞 写芯褋褌褍锌褍">ACL</acronym>, 褖芯, 胁 褋胁芯褞 褔械褉谐褍,
+写芯蟹胁芯谢懈褌褜 邪写屑褨薪褨褋褌褉邪褌芯褉褍 胁褏芯写懈褌懈 写芯 邪写屑褨薪褨褋褌褉邪褌懈胁薪芯谐芯 屑械薪褞 写谢褟 褍褋褌邪薪芯胁泻懈 写芯写邪薪泻褨胁,
+泻械褉褍胁邪薪薪褟 泻芯褉懈褋褌褍胁邪褔邪屑懈, 泻械褉褍胁邪薪薪褟 锌褉邪胁邪屑懈 写芯褋褌褍锌褍 写芯 褋褌芯褉褨薪芯泻 袙褨泻褨 褌邪 蟹屑褨薪 锌邪褉邪屑械褌褉褨胁
+泻芯薪褎褨谐褍褉邪褑褨褩. 笑械 薪械 褦 芯斜芯胁'褟蟹泻芯胁懈屑 写谢褟 褉芯斜芯褌懈 袛芯泻褍袙褨泻褨, 邪谢械 蟹褉芯斜懈褌褜 卸懈褌褌褟 邪写屑褨薪褨褋褌褉邪褌芯褉邪
+蟹薪邪褔薪芯 谢械谐褕懈屑.</p>
+
+<p>袛芯褋胁褨写褔械薪褨 泻芯褉懈褋褌褍胁邪褔褨, 邪斜芯 泻芯褉懈褋褌褍胁邪褔褨, 褖芯 屑邪褞褌褜 芯褋芯斜谢懈胁褨 胁懈屑芯谐懈 写芯 薪邪谢邪谐芯写卸械薪薪褟, 屑邪褞褌褜
+胁懈泻芯褉懈褋褌芯胁褍胁邪褌懈 褑褨 锌芯褋懈谢邪薪薪褟 写谢褟 写械褌邪谢褜薪芯褩 褨薪褎芯褉屑邪褑褨褩, 褖芯写芯
+<a href="http://dokuwiki.org/install">褨薪褋褌褉褍泻褑褨泄 蟹 褍褋褌邪薪芯胁泻懈</a>
+褌邪 <a href="http://dokuwiki.org/config">锌邪褉邪屑械褌褉褨胁 泻芯薪褎褨谐褍褉邪褑褨褩</a>.</p>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/lang.php
new file mode 100644
index 000000000..5f94d9b47
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/lang.php
@@ -0,0 +1,244 @@
+<?php
+/**
+ * ukrainian language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Oleksiy Voronin <ovoronin@gmail.com>
+ * @author serg_stetsuk@ukr.net
+ * @author okunia@gmail.com
+ * @author Oleksandr Kunytsia <okunia@gmail.com>
+ * @author Uko uko@uar.net
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '鈥';
+$lang['btn_edit'] = '袪械写邪谐褍胁邪褌懈';
+$lang['btn_source'] = '袩芯泻邪蟹邪褌懈 胁懈褏褨写薪懈泄 褌械泻褋褌';
+$lang['btn_show'] = '袩芯泻邪蟹邪褌懈 褋褌芯褉褨薪泻褍';
+$lang['btn_create'] = '小褌胁芯褉懈褌懈 褋褌芯褉褨薪泻褍';
+$lang['btn_search'] = '袩芯褕褍泻';
+$lang['btn_save'] = '袟斜械褉械谐褌懈';
+$lang['btn_preview'] = '袩械褉械谐谢褟写';
+$lang['btn_top'] = '袩芯胁械褉薪褍褌懈褋褟 薪邪胁械褉褏';
+$lang['btn_newer'] = '<< 斜褨谢褜褕 薪芯胁褨';
+$lang['btn_older'] = '斜褨谢褜褕 褋褌邪褉褨 >>';
+$lang['btn_revs'] = '小褌邪褉褨 褉械胁褨蟹褨褩';
+$lang['btn_recent'] = '袧械写邪胁薪褨 蟹屑褨薪懈';
+$lang['btn_upload'] = '袟邪胁邪薪褌邪卸懈褌懈';
+$lang['btn_cancel'] = '小泻邪褋褍胁邪褌懈';
+$lang['btn_index'] = '袟屑褨褋褌';
+$lang['btn_secedit'] = '袪械写邪谐褍胁邪褌懈';
+$lang['btn_login'] = '校胁褨泄褌懈';
+$lang['btn_logout'] = '袙懈泄褌懈';
+$lang['btn_admin'] = '袣械褉褍胁邪薪薪褟';
+$lang['btn_update'] = '袨薪芯胁懈褌懈';
+$lang['btn_delete'] = '袟薪懈褖懈褌懈';
+$lang['btn_back'] = '袧邪蟹邪写';
+$lang['btn_backlink'] = '袩芯褋懈谢邪薪薪褟 褋褞写懈';
+$lang['btn_backtomedia'] = '袧邪蟹邪写 写芯 胁懈斜芯褉褍 屑械写褨邪-褎邪泄谢褍';
+$lang['btn_subscribe'] = '袩褨写锌懈褋邪褌懈褋褟';
+$lang['btn_unsubscribe'] = '袙褨写锌懈褋邪褌懈褋褟';
+$lang['btn_subscribens'] = '袩褨写锌懈褋邪褌懈褋褟 薪邪 蟹屑褨薪懈 胁 锌褉芯褋褌芯褉褨 褨屑械薪';
+$lang['btn_unsubscribens'] = '袙褨写锌懈褋邪褌懈褋褟 胁褨写 蟹屑褨薪 锌褉芯褋褌芯褉褍 褨屑械薪';
+$lang['btn_profile'] = '袨薪芯胁懈褌懈 锌褉芯褎褨谢褜';
+$lang['btn_reset'] = '袨褔懈褋褌懈';
+$lang['btn_resendpwd'] = '袧邪写褨褋谢邪褌懈 薪芯胁懈泄 锌邪褉芯谢褜';
+$lang['btn_draft'] = '袪械写邪谐褍胁邪褌懈 褔械褉薪械褌泻褍';
+$lang['btn_recover'] = '袙褨写薪芯胁懈褌懈 褔械褉薪械褌泻褍';
+$lang['btn_draftdel'] = '袟薪懈褖懈褌懈 褔械褉薪械褌泻褍';
+$lang['btn_revert'] = '袙褨写薪芯胁懈褌懈';
+$lang['loggedinas'] = '袙懈';
+$lang['user'] = '袣芯褉懈褋褌褍胁邪褔';
+$lang['pass'] = '袩邪褉芯谢褜';
+$lang['newpass'] = '袧芯胁懈泄 锌邪褉芯谢褜';
+$lang['oldpass'] = '袩芯褌芯褔薪懈泄 锌邪褉芯谢褜';
+$lang['passchk'] = '褖械 褉邪蟹';
+$lang['remember'] = '袟邪锌邪屑\'褟褌邪褌懈 屑械薪械';
+$lang['fullname'] = '袩芯胁薪械 褨屑\'褟';
+$lang['email'] = 'E-Mail';
+$lang['register'] = '袪械褦褋褌褉邪褑褨褟';
+$lang['profile'] = '袩褉芯褎褨谢褜 泻芯褉懈褋褌褍胁邪褔邪';
+$lang['badlogin'] = '袙懈斜邪褔褌械, 薪械胁褨褉薪械 褨屑\'褟 褔懈 锌邪褉芯谢褜.';
+$lang['minoredit'] = '袧械蟹薪邪褔薪褨 蟹屑褨薪懈';
+$lang['draftdate'] = '效械褉薪械褌泻邪 蟹斜械褉械卸械薪邪';
+$lang['nosecedit'] = '小褌芯褉褨薪泻褍 蟹屑褨薪械薪芯, 写邪薪褨 褉芯蟹写褨谢褍 蟹邪褋褌邪褉褨谢褨. 袟邪胁邪薪褌邪卸械薪芯 褋褌芯褉褨薪泻褍 锌芯胁薪褨褋褌褞.';
+$lang['regmissing'] = '袧械芯斜褏褨写薪芯 蟹邪锌芯胁薪懈褌懈 胁褋褨 锌芯谢褟.';
+$lang['reguexists'] = '袣芯褉懈褋褌褍胁邪褔 蟹 褌邪泻懈屑 褨屑械薪械屑 胁卸械 褨褋薪褍褦.';
+$lang['regsuccess'] = '袣芯褉懈褋褌褍胁邪褔邪 褋褌胁芯褉械薪芯. 袩邪褉芯谢褜 胁褨写锌褉邪胁谢械薪芯 薪邪 e-mail.';
+$lang['regsuccess2'] = '袣芯褉懈褋褌褍胁邪褔邪 褋褌胁芯褉械薪芯.';
+$lang['regmailfail'] = '袩褉懈 胁褨写锌褉邪胁谢械薪薪褨 锌邪褉芯谢褟 褋褌邪谢邪褋褜 锌芯屑懈谢泻邪. 袟胁鈥櫻徯堆栄傃屟佈 蟹 邪写屑褨薪褨褋褌褉邪褌芯褉芯屑!';
+$lang['regbadmail'] = '小褏芯卸械, 褖芯 邪写褉械褋邪 e-mail 薪械胁褨褉薪邪 - 褟泻褖芯 胁懈 胁胁邪卸邪褦褌械, 褖芯 褑械 锌芯屑懈谢泻邪, 蟹胁鈥櫻徯堆栄傃屟佈 蟹 邪写屑褨薪褨褋褌褉邪褌芯褉芯屑';
+$lang['regbadpass'] = '袧邪写邪薪褨 锌邪褉芯谢褨 薪械 褋锌褨胁锌邪写邪褞褌褜, 褋锌褉芯斜褍泄褌械 褖械 褉邪蟹.';
+$lang['regpwmail'] = '袩邪褉芯谢褜 袛芯泻褍袙褨泻褨';
+$lang['reghere'] = '些械 薪械 屑邪褦褌械 芯斜谢褨泻芯胁芯谐芯 蟹邪锌懈褋褍? 袨褌褉懈屑邪泄褌械 泄芯谐芯 薪械谐邪泄薪芯';
+$lang['profna'] = '笑褟 袙褨泻褨 薪械 锌褨写褌褉懈屑褍褦 蟹屑褨薪懈 锌褉芯褎褨谢褞';
+$lang['profnochange'] = '袧械屑邪褦 蟹屑褨薪, 薪械屑邪褦 褖芯 褉芯斜懈褌懈.';
+$lang['profnoempty'] = '袉屑鈥櫻 邪斜芯 e-mail 薪械 屑芯卸褍褌褜 斜褍褌懈 锌褍褋褌懈屑懈.';
+$lang['profchanged'] = '袩褉芯褎褨谢褜 褍褋锌褨褕薪芯 蟹屑褨薪械薪芯.';
+$lang['pwdforget'] = '袟邪斜褍谢懈 锌邪褉芯谢褜? 袨褌褉懈屑邪泄褌械 薪芯胁懈泄';
+$lang['resendna'] = '笑褟 袙褨泻褨 薪械 锌褨写褌褉懈屑褍褦 锌芯胁褌芯褉薪械 胁褨写锌褉邪胁谢械薪薪褟 锌邪褉芯谢褟.';
+$lang['resendpwd'] = '袧邪写褨褋谢邪褌懈 锌邪褉芯谢褜 写谢褟';
+$lang['resendpwdmissing'] = '袧械芯斜褏褨写薪芯 蟹邪锌芯胁薪懈褌懈 褍褋褨 锌芯谢褟.';
+$lang['resendpwdnouser'] = '孝邪泻懈泄 泻芯褉懈褋褌褍胁邪褔 薪械 褨褋薪褍褦.';
+$lang['resendpwdbadauth'] = '袣芯写 邪胁褌械薪褌懈褎褨泻邪褑褨褩 薪械胁褨褉薪懈泄. 袩械褉械胁褨褉褌械, 褔懈 胁懈 胁懈泻芯褉懈褋褌邪谢懈 锌芯胁薪械 锌芯褋懈谢邪薪薪褟 写谢褟 锌褨写褌胁械褉写卸械薪薪褟.';
+$lang['resendpwdconfirm'] = '袩芯褋懈谢邪薪薪褟 写谢褟 锌褨写褌胁械褉写卸械薪薪褟 胁褨写褨褋谢邪薪芯 薪邪 e-mail.';
+$lang['resendpwdsuccess'] = '袧芯胁懈泄 锌邪褉芯谢褜 胁褨写褨褋谢邪薪芯 薪邪 e-mail.';
+$lang['license'] = '携泻褖芯 薪械 胁泻邪蟹邪薪芯 褨薪褕械, 胁屑褨褋褌 褑褨褦褩 袙褨泻褨 锌褨写锌邪写邪褦 锌褨写 写褨褞 褌邪泻芯褩 谢褨褑械薪蟹褨褩:';
+$lang['licenseok'] = '袩褉懈屑褨褌泻邪. 袪械写邪谐褍褞褔懈 褑褨 褋褌芯褉褨薪泻褍, 胁懈 锌芯谐芯写卸褍褦褌械褋褜 薪邪 褉芯蟹锌芯胁褋褞写卸械薪薪褟 褨薪褎芯褉屑邪褑褨褩 蟹邪 褌邪泻芯褞 谢褨褑械薪蟹褨褦褞:';
+$lang['searchmedia'] = '袩芯褕褍泻 褎邪泄谢褍:';
+$lang['searchmedia_in'] = '楔褍泻邪褌懈 褍 %s';
+$lang['txt_upload'] = '袙懈斜械褉褨褌褜 褎邪泄谢 写谢褟 蟹邪胁邪薪褌邪卸械薪薪褟';
+$lang['txt_filename'] = '袟邪胁邪薪褌邪卸懈褌懈 褟泻 (薪械 芯斜芯胁\'褟蟹泻芯胁械)';
+$lang['txt_overwrt'] = '袩械褉械蟹邪锌懈褋邪褌懈 褨褋薪褍褞褔懈泄 褎邪泄谢';
+$lang['lockedby'] = '袟邪斜谢芯泻芯胁邪薪芯';
+$lang['lockexpire'] = '袘谢芯泻褍胁邪薪薪褟 蟹邪胁械褉褕褍褦褌褜褋褟 胁';
+$lang['willexpire'] = '袘谢芯泻褍胁邪薪薪褟 褉械写邪谐褍胁邪薪薪褟 褑褨褦褩 褋褌芯褉褨薪泻懈 蟹邪泻褨薪褔褍褦褌褜褋褟 褔械褉械蟹 褏胁懈谢懈薪褍.\n 些芯斜 褍薪懈泻薪褍褌懈 泻芯薪褎谢褨泻褌褨胁 胁懈泻芯褉懈褋褌芯胁褍泄褌械 泻薪芯锌泻褍 锌械褉械谐谢褟写褍 写谢褟 锌褉芯写芯胁卸械薪薪褟 斜谢芯泻褍胁邪薪薪褟.';
+$lang['notsavedyet'] = '袧械蟹斜械褉械卸械薪褨 蟹屑褨薪懈 斜褍写褍褌褜 胁褌褉邪褔械薪褨.\n 袛褨泄褋薪芯 锌褉芯写芯胁卸懈褌懈?';
+$lang['rssfailed'] = '袙懈薪懈泻谢邪 锌芯屑懈谢泻邪 锌褨写 褔邪褋 芯褌褉懈屑邪薪薪褟 RSS-褋褌褉褨褔泻懈: ';
+$lang['nothingfound'] = '袧褨褔芯谐芯 薪械 蟹薪邪泄写械薪芯.';
+$lang['mediaselect'] = '袙懈斜褨褉 屑械写褨邪-褎邪泄谢褍';
+$lang['fileupload'] = '袟邪胁邪薪褌邪卸械薪薪褟 屑械写褨邪-褎邪泄谢褍';
+$lang['uploadsucc'] = '袟邪胁邪薪褌邪卸械薪薪褟 锌褉芯泄褕谢芯 褍褋锌褨褕薪芯';
+$lang['uploadfail'] = '袩芯屑懈谢泻邪 锌褉懈 蟹邪胁邪薪褌邪卸械薪薪褨. 袦芯卸谢懈胁芯 薪械锌褉邪胁懈谢褜薪褨 锌褉邪胁邪?';
+$lang['uploadwrong'] = '袟邪胁邪薪褌邪卸械薪薪褟 蟹邪斜芯褉芯薪械薪芯. 孝邪泻械 褉芯蟹褕懈褉械薪薪褟 褎邪泄谢褍 薪械 写芯蟹胁芯谢褟褦褌褜褋褟!';
+$lang['uploadexist'] = '肖邪泄谢 胁卸械 褨褋薪褍褦. 袧褨褔芯谐芯 薪械 蟹褉芯斜谢械薪芯.';
+$lang['uploadbadcontent'] = '袟邪胁邪薪褌邪卸械薪懈泄 胁屑褨褋褌 薪械 胁褨写锌芯胁褨写邪褦 褉芯蟹褕懈褉械薪薪褞 %s.';
+$lang['uploadspam'] = '袟邪胁邪薪褌邪卸械薪薪褟 蟹邪斜谢芯泻芯胁邪薪芯 褋锌邪屑-褎褨谢褜褌褉芯屑.';
+$lang['uploadxss'] = '袟邪胁邪薪褌邪卸械薪薪褟 蟹邪斜谢芯泻芯胁邪薪芯 褔械褉械蟹 屑芯卸谢懈胁褨褋褌褜 蟹谢芯薪邪屑褨褉械薪芯谐芯 胁屑褨褋褌褍.';
+$lang['uploadsize'] = '袟邪胁邪薪褌邪卸械薪懈泄 褎邪泄谢 薪邪写褌芯 胁械谢懈泻懈泄 (屑邪泻褋懈屑褍屑 %s).';
+$lang['deletesucc'] = '肖邪泄谢 "%s" 蟹薪懈褖械薪芯.';
+$lang['deletefail'] = '袧械屑芯卸谢懈胁芯 蟹薪懈褖懈褌懈 "%s" - 锌械褉械胁褨褉褌械 锌褉邪胁邪 写芯褋褌褍锌褍.';
+$lang['mediainuse'] = '"%s" 薪械 蟹薪懈褖械薪芯 - 褎邪泄谢 胁懈泻芯褉懈褋褌芯胁褍褦褌褜褋褟.';
+$lang['namespaces'] = '袩褉芯褋褌芯褉懈 褨屑械薪';
+$lang['mediafiles'] = '袛芯褋褌褍锌薪褨 褎邪泄谢懈';
+$lang['js']['searchmedia'] = '楔褍泻邪褌懈 褎邪泄谢懈';
+$lang['js']['keepopen'] = '孝褉懈屑邪褌懈 胁褨泻薪芯 胁褨写泻褉懈褌懈屑 锌褨写 褔邪褋 胁懈斜芯褉褍';
+$lang['js']['hidedetails'] = '小褏芯胁邪褌懈 写械褌邪谢褨';
+$lang['js']['nosmblinks'] = '袩芯褋懈谢邪薪薪褟 薪邪 屑械褉械卸械胁褨 锌邪锌泻懈 锌褉邪褑褞褦 谢懈褕械 胁 Internet Explorer.
+袙懈 屑芯卸械褌械 褋泻芯锌褨褞胁邪褌懈 锌芯褋懈谢邪薪薪褟 褨 胁褨写泻褉懈褌懈 泄芯谐芯 蟹邪 写芯锌芯屑芯谐芯褞 Internet Explorer.';
+$lang['js']['linkwiz'] = '效邪褉褨胁薪懈泻 锌芯褋懈谢邪薪褜';
+$lang['js']['linkto'] = '袩芯褋懈谢邪薪薪褟 薪邪:';
+$lang['js']['del_confirm'] = '袛褨泄褋薪芯 蟹薪懈褖懈褌懈 芯斜褉邪薪褨 械谢械屑械薪褌懈?';
+$lang['js']['mu_btn'] = '袟邪胁邪薪褌邪卸懈褌懈 芯写薪芯褔邪褋薪芯 泻褨谢褜泻邪 褎邪泄谢褨胁';
+$lang['mediausage'] = '袛谢褟 锌芯褋懈谢邪薪薪褟 薪邪 褑械泄 褎邪泄谢 胁懈泻芯褉懈褋褌芯胁褍泄褌械 褌邪泻懈泄 褋懈薪褌邪泻褋懈褋:';
+$lang['mediaview'] = '袩械褉械谐谢褟薪褍褌懈 锌芯褔邪褌泻芯胁懈泄 褎邪泄谢';
+$lang['mediaroot'] = '泻芯褉褨薪褜';
+$lang['mediaupload'] = '袟邪胁邪薪褌邪卸械薪薪褟 褎邪泄谢褍 褍 锌芯褌芯褔薪懈泄 锌褉芯褋褌褨褉 褨屑械薪. 些芯斜 褋褌胁芯褉懈褌懈 锌褉芯褋褌芯褉懈 褨屑械薪, 写芯写邪泄褌械 褩褏 胁 锌芯褔邪褌芯泻 褨屑械薪褨 褎邪泄谢褍 褌邪 褉芯蟹写褨谢褨褌褜 写胁芯泻褉邪锌泻邪屑懈.';
+$lang['mediaextchange'] = '袪芯蟹褕懈褉械薪薪褟 褎邪泄谢褍 蟹屑褨薪械薪芯 蟹 .%s 薪邪 .%s!';
+$lang['reference'] = '袩芯褋懈谢邪薪薪褟 写谢褟';
+$lang['ref_inuse'] = '笑械泄 褎邪泄谢 薪械 屑芯卸械 斜褍褌懈 蟹薪懈褖械薪芯, 芯褋泻褨谢褜泻懈 胁褨薪 胁懈泻芯褉懈褋褌芯胁褍褦褌褜褋褟 褌邪泻懈屑懈 褋褌芯褉褨薪泻邪屑懈:';
+$lang['ref_hidden'] = '袛械褟泻褨 锌芯褋懈谢邪薪薪褟 褨褋薪褍褞褌褜 薪邪 褋褌芯褉褨薪泻邪褏, 写谢褟 褔懈褌邪薪薪褟 褟泻懈褏 褍 胁邪褋 薪械屑邪褦 锌褉邪胁.';
+$lang['hits'] = '袟斜褨谐懈';
+$lang['quickhits'] = '袟斜褨谐懈 褍 薪邪蟹胁邪褏 褋褌芯褉褨薪芯泻';
+$lang['toc'] = '袟屑褨褋褌';
+$lang['current'] = '锌芯褌芯褔薪懈泄';
+$lang['yours'] = '袙邪褕邪 胁械褉褋褨褟';
+$lang['diff'] = '锌芯泻邪蟹邪褌懈 胁褨写屑褨薪薪芯褋褌褨 胁褨写 锌芯褌芯褔薪芯褩 胁械褉褋褨褩';
+$lang['diff2'] = '袩芯泻邪蟹邪褌懈 胁褨写屑褨薪薪芯褋褌褨 屑褨卸 胁懈斜褉邪薪懈屑懈 胁械褉褋褨褟屑懈';
+$lang['line'] = '袪褟写芯泻';
+$lang['breadcrumb'] = '袙褨写胁褨写邪薪芯';
+$lang['youarehere'] = '袙懈 褌褍褌';
+$lang['lastmod'] = '袙 芯褋褌邪薪薪褦 蟹屑褨薪械薪芯';
+$lang['by'] = ' ';
+$lang['deleted'] = '蟹薪懈褖械薪芯';
+$lang['created'] = '褋褌胁芯褉械薪芯';
+$lang['restored'] = '胁褨写薪芯胁谢械薪芯 褋褌邪褉褍 褉械胁褨蟹褨褞';
+$lang['external_edit'] = '蟹芯胁薪褨褕薪褦 褉械写邪谐褍胁邪薪薪褟';
+$lang['summary'] = '袩褨写褋褍屑芯泻 蟹屑褨薪';
+$lang['noflash'] = '袛谢褟 锌械褉械谐谢褟写褍 褑褨褦褩 褋褌芯褉褨薪泻懈 薪械芯斜褏褨写薪芯 胁褋褌邪薪芯胁懈褌懈 <a href="http://www.adobe.com/products/flashplayer/">Adobe Flash Plugin</a>.';
+$lang['download'] = '袟邪胁邪薪褌邪卸懈褌懈 褎褉邪谐屑械薪褌';
+$lang['mail_newpage'] = '褋褌芯褉褨薪泻褍 写芯写邪薪芯:';
+$lang['mail_changed'] = '褋褌芯褉褨薪泻褍 蟹屑褨薪械薪芯:';
+$lang['mail_new_user'] = '薪芯胁懈泄 泻芯褉懈褋褌褍胁邪褔:';
+$lang['mail_upload'] = '蟹邪胁邪薪褌邪卸械薪芯 褎邪泄谢:';
+$lang['qb_bold'] = '袧邪锌褨胁卸懈褉薪懈泄 褌械泻褋褌';
+$lang['qb_italic'] = '袣褍褉褋懈胁';
+$lang['qb_underl'] = '袩褨写泻褉械褋谢械薪懈泄 褌械泻褋褌';
+$lang['qb_code'] = '孝械泻褋褌 泻芯写褍';
+$lang['qb_strike'] = '袟邪泻褉械褋谢械薪懈泄 褌械泻褋褌';
+$lang['qb_h1'] = '袟邪谐芯谢芯胁芯泻 1-谐芯 褉褨胁薪褟';
+$lang['qb_h2'] = '袟邪谐芯谢芯胁芯泻 2-谐芯 褉褨胁薪褟';
+$lang['qb_h3'] = '袟邪谐芯谢芯胁芯泻 3-谐芯 褉褨胁薪褟';
+$lang['qb_h4'] = '袟邪谐芯谢芯胁芯泻 4-谐芯 褉褨胁薪褟';
+$lang['qb_h5'] = '袟邪谐芯谢芯胁芯泻 5-谐芯 褉褨胁薪褟';
+$lang['qb_h'] = '袟邪谐芯谢芯胁芯泻';
+$lang['qb_hs'] = '袙懈斜褉邪褌懈 蟹邪谐芯谢芯胁芯泻';
+$lang['qb_hplus'] = '袟邪谐芯谢芯胁芯泻 胁懈褖芯谐芯 褉褨胁薪褟';
+$lang['qb_hminus'] = '袟邪谐芯谢芯胁芯泻 薪懈褖芯谐芯 褉褨胁薪褟';
+$lang['qb_hequal'] = '袟邪谐芯谢芯胁芯泻 褌芯谐芯 卸 褉褨胁薪褟';
+$lang['qb_link'] = '袙薪褍褌褉褨褕薪褦 锌芯褋懈谢邪薪薪褟';
+$lang['qb_extlink'] = '袟芯胁薪褨褕薪褦 锌芯褋懈谢邪薪薪褟';
+$lang['qb_hr'] = '袪芯蟹写褨谢褜薪懈泻';
+$lang['qb_ol'] = '袝谢械屑械薪褌 薪褍屑械褉芯胁邪薪芯谐芯 褋锌懈褋泻褍';
+$lang['qb_ul'] = '袝谢械屑械薪褌 薪械薪褍屑械褉芯胁邪薪芯谐芯 褋锌懈褋泻褍';
+$lang['qb_media'] = '袛芯写邪褌懈 蟹芯斜褉邪卸械薪褜 褌邪 褨薪褕褨 褎邪泄谢懈';
+$lang['qb_sig'] = '袛芯写邪褌懈 锌褨写锌懈褋';
+$lang['qb_smileys'] = '袩芯褋屑褨褕泻懈';
+$lang['qb_chars'] = '小锌械褑褨邪谢褜薪褨 褋懈屑胁芯谢懈';
+$lang['upperns'] = '袩械褉械泄褌懈 写芯 斜邪褌褜泻褨胁褋褜泻芯谐芯 锌褉芯褋褌芯褉褍 褨屑械薪';
+$lang['admin_register'] = '袛芯写邪褌懈 薪芯胁芯谐芯 泻芯褉懈褋褌褍胁邪褔邪';
+$lang['metaedit'] = '袪械写邪谐褍胁邪褌懈 屑械褌邪写邪薪褨';
+$lang['metasaveerr'] = '袩芯屑懈谢泻邪 蟹邪锌懈褋褍 屑械褌邪写邪薪懈褏';
+$lang['metasaveok'] = '袦械褌邪写邪薪褨 蟹斜械褉械卸械薪芯';
+$lang['img_backto'] = '袩芯胁械褉薪褍褌懈褋褟 写芯';
+$lang['img_title'] = '袧邪蟹胁邪';
+$lang['img_caption'] = '袩褨写锌懈褋';
+$lang['img_date'] = '袛邪褌邪';
+$lang['img_fname'] = '袉屑鈥櫻 褎邪泄谢褍';
+$lang['img_fsize'] = '袪芯蟹屑褨褉';
+$lang['img_artist'] = '肖芯褌芯谐褉邪褎';
+$lang['img_copyr'] = '袗胁褌芯褉褋褜泻褨 锌褉邪胁邪';
+$lang['img_format'] = '肖芯褉屑邪褌';
+$lang['img_camera'] = '袣邪屑械褉邪';
+$lang['img_keywords'] = '袣谢褞褔芯胁褨 褋谢芯胁邪';
+$lang['subscribe_success'] = '%s 写芯写邪薪懈泄(薪邪) 写芯 褋锌懈褋泻褍 褉芯蟹褋懈谢泻懈 写谢褟 褋褌芯褉褨薪泻懈 %s';
+$lang['subscribe_error'] = '袩芯屑懈谢泻邪 锌褉懈 写芯写邪薪薪褨 %s 写芯 褋锌懈褋泻褍 褉芯蟹褋懈谢泻懈 写谢褟 褋褌芯褉褨薪泻懈 %s';
+$lang['subscribe_noaddress'] = '校 胁邪褕芯屑褍 锌褉芯褎褨谢褨 薪械屑邪褦 邪写褉械褋懈 e-mail, 胁懈 薪械 屑芯卸械褌械 泻芯褉懈褋褌褍胁邪褌懈褋褟 褋锌懈褋泻邪屑懈 褉芯蟹褋懈谢泻懈';
+$lang['unsubscribe_success'] = '%s 胁懈谢褍褔械薪芯 蟹褨 褋锌懈褋泻褍 褉芯蟹褋懈谢泻懈 写谢褟 褋褌芯褉褨薪泻懈 %s';
+$lang['unsubscribe_error'] = '袩芯屑懈谢泻邪 锌褉懈 胁懈谢褍褔械薪薪褨 %s 蟹褨 褋锌懈褋泻褍 褉芯蟹褋懈谢泻懈 写谢褟 %s';
+$lang['authmodfailed'] = '袧械锌褉邪胁懈谢褜薪邪 薪邪褋褌褉芯泄泻邪 邪胁褌械薪褌懈褎褨泻邪褑褨褩 泻芯褉懈褋褌褍胁邪褔邪. 袘褍写褜 谢邪褋泻邪, 锌芯胁褨写芯屑褌械 锌褉芯 褑械 邪写屑褨薪褨褋褌褉邪褌芯褉邪.';
+$lang['authtempfail'] = '袗胁褌械薪褌懈褎褨泻邪褑褨褟 泻芯褉懈褋褌褍胁邪褔邪 褌懈屑褔邪褋芯胁芯 薪械 写芯褋褌褍锌薪邪. 携泻褖芯 褑械 斜褍写械 锌褉芯写芯胁卸褍胁邪褌懈褋褜, 斜褍写褜 谢邪褋泻邪, 锌芯胁褨写芯屑褌械 邪写屑褨薪褨褋褌褉邪褌芯褉邪.';
+$lang['i_chooselang'] = '袙懈斜械褉褨褌褜 屑芯胁褍';
+$lang['i_installer'] = '袩褉芯谐褉邪屑邪 褍褋褌邪薪芯胁泻懈 袛芯泻褍袙褨泻褨';
+$lang['i_wikiname'] = '袧邪蟹胁邪 袙褨泻褨';
+$lang['i_enableacl'] = '袛芯蟹胁芯谢懈褌懈 胁懈泻芯褉懈褋褌邪薪薪褟 ACL (褉械泻芯屑械薪写芯胁邪薪芯)';
+$lang['i_superuser'] = '小褍锌械褉泻芯褉懈褋褌褍胁邪褔';
+$lang['i_problems'] = '袩褉芯谐褉邪屑邪 褍褋褌邪薪芯胁泻懈 蟹薪邪泄褕谢邪 写械泻褨谢褜泻邪 锌褉芯斜谢械屑, 褖芯 胁泻邪蟹邪薪褨 薪懈卸褔械. 袙懈 薪械 屑芯卸械褌械 锌褉芯写芯胁卸懈褌懈, 锌芯泻懈 薪械 胁懈锌褉邪胁懈褌械 褩褏';
+$lang['i_modified'] = '袟 锌褉懈褔懈薪 斜械蟹锌械泻懈 褑械泄 褋泻褉懈锌褌 斜褍写械 锌褉邪褑褞胁邪褌懈 褌褨谢褜泻懈 蟹 薪芯胁芯褞 褌邪 薪械屑芯写懈褎褨泻芯胁邪薪芯褞 褍褋褌邪薪芯胁泻芯褞 袛芯泻褍袙褨泻褨.
+袙邪屑 褋谢褨写 邪斜芯 褖械 褉邪蟹 褉芯蟹锌邪泻褍胁邪褌懈 褎邪泄谢懈 褨蟹 蟹邪胁邪薪褌邪卸械薪芯谐芯 锌邪泻械褌褍, 邪斜芯 蟹胁械褉薪褍褌懈褋褟 写芯 锌芯胁薪芯褩 <a href="http://dokuwiki.org/install">褨薪褋褌褉褍泻褑褨褩 蟹 褍褋褌邪薪芯胁泻懈 袛芯泻褍袙褨泻褨</a>';
+$lang['i_funcna'] = '肖褍薪泻褑褨褟 PHP <code>%s</code> 薪械 写芯褋褌褍锌薪邪. 袦芯卸谢懈胁芯, 褏芯褋褌懈薪谐-锌褉芯胁邪泄写械褉 胁褨写泻谢褞褔懈胁 褩褩 蟹 褟泻懈褏芯褋褜 锌褉懈褔懈薪?';
+$lang['i_phpver'] = '袙械褉褋褨褟 PHP <code>%s</code> 屑械薪褕邪, 薪褨卸 薪械芯斜褏褨写薪芯 - <code>%s</code>. 袧械芯斜褏褨写薪芯 芯薪芯胁懈褌懈 PHP.';
+$lang['i_permfail'] = '袛芯泻褍袙褨泻褨 薪械 屑邪褦 锌褉邪胁 薪邪 蟹邪锌懈褋 <code>%s</code>. 袧械芯斜褏褨写薪芯 蟹屑褨薪懈褌懈 锌褉邪胁邪 写芯褋褌褍锌邪 写谢褟 褑褨褦褩 锌邪锌泻懈!';
+$lang['i_confexists'] = '<code>%s</code> 胁卸械 褨褋薪褍褦';
+$lang['i_writeerr'] = '袧械屑芯卸谢懈胁芯 褋褌胁芯褉懈褌懈 <code>%s</code>. 袧械芯斜褏褨写薪芯 锌械褉械胁褨褉懈褌懈 锌褉邪胁邪 写芯褋褌褍锌邪 写谢褟 褎邪泄谢褍/锌邪锌泻懈 褌邪 褋褌胁芯褉懈褌懈 褎邪泄谢 胁褉褍褔薪褍.';
+$lang['i_badhash'] = '袧械胁锌褨蟹薪邪薪懈泄 邪斜芯 屑芯写懈褎褨泻芯胁邪薪懈泄 dokuwiki.php (hash=<code>%s</code>)';
+$lang['i_badval'] = '<code>%s</code> - 薪械胁褨褉薪械 邪斜芯 锌褍褋褌械 蟹薪邪褔械薪薪褟.';
+$lang['i_success'] = '袧邪褋褌褉芯泄泻褍 蟹邪胁械褉褕械薪芯. 袦懈 屑芯卸械褌械 蟹薪懈褖懈褌懈 褎邪泄谢 install.php.
+袩械褉械泄写褨褌褜 写芯 <a href="doku.php">胁邪褕芯褩 薪芯胁芯褩 袛芯泻褍袙褨泻褨</a>';
+$lang['i_failure'] = '袩褉懈 蟹斜械褉械卸械薪薪褨 褎邪泄谢褍 泻芯薪褎褨谐褍褉邪褑褨褩 胁懈薪懈泻谢懈 锌芯屑懈谢泻懈. 袦芯卸谢懈胁芯 胁邪屑 写芯胁械写械褌褜褋褟 胁懈锌褉邪胁懈褌懈 褩褏 褋邪屑芯褋褌褨泄薪芯
+写芯 锌芯褔邪褌泻褍 胁懈泻芯褉懈褋褌邪薪薪褟 <a href="doku.php">胁邪褕芯褩 薪芯胁芯褩 袛芯泻褍袙褨泻褨</a>.';
+$lang['i_policy'] = '袩芯褔邪褌泻芯胁邪 锌芯谢褨褌懈泻邪 ACL';
+$lang['i_pol0'] = '袙褨写泻褉懈褌邪 袙褨泻褨 (褔懈褌邪薪薪褟, 蟹邪锌懈褋 褌邪 蟹邪胁邪薪褌邪卸械薪薪褟 褎邪泄谢褨胁 写谢褟 胁褋褨褏)';
+$lang['i_pol1'] = '袩褍斜谢褨褔薪邪 袙褨泻褨 (褔懈褌邪薪薪褟 写谢褟 胁褋褨褏, 蟹邪锌懈褋 褌邪 蟹邪胁邪薪褌邪卸械薪薪褟 写谢褟 蟹邪褉械褦褋褌褉芯胁邪薪懈褏 泻芯褉懈褋褌褍胁邪褔褨胁)';
+$lang['i_pol2'] = '袟邪泻褉懈褌邪 袙褨泻褨 (褔懈褌邪薪薪褟, 蟹邪锌懈褋 褌邪 蟹邪胁邪薪褌邪卸械薪薪褟 褌褨谢褜泻懈 写谢褟 蟹邪褉械褦褋褌褉芯胁邪薪懈褏 泻芯褉懈褋褌褍胁邪褔褨胁)';
+$lang['i_retry'] = '袩芯胁褌芯褉懈褌懈';
+$lang['mu_intro'] = '孝褍褌 胁懈 屑芯卸械褌械 蟹邪胁邪薪褌邪卸懈褌懈 芯写薪芯褔邪褋薪芯 泻褨谢褜泻邪 褎邪泄谢褨胁. 袧邪褌懈褋薪褨褌褜 泻薪芯锌泻褍 "袙懈斜褉邪褌懈", 褖芯斜 写芯写邪褌懈 褎邪泄谢懈 胁 褔械褉谐褍. 袩褨褋谢褟 蟹邪泻褨薪褔械薪薪褟 薪邪褌懈褋薪褨褌褜 泻薪芯锌泻褍 "袟邪胁邪薪褌邪卸懈褌懈"';
+$lang['mu_gridname'] = '袉屑鈥櫻 褎邪泄谢褍';
+$lang['mu_gridsize'] = '袪芯蟹屑褨褉';
+$lang['mu_gridstat'] = '小褌邪褌褍褋';
+$lang['mu_namespace'] = '袩褉芯褋褌褨褉 褨屑械薪';
+$lang['mu_browse'] = '袙懈斜褉邪褌懈';
+$lang['mu_toobig'] = '薪邪写褌芯 胁械谢懈泻懈泄';
+$lang['mu_ready'] = '谐芯褌芯胁懈泄 写芯 蟹邪胁邪薪褌邪卸械薪薪褟';
+$lang['mu_done'] = '蟹邪泻褨薪褔械薪芯';
+$lang['mu_fail'] = '薪械胁写邪谢械';
+$lang['mu_authfail'] = '蟹邪泻褨薪褔械薪芯 褌械褉屑褨薪 写褨褩 褋械褋褨褩';
+$lang['mu_progress'] = '袟邪胁邪薪褌邪卸械薪薪褟 @PCT@%';
+$lang['mu_filetypes'] = '袛芯蟹胁芯谢械薪褨 褌懈锌懈 褎邪泄谢褨胁';
+$lang['mu_info'] = '肖邪泄谢懈 蟹邪胁邪薪褌邪卸械薪芯';
+$lang['mu_lasterr'] = '袨褋褌邪薪薪褟 锌芯屑懈谢泻邪:';
+$lang['recent_global'] = '袙懈 锌械褉械谐谢褟写邪褦褌械 蟹屑褨薪懈 胁 屑械卸邪褏 锌褉芯褋褌芯褉褍 褨屑械薪 <b>%s</b>. 孝邪泻芯卸 屑芯卸薪邪 <a href="%s">锌械褉械谐谢褟薪褍褌懈 蟹屑褨薪懈 胁 屑械卸邪褏 褍褋褨褦褩 袙褨泻褨</a>.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/locked.txt
new file mode 100644
index 000000000..367c286bf
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/locked.txt
@@ -0,0 +1,3 @@
+====== 小褌芯褉褨薪泻褍 蟹邪斜谢芯泻芯胁邪薪芯 ======
+
+笑褞 褋褌芯褉褨薪泻褍 蟹邪斜谢芯泻芯胁邪薪芯 褨薪褕懈屑 泻芯褉懈褋褌褍胁邪褔械屑 写谢褟 褉械写邪谐褍胁邪薪薪褟. 袟邪褔械泻邪泄褌械, 锌芯泻懈 褑械泄 泻芯褉懈褋褌褍胁邪褔 蟹邪胁械褉褕懈褌褜 褉械写邪谐褍胁邪薪薪褟 邪斜芯 蟹邪泻褨薪褔懈褌褜褋褟 褔邪褋 斜谢芯泻褍胁邪薪薪褟. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/login.txt
new file mode 100644
index 000000000..f45f81024
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/login.txt
@@ -0,0 +1,4 @@
+====== 袙褏褨写 写芯 胁褨泻褨 ======
+
+袙懈 薪械 胁胁褨泄褕谢懈 写芯 褋懈褋褌械屑懈. 袙胁械写褨褌褜 胁邪褕褨 褉械褦褋褌褉邪褑褨泄薪褨 写邪薪褨 写谢褟 褌芯谐芯, 褖芯斜 褍胁褨泄褌懈. 校 胁邪褕芯屑褍 斜褉邪褍蟹械褉褨 锌芯胁懈薪薪褨 斜褍褌懈 褍胁褨屑泻薪械薪褨 褎邪泄谢懈 cookies.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/mailtext.txt
new file mode 100644
index 000000000..5bd25c3c3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/mailtext.txt
@@ -0,0 +1,17 @@
+小褌芯褉褨薪泻邪 胁 胁邪褕芯屑褍 袛芯泻褍袙褨泻褨 斜褍谢邪 蟹屑褨薪械薪邪. 袛械褌邪谢褨 薪懈卸褔械:
+
+袛邪褌邪 : @DATE@
+袨谐谢褟写邪褔 : @BROWSER@
+袗写褉械褋邪 IP : @IPADDRESS@
+袉屑'褟 胁褍蟹谢邪 : @HOSTNAME@
+小褌邪褉邪 褉械胁褨蟹褨褟: @OLDPAGE@
+袧芯胁邪 褉械胁褨蟹褨褟 : @NEWPAGE@
+袩褨写褋褍屑芯泻 蟹屑褨薪 : @SUMMARY@
+袣芯褉懈褋褌褍胁邪褔 : @USER@
+
+@DIFF@
+
+
+--
+笑械 锌芯胁褨写芯屑谢械薪薪褟 斜褍谢芯 褋褌胁芯褉械薪械 袛芯泻褍袙褨泻褨 蟹
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/newpage.txt
new file mode 100644
index 000000000..39cdecc35
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/newpage.txt
@@ -0,0 +1,4 @@
+====== 小褌芯褉褨薪泻邪 褖械 薪械 褨褋薪褍褦 ======
+
+袙懈 锌褉懈泄褕谢懈 蟹邪 锌芯褋懈谢邪薪薪褟屑 薪邪 褋褌芯褉褨薪泻褍, 褖芯 褖械 薪械 褨褋薪褍褦. 携泻褖芯 胁邪褕褨 锌褉邪胁邪 写芯蟹胁芯谢褟褞褌褜, 胁懈 屑芯卸械褌械 褋褌胁芯褉懈褌懈 褑褞 褋褌芯褉褨薪泻褍 薪邪褌懈褋薪褍胁褕懈 泻薪芯锌泻褍 ''小褌胁芯褉懈褌懈 褋褌芯褉褨薪泻褍''.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/norev.txt
new file mode 100644
index 000000000..3c9295fce
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/norev.txt
@@ -0,0 +1,4 @@
+====== 袧械屑邪褦 褌邪泻芯褩 褉械胁褨蟹褨褩 ======
+
+袙泻邪蟹邪薪邪 褉械胁褨蟹褨褟 薪械 褨褋薪褍褦. 袙懈泻芯褉懈褋褌芯胁褍泄褌械 泻薪芯锌泻褍 ''小褌邪褉褨 褉械胁褨蟹褨褩'', 褖芯斜 芯褌褉懈屑邪褌懈 锌械褉械谢褨泻 褉械胁褨蟹褨泄 褑褜芯谐芯 写芯泻褍屑械薪褌褍.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/preview.txt
new file mode 100644
index 000000000..b4174c7a4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/preview.txt
@@ -0,0 +1,4 @@
+====== 袩芯锌械褉械写薪褨泄 锌械褉械谐谢褟写 ======
+
+笑械 锌芯锌械褉械写薪褨泄 锌械褉械谐谢褟写 褌芯谐芯, 褟泻 斜褍写械 胁懈谐谢褟写邪褌懈 胁邪褕 褌械泻褋褌. 袧械 蟹邪斜褍胁邪泄褌械, 褌械泻褋褌 褖械 **薪械 蟹斜械褉械卸械薪芯**!
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/pwconfirm.txt
new file mode 100644
index 000000000..7a46f536a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/pwconfirm.txt
@@ -0,0 +1,14 @@
+袛芯斜褉芯谐芯 写薪褟, @FULLNAME@!
+
+啸褌芯褋褜 蟹邪锌懈褌邪胁 薪芯胁懈泄 锌邪褉芯谢褜 写谢褟 泻芯褉懈褋褌褍胁邪褔邪 @TITLE@ 薪邪 @DOKUWIKIURL@
+
+携泻褖芯 褑械 斜褍谢懈 薪械 胁懈, 褨谐薪芯褉褍泄褌械 褑械 锌芯胁褨写芯屑谢械薪薪褟.
+
+袛谢褟 锌褨写褌胁械褉写卸械薪薪褟, 褖芯 褑械 写褨泄褋薪芯 胁懈 蟹邪锌懈褌邪谢懈 薪芯胁懈泄 锌邪褉芯谢褜, 斜褍写褜 谢邪褋泻邪
+锌械褉械泄写褨褌褜 蟹邪 薪邪褋褌褍锌薪懈屑 锌芯褋懈谢邪薪薪褟屑.
+
+@CONFIRM@
+
+--
+笑械 锌芯胁褨写芯屑谢械薪薪褟 褋褌胁芯褉械薪械 袛芯泻褍袙褨泻褨 蟹
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/read.txt
new file mode 100644
index 000000000..59ea6a1b6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/read.txt
@@ -0,0 +1,2 @@
+笑褟 褋褌芯褉褨薪泻邪 写芯褋褌褍锌薪邪 褌褨谢褜泻懈 写谢褟 锌械褉械谐谢褟写褍. 袙懈 屑芯卸械褌械 锌褉芯写懈胁懈褌懈褋褟 胁懈褏褨写薪懈泄 褌械泻褋褌, 邪谢械 薪械 屑芯卸械褌械 蟹屑褨薪褞胁邪褌懈 泄芯谐芯. 携泻褖芯 胁懈 胁胁邪卸邪褦褌械, 褖芯 褑械 薪械 胁褨褉薪芯, 蟹胁械褉薪褨褌褜褋褟 写芯 邪写屑褨薪褨褋褌褉邪褌芯褉邪.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/recent.txt
new file mode 100644
index 000000000..645e3d869
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/recent.txt
@@ -0,0 +1,4 @@
+====== 袨褋褌邪薪薪褨 蟹屑褨薪懈 ======
+
+袙泻邪蟹邪薪褨 薪懈卸褔械 褋褌芯褉褨薪泻懈 斜褍谢芯 蟹屑褨薪械薪芯 薪械褖芯写邪胁薪芯.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/register.txt
new file mode 100644
index 000000000..8fffc002e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/register.txt
@@ -0,0 +1,4 @@
+====== 袪械褦褋褌褉邪褑褨褟 薪芯胁芯谐芯 泻芯褉懈褋褌褍胁邪褔邪 ======
+
+袙胁械写褨褌褜 薪械芯斜褏褨写薪褍 褨薪褎芯褉屑邪褑褨褞 写谢褟 褌芯谐芯, 褖芯斜 褋褌胁芯褉懈褌懈 薪芯胁芯谐芯 泻芯褉懈褋褌褍胁邪褔邪 褍 褑褨泄 袙褨泻褨. 袩械褉械泻芯薪邪泄褌械褋褟. 褖芯 胁懈 胁胁械谢懈 **锌褉邪胁懈谢褜薪褍 邪写褉械褋褍 e-mail** - 褟泻褖芯 胁懈 薪械 胁胁械谢懈 锌邪褉芯谢褜, 褌芯 薪芯胁懈泄 锌邪褉芯谢褜 斜褍写械 胁褨写褨褋谢邪薪芯 薪邪 褑褞 邪写褉械褋褍. 袉屑'褟 泻芯褉懈褋褌褍胁邪褔邪 锌芯胁懈薪薪芯 斜褍褌懈 写芯蟹胁芯谢械薪芯褞 [[doku>pagename|薪邪蟹胁芯褞 褋褌芯褉褨薪泻懈]] 胁褨泻褨.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/registermail.txt
new file mode 100644
index 000000000..9b55d85bf
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/registermail.txt
@@ -0,0 +1,14 @@
+袟邪褉械褦褋褌褉芯胁邪薪芯 薪芯胁芯谐芯 泻芯褉懈褋褌褍胁邪褔邪. 袩械褉械谐谢褟薪褜褌械 写械褌邪谢褨:
+
+袣芯褉懈褋褌褍胁邪褔 : @NEWUSER@
+袩芯胁薪械 褨屑'褟 : @NEWNAME@
+E-Mail : @NEWEMAIL@
+
+袛邪褌邪 : @DATE@
+袘褉邪褍蟹械褉 : @BROWSER@
+袗写褉械褋邪 IP : @IPADDRESS@
+袧邪蟹胁邪 褏芯褋褌褍 : @HOSTNAME@
+
+--
+笑械 锌芯胁褨写芯屑谢械薪薪褟 褋褌胁芯褉械薪械 袛芯泻褍袙褨泻褨 蟹
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/resendpwd.txt
new file mode 100644
index 000000000..208efad55
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/resendpwd.txt
@@ -0,0 +1,3 @@
+====== 袧邪写褨褋谢邪褌懈 薪芯胁懈泄 锌邪褉芯谢褜 ======
+
+袟邪锌芯胁薪褨褌褜 胁褨写芯屑芯褋褌褨 写谢褟 褌芯谐芯, 褖芯斜 芯褌褉懈屑邪褌懈 薪芯胁懈泄 锌邪褉芯谢褜 褍 褑褨泄 袙褨泻褨. 袧芯胁懈泄 锌邪褉芯谢褜 斜褍写械 薪邪写褨褋谢邪薪芯 薪邪 e-mail, 褖芯 胁泻邪蟹邪薪芯 褍 褉械褦褋褌褉邪褑褨泄薪懈褏 写邪薪懈褏. 袉屑'褟 泻芯褉懈褋褌褍胁邪褔邪 锌芯胁懈薪薪芯 斜褍褌懈 写芯蟹胁芯谢械薪懈屑 褨屑械薪械屑 泻芯褉懈褋褌褍胁邪褔邪 袙褨泻褨.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/revisions.txt
new file mode 100644
index 000000000..646de2a2f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/revisions.txt
@@ -0,0 +1,4 @@
+====== 小褌邪褉褨 褉械胁褨蟹褨褩 ======
+
+笑械 褋褌邪褉褨 胁械褉褋褨褩 锌芯褌芯褔薪芯谐芯 写芯泻褍屑械薪褌邪. 袛谢褟 褌芯谐芯, 褖芯斜 锌芯胁械褉薪褍褌懈褋褟 写芯 褋褌邪褉芯褩 胁械褉褋褨褩, 胁懈斜械褉褨褌褜 褩褩, 薪邪褌懈褋薪褨褌褜 ''袪械写邪谐褍胁邪褌懈'', 褌邪 蟹斜械褉械卸褨褌褜 褋褌芯褉褨薪泻褍.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/searchpage.txt
new file mode 100644
index 000000000..971c24814
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/searchpage.txt
@@ -0,0 +1,5 @@
+====== 袩芯褕褍泻 ======
+
+袛懈胁褨褌褜褋褟 褉械蟹褍谢褜褌邪褌懈 锌芯褕褍泻褍 薪懈卸褔械. 携泻褖芯 胁懈 薪械 蟹薪邪泄褕谢懈 褌械, 褖芯 胁懈 褕褍泻邪谢懈, 胁懈 屑芯卸械褌械 褋褌胁芯褉懈褌懈 邪斜芯 褉械写邪谐褍胁邪褌懈 褋褌芯褉褨薪泻褍, 褖芯 屑邪褦 褌邪泻械 卸 褨屑鈥櫻, 褖芯 褨 锌芯褕褍泻芯胁懈泄 蟹邪锌懈褌 蟹邪 写芯锌芯屑芯谐芯褞 胁褨写锌芯胁褨写薪芯褩 泻薪芯锌泻懈.
+
+===== 袪械蟹褍谢褜褌邪褌懈 =====
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/showrev.txt
new file mode 100644
index 000000000..2706b35d6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/showrev.txt
@@ -0,0 +1,2 @@
+**笑械 褋褌邪褉邪 胁械褉褋褨褟 写芯泻褍屑械薪褌褍!**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/stopwords.txt
new file mode 100644
index 000000000..288ab8e9f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/stopwords.txt
@@ -0,0 +1,3 @@
+# 笑械 褋锌懈褋芯泻 褨谐薪芯褉芯胁邪薪懈褏 褨薪写械泻褋邪褌芯褉芯屑 褋谢褨胁, 芯写薪械 褋谢芯胁芯 胁 褉褟写泻褍
+# 袩褉懈 褉械写邪谐褍胁邪薪薪褨 褑褜芯谐芯 褎邪泄谢褍 锌械褉械泻芯薪邪泄褌械褋褟, 褖芯 胁懈泻芯褉懈褋褌芯胁褍褦褌械 褋懈屑胁芯谢懈 锌械褉械胁械写械薪薪褟 褉褟写泻褍, 褟泻 胁 UNIX (芯写懈薪芯褔薪褨)
+# 小谢芯胁邪, 泻芯褉芯褌褕褨 蟹邪 3 褋懈屑胁芯谢懈 胁泻谢褞褔邪褌懈 薪械 褌褉械斜邪. 袙芯薪懈 褨谐薪芯褉褍褞褌褜褋褟 胁 斜褍写褜-褟泻芯屑褍 胁懈锌邪写泻褍
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/subscribermail.txt
new file mode 100644
index 000000000..8d4dfebb4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/subscribermail.txt
@@ -0,0 +1,22 @@
+袛芯斜褉芯谐芯 写薪褟!
+
+小褌芯褉褨薪泻邪 @PAGE@ 胁 胁褨泻褨 @TITLE@ 蟹屑褨薪懈谢邪褋褟.
+袩械褉械谐谢褟薪褜褌械 蟹屑褨薪懈:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+袛邪褌邪: @DATE@
+袣芯褉懈褋褌褍胁邪褔 : @USER@
+袩褨写褋褍屑芯泻 蟹屑褨薪: @SUMMARY@
+小褌邪褉邪 褉械胁褨蟹褨褟: @OLDPAGE@
+袧芯胁邪 褉械胁褨蟹褑褟: @NEWPAGE@
+
+些芯斜 胁褨写锌懈褋邪褌懈褋褟 胁褨写 褑懈褏 锌芯胁褨写芯屑谢械薪褜, 褍胁褨泄写褨褌褜 胁 胁褨泻褨 蟹邪 邪写褉械褋芯褞
+@DOKUWIKIURL@, 胁褨写胁褨写邪泄褌械 @NEWPAGE@
+褌邪 芯斜械褉褨褌褜 '袙褨写锌懈褋邪褌懈褋褟'
+
+--
+笑械 锌芯胁褨写芯屑谢械薪薪褟 褋褌胁芯褉械薪械 袛芯泻褍袙褨泻褨 蟹
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/updateprofile.txt
new file mode 100644
index 000000000..d043f99a7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/updateprofile.txt
@@ -0,0 +1,5 @@
+====== 袨薪芯胁懈褌懈 胁邪褕 锌褉芯褎褨谢褜 ======
+
+袧械芯斜褏褨写薪芯 蟹邪锌芯胁薪懈褌懈 褌褨谢褜泻懈 褌褨 锌芯谢褟, 褟泻褨 胁懈 斜邪卸邪褦褌械 蟹屑褨薪懈褌懈. 袙懈 薪械 屑芯卸械褌械 蟹屑褨薪懈褌懈 褨屑鈥櫻 泻芯褉懈褋褌褍胁邪褔邪.
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/uploadmail.txt
new file mode 100644
index 000000000..6eb8c93c9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/uploadmail.txt
@@ -0,0 +1,14 @@
+袧邪 胁邪褕褍 袛芯泻褍袙褨泻褨 蟹邪胁邪薪褌邪卸械薪芯 褎邪泄谢. 袛械褌邪谢褨:
+
+肖邪泄谢 : @MEDIA@
+袛邪褌邪 : @DATE@
+袘褉邪褍蟹械褉 : @BROWSER@
+IP-袗写褉械褋邪 : @IPADDRESS@
+袧邪蟹胁邪 胁褍蟹谢邪 : @HOSTNAME@
+袪芯蟹屑褨褉 : @SIZE@
+孝懈锌 MIME : @MIME@
+袣芯褉懈褋褌褍胁邪褔 : @USER@
+
+--
+笑械泄 谢懈褋褌 邪胁褌芯屑邪褌懈褔薪芯 褋褌胁芯褉械薪芯 袛芯泻褍袙褨泻褨 薪邪 褋邪泄褌褨:
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/wordblock.txt
new file mode 100644
index 000000000..869f93a87
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/uk/wordblock.txt
@@ -0,0 +1,4 @@
+====== 袟邪斜谢芯泻芯胁邪薪懈泄 小袩袗袦 ======
+
+袙邪褕褨 蟹屑褨薪懈 **薪械 斜褍谢懈** 蟹斜械褉械卸械薪褨 褌芯屑褍 褖芯 胁芯薪懈 屑褨褋褌褟褌褜 芯写薪械 褔懈 写械泻褨谢褜泻邪 蟹邪斜谢芯泻芯胁邪薪懈褏 褋谢褨胁. 携泻褖芯 胁懈 薪邪屑邪谐邪谢懈褋褜 写芯写邪褌懈 褋锌邪屑 写芯 胁褨泻褨, 褌芯 薪械褏邪泄 胁邪屑 斜褍写械 褋芯褉芯屑薪芯. 携泻褖芯 胁懈 胁胁邪卸邪褦褌械, 褖芯 褑械 锌芯屑懈谢泻邪, 蟹胁械褉薪褨褌褜褋褟 写芯 邪写屑褨薪褨褋褌褉邪褌芯褉邪 褑褨褦褩 袙褨泻褨.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/admin.txt
new file mode 100644
index 000000000..d8ac73e8c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/admin.txt
@@ -0,0 +1,3 @@
+====== Qu岷 l媒 ======
+
+Sau 膽芒y l脿 c谩c m峄 qu岷 l媒 trong DokuWiki.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/backlinks.txt
new file mode 100644
index 000000000..231ab5d8c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/backlinks.txt
@@ -0,0 +1,3 @@
+====== N峄慽 v峄 tr瓢峄沜 ======
+
+膼芒y l脿 danh s谩ch c谩c trang h矛nh nh瓢 膽茫 n峄慽 v脿o trang n脿y.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/conflict.txt
new file mode 100644
index 000000000..0df1ddbe4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/conflict.txt
@@ -0,0 +1,5 @@
+====== C贸 phi锚n b岷 m峄沬 h啤n ======
+
+Trang b岷 膽ang bi锚n so岷 c贸 m峄檛 phi锚n b岷 m峄沬 h啤n. Vi峄嘽 n脿y x岷 ra khi m峄檛 b岷 膽峄昳 trang 岷 khi b岷 膽ang bi锚n so岷 trang n脿y.
+
+Xem k峄 nh峄痭g thay 膽峄昳 d瓢峄沬 膽芒y, r峄搃 quy岷縯 膽峄媙h gi峄 phi锚n b岷 n脿o. N岷縰 ch峄峮 ''b岷 l瓢u'', phi锚n b岷 c峄 b岷 膽瓢峄 gi峄 l岷. B岷 ''hu峄'' 膽峄 gi峄 phi锚n b岷 kia.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/denied.txt
new file mode 100644
index 000000000..e70ed5d5f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/denied.txt
@@ -0,0 +1,3 @@
+====== Kh么ng 膽瓢峄 ph茅p v脿o ======
+
+R岷 ti岷縞 l脿 b岷 kh么ng 膽瓢峄 ph茅p 膽峄 ti岷縫 t峄. B岷 quen 膽膬ng nh岷璸 hay sao?
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/diff.txt
new file mode 100644
index 000000000..10bfd0f30
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/diff.txt
@@ -0,0 +1,4 @@
+====== Kh谩c bi峄噒 ======
+
+膼芒y l脿 nh峄痭g kh谩c bi峄噒 gi峄痑 phi锚n b岷 膽瓢峄 ch峄峮 v脿 phi锚n b岷 hi峄噉 t岷 c峄 trang n脿y.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/edit.txt
new file mode 100644
index 000000000..b00316a7c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/edit.txt
@@ -0,0 +1 @@
+Bi锚n so岷 trang n脿y v脿 b岷 ''B岷 l瓢u''. Xem [[wiki:syntax]] v峄 c煤 ph谩p c峄 Wiki. Xin b岷 bi锚n so岷 trang n脿y n岷縰 b岷 c贸 th峄 **c岷 ti岷縩** n贸. N岷縰 b岷 mu峄憂 th铆 nghi峄噈, b岷 c贸 th峄 t岷璸 nh峄痭g b瓢峄沜 膽岷 峄 [[playground:playground]].
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/editrev.txt
new file mode 100644
index 000000000..076466c06
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/editrev.txt
@@ -0,0 +1,2 @@
+**B岷 膽茫 n岷 m峄檛 phi锚n b岷 c农 c峄 v膬n ki峄噉!** N岷縰 b岷 l瓢u, b岷 s岷 t岷 phi锚n b岷 v峄沬 d峄 ki峄噉 n脿y.
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/index.txt
new file mode 100644
index 000000000..708d2030d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/index.txt
@@ -0,0 +1,3 @@
+====== M峄 l峄 ======
+
+膼芒y l脿 m峄 l峄 c峄 t岷 c岷 c谩c trang, x岷縫 theo th峄 t峄 [[doku>namespaces|namespaces]].
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/lang.php
new file mode 100644
index 000000000..23f42d69a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/lang.php
@@ -0,0 +1,106 @@
+<?php
+/**
+ * vietnamese language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author James Do <jdo@myrealbox.com>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+
+$lang['btn_edit'] = 'Bi锚n so岷 trang n脿y';
+$lang['btn_source'] = 'Xem m茫 ngu峄搉';
+$lang['btn_show'] = 'Xem trang';
+$lang['btn_create'] = 'T岷 trang n脿y';
+$lang['btn_search'] = 'T矛m';
+$lang['btn_save'] = 'L瓢u';
+$lang['btn_preview']= 'Duy峄噒 tr瓢峄沜';
+$lang['btn_top'] = 'Tr峄 l锚n tr锚n';
+$lang['btn_revs'] = 'C谩c phi锚n b岷 c农';
+$lang['btn_recent'] = 'Thay 膽峄昳 g岷 膽芒y';
+$lang['btn_upload'] = 'T岷 l锚n';
+$lang['btn_cancel'] = 'Hu峄 b峄';
+$lang['btn_index'] = 'M峄 l峄';
+$lang['btn_secedit']= 'Bi锚n so岷';
+$lang['btn_login'] = '膼膬ng nh岷璸';
+$lang['btn_logout'] = 'Tho谩t';
+$lang['btn_admin'] = 'Qu岷 l媒';
+$lang['btn_update'] = 'C岷璸 nh岷璽';
+$lang['btn_delete'] = 'Xo谩';
+
+$lang['loggedinas'] = 'Username 膽ang d霉ng';
+$lang['user'] = 'Username';
+$lang['pass'] = 'Password';
+$lang['remember'] = 'L瓢u username/password l岷';
+$lang['fullname'] = 'H峄 v脿 t锚n';
+$lang['email'] = 'E-Mail';
+$lang['register'] = '膼膬ng k媒';
+$lang['badlogin'] = 'Username ho岷穋 password kh么ng 膽煤ng.';
+
+$lang['regmissing'] = 'B岷 c岷 膽i峄乶 v脿o t岷 c岷 c谩c tr瓢峄漬g';
+$lang['reguexists'] = 'B岷 kh谩c 膽茫 d霉ng username n脿y r峄搃.';
+$lang['regsuccess'] = '膼茫 t岷 username, v脿 膽茫 g峄焛 password.';
+$lang['regmailfail']= 'Kh么ng g峄焛 password 膽瓢峄. Xin b岷 li锚n h峄 v峄沬 ng瓢峄漣 qu岷 l媒.';
+$lang['regbadmail'] = 'Email h矛nh nh瓢 kh么ng 膽煤ng. Xin b岷 li锚n h峄 v峄沬 ng瓢峄漣 qu岷 l媒.';
+$lang['regpwmail'] = 'Password DokuWiki c峄 b岷 l脿';
+$lang['reghere'] = 'Xin b岷 膽膬ng k媒 username n岷縰 ch瓢a c贸.';
+
+$lang['txt_upload'] = 'Ch峄峮 t峄噋 膽峄 t岷 l锚n';
+$lang['txt_filename'] = '膼i峄乶 wikiname (tu峄 媒)';
+$lang['lockedby'] = '膼ang kho谩 b峄焛';
+$lang['lockexpire'] = 'Kho谩 s岷 h岷縯 h岷 v脿o l煤c';
+$lang['willexpire'] = 'Kho谩 c峄 b岷 膽峄 bi锚n so岷 trang n脿y s岷 h岷縯 h岷 trong v貌ng 1 ph煤t.\n膼峄 tr谩nh xung 膽峄檛, b岷 n锚n b岷 n煤t xem tr瓢峄沜 膽峄 l岷璸 l岷 th峄漣 gian kho谩';
+
+$lang['notsavedyet'] = 'Hi峄噉 c贸 nh峄痭g thay 膽峄昳 ch瓢a 膽瓢峄 b岷 l瓢u, v脿 s岷 m岷.\nB岷 th岷璽 s峄 mu峄憂 ti岷縫 t峄?';
+$lang['rssfailed'] = 'R煤t ngu峄搉 n脿y g岷穚 ph岷 l峄梚';
+$lang['nothingfound']= 'Kh么ng t矛m 膽瓢峄 g矛';
+
+$lang['mediaselect'] = 'Ch峄峮 t峄噋 media';
+$lang['fileupload'] = 'T岷 l锚n t峄噋 media';
+$lang['uploadsucc'] = 'T岷 l锚n th脿nh c么ng';
+$lang['uploadfail'] = 'T岷 l锚n th岷 b岷. C贸 th峄 v矛 kh么ng 膽峄 ph茅p?';
+$lang['uploadwrong'] = 'T岷 l锚n b峄 t峄 ch峄慽. C岷 t岷 lo岷 t峄噋 n脿y';
+$lang['namespaces'] = '膼峄 t脿i';
+$lang['mediafiles'] = 'T峄噋 c贸 s岷祅 峄';
+
+$lang['hits'] = 'Tr霉ng';
+$lang['quickhits'] = 'Trang tr霉ng h峄';
+$lang['toc'] = 'N峄檌 dung';
+$lang['current'] = 'hi峄噉 t岷';
+$lang['yours'] = 'Phi锚n b岷 hi峄噉 t岷';
+$lang['diff'] = 'cho xem kh谩c bi峄噒 v峄沬 phi锚n b岷 hi峄噉 t岷';
+$lang['line'] = 'D貌ng';
+$lang['breadcrumb'] = 'Trang 膽茫 xem';
+$lang['lastmod'] = 'Th峄漣 膽i峄僲 thay 膽峄昳';
+$lang['by'] = 'do';
+$lang['deleted'] = 'b峄 xo谩';
+$lang['created'] = '膽瓢峄 t岷 ra';
+$lang['restored'] = 'phi锚n b岷 c农 膽茫 膽瓢峄 kh么i ph峄';
+$lang['summary'] = 'T贸m t岷痶 bi锚n so岷';
+
+$lang['mail_newpage'] = 'Trang 膽瓢峄 th锚m:';
+$lang['mail_changed'] = 'Trang thay 膽峄昳:';
+
+$lang['js']['nosmblinks'] = "N峄慽 v峄沬 c谩c Windows shares ch峄 c贸 hi峄噓 l峄眂 v峄沬 Microsoft Internet Explorer.\nB岷 v岷玭 c贸 th峄 sao v脿 ch茅p c谩c m峄慶 n峄慽.";
+
+$lang['qb_bold'] = 'Ch峄 膽岷璵';
+$lang['qb_italic'] = 'Ch峄 nghi锚ng';
+$lang['qb_underl'] = 'Ch峄 g岷h d瓢峄沬';
+$lang['qb_code'] = 'Ch峄 m茫 ngu峄搉';
+$lang['qb_h1'] = '膼岷 膽峄 c岷 1';
+$lang['qb_h2'] = '膼岷 膽峄 c岷 2';
+$lang['qb_h3'] = '膼岷 膽峄 c岷 3';
+$lang['qb_h4'] = '膼岷 膽峄 c岷 4';
+$lang['qb_h5'] = '膼岷 膽峄 c岷 5';
+$lang['qb_link'] = 'M峄慶 n峄慽 n峄檌 t岷';
+$lang['qb_extlink'] = 'M峄慶 n峄慽 ra ngo脿i';
+$lang['qb_hr'] = 'G岷h ngang';
+$lang['qb_ol'] = '膼i峄僲 trong danh s谩ch c贸 th峄 t峄';
+$lang['qb_ul'] = '膼i峄僲 trong danh s谩ch kh么ng 膽谩nh s峄';
+$lang['qb_media'] = 'Th锚m 岷h v脿 t峄噋 kh谩c';
+$lang['qb_sig'] = '膼岷穞 ch峄 k媒';
+
+$lang['js']['del_confirm']= 'Xo谩 m峄 n脿y?';
+
+
+//Setup VIM: ex: et ts=2 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/locked.txt
new file mode 100644
index 000000000..acb0981c5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/locked.txt
@@ -0,0 +1,3 @@
+====== Trang b峄 kho谩 ======
+
+Trang n脿y 膽ang b峄 kho谩 膽峄 m峄檛 b岷 kh谩c bi锚n so岷. B岷 c岷 膽峄 cho 膽岷縩 khi n脿o b岷 kia 膽茫 bi锚n so岷 xong, ho岷穋 kho谩 h岷縯 h岷.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/login.txt
new file mode 100644
index 000000000..4265a79df
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/login.txt
@@ -0,0 +1,3 @@
+====== 膼膬ng nh岷璸 ======
+
+Hi峄噉 b岷 ch瓢a 膽膬ng nh岷璸! 膼i峄乶 v脿o nh峄痭g chi ti岷縯 ch峄﹏g minh 峄 ph铆a d瓢峄沬. M谩y c峄 b岷 c岷 膽岷穞 ch岷 膽峄 nh岷璶 cookies 膽峄 膽膬ng nh岷璸.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/mailtext.txt
new file mode 100644
index 000000000..3fcdf5595
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/mailtext.txt
@@ -0,0 +1,16 @@
+M峄檛 trang tr锚n DokuWiki c峄 b岷 v峄玜 膽瓢峄 b峄 sung ho岷穋 thay 膽峄昳. Sau 膽芒y l脿 chi ti岷縯:
+
+Date : @DATE@
+Browser : @BROWSER@
+IP-Address : @IPADDRESS@
+Hostname : @HOSTNAME@
+Old Revision: @OLDPAGE@
+New Revision: @NEWPAGE@
+Edit Summary: @SUMMARY@
+User : @USER@
+
+@DIFF@
+
+--
+This mail was generated by DokuWiki at
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/newpage.txt
new file mode 100644
index 000000000..b03bb5224
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/newpage.txt
@@ -0,0 +1,3 @@
+====== Ch瓢a c贸 膽峄 t脿i n脿y ======
+
+B岷 v峄玜 n峄慽 v脿o m峄檛 膽峄 t脿i ch瓢a c贸. B岷 c贸 t岷 膽峄 t脿i n脿y b岷眓g c谩ch b岷 v脿o n煤t ''T岷 trang n脿y''.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/norev.txt
new file mode 100644
index 000000000..0fa27d898
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/norev.txt
@@ -0,0 +1,3 @@
+====== Phi锚n b岷 ch瓢a c贸 ======
+
+Ch瓢a c贸 phi锚n b岷 膽瓢峄 ch峄 膽峄媙h. Xin b岷 n煤t ''Phi锚n b岷 c农'' 膽峄 xem danh s谩ch c谩c phi锚n b岷 c峄 v膬n ki峄噉 n脿y.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/preview.txt
new file mode 100644
index 000000000..81069a2c4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/preview.txt
@@ -0,0 +1,3 @@
+====== Xem tr瓢峄沜 ======
+
+V膬n ki峄噉 c峄 b岷 s岷 th峄 hi峄噉 nh瓢 sau. N锚n nh峄: V膬n ki峄噉 n脿y **ch瓢a 膽瓢峄 b岷 l瓢u**!
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/read.txt
new file mode 100644
index 000000000..ffeffc7bf
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/read.txt
@@ -0,0 +1 @@
+Trang n脿y ch峄 膽瓢峄 膽峄峜 th么i. B岷 c贸 th峄 xem m茫 ngu峄搉, nh瓢ng kh么ng 膽瓢峄 thay 膽峄昳. Xin b岷 h峄廼 ng瓢峄漣 qu岷 l媒 n岷縰 kh么ng 膽煤ng.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/recent.txt
new file mode 100644
index 000000000..fe6628f6e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/recent.txt
@@ -0,0 +1,3 @@
+====== Thay 膽峄昳 g岷 膽芒y ======
+
+Nh峄痭g trang sau 膽瓢峄 thay 膽峄昳 g岷 膽芒y.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/register.txt
new file mode 100644
index 000000000..f7d35c84b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/register.txt
@@ -0,0 +1,3 @@
+====== 膼膬ng k媒 m峄沬 ======
+
+Xin 膽i峄乶 v脿o m峄峣 th么ng tin sau 膽芒y 膽峄 t岷 m峄檛 username m峄沬 cho wiki n脿y. B岷 c岷 cung c岷 **e-mail ch铆nh x谩c** - 膽峄 g峄焛 password m峄沬 c峄 b岷 膽岷縩 膽岷. Username c岷 l脿 m峄檛 [[doku>pagename|pagename]] h峄 l峄.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/revisions.txt
new file mode 100644
index 000000000..943e3fff1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/revisions.txt
@@ -0,0 +1,3 @@
+====== Phi锚n b岷 c农 ======
+
+Sau 膽芒y l脿 c谩c phi锚n b岷 c农 c峄 v膬n ki峄噉 n脿y. 膼峄 qu芒y v峄 m峄檛 phi锚n b岷 c农, ch峄峮 峄 ph铆a d瓢峄沬, b岷 v脿o ''Bi锚n so岷 trang n脿y'' 膽峄 b岷 l瓢u.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/searchpage.txt
new file mode 100644
index 000000000..821ca9f7b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/searchpage.txt
@@ -0,0 +1,5 @@
+====== T矛m ======
+
+Sau 膽芒y l脿 k岷縯 qu岷 c峄 c芒u h峄廼 c峄 b岷. N岷縰 b岷 kh么ng th岷 膽瓢峄 nh峄痭g g矛 b岷 膽ang t矛m, b岷 c贸 th峄 m峄檛 trang m峄沬, c霉ng t锚n c芒u h峄廼 c峄 b岷, b岷眓g c谩ch b岷 v脿o n煤t ''Bi锚n so岷 trang n脿y''.
+
+===== K岷縯 qu岷 =====
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/showrev.txt
new file mode 100644
index 000000000..a146f4ef3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/showrev.txt
@@ -0,0 +1,2 @@
+**膼芒y l脿 m峄檛 phi锚n b岷 c农 c霉a v膬n ki峄噉!**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/wordblock.txt
new file mode 100644
index 000000000..b219ca141
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/vi/wordblock.txt
@@ -0,0 +1,3 @@
+====== SPAM b峄 ch岷璶 ======
+
+C谩c thay 膽峄昳 **kh么ng** 膽瓢峄 b岷 l瓢u v矛 c贸 m峄檛 ho岷穋 nhi峄乽 t峄 b峄 ng膬n ch岷璶. N岷縰 mu峄憂 spam Wiki n脿y -- ng瓢ng li峄乶! N岷縰 膽芒y l脿 m峄檛 sai l岷, li锚n l岷 v峄沬 ng瓢峄漣 qu岷 l媒 c峄 Wiki.
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/admin.txt
new file mode 100644
index 000000000..3cc10335d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/admin.txt
@@ -0,0 +1,4 @@
+====== 绠$悊閬稿柈 ======
+
+浠ヤ笅鐐 DokuWiki 鐨勭鐞嗚ō瀹
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/adminplugins.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/adminplugins.txt
new file mode 100644
index 000000000..fb1999269
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/adminplugins.txt
@@ -0,0 +1 @@
+===== 澶栧姞鎻掍欢 ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/backlinks.txt
new file mode 100644
index 000000000..381a76812
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/backlinks.txt
@@ -0,0 +1,5 @@
+====== 琚紩鐢ㄧ殑閫g祼(Backlinks) ======
+
+閫欒!鏄湁寮曠敤銆侀g祼鍒板師鍏堥爜闈㈢殑娓呭柈銆
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/conflict.txt
new file mode 100644
index 000000000..e52613dc8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/conflict.txt
@@ -0,0 +1,4 @@
+====== 鏈変竴鍊嬫洿鏂扮殑鐗堟湰宸插瓨鍦 ======
+
+鏈変竴鍊嬫偍鎵绶ㄨ集鐨勬洿鏂扮増鏈凡缍撳瓨鍦ㄤ簡銆俓\ 閫欑媭娉佷箣鎵浠ユ渻鐧肩敓锛氫箖鏄洜鐐虹暥鎮ㄦ鍦ㄧ法淇畠鐨勬檪鍊欙紝鑰屽叾浠栦娇鐢ㄨ呭凡璁婃洿閫欎唤鏂囦欢銆俓\ 璜嬫椹椾互涓嬬殑宸暟锛岀劧寰屾焙瀹氳鐢ㄥ摢涓浠姐俓\ 鑻ユ偍閬告搰銆''鍎插瓨''銆嶏紝閭f偍鐨勭増鏈氨鏈冭瀛樹笅渚嗕簡銆傝屻屽彇娑堛嶅墖鏈冧繚鐣欑偤鐝惧湪閫欑増鏈
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/denied.txt
new file mode 100644
index 000000000..20c512abc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/denied.txt
@@ -0,0 +1,4 @@
+====== 鎷掔禃灏氭湭鎺堟瑠 ======
+
+寰堟姳姝夋偍娆婇檺涓嶅浠ヨ嚧鐒℃硶绻肩簩銆傛垨瑷辨偍蹇樹簡鍏堢櫥鍏ユ偍鐨勫赋铏熷棊锛
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/diff.txt
new file mode 100644
index 000000000..a064f81ed
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/diff.txt
@@ -0,0 +1,4 @@
+====== 宸暟铏 ======
+
+閫欒!鏈冮’绀哄嚭鎵閬哥殑鐗堟鑸囩洰鍓嶇増娆$殑宸暟铏曘
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/draft.txt
new file mode 100644
index 000000000..81a092be0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/draft.txt
@@ -0,0 +1,5 @@
+====== 鐧肩従鑽夌ǹ妾旀 ======
+
+鎮ㄤ笂娆$法杓闋侀潰涓︽湭姝g⒑鐨勫畬鎴愩侱okuWiki鍦ㄦ偍绶ㄨ集鐨勬檪鍊欒嚜鍕曞劜瀛樹竴浠借崏绋夸娇寰楁偍鍙互绻肩簩绶ㄨ集銆備互涓嬫偍鍙互瑙鐪嬩笂娆$法杓殑璩囨枡銆
+
+璜嬫焙瀹氫綘瑕//寰╁師//鎮ㄩ伜澶辩殑绶ㄨ集鏂囦欢锛//鍒櫎//閫欎唤鑽夌ǹ锛屾垨鑰//鍙栨秷//鐩墠鐨勭法杓▼搴忋
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/edit.txt
new file mode 100644
index 000000000..5be7fbb4e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/edit.txt
@@ -0,0 +1 @@
+鎻愮ず锛氱法淇湰闋佷甫鎸夈''鍎插瓨''銆嶅嵆鍙 涓嶆噦 Wiki 瑾炴硶锛熸矑闂滀總榛炰竴涓 [[wiki:syntax|涓枃瑾炴硶]] 銆傝嫢鎮ㄨ寰楀彲璁撴湰鏂囧搧璩**鏇村ソ**銆嶇殑瑭憋紝閭e氨璜嬬辜绾屽惂 :) \\ 銆銆銆浣嗚嫢鍙兂绶寸繏鎴栨脯瑭︽澅瑗跨殑瑭憋紝閭i杭璜嬪厛澶氬埄鐢 [[playground:playground|鏂版墜瑭︾厜鍫碷] 渚嗚│鐓夋偍鐨勮韩鎵嬪惂銆
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/editrev.txt
new file mode 100644
index 000000000..b344e0772
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/editrev.txt
@@ -0,0 +1,2 @@
+**鎮ㄧ洰鍓嶈級鍏ョ殑鏄湰浠芥枃浠剁殑鑸婄増锛侊紒** 鑻ュ瓨妾旂殑瑭憋紝閭i欎簺璩囨枡灏辨渻琚瓨鎴愬彟涓浠戒簡鍠斻
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/index.txt
new file mode 100644
index 000000000..961095bff
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/index.txt
@@ -0,0 +1,3 @@
+====== 绱㈠紩闋 ======
+
+鐩墠鎮ㄦ墍鐪嬪埌鐨勬槸鐢 [[doku>namespaces|namespaces]] 渚嗘帓搴忕洰鍓嶆墍鏈夊彲鐢ㄧ殑闋侀潰娓呭柈銆俓\ 璜嬬洿鎺ユ寜鎮ㄦ兂瑕佺殑闋侀潰鎴栬呯敤銆岄’绀洪爜闈€嶄締妾㈣鐩墠鎵鍦ㄩ爜闈
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/install.html
new file mode 100644
index 000000000..879c152b5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/install.html
@@ -0,0 +1,8 @@
+<p>鏈〉闈㈡棬鍦ㄥ府鍔╂偍瀹屾垚绗竴娆″畨瑁呭拰閰嶇疆 <a href="http://dokuwiki.org">Dokuwiki</a>銆傚叧浜庡畨瑁呭伐鍏风殑鏇村淇℃伅璇峰弬闃呭叾 <a href="http://dokuwiki.org/installer">瀹樻柟鏂囨。椤甸潰</a>銆</p>
+
+<p>DokuWiki 浣跨敤鏅氱殑鏂囦欢淇濆瓨缁村熀椤甸潰鍜屽叾浠栦笌杩欎簺椤甸潰鎸傞挬鐨勪俊鎭紙渚嬪锛氬浘鍍忥紝鎼滅储绱㈠紩锛屼慨璁㈣褰曠瓑锛夈備负浜嗚兘姝e父杩愯锛孌okuWiki <strong>蹇呴』</strong> 鎷ユ湁閽堝閭d簺璺緞鍜屾枃浠剁殑鍐欐潈闄愩傛湰瀹夎宸ュ叿涓嶈兘鐢ㄤ簬璁剧疆杩欎簺鏉冮檺銆傚鏉冮檺鐨勬搷浣滈氬父閫氳繃鍛戒护琛屾垨浣跨敤鎮ㄧ殑缃戠粶鏈嶅姟鎻愪緵鍟嗙殑 FTP 鎴栨帶鍒堕潰鏉匡紙渚嬪 cPanel锛夎繘琛屾搷浣溿</p>
+
+<p>鏈畨瑁呭伐鍏峰皢璁剧疆鎮ㄧ殑 DokuWiki 閰嶇疆 <acronym title="璁块棶鎺у埗鍒楄〃">ACL</acronym>锛屽畠鑳借绠$悊鍛樼櫥褰曞苟浣跨敤鈥滅鐞嗏濆姛鑳芥潵瀹夎鎻掍欢锛岀鐞嗙敤鎴凤紝绠$悊璁块棶鏉冮檺鍜屽叾浠栭厤缃缃傚畠骞朵笉鏄 DokuWiki 姝e父杩愯鎵蹇呴』鐨勶紝浣嗗畨瑁呬箣鍚庡畠灏嗘洿鏂逛究鎮ㄧ殑绠$悊銆</p>
+
+<p>鏈夌粡楠岀殑鐢ㄦ埛鎴栨湁鐗规畩闇姹傜殑鐢ㄦ埛璇峰弬闃呮洿璇︾粏鐨 <a href="http://dokuwiki.org/install">瀹夎鎸囧崡</a>
+鍜 <a href="http://dokuwiki.org/config">閰嶇疆璁剧疆</a>銆</p> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/lang.php
new file mode 100644
index 000000000..f936123f4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/lang.php
@@ -0,0 +1,252 @@
+<?php
+/**
+ * Chinese(Traditional) language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author chinsan <chinsan@mail2000.com.tw>
+ * @author Li-Jiun Huang <ljhuang.tw@gmail.com>
+ * @author http://www.chinese-tools.com/tools/converter-simptrad.html
+ * @author Wayne San <waynesan@zerozone.tw>
+ * @author Li-Jiun Huang <ljhuang.tw@gmai.com>
+ * @author Cheng-Wei Chien <e.cwchien@gmail.com>
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '鈥';
+$lang['btn_edit'] = '绶ㄤ慨鏈爜';
+$lang['btn_source'] = '椤ず闋侀潰渚嗘簮';
+$lang['btn_show'] = '椤ず闋侀潰';
+$lang['btn_create'] = '寤虹珛姝ら爜';
+$lang['btn_search'] = '鎼滃皨';
+$lang['btn_save'] = '鍎插瓨';
+$lang['btn_preview'] = '闋愯';
+$lang['btn_top'] = '鍥炲埌闋侀爞';
+$lang['btn_newer'] = '<< 杓冩柊';
+$lang['btn_older'] = '杓冭垔 >>';
+$lang['btn_revs'] = '鑸婄増';
+$lang['btn_recent'] = '鏈杩戞洿鏂';
+$lang['btn_upload'] = '涓婂偝';
+$lang['btn_cancel'] = '鍙栨秷';
+$lang['btn_index'] = '绱㈠紩闋';
+$lang['btn_secedit'] = '鏀归欐';
+$lang['btn_login'] = '鐧诲叆';
+$lang['btn_logout'] = '鐧诲嚭';
+$lang['btn_admin'] = '绠$悊閬稿柈';
+$lang['btn_update'] = '鏇存柊瑷畾';
+$lang['btn_delete'] = '鍒櫎';
+$lang['btn_back'] = '鍥炰笂涓姝';
+$lang['btn_backlink'] = '鍙嶅悜閫g祼';
+$lang['btn_backtomedia'] = '閲嶆柊閬告搰鍦栨獢';
+$lang['btn_subscribe'] = '瑷傞柋鏇村嫊閫氱煡';
+$lang['btn_unsubscribe'] = '閫瑷傛洿鍕曢氱煡';
+$lang['btn_subscribens'] = '瑷傞柋鍛藉悕绌洪枔鏇存敼';
+$lang['btn_unsubscribens'] = '閫瑷傚懡鍚嶇┖闁撴洿鏀';
+$lang['btn_profile'] = '鏇存柊鍊嬩汉璩囨枡';
+$lang['btn_reset'] = '璩囨枡閲嶈ō';
+$lang['btn_resendpwd'] = '瀵勬柊瀵嗙⒓';
+$lang['btn_draft'] = '绶ㄨ集鑽夌ǹ';
+$lang['btn_recover'] = '寰╁師鑽夌ǹ';
+$lang['btn_draftdel'] = '鎹ㄦ鑽夌ǹ';
+$lang['btn_revert'] = '寰╁師';
+$lang['loggedinas'] = '鐧诲叆鐐';
+$lang['user'] = '甯宠櫉';
+$lang['pass'] = '瀵嗙⒓';
+$lang['newpass'] = '鏂扮殑瀵嗙⒓';
+$lang['oldpass'] = '鐩墠鐨勫瘑纰';
+$lang['passchk'] = '鍐嶆鎵撴柊鐨勫瘑纰';
+$lang['remember'] = '瑷樹綇甯宠櫉瀵嗙⒓';
+$lang['fullname'] = '鏆辩ū';
+$lang['email'] = 'E-Mail';
+$lang['register'] = '瑷诲唺';
+$lang['profile'] = '浣跨敤鑰呭嬩汉璩囨枡';
+$lang['badlogin'] = '寰堟姳姝夛紝鎮ㄧ殑浣跨敤鑰呭悕绋辨垨瀵嗙⒓鍙兘鏈夐尟瑾';
+$lang['minoredit'] = '娆¤鎬х殑淇敼';
+$lang['draftdate'] = '鑽夌ǹ鑷嫊瀛樻獢鏂';
+$lang['nosecedit'] = '姝ら爜闈㈠凡缍撳悓鏅傝淇敼锛岄儴浠介亷鏅傜殑璩囨枡鍙栦唬浜嗗叏闋併';
+$lang['regmissing'] = '寰堟姳姝夛紝鎵鏈夌殑娆勪綅閮借濉摝';
+$lang['reguexists'] = '寰堟姳姝夛紝宸叉湁浜鸿ɑ鍐婅┎甯宠櫉浜嗗枖';
+$lang['regsuccess'] = '浣跨敤鑰呭凡寤虹珛锛屽瘑纰煎凡缍撶敤 email 瀵勫埌鎮ㄤ俊绠变簡鍞枫';
+$lang['regsuccess2'] = '浣跨敤鑰呭凡寤虹珛';
+$lang['regmailfail'] = '瀵勫嚭瀵嗙⒓淇′技涔庣櫦鐢熼尟瑾わ紝璜嬭窡绠$悊鑰呰伅绲★紒';
+$lang['regbadmail'] = '鎮ㄨ几鍏ョ殑 email 浼间箮涓嶅皪锛屽鏋滄偍瑾嶇偤鏄纰虹殑锛岃珛鑸囩鐞嗚呰伅绲°';
+$lang['regbadpass'] = '鍏╂鎵撶殑瀵嗙⒓涓嶄竴鑷达紝璜嬪啀閲嶈│锛岃瑵璎濄';
+$lang['regpwmail'] = '鎮ㄧ殑 DokuWiki 甯宠櫉瀵嗙⒓';
+$lang['reghere'] = '鎮ㄩ倓娌掓湁甯宠櫉灏嶅惂锛熶締瑷诲唺涓鍊嬪惂銆';
+$lang['profna'] = '鏈 wiki 涓嶉枊鏀句慨鏀瑰嬩汉璩囨枡';
+$lang['profnochange'] = '鏈仛浠讳綍璁婃洿';
+$lang['profnoempty'] = '甯宠櫉鎴 email 鍦板潃涓嶅彲浠ユ矑鏈夊鍠旓紒';
+$lang['profchanged'] = '鍊嬩汉璩囨枡宸叉垚鍔熸洿鏂板泬銆';
+$lang['pwdforget'] = '蹇樿瀵嗙⒓鍡庯紵瀵勬柊瀵嗙⒓锛';
+$lang['resendna'] = '鏈 wiki 涓嶉枊鏀鹃噸瀵勬柊瀵嗙⒓';
+$lang['resendpwd'] = '瀵勬柊瀵嗙⒓绲';
+$lang['resendpwdmissing'] = '寰堟姳姝夛紝鎮ㄥ繀闋堝叏濉欎簺璩囨枡鎵嶅彲浠';
+$lang['resendpwdnouser'] = '寰堟姳姝夛紝璩囨枡搴収鏌ョ劇姝や汉';
+$lang['resendpwdbadauth'] = '灏嶄笉璧凤紝瑭茶獚瑷肩⒓閷銆傝珛浣跨敤瀹屾暣鐨勭⒑瑾嶉張鎺ャ';
+$lang['resendpwdconfirm'] = '纰鸿獚閺堟帴宸茬稉閫氶亷閮典欢鐧奸佺郸鎮ㄤ簡銆';
+$lang['resendpwdsuccess'] = '鏂板瘑纰煎嚱宸茬稉浠 email 瀵勫嚭浜嗐';
+$lang['license'] = '濡傛湭鐗瑰垾瑷绘槑锛屾 wiki 涓婂緱鍏у閮芥槸鏍规摎浠ヤ笅鐨勬巿娆婃柟寮忥細';
+$lang['licenseok'] = '娉ㄦ剰锛氱法杓闋侀潰琛ㄧず浣犲凡鍚屾剰浠ヤ笅鐨勬巿娆婃柟寮忥細';
+$lang['searchmedia'] = '鎼滃皨妾斿悕锛';
+$lang['searchmedia_in'] = '鍦 %s 瑁℃悳灏';
+$lang['txt_upload'] = '璜嬮伕鎿囪涓婂偝鐨勬獢妗';
+$lang['txt_filename'] = '璜嬭几鍏ヨ瀛樺湪 wiki 鍏х殑妾旀鍚嶇ū (闈炲繀瑕)';
+$lang['txt_overwrt'] = '鏄惁瑕佽钃嬪師鏈夋獢妗';
+$lang['lockedby'] = '鐩墠宸茶涓嬪垪浜哄摗閹栧畾';
+$lang['lockexpire'] = '闋愯▓瑙i櫎閹栧畾鏂';
+$lang['willexpire'] = '鎮ㄧ洰鍓嶇法杓欓爜鐨勯帠瀹氬皣鏈冨湪涓鍒嗛悩鍏цВ闄ゃ俓鑻ヨ閬垮厤鐧肩敓鎰忓锛岃珛鎸夈岄爯瑕姐嶉嵉渚嗛噸鏂拌ō瀹氶帠瀹氱媭鎱';
+$lang['notsavedyet'] = '鏈夊皻鏈劜瀛樼殑璁婃洿灏囨渻閬哄け銆俓n鐪熺殑瑕佺辜绾屽棊锛';
+$lang['rssfailed'] = '鐣舵姄鍙栭さ閫侀亷渚嗙殑 RSS 璩囨枡鏅傜櫦鐢熼尟瑾: ';
+$lang['nothingfound'] = '娌掓壘鍒颁换浣曠祼鏋溿';
+$lang['mediaselect'] = '閬告搰鍦栨獢';
+$lang['fileupload'] = '涓婂偝鍦栨獢';
+$lang['uploadsucc'] = '涓婂偝鎴愬姛';
+$lang['uploadfail'] = '涓婂偝澶辨晽銆傛垨瑷辨瑠闄愯ō瀹氶尟瑾や簡鍡庯紵';
+$lang['uploadwrong'] = '鎷掔禃涓婂偝銆傝┎妾旀椤炲瀷涓嶈鏀彺銆';
+$lang['uploadexist'] = '瑭叉獢妗堝凡鏈夊瓨鍦ㄤ簡鍠旓紝鏁呭彇娑堜笂鍌冲嫊浣溿';
+$lang['uploadbadcontent'] = '涓婂偝妾旀鐨勫収瀹逛笉绗﹀悎 %s 妾旂殑鍓獢鍚';
+$lang['uploadspam'] = '琚玈PAM榛戝悕鍠檺鍒朵笂鍌';
+$lang['uploadxss'] = '鍥犵偤鍙兘鎯℃剰鐨勫収瀹硅闄愬埗涓婂偝';
+$lang['uploadsize'] = '涓婂偝鐨勬獢妗堝昂瀵搁亷澶(鏈澶э細%s)';
+$lang['deletesucc'] = '"%s" 妾斿凡鍒櫎瀹岀暍銆';
+$lang['deletefail'] = '"%s" 妾旂劇娉曞埅闄わ紝璜嬪厛妾㈡煡娆婇檺瑷畾銆';
+$lang['mediainuse'] = '"%s" 妾斿洜閭勫湪浣跨敤涓紝鏁呯洰鍓嶅皻鐒℃硶鍒櫎銆';
+$lang['namespaces'] = '鍛藉悕绌洪枔';
+$lang['mediafiles'] = '鍙敤鐨勬獢妗堟湁';
+$lang['js']['searchmedia'] = '鎼滃皨妾旀';
+$lang['js']['keepopen'] = '鏂奸伕鎿囨檪淇濇寔瑕栫獥闁嬪暉';
+$lang['js']['hidedetails'] = '闅辫棌瑭崇窗鍏у';
+$lang['js']['nosmblinks'] = '鍙湁鍦 Microsoft IE 涓嬫墠鑳藉煼琛屻岄g祼鍒 Windows shares銆嶃
+涓嶉亷鎮ㄤ粛鍙嫹璨濄佽瑁介欓g祼';
+$lang['js']['linkwiz'] = '寤虹珛閫g祼绮鹃潏';
+$lang['js']['linkto'] = '閫h嚦锛';
+$lang['js']['del_confirm'] = '纰哄畾瑕佸埅闄よ┎绠$悊瑕忓墖?';
+$lang['js']['mu_btn'] = '涓婂偝澶氬嬫獢妗';
+$lang['mediausage'] = '浣跨敤浠ヤ笅鐨勮獮娉曚締閫g祼姝ゆ獢妗:';
+$lang['mediaview'] = '妾㈣鍘熷妾旀';
+$lang['mediaroot'] = 'root';
+$lang['mediaupload'] = '涓婂偝鏂囦欢鑷崇暥鍓嶇殑鍛藉悕绌洪枔銆傝鍓靛缓娆$礆鍛藉悕绌洪枔锛屽皣鍏跺悕绋卞姞鍦ㄢ滀笂鍌充甫閲嶅懡鍚嶇偤鈥濇枃浠跺悕鐨勫墠闈紝涓︾敤鑻辨枃鍐掕櫉闅旈枊';
+$lang['mediaextchange'] = '妾旀椤炲瀷宸茬敱 .%s 璁婃洿鐐 .%s 鍥!';
+$lang['reference'] = '寮曠敤鍒版湰闋佺殑锛屽悎瑷堟湁';
+$lang['ref_inuse'] = '閫欐獢閭勪笉鑳藉埅闄わ紝鍥犵偤閭勬湁浠ヤ笅鐨勯爜闈㈠湪浣跨敤瀹冿細';
+$lang['ref_hidden'] = '鏈変簺寮曠敤鍒伴欏嬬殑闋侀潰锛屾偍鐩墠閭勬矑鏈夋瑠闄愬彲璁鍙栧枖銆';
+$lang['hits'] = '鍊嬬鍚';
+$lang['quickhits'] = '绗﹀悎鐨勯爜闈㈠悕绋';
+$lang['toc'] = '鏈爜鐩寗';
+$lang['current'] = '鐩墠鐗堟湰';
+$lang['yours'] = '鎮ㄧ殑鐗堟湰';
+$lang['diff'] = '椤ず璺熺洰鍓嶇増鏈殑宸暟';
+$lang['diff2'] = '椤ず鑸囬伕鎿囩増鏈殑宸暟';
+$lang['line'] = '琛';
+$lang['breadcrumb'] = '鐩墠鐨勮冻璺';
+$lang['youarehere'] = '(鐩墠鎵鍦ㄤ綅缃)';
+$lang['lastmod'] = '涓婁竴娆¤畩鏇';
+$lang['by'] = '渚嗚嚜';
+$lang['deleted'] = '绉婚櫎';
+$lang['created'] = '寤虹珛';
+$lang['restored'] = '宸叉仮寰╃偤鑸婄増';
+$lang['external_edit'] = '澶栭儴绶ㄨ集';
+$lang['summary'] = '绶ㄨ集鎽樿';
+$lang['noflash'] = '椤ず姝ゅ収瀹归渶瑕<a href="http://www.adobe.com/products/flashplayer/">Adobe Flash Plugin</a>';
+$lang['download'] = '涓嬭級绋嬪紡纰肩墖娈';
+$lang['mail_newpage'] = '澧炲姞鐨勯爜闈:';
+$lang['mail_changed'] = '璁婃洿鐨勯爜闈:';
+$lang['mail_new_user'] = '鏂颁娇鐢ㄨ:';
+$lang['mail_upload'] = '宸蹭笂鍌虫獢妗:';
+$lang['qb_bold'] = '绮楅珨';
+$lang['qb_italic'] = '鏂滈珨';
+$lang['qb_underl'] = '搴曠窔';
+$lang['qb_code'] = '绋嬪紡纰';
+$lang['qb_strike'] = '鍒櫎绶';
+$lang['qb_h1'] = 'H1 妯欓';
+$lang['qb_h2'] = 'H2 妯欓';
+$lang['qb_h3'] = 'H3 妯欓';
+$lang['qb_h4'] = 'H4 妯欓';
+$lang['qb_h5'] = 'H5 妯欓';
+$lang['qb_h'] = '妯欓';
+$lang['qb_hs'] = '閬告搰妯欓';
+$lang['qb_hplus'] = '杓冨ぇ妯欓';
+$lang['qb_hminus'] = '杓冨皬妯欓';
+$lang['qb_hequal'] = '鍚岀瓑妯欓';
+$lang['qb_link'] = 'WIKI鍏ч儴閫g祼';
+$lang['qb_extlink'] = '閫g祼澶栭儴URL';
+$lang['qb_hr'] = '姘村钩绶';
+$lang['qb_ol'] = '闋呯洰琛(鏁稿瓧)';
+$lang['qb_ul'] = '闋呯洰琛(绗﹁櫉)';
+$lang['qb_media'] = '鍔犲叆鍦栫墖鎴栨獢妗';
+$lang['qb_sig'] = '鎻掑叆绨藉悕';
+$lang['qb_smileys'] = '琛ㄦ儏绗﹁櫉';
+$lang['qb_chars'] = '鐗规畩瀛楀厓';
+$lang['upperns'] = '鍓嶅線鐖跺懡鍚嶇┖闁';
+$lang['admin_register'] = '鏂板浣跨敤鑰呬腑';
+$lang['metaedit'] = '鏇存敼鐩哥墖璩囨枡(EXIF)';
+$lang['metasaveerr'] = '鐩哥墖璩囨枡(EXIF)鍎插瓨澶辨晽鍠';
+$lang['metasaveok'] = '鐩哥墖璩囨枡宸叉垚鍔熷劜瀛';
+$lang['img_backto'] = '鍥炰笂涓闋';
+$lang['img_title'] = '妯欓';
+$lang['img_caption'] = '鐓х墖瑾槑';
+$lang['img_date'] = '鏃ユ湡';
+$lang['img_fname'] = '妾斿悕';
+$lang['img_fsize'] = '澶у皬';
+$lang['img_artist'] = '鏀濆奖鑰';
+$lang['img_copyr'] = '鐗堟瑠';
+$lang['img_format'] = '鏍煎紡';
+$lang['img_camera'] = '鐩告';
+$lang['img_keywords'] = '闂滈嵉瀛';
+$lang['subscribe_success'] = '宸插皣銆%s銆忓姞鍏 %s 瑷傞柋娓呭柈鍏';
+$lang['subscribe_error'] = '瑕佹妸銆%s銆忓姞鍏 %s 瑷傞柋娓呭柈鏅傦紝鐧肩敓閷';
+$lang['subscribe_noaddress'] = '鎮ㄧ殑甯宠櫉鍏т甫鐒 Email 璩囨枡锛屽洜姝ら倓鐒℃硶浣跨敤瑷傞柋鍔熻兘鍞枫';
+$lang['unsubscribe_success'] = '宸插皣銆%s銆忓緸 %s 瑷傞柋娓呭柈涓Щ闄';
+$lang['unsubscribe_error'] = '瑕佹妸銆%s銆忓緸 %s 瑷傞柋娓呭柈涓Щ闄ゆ檪锛岀櫦鐢熼尟瑾';
+$lang['authmodfailed'] = '甯宠櫉瑾嶈瓑鐨勮ō瀹氫笉姝g⒑锛岃珛閫氱煡瑭 Wiki 绠$悊鍝°';
+$lang['authtempfail'] = '甯宠櫉瑾嶈瓑鐩墠鏆笉鎻愪緵锛岃嫢鏈媭娉佹寔绾岀櫦鐢熺殑瑭憋紝璜嬮氱煡瑭 Wiki 绠$悊鍝°';
+$lang['i_chooselang'] = '閬告搰鎮ㄧ殑瑾炵郴';
+$lang['i_installer'] = 'DokuWiki 瀹夎宸ュ叿';
+$lang['i_wikiname'] = 'Wiki鍚嶇ū';
+$lang['i_enableacl'] = '浣跨敤ACL(寤鸿)';
+$lang['i_superuser'] = '瓒呯礆鐢ㄦ埗';
+$lang['i_problems'] = 'Installer鐧肩従涓浜涘晱椤岋紝椤ず濡備笅銆傛偍灏囩劇娉曠辜绾岀洿鍒版偍淇瀹冨戙';
+$lang['i_modified'] = '鐢辨柤瀹夊叏涓婄殑鑰冩叜锛岃┎鑵虫湰闅昏兘鐢ㄦ柤鍏ㄦ柊涓斿仛浠讳綍鏀瑰嫊鐨 Dokuwiki 瀹夎鍖呫
+ 鎮ㄥ彲浠ラ噸鏂拌В澹撲笅杓夌殑绋嬪簭鍖咃紝鎴栨煡闁卞畬鏁寸殑
+ <a href=\"http://dokuwiki.org/install\">Dokuwiki 瀹夎鎸囧崡</a>';
+$lang['i_funcna'] = 'PHP function <code>%s</code> 鐒℃硶浣跨敤. 涔熻ū浣犵殑涓绘渚涙噳鑰呭仠鐢ㄥ畠鎴栨槸鍏朵粬鍘熷洜?';
+$lang['i_phpver'] = '鎮ㄧ殑 PHP 鐗堟湰 <code>%s</code> 姣旀墍闇瑕佺殑鐗堟湰 <code>%s</code> 閭勪綆. 鎮ㄩ渶瑕佹洿鏂版偍鐨凱HP.';
+$lang['i_permfail'] = '<code>%s</code> 鐒℃硶琚 DokuWiki 鎵瀵叆. 鎮ㄩ渶瑕佷慨姝h┎鐩寗鐨勬瑠闄!';
+$lang['i_confexists'] = '<code>%s</code>宸茬稉瀛樺湪';
+$lang['i_writeerr'] = '鐒℃硶寤虹珛 <code>%s</code>. 鎮ㄥ繀闋堟鏌ョ洰閷/妾旀鐨勬瑠闄愪甫鎵嬪嫊寤虹珛瑭叉獢妗.';
+$lang['i_badhash'] = '鐒℃硶杈ㄨ瓨鎴栬璁婃洿鐨刣okuwiki.php (hash=<code>%s</code>)';
+$lang['i_badval'] = '<code>%s</code> - 闈炴硶鎴栨槸绌虹殑鍊';
+$lang['i_success'] = '瑷畾宸茬稉鎴愬姛鍦板畬鎴. 鎮ㄧ従鍦ㄥ彲浠ュ埅闄 install.php 閫欏嬫獢妗. 绻肩簩鍒
+<a href="doku.php">鎮ㄧ殑鏂 DokuWiki</a>.';
+$lang['i_failure'] = '鍦ㄥ鍏ヨō瀹氭獢鏅傜櫦鐢熶簡涓浜涢尟瑾.鎮ㄥ繀闋堝湪浣跨敤<a href="doku.php">浣犵殑鏂 Dokuwiki</a> 涔嬪墠鎵嬪嫊淇瀹冨';
+$lang['i_policy'] = '鍒濇鐨凙CL鏀跨瓥';
+$lang['i_pol0'] = '闁嬫斁鐨 Wiki (鍙浠讳綍浜鸿畝, 瀵, 涓婂偝)';
+$lang['i_pol1'] = '鍏枊鐨 Wiki (鍙浠讳綍浜鸿畝, 浣嗘槸鍙兘琚ɑ鍐婄殑浣跨敤鑰呭鑸囦笂鍌)';
+$lang['i_pol2'] = '灏侀枆鐨 Wiki (鍙兘琚ɑ鍐婄殑浣跨敤鑰呰畝, 瀵, 涓婂偝)';
+$lang['i_retry'] = '閲嶈│';
+$lang['mu_intro'] = '鎮ㄥ彲浠ュ湪閫欒!涓娆′笂鍌冲鍊嬫獢妗堛傛寜涓嬬忚鎸夐垥鍔犲叆妾旀锛岀劧寰屾寜涓婂偝鎸夐垥闁嬪涓婂偝銆';
+$lang['mu_gridname'] = '妾旀鍚嶇ū';
+$lang['mu_gridsize'] = '妾旀澶у皬';
+$lang['mu_gridstat'] = '鐙鎱';
+$lang['mu_namespace'] = '鍛藉悕绌洪枔';
+$lang['mu_browse'] = '鐎忚';
+$lang['mu_toobig'] = '澶ぇ';
+$lang['mu_ready'] = '婧栧倷涓婂偝';
+$lang['mu_done'] = '瀹屾垚';
+$lang['mu_fail'] = '澶辨晽';
+$lang['mu_authfail'] = '浣滄キ闅庢閫炬檪';
+$lang['mu_progress'] = '@PCT@% 宸蹭笂鍌';
+$lang['mu_filetypes'] = '鎺ュ彈鐨勬獢妗堥鍨';
+$lang['mu_info'] = '妾旀宸蹭笂鍌炽';
+$lang['mu_lasterr'] = '鏈鏂颁竴绛嗛尟瑾ょ磤閷勶細';
+$lang['recent_global'] = '鎮ㄦ鍦ㄩ柋璁鍛藉悕绌洪枔锛 <b>%s</b> 涓殑璁婃洿銆傛偍浜﹀彲瑙鐪嬫暣鍊 wiki 鐨<a href="%s">鏈杩戞洿鏂</a>銆';
+$lang['years'] = '%d 骞村墠';
+$lang['months'] = '%d 鍊嬫湀鍓';
+$lang['weeks'] = '%d 閫卞墠';
+$lang['days'] = '%d 澶╁墠';
+$lang['hours'] = '%d 鍊嬪皬鏅傚墠';
+$lang['minutes'] = '%d 鍒嗛悩鍓';
+$lang['seconds'] = '%s 绉掗悩鍓';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/locked.txt
new file mode 100644
index 000000000..16a06e802
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/locked.txt
@@ -0,0 +1,3 @@
+====== 闋侀潰鐩墠鏄帠瀹氱媭鎱嬩腑 ======
+
+鏈爜鐩墠姝g敱鍏朵粬浣跨敤鑰呯法淇腑锛屾偍蹇呴爤鍏堢瓑鍒颁粬瀹屾垚鎴栬呴帠瀹氱媭鎱嬭嚜鍕曡В闄ゃ
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/login.txt
new file mode 100644
index 000000000..fda49a199
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/login.txt
@@ -0,0 +1,5 @@
+====== 鐧诲叆 ======
+
+鎮ㄥ皻鏈櫥鍏ワ紝璜嬭几鍏ユ偍鐨勪娇鐢ㄨ呭悕绋辫窡瀵嗙⒓銆 鍙﹀锛岀忚鍣ㄩ渶瑕佹墦闁 cookies 瑷畾浠ラ茶鐧诲叆銆
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/mailtext.txt
new file mode 100644
index 000000000..f6bb0480e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/mailtext.txt
@@ -0,0 +1,16 @@
+鍦ㄦ偍鐨 DokuWiki 鏈夋柊澧炪佽畩鍕曢亷涓闋佷簡銆備互涓嬫槸绱扮瘈璩囨枡锛
+
+鏃ユ湡 : @DATE@
+鐎忚鍣 : @BROWSER@
+IP-Address : @IPADDRESS@
+姗熷櫒鍚嶇ū : @HOSTNAME@
+鑸婄増娆 : @OLDPAGE@
+鏂扮増娆 : @NEWPAGE@
+绶ㄨ集鎽樿 : @SUMMARY@
+User : @USER@
+
+@DIFF@
+
+
+--
+閫欏皝淇℃槸鐢 @DOKUWIKIURL@ 鐨 DokuWiki 鑷嫊鐢㈢敓
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/newpage.txt
new file mode 100644
index 000000000..bd38f5586
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/newpage.txt
@@ -0,0 +1,3 @@
+====== 鐩墠灏氭湭鏈夎┎涓婚鍠 ======
+
+鎮ㄧ洰鍓嶅埌鐨勯欎富椤屽皻鏈缓绔嬮爜闈€備絾涔熷彲浠ョ敤 銆''寤虹珛姝ら爜''銆嶄締寤虹珛銆 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/norev.txt
new file mode 100644
index 000000000..e2b6a175b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/norev.txt
@@ -0,0 +1,4 @@
+====== 寰堟姳姝夛紝涓︾劇瑭茬増娆$殑 ======
+
+瑭茬増娆$殑鏂囦欢涓︿笉瀛樺湪銆傝珛鐢 銆''鑸婄増''銆 閸典締妾㈣鐩墠瑭叉枃浠剁殑鎵鏈夎垔鐗堟銆
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/preview.txt
new file mode 100644
index 000000000..c68f94819
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/preview.txt
@@ -0,0 +1,4 @@
+====== 闋愯 ======
+
+浠ヤ笅鏄爯瑕借┎鏂囦欢鐨勭媭鎱嬨傝珛瑷樹綇锛**瀹冮倓娌掕鍎插瓨鍠**!
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/pwconfirm.txt
new file mode 100644
index 000000000..b8ad7e1ed
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/pwconfirm.txt
@@ -0,0 +1,13 @@
+@FULLNAME@ 鎮ㄥソ锛
+
+鏈変汉璜嬫眰鐐烘偍鍦 @DOKUWIKIURL@ 娉ㄥ唺鐨勭敤鎴跺悕 @TITLE@ 鐧奸佹柊瀵嗙⒓
+
+濡傛灉鎮ㄦ矑鏈夎珛姹傜櫦閫佹柊瀵嗙⒓锛岃珛蹇界暐閫欏皝閮典欢銆
+
+鐐轰簡纰鸿獚鐧奸佹柊瀵嗙⒓璜嬫眰鐨勭⒑渚嗚嚜鎮紝璜嬩娇鐢ㄤ笅闈㈢殑閺堟帴銆
+
+@CONFIRM@
+
+--
+鏈兊浠剁敱 DokuWiki 鑷嫊鍓靛缓
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/read.txt
new file mode 100644
index 000000000..364f4adea
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/read.txt
@@ -0,0 +1,3 @@
+鏈爜鏄敮璁鐨勶紝鍙互鐪嬫槸鎬庨杭瀵殑锛屼絾涓嶈兘鏇村嫊瀹冦傚閫欐槸瑾ゅ垽锛岃珛鍚戠鐞嗗摗瑭㈠晱銆
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/recent.txt
new file mode 100644
index 000000000..28314294b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/recent.txt
@@ -0,0 +1,5 @@
+====== 鏈杩戞洿鏂 ======
+
+浠ヤ笅鐨勯爜闈㈡槸鏈杩戞墠鏇存柊鐨勶細
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/register.txt
new file mode 100644
index 000000000..1a5ec67e7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/register.txt
@@ -0,0 +1,4 @@
+====== 瑷诲唺鏂颁娇鐢ㄨ ======
+
+璜嬪~浠ヤ笅娆勪綅鐨勮硣鏂欎締瑷诲唺 wiki 甯宠櫉锛孿\ 閭勬湁璜嬬⒑瀹氭偍鏈夋彁渚涗竴鍊 **鍚堟硶鐨 e-mail 鍦板潃** - 涔熷氨鏄偍鐨勬柊瀵嗙⒓鏈冭瀵勫埌閭c俓\ 鑰岀櫥閷勭殑浣跨敤鑰呭悕绋辨噳瑭叉槸鍚堟硶鐨勩 [[doku>pagename|pagename]].
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/registermail.txt
new file mode 100644
index 000000000..434f4f877
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/registermail.txt
@@ -0,0 +1,13 @@
+涓鍊嬫柊浣跨敤鑰呭凡缍撹ɑ鍐. 浠ヤ笅鏄┏绱板収瀹:
+
+甯宠櫉 : @NEWUSER@
+鍏ㄥ悕 : @NEWNAME@
+E-mail : @NEWEMAIL@
+
+鏃ユ湡 : @DATE@
+鐎忚鍣 : @BROWSER@
+IP-Address : @IPADDRESS@
+Hostname : @HOSTNAME@
+
+--
+閫欏皝淇℃槸鐢 @DOKUWIKIURL@ 鐨 DokuWiki 鎵鐢㈢敓鐨 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/resendpwd.txt
new file mode 100644
index 000000000..9250bf4e0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/resendpwd.txt
@@ -0,0 +1,3 @@
+====== 瀵勯佹柊瀵嗙⒓ ======
+
+璜嬪湪涓嬮潰鐨勬瑒浣嶅~涓婅硣鏂欙紝浠ヤ究閲嶆柊瀵勭櫦鏂扮殑 wiki 瀵嗙⒓鍒版偍瑷诲唺鏅傛墍濉殑 email 鍦板潃銆 甯宠櫉(user name)灏辨槸浣犵殑 wiki 甯宠櫉銆
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/revisions.txt
new file mode 100644
index 000000000..479705b95
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/revisions.txt
@@ -0,0 +1,3 @@
+====== 鑸婄増 ======
+
+浠ヤ笅鏄┎鏂囦欢鐨勮垔鐗堟湰銆傚瑕佹仮寰╂垚鏌愬嬭垔鐗堟锛屽氨榛炰笅瀹冿紝鐒跺緦鎸夈岀法淇湰闋併嶏紝涓﹀瓨妾旇捣渚嗗氨鍙互浜嗐
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/searchpage.txt
new file mode 100644
index 000000000..9f3d8ee94
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/searchpage.txt
@@ -0,0 +1,5 @@
+====== 鎼滃皨绮鹃潏 ======
+
+鎻愮ず锛氭偍鍙互鍦ㄤ笅鍒楁壘鍒版偍鐨勬悳灏嬬祼鏋溿傝嫢娌掓壘鍒板Τ鎯虫壘鐨勬澅瑗匡紝閭i杭鍙互鍦ㄥΤ鏌ヨ涔嬪緦鐢ㄣ屽缓绔嬫闋併嶄締寤虹珛鏂扮殑闋侀潰鍝︺
+
+===== 鎼滃皨绲愭灉 =====
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/showrev.txt
new file mode 100644
index 000000000..35b6aa59f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/showrev.txt
@@ -0,0 +1,2 @@
+**閫欐槸鏈枃浠剁殑鑸婄増浜嗗枖锛**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/stopwords.txt
new file mode 100644
index 000000000..55b67ed16
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/stopwords.txt
@@ -0,0 +1,31 @@
+# 閫欐獢鏄=浣滅储寮曟獢(index)鏅備笉瑕佸垪鍏ョ殑闂滈嵉瀛楋紝鏍煎紡鐐烘瘡瀛(瑭)灏变娇鐢ㄤ竴琛屻
+# 鍦ㄤ慨鏀规檪锛岃珛娉ㄦ剰瑕佺敤 UNIX 鏍煎紡鐨勬彌琛岀铏(newline)铏曠悊锛岃岄潪 DOS 鐨 CR-LR 鍠
+# (濡傛灉鍦 MS Windows 鐠板浣跨敤鐨勮┍锛屽彲浣跨敤 vim win32鐗 鎴 UltraEdit鎴栧叾浠栭浼肩法杓櫒淇敼)
+#
+# 閭勬湁锛屼笉蹇呮妸灏忔柤 3 鍊嬪瓧鍏(鑻辨暩瀛楀厓)閮藉寘鎷蹭締銆
+# 鐩墠鏈竻鍠殑鍏у鏄互 http://www.ranks.nl/stopwords/ 鐐哄熀绀庤岀櫦灞曠殑銆
+about
+are
+and
+you
+your
+them
+their
+com
+for
+from
+into
+how
+that
+the
+this
+was
+what
+when
+where
+who
+will
+with
+und
+the
+www
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/subscribermail.txt
new file mode 100644
index 000000000..3ccb80091
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/subscribermail.txt
@@ -0,0 +1,16 @@
+鍝堝泬锛屾偍濂!
+
+鍦 @TITLE@ 鐨 @PAGE@ 闋侀潰宸茬稉鏈変簡璁婃洿鍥夛紝浠ヤ笅鏄畩鍕曞箙搴︾磤閷:
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+鑻ヨ鍙栨秷鏈爜鐨勮畩鍕曡▊闁遍氱煡锛岃珛鍏堢櫥鍏
+@DOKUWIKIURL@ 锛岀劧寰岄插叆
+@NEWPAGE@
+涓﹂伕鎿 銆庨瑷傛洿鍕曢氱煡銆忥紝璎濊瑵 ^_^
+
+--
+鏈俊鏄敱 DokuWiki 绯荤当鑷嫊鐢㈢敓
+@DOKUWIKIURL@
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/updateprofile.txt
new file mode 100644
index 000000000..f92c215ea
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/updateprofile.txt
@@ -0,0 +1,5 @@
+====== 鏇存柊鍊嬩汉璩囨枡 ======
+
+璜嬫敞鎰忥細鍙渶璁婃洿鎯虫洿鏂扮殑璩囨枡娆勪綅灏卞ソ锛岃屽赋铏熷悕绋辨槸涓嶅彲浠ヨ畩鏇寸殑銆
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/uploadmail.txt
new file mode 100644
index 000000000..e7222959b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/uploadmail.txt
@@ -0,0 +1,13 @@
+涓鍊嬫獢妗堝凡缍撹涓婂偝鍒版偍鐨 DokuWiki. 浠ヤ笅鏄┏绱板収瀹:
+
+妾斿悕 : @MEDIA@
+鏃ユ湡 : @DATE@
+鐎忚鍣 : @BROWSER@
+IP-Address : @IPADDRESS@
+Hostname : @HOSTNAME@
+灏哄 : @SIZE@
+MIME Type : @MIME@
+甯宠櫉 : @USER@
+
+--
+閫欏皝淇℃槸鐢 @DOKUWIKIURL@ 鐨 DokuWiki 鎵鐢㈢敓鐨 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/wordblock.txt
new file mode 100644
index 000000000..671e88b87
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh-tw/wordblock.txt
@@ -0,0 +1,4 @@
+====== 鎷掔禃鍨冨溇璩囨枡(SPAM blocked) ======
+
+鎮ㄧ殑璁婃洿涓**涓嶆渻**琚瓨璧蜂締锛屽洜鐐哄畠鍖呭惈浜嗕竴浜涜闄愬埗鐨勫瓧鐪笺傝嫢鎮ㄩ倓瑭﹁憲瑕佷笩鍨冨溇璩囨枡鍒 Wiki 鐨勮┍锛 -- Bad dog! 涓嶉亷锛岃嫢鎮ㄨ獚鐐洪欐槸瑾ゅ垽锛岄偅楹艰珛鑸囬欏 Wiki 鐨勭鐞嗗摗(Admin)璜囥
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/admin.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/admin.txt
new file mode 100644
index 000000000..bf6476e9c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/admin.txt
@@ -0,0 +1,3 @@
+====== 绠$悊 ======
+
+鍦ㄤ笅闈㈡偍鑳芥壘鍒 DokuWiki 涓彲鐢ㄧ鐞嗕换鍔$殑鍒楄〃銆 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/backlinks.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/backlinks.txt
new file mode 100644
index 000000000..19e3feea6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/backlinks.txt
@@ -0,0 +1,3 @@
+====== 鍙嶅悜閾炬帴 ======
+
+杩欓噷鏄兘澶熷弽鍚戦摼鎺ュ埌褰撳墠椤甸潰鐨勫叾浠栭〉闈㈠垪琛ㄣ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/conflict.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/conflict.txt
new file mode 100644
index 000000000..92eedf45b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/conflict.txt
@@ -0,0 +1,5 @@
+====== 瀛樺湪涓涓洿鏂扮殑鐗堟湰 ======
+
+鎮ㄧ紪杈戠殑鏂囨。瀛樺湪涓涓洿鏂扮殑鐗堟湰銆傝繖绉嶆儏鍐电殑鍙戠敓鏄洜涓哄湪鎮ㄧ紪杈戞椂鏈夊彟涓涓敤鎴锋洿鏀逛簡璇ユ枃妗c
+
+璇蜂粩缁嗘鏌ヤ笅闈㈠垪鍑虹殑宸埆锛屽苟鍐冲畾淇濈暀鍝釜鐗堟湰銆傚鏋滄偍閫夋嫨鈥滀繚瀛樷濓紝鎮ㄧ殑鐗堟湰灏嗚淇濈暀銆傜偣鍑烩滃彇娑堚濆皢淇濈暀褰撳墠鐗堟湰銆
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/denied.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/denied.txt
new file mode 100644
index 000000000..276741c40
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/denied.txt
@@ -0,0 +1,3 @@
+====== 鎷掔粷鎺堟潈 ======
+
+瀵逛笉璧凤紝鎮ㄦ病鏈夎冻澶熸潈闄愶紝鏃犳硶缁х画銆備篃璁告偍蹇樹簡鐧诲綍锛 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/diff.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/diff.txt
new file mode 100644
index 000000000..19e8ef752
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/diff.txt
@@ -0,0 +1,3 @@
+====== 宸埆 ======
+
+杩欓噷浼氭樉绀哄嚭鎮ㄩ夋嫨鐨勪慨璁㈢増鍜屽綋鍓嶇増鏈箣闂寸殑宸埆銆 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/draft.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/draft.txt
new file mode 100644
index 000000000..615cb07bf
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/draft.txt
@@ -0,0 +1,7 @@
+====== 鍙戠幇鑽夌ǹ ======
+
+鎮ㄥ湪鏈〉鏈鍚庣殑缂栬緫杩囩▼娌℃湁姝e父缁撴潫銆侱okuWiki 鍦ㄦ偍鐨勭紪杈戣繃绋嬩腑鑷姩淇濆瓨浜嗕竴浠借崏绋匡紝鎮ㄧ幇鍦ㄥ彲浠ヤ娇鐢ㄥ畠缁х画缂栬緫銆 涓嬮潰鏄渶鍚庣紪杈戞椂鐨勬暟鎹
+
+璇峰喅瀹氭偍甯屾湜 //鎭㈠// 鎮ㄤ涪澶辩殑缂栬緫鏁版嵁锛//鍒犻櫎// 鑷姩淇濆瓨鐨勮崏绋匡紝鎴栬 //鍙栨秷// 鏈紪杈戣繃绋嬨
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/edit.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/edit.txt
new file mode 100644
index 000000000..846e89817
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/edit.txt
@@ -0,0 +1 @@
+缂栬緫鏈〉鍚庤鐐瑰嚮鈥滀繚瀛樷濄傝鍙傞槄 [[wiki:syntax]] 浜嗚В缁村熀璇硶銆傚彧鏈夊湪鎮ㄨ兘 **鏀硅繘** 璇ラ〉闈㈢殑鍓嶆彁涓嬫墠缂栬緫瀹冦傚鏋滄偍鎯冲皾璇曚竴浜涗笢瑗匡紝璇峰厛鍒 [[playground:playground|playground]] 鐑韩銆 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/editrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/editrev.txt
new file mode 100644
index 000000000..82013cbbd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/editrev.txt
@@ -0,0 +1,2 @@
+**鎮ㄨ浇鍏ヤ簡璇ユ枃妗f棫鐨勪慨璁㈢増锛** 濡傛灉鎮ㄤ繚瀛樹簡瀹冿紝鎮ㄥ氨浼氱敤杩欎簺鏁版嵁鍒涘缓涓浠芥柊鐨勪慨璁㈢増銆
+---- \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/index.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/index.txt
new file mode 100644
index 000000000..efb07b9e3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/index.txt
@@ -0,0 +1,3 @@
+====== 绱㈠紩 ======
+
+杩欐槸鏍规嵁 [[doku>namespaces|鍛藉悕绌洪棿]] 鎺掑垪鐨勬墍鏈夊彲璁块棶椤甸潰鐨勭储寮曘 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/install.html b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/install.html
new file mode 100644
index 000000000..8c7a93c19
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/install.html
@@ -0,0 +1,8 @@
+<p>鏈〉闈㈡棬鍦ㄥ府鍔╂偍瀹屾垚绗竴娆″畨瑁呭拰閰嶇疆 <a href="http://dokuwiki.org">Dokuwiki</a>銆傚叧浜庡畨瑁呭伐鍏风殑鏇村淇℃伅璇峰弬闃呭叾 <a href="http://dokuwiki.org/installer">瀹樻柟鏂囨。椤甸潰</a>銆</p>
+
+<p>DokuWiki 浣跨敤鏅氱殑鏂囦欢淇濆瓨缁村熀椤甸潰鍜屽叾浠栦笌杩欎簺椤甸潰鎸傞挬鐨勪俊鎭紙渚嬪锛氬浘鍍忥紝鎼滅储绱㈠紩锛屼慨璁㈣褰曠瓑锛夈備负浜嗚兘姝e父杩愯锛孌okuWiki <strong>蹇呴』</strong> 鎷ユ湁閽堝閭d簺璺緞鍜屾枃浠剁殑鍐欐潈闄愩傛湰瀹夎宸ュ叿涓嶈兘鐢ㄤ簬璁剧疆杩欎簺鏉冮檺銆傚鏉冮檺鐨勬搷浣滈氬父閫氳繃鍛戒护琛屾垨浣跨敤鎮ㄧ殑缃戠粶鏈嶅姟鎻愪緵鍟嗙殑 FTP 鎴栨帶鍒堕潰鏉匡紙渚嬪 cPanel锛夎繘琛屾搷浣溿</p>
+
+<p>鏈畨瑁呭伐鍏峰皢璁剧疆鎮ㄧ殑 DokuWiki 閰嶇疆 <acronym title="璁块棶鎺у埗鍒楄〃">ACL</acronym>锛屽畠鑳借绠$悊鍛樼櫥褰曞苟浣跨敤鈥滅鐞嗏濆姛鑳芥潵瀹夎鎻掍欢锛岀鐞嗙敤鎴凤紝绠$悊璁块棶鏉冮檺鍜屽叾浠栭厤缃缃傚畠骞朵笉鏄 DokuWiki 姝e父杩愯鎵蹇呴』鐨勶紝浣嗗畨瑁呬箣鍚庡畠灏嗘洿鏂逛究鎮ㄧ殑绠$悊銆</p>
+
+<p>鏈夌粡楠岀殑鐢ㄦ埛鎴栨湁鐗规畩闇姹傜殑鐢ㄦ埛璇峰弬闃呮洿璇︾粏鐨 <a href="http://dokuwiki.org/install">瀹夎鎸囧崡</a>
+鍜 <a href="http://dokuwiki.org/config">閰嶇疆璁剧疆</a>銆</p>
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/lang.php b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/lang.php
new file mode 100644
index 000000000..ffb759fde
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/lang.php
@@ -0,0 +1,230 @@
+<?php
+/**
+ * Chinese(Simplified) language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author ZDYX <zhangduyixiong@gmail.com>
+ * @author http://www.chinese-tools.com/tools/converter-tradsimp.html
+ * @author George Sheraton guxd@163.com
+ * @author Simon zhan <simonzhan@21cn.com>
+ * @author mr.jinyi@gmail.com
+ */
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+$lang['doublequoteopening'] = '鈥';
+$lang['doublequoteclosing'] = '鈥';
+$lang['singlequoteopening'] = '鈥';
+$lang['singlequoteclosing'] = '鈥';
+$lang['apostrophe'] = '鈥';
+$lang['btn_edit'] = '缂栬緫鏈〉';
+$lang['btn_source'] = '鏄剧ず婧愭枃浠';
+$lang['btn_show'] = '鏄剧ず椤甸潰';
+$lang['btn_create'] = '鍒涘缓璇ラ〉闈';
+$lang['btn_search'] = '鎼滅储';
+$lang['btn_save'] = '淇濆瓨';
+$lang['btn_preview'] = '棰勮';
+$lang['btn_top'] = '鍒伴《閮';
+$lang['btn_newer'] = '<< 杈冩柊鐨';
+$lang['btn_older'] = '杈冩棫鐨 >>';
+$lang['btn_revs'] = '淇璁板綍';
+$lang['btn_recent'] = '鏈杩戞洿鏀';
+$lang['btn_upload'] = '涓婁紶';
+$lang['btn_cancel'] = '鍙栨秷';
+$lang['btn_index'] = '绱㈠紩';
+$lang['btn_secedit'] = '缂栬緫';
+$lang['btn_login'] = '鐧诲綍';
+$lang['btn_logout'] = '閫鍑';
+$lang['btn_admin'] = '绠$悊';
+$lang['btn_update'] = '鏇存柊';
+$lang['btn_delete'] = '鍒犻櫎';
+$lang['btn_back'] = '杩斿洖';
+$lang['btn_backlink'] = '鍙嶅悜閾炬帴';
+$lang['btn_backtomedia'] = '杩斿洖鍒板獟浣撴枃浠堕夋嫨宸ュ叿';
+$lang['btn_subscribe'] = '璁㈤槄鏈〉鏇存敼';
+$lang['btn_unsubscribe'] = '閫璁㈡湰椤垫洿鏀';
+$lang['btn_subscribens'] = '璁㈤槄鍛藉悕绌洪棿鏇存敼';
+$lang['btn_unsubscribens'] = '閫璁㈠懡鍚嶇┖闂存洿鏀';
+$lang['btn_profile'] = '鏇存柊涓汉淇℃伅';
+$lang['btn_reset'] = '閲嶈';
+$lang['btn_resendpwd'] = '鍙戦佹柊瀵嗙爜';
+$lang['btn_draft'] = '缂栬緫鑽夌ǹ';
+$lang['btn_recover'] = '鎭㈠鑽夌ǹ';
+$lang['btn_draftdel'] = '鍒犻櫎鑽夌ǹ';
+$lang['loggedinas'] = '鐧诲綍涓';
+$lang['user'] = '鐢ㄦ埛鍚';
+$lang['pass'] = '瀵嗙爜';
+$lang['newpass'] = '璇疯緭鍏ユ柊瀵嗙爜';
+$lang['oldpass'] = '璇疯緭鍏ュ綋鍓嶅瘑鐮';
+$lang['passchk'] = '璇峰啀杈撲竴娆';
+$lang['remember'] = '璁颁綇鎴';
+$lang['fullname'] = '鍏ㄥ悕';
+$lang['email'] = 'E-Mail';
+$lang['register'] = '娉ㄥ唽';
+$lang['profile'] = '鐢ㄦ埛淇℃伅';
+$lang['badlogin'] = '瀵逛笉璧凤紝鐢ㄦ埛鍚嶆垨瀵嗙爜閿欒銆';
+$lang['minoredit'] = '杞诲井淇敼';
+$lang['draftdate'] = '鑽夌ǹ鑷姩淇濆瓨浜';
+$lang['nosecedit'] = '鍦ㄦ偍缂栬緫鏈熼棿鏈〉鍒氳浠栦汉淇敼杩囷紝灞閮ㄤ俊鎭凡杩囨湡锛屾晠杞藉叆鍏ㄩ〉銆';
+$lang['regmissing'] = '瀵逛笉璧凤紝鎮ㄥ繀椤诲~鍐欐墍鏈夌殑鍖哄煙銆';
+$lang['reguexists'] = '瀵逛笉璧凤紝璇ョ敤鎴峰悕宸茬粡瀛樺湪銆';
+$lang['regsuccess'] = '鏂扮敤鎴峰凡寤虹珛锛屽瘑鐮佸皢閫氳繃鐢靛瓙閭欢鍙戦佺粰鎮ㄣ';
+$lang['regsuccess2'] = '鏂扮敤鎴峰凡寤虹珛';
+$lang['regmailfail'] = '鍙戦佸瘑鐮侀偖浠舵椂浜х敓閿欒銆傝鑱旂郴绠$悊鍛橈紒';
+$lang['regbadmail'] = '鎮ㄨ緭鍏ョ殑閭欢鍦板潃鏈夐棶棰樷斺斿鏋滄偍璁や负杩欐槸绯荤粺閿欒锛岃鑱旂郴绠$悊鍛樸';
+$lang['regbadpass'] = '鎮ㄨ緭鍏ョ殑瀵嗙爜涓庣郴缁熶骇鐢熺殑涓嶇锛岃閲嶈瘯銆';
+$lang['regpwmail'] = '鎮ㄧ殑 DokuWiki 瀵嗙爜';
+$lang['reghere'] = '杩樻病鏈夎处鍙凤紵绔嬪嵆娉ㄥ唽';
+$lang['profna'] = '鏈淮鍩轰笉鏀寔淇敼涓汉淇℃伅';
+$lang['profnochange'] = '娌℃湁鏀瑰姩锛屼笉杩涜鎿嶄綔銆';
+$lang['profnoempty'] = '涓嶅厑璁镐娇鐢ㄧ┖鐨勭敤鎴峰悕鎴栭偖浠跺湴鍧銆';
+$lang['profchanged'] = '鐢ㄦ埛淇℃伅鏇存柊鎴愬姛銆';
+$lang['pwdforget'] = '蹇樿瀵嗙爜锛熺珛鍗宠幏鍙栨柊瀵嗙爜';
+$lang['resendna'] = '鏈淮鍩轰笉鏀寔浜屾鍙戦佸瘑鐮併';
+$lang['resendpwd'] = '鍙戦佹柊瀵嗙爜缁';
+$lang['resendpwdmissing'] = '瀵逛笉璧凤紝鎮ㄥ繀椤诲~鍐欐墍鏈夌殑鍖哄煙銆';
+$lang['resendpwdnouser'] = '瀵逛笉璧凤紝鍦ㄦ垜浠殑鐢ㄦ埛鏁版嵁涓壘涓嶅埌璇ョ敤鎴枫';
+$lang['resendpwdbadauth'] = '瀵逛笉璧凤紝璇ヨ璇佺爜閿欒銆傝浣跨敤瀹屾暣鐨勭‘璁ら摼鎺ャ';
+$lang['resendpwdconfirm'] = '纭閾炬帴宸茬粡閫氳繃閭欢鍙戦佺粰鎮ㄤ簡銆';
+$lang['resendpwdsuccess'] = '鎮ㄧ殑鏂板瘑鐮佸凡缁忛氳繃閭欢鍙戦佺粰鎮ㄤ簡銆';
+$lang['license'] = '闄ら澶栨敞鏄庣殑鍦版柟澶栵紝鏈淮鍩轰笂鐨勫唴瀹规寜涓嬪垪璁稿彲鍗忚鍙戝竷锛';
+$lang['licenseok'] = '褰撴偍閫夋嫨寮濮嬬紪杈戞湰椤碉紝鍗冲瘬绀轰綘鍚屾剰灏嗕綘璐$尞鐨勫唴瀹规寜涓嬪垪璁稿彲鍗忚鍙戝竷锛';
+$lang['txt_upload'] = '閫夋嫨瑕佷笂浼犵殑鏂囦欢';
+$lang['txt_filename'] = '涓婁紶骞堕噸鍛藉悕涓猴紙鍙夛級';
+$lang['txt_overwrt'] = '瑕嗙洊宸插瓨鍦ㄧ殑鍚屽悕鏂囦欢';
+$lang['lockedby'] = '鐩墠宸茶涓嬪垪浜哄憳閿佸畾';
+$lang['lockexpire'] = '棰勮閿佸畾瑙i櫎浜';
+$lang['willexpire'] = '鎮ㄥ鏈〉鐨勭嫭鏈夌紪杈戞潈灏嗕簬涓鍒嗛挓涔嬪悗瑙i櫎銆俓n涓轰簡闃叉涓庡叾浠栦汉鐨勭紪杈戝啿绐侊紝璇蜂娇鐢ㄩ瑙堟寜閽噸璁捐鏃跺櫒銆';
+$lang['notsavedyet'] = '鏈繚瀛樼殑鏇存敼灏嗕涪澶便俓n鐪熺殑瑕佺户缁紵';
+$lang['rssfailed'] = '鑾峰彇璇 RSS 淇℃伅鏃朵骇鐢熼敊璇細';
+$lang['nothingfound'] = '浠涔堥兘娌℃湁鎵惧埌銆';
+$lang['mediaselect'] = '濯掍綋鏂囦欢';
+$lang['fileupload'] = '涓婁紶濯掍綋鏂囦欢';
+$lang['uploadsucc'] = '涓婁紶鎴愬姛';
+$lang['uploadfail'] = '涓婁紶澶辫触銆備篃璁告槸涓婁紶鏉冮檺閿欒銆';
+$lang['uploadwrong'] = '涓婁紶澶辫触銆傝鎵╁睍鍚嶈绂佹銆';
+$lang['uploadexist'] = '鏂囦欢宸插瓨鍦ㄣ備笉杩涜鎿嶄綔銆';
+$lang['uploadbadcontent'] = '涓婁紶鐨勬枃浠朵笌鎵╁睍鍚 %s 涓嶇銆';
+$lang['uploadspam'] = '涓婁紶鎿嶄綔琚瀮鍦句俊鎭粦鍚嶅崟闃绘銆';
+$lang['uploadxss'] = '涓婁紶鎿嶄綔鍥犲彲鑳藉瓨鍦ㄦ伓鎰忓唴瀹硅岃闃绘銆';
+$lang['uploadsize'] = '涓婁紶鐨勬枃浠惰繃澶с傦紙鏈澶 %s)';
+$lang['deletesucc'] = '鏂囦欢鈥%s鈥濆凡缁忚鍒犻櫎銆';
+$lang['deletefail'] = '鏃犳硶鍒犻櫎鈥%s鈥- 璇锋鏌ユ潈闄愩';
+$lang['mediainuse'] = '鏂囦欢鈥%s鈥濇棤娉曞垹闄 - 瀹冩琚娇鐢ㄤ腑銆';
+$lang['namespaces'] = '鍛藉悕绌洪棿';
+$lang['mediafiles'] = '鍙敤鐨勬枃浠';
+$lang['js']['keepopen'] = '閫変腑鍚庝笉鑷姩鍏抽棴绐楀彛';
+$lang['js']['hidedetails'] = '闅愯棌璇︾粏淇℃伅';
+$lang['js']['nosmblinks'] = '杩炴帴鍒 Windows 鍏变韩鍔熻兘鍙湁鍦 IE 娴忚鍣ㄤ腑鎵嶈兘姝e父浣跨敤銆
+浣嗘偍浠嶈兘澶嶅埗骞剁矘璐磋閾炬帴銆';
+$lang['js']['mu_btn'] = '涓娆′笂浼犱簡澶氫釜鏂囦欢';
+$lang['mediausage'] = '浣跨敤涓嬪垪瀛楃閾炬帴鍒拌鏂囦欢锛';
+$lang['mediaview'] = '鏌ョ湅璇ユ枃浠';
+$lang['mediaroot'] = '鏍圭洰褰';
+$lang['mediaupload'] = '涓婁紶鏂囦欢鑷冲綋鍓嶇殑鍛藉悕绌洪棿銆傝鍒涘缓娆$骇鍛藉悕绌洪棿锛屽皢鍏跺悕绉板姞鍦ㄢ滀笂浼犲苟閲嶅懡鍚嶄负鈥濇枃浠跺悕鐨勫墠闈紝骞剁敤鑻辨枃鍐掑彿闅斿紑';
+$lang['mediaextchange'] = '鏂囦欢鐨勬墿灞曞悕鐢 .%s 鏀逛负浜 .%s锛';
+$lang['reference'] = '鐩稿叧鐨';
+$lang['ref_inuse'] = '璇ユ枃浠舵棤娉曞垹闄わ紝鍥犱负瀹冩琚笅鍒楅〉闈娇鐢細';
+$lang['ref_hidden'] = '涓浜涚浉鍏崇殑椤甸潰鎮ㄥ苟娌℃湁鏉冮檺闃呰';
+$lang['hits'] = '绗﹀悎';
+$lang['quickhits'] = '鍖归厤鐨勯〉闈㈠悕绉';
+$lang['toc'] = '鐩綍';
+$lang['current'] = '褰撳墠鐗堟湰';
+$lang['yours'] = '鎮ㄧ殑鐗堟湰';
+$lang['diff'] = '鏄剧ず涓庡綋鍓嶇増鏈殑宸埆';
+$lang['diff2'] = '鏄剧ず璺熺洰鍓嶇増鏈殑宸紓';
+$lang['line'] = '琛';
+$lang['breadcrumb'] = '鎮ㄧ殑瓒宠抗';
+$lang['youarehere'] = '鎮ㄥ湪杩欓噷';
+$lang['lastmod'] = '鏈鍚庢洿鏀';
+$lang['by'] = '鐢';
+$lang['deleted'] = '绉婚櫎';
+$lang['created'] = '鍒涘缓';
+$lang['restored'] = '宸叉仮澶嶄负鏃х増';
+$lang['external_edit'] = '澶栭儴缂栬緫';
+$lang['summary'] = '缂栬緫鎽樿';
+$lang['noflash'] = '闇瑕 <a href="http://www.adobe.com/products/flashplayer/">Adobe Flash 鎻掍欢</a> 鏉ユ挱鏀炬湰鍐呭銆 ';
+$lang['mail_newpage'] = '娣诲姞椤甸潰锛';
+$lang['mail_changed'] = '鏇存敼椤甸潰锛';
+$lang['mail_new_user'] = '鏂扮敤鎴凤細';
+$lang['mail_upload'] = '宸蹭笂浼犵殑鏂囦欢锛';
+$lang['qb_bold'] = '绮椾綋';
+$lang['qb_italic'] = '鏂滀綋';
+$lang['qb_underl'] = '涓嬪垝绾';
+$lang['qb_code'] = '浠g爜';
+$lang['qb_strike'] = '鍒犻櫎绾';
+$lang['qb_h1'] = '鏍囬 H1';
+$lang['qb_h2'] = '鏍囬 H2 ';
+$lang['qb_h3'] = '鏍囬 H3';
+$lang['qb_h4'] = '鏍囬 H4';
+$lang['qb_h5'] = '鏍囬 H5';
+$lang['qb_link'] = '鍐呴儴閾炬帴';
+$lang['qb_extlink'] = '澶栭儴閾炬帴';
+$lang['qb_hr'] = '姘村钩绾';
+$lang['qb_ol'] = '鏁板瓧鍒楄〃椤圭洰';
+$lang['qb_ul'] = '鏅氬垪琛ㄩ」鐩';
+$lang['qb_media'] = '鎻掑叆鍥惧儚鎴栧叾浠栨枃浠';
+$lang['qb_sig'] = '鎻掑叆绛惧悕';
+$lang['qb_smileys'] = '琛ㄦ儏绗﹀彿';
+$lang['qb_chars'] = '鐗规畩瀛楃';
+$lang['js']['del_confirm'] = '鐪熺殑瑕佸垹闄ら変腑鐨勯」鐩悧锛';
+$lang['admin_register'] = '娣诲姞鏂扮敤鎴';
+$lang['metaedit'] = '缂栬緫鍏冩暟鎹';
+$lang['metasaveerr'] = '鍐欏叆鍏冩暟鎹け璐';
+$lang['metasaveok'] = '鍏冩暟鎹凡淇濆瓨';
+$lang['img_backto'] = '杩斿洖鍒';
+$lang['img_title'] = '鏍囬';
+$lang['img_caption'] = '璇存槑';
+$lang['img_date'] = '鏃ユ湡';
+$lang['img_fname'] = '鍚嶇О';
+$lang['img_fsize'] = '澶у皬';
+$lang['img_artist'] = '鎽勫奖甯';
+$lang['img_copyr'] = '鐗堟潈';
+$lang['img_format'] = '鏍煎紡';
+$lang['img_camera'] = '鐩告満';
+$lang['img_keywords'] = '鍏抽敭瀛';
+$lang['subscribe_success'] = '宸插皢 %s 鎴愬姛娣诲姞鍒 %s 鐨勮闃呭垪琛';
+$lang['subscribe_error'] = '鏃犳硶灏 %s 娣诲姞鍒 %s 鐨勮闃呭垪琛';
+$lang['subscribe_noaddress'] = '鎮ㄧ殑鐧诲綍淇℃伅涓笉鍖呭惈鐢靛瓙閭欢鍦板潃锛屾偍鏃犳硶鍔犲叆璁㈤槄鍒楄〃';
+$lang['unsubscribe_success'] = '宸插皢 %s 浠 %s 鐨勮闃呭垪琛ㄤ腑鎴愬姛鍒犻櫎';
+$lang['unsubscribe_error'] = '鏃犳硶灏 %s 浠 %s 鐨勮闃呭垪琛ㄤ腑鍒犻櫎';
+$lang['authmodfailed'] = '閿欒鐨勭敤鎴疯璇佽缃傝閫氱煡缁村熀绠$悊鍛樸';
+$lang['authtempfail'] = '鐢ㄦ埛璁よ瘉鏆傛椂鏃犳硶浣跨敤銆傚鏋滆鐘舵佷竴鐩村瓨鍦紝璇烽氱煡缁村熀绠$悊鍛樸';
+$lang['i_chooselang'] = '閫夋嫨鎮ㄧ殑璇█';
+$lang['i_installer'] = 'DokuWiki 瀹夎宸ュ叿';
+$lang['i_wikiname'] = '缁村熀鍚嶇О';
+$lang['i_enableacl'] = '鍚敤 ACL锛堟帹鑽愶級';
+$lang['i_superuser'] = '瓒呯骇鐢ㄦ埛';
+$lang['i_problems'] = '瀹夎宸ュ叿鍙戠幇涓浜涢棶棰橈紝宸插湪涓嬮潰鍒楀嚭銆傛偍蹇呴』鍏堜慨澶嶈繖浜涢棶棰橈紝鎵嶈兘缁х画瀹夎銆';
+$lang['i_modified'] = '鐢变簬瀹夊叏涓婄殑鑰冭檻锛岃鑴氭湰鍙兘鐢ㄤ簬鍏ㄦ柊涓斿仛浠讳綍鏀瑰姩鐨 Dokuwiki 瀹夎鍖呫
+ 鎮ㄥ彲浠ラ噸鏂拌В鍘嬩笅杞界殑绋嬪簭鍖咃紝鎴栨煡闃呭畬鏁寸殑
+ <a href="http://dokuwiki.org/install">Dokuwiki 瀹夎鎸囧崡</a>';
+$lang['i_funcna'] = 'PHP 鍔熻兘 <code>%s</code> 鏃犳硶浣跨敤銆備篃璁告偍鐨勬湇鍔″櫒鎻愪緵鍟嗗洜涓烘煇浜涘師鍥犵鐢ㄤ簡瀹冦';
+$lang['i_phpver'] = '鎮ㄧ殑 PHP 鐗堟湰 <code>%s</code> 浣庝簬鏈浣庤姹傜殑 <code>%s</code>銆傛偍闇瑕佸崌绾ф偍鐨 PHP 鐗堟湰銆';
+$lang['i_permfail'] = 'DokuWiki 鏃犳硶鍐欏叆 <code>%s</code>銆傛偍闇瑕佷慨鏀硅璺緞鐨勬潈闄愯瀹氾紒';
+$lang['i_confexists'] = '<code>%s</code> 宸茬粡瀛樺湪';
+$lang['i_writeerr'] = '鏃犳硶鍒涘缓 <code>%s</code>銆傛偍闇瑕佹鏌ヨ璺緞/鏂囦欢鐨勬潈闄愯瀹氬苟鎵嬪姩鍒涘缓璇ユ枃浠躲';
+$lang['i_badhash'] = '鏃犳硶璇嗗埆鐨勬垨琚慨鏀圭殑 dokuwiki.php锛堝=<code>%s</code>锛';
+$lang['i_badval'] = '<code>%s</code> - 闈炴硶鎴栫┖鍊';
+$lang['i_success'] = '閰嶇疆鎴愬姛瀹屾垚銆傛偍鐜板湪鍙互鍒犻櫎 install.php 浜嗐傜户缁繘鍏
+ <a href="doku.php">鎮ㄥ叏鏂扮殑 DokuWiki</a>銆';
+$lang['i_failure'] = '鍐欏叆閰嶇疆鏂囦欢鐨勬椂鍊欎骇鐢熶竴浜涢敊璇傚湪浣跨敤 <a href="doku.php">鎮ㄥ叏鏂板畨瑁呯殑 DokuWiki</a> 鍓
+ 鎮ㄩ渶瑕佹墜鍔ㄤ慨澶嶅畠浠';
+$lang['i_policy'] = '鍒濆鐨 ACL 鏀跨瓥';
+$lang['i_pol0'] = '寮鏀剧殑缁村熀锛堜换浣曚汉閮芥湁璇汇佸啓銆佷笂浼犵殑鏉冮檺锛';
+$lang['i_pol1'] = '鍏叡鐨勭淮鍩猴紙浠讳綍浜洪兘鏈夎鐨勬潈闄愶紝鍙湁娉ㄥ唽鐢ㄦ埛鎵嶆湁鍐欏拰涓婁紶鐨勬潈闄愶級';
+$lang['i_pol2'] = '鍏抽棴鐨勭淮鍩猴紙鍙湁娉ㄥ唽鐢ㄦ埛鎵嶆湁璇汇佸啓銆佷笂浼犵殑鏉冮檺锛';
+$lang['i_retry'] = '閲嶈瘯';
+$lang['mu_intro'] = '鎮ㄥ彲浠ュ湪姝や竴娆′笂浼犲涓枃浠躲傜偣鎸夋祻瑙堟寜閽坊鍔犳枃浠跺埌涓婁紶闃熷垪涓紝鍏堝ソ鍚庢寜涓婁紶閽';
+$lang['mu_gridname'] = '鏂囦欢鍚';
+$lang['mu_gridsize'] = '澶у皬';
+$lang['mu_gridstat'] = '鐘舵';
+$lang['mu_namespace'] = '鍚嶇О绌洪棿';
+$lang['mu_browse'] = '娴忚';
+$lang['mu_toobig'] = '杩囧ぇ';
+$lang['mu_ready'] = '鍑嗗濂戒笂浼';
+$lang['mu_done'] = '瀹屾垚';
+$lang['mu_fail'] = '澶辫触';
+$lang['mu_authfail'] = '浼氳瘽杩囨湡';
+$lang['mu_progress'] = '@PCT@% 涓婁紶瀹屾垚';
+$lang['mu_filetypes'] = '鍏佽鐨勬枃浠剁被鍨';
+$lang['recent_global'] = '鎮ㄥ綋鍓嶇湅鍒扮殑鏄<b>%s</b> 鍚嶇О绌洪棿鐨勫彉鍔ㄣ備綘杩樺彲浠ュ湪<a href="%s">鏌ョ湅鏁翠釜缁村熀鐨勮繎鏈熷彉鍔</a>銆';
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/locked.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/locked.txt
new file mode 100644
index 000000000..321e4a047
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/locked.txt
@@ -0,0 +1,3 @@
+====== 椤甸潰宸查攣瀹 ======
+
+鏈〉闈㈢洰鍓嶆琚叾浠栫敤鎴风紪杈戙傛偍瑕佺瓑鍒拌鐢ㄦ埛瀹屾垚缂栬緫鎴栭攣瀹氬洜杩囨湡鑰岃嚜鍔ㄨВ闄ゅ悗鎵嶈兘缂栬緫銆 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/login.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/login.txt
new file mode 100644
index 000000000..8ff8b3846
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/login.txt
@@ -0,0 +1,3 @@
+====== 鐧诲綍 ======
+
+鎮ㄥ皻鏈櫥褰曪紒璇峰湪涓嬫柟杈撳叆鎮ㄧ殑鐢ㄦ埛鍚嶅拰瀵嗙爜杩涜鐧诲綍銆 鎮ㄧ殑娴忚鍣ㄩ渶瑕佹敮鎸 Cookies 鎵嶈兘姝e父鐧诲綍銆
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/mailtext.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/mailtext.txt
new file mode 100644
index 000000000..311acf1b9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/mailtext.txt
@@ -0,0 +1,17 @@
+鎮ㄧ殑 DokuWiki 涓湁涓涓〉闈㈣娣诲姞鎴栨洿鏀逛簡銆備互涓嬫槸璇︾粏璧勬枡锛
+
+鏃ユ湡 : @DATE@
+娴忚鍣 : @BROWSER@
+IP 鍦板潃 : @IPADDRESS@
+鏈哄櫒鍚嶇О : @HOSTNAME@
+淇璁板綍 : @OLDPAGE@
+鏈鏂颁慨璁 : @NEWPAGE@
+缂栬緫鎽樿 : @SUMMARY@
+鐢ㄦ埛 : @USER@
+
+@DIFF@
+
+
+--
+鏈偖浠剁敱 DokuWiki 鑷姩鍒涘缓
+@DOKUWIKIURL@ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/newpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/newpage.txt
new file mode 100644
index 000000000..6f96b568d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/newpage.txt
@@ -0,0 +1,3 @@
+====== 璇ヤ富棰樺皻涓嶅瓨鍦 ======
+
+鎮ㄨ闂殑椤甸潰骞朵笉瀛樺湪銆傚鏋滃厑璁革紝鎮ㄥ彲浠ヤ娇鐢ㄢ滃垱寤鸿椤甸潰鈥濇寜閽潵鍒涘缓瀹冦 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/norev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/norev.txt
new file mode 100644
index 000000000..3fe5aabd8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/norev.txt
@@ -0,0 +1,3 @@
+====== 娌℃湁璇ヤ慨璁㈢増 ======
+
+鎮ㄦ寚瀹氱殑淇鐗堝苟涓嶅瓨鍦ㄣ傝浣跨敤鈥滀慨璁㈣褰曗濇寜閽煡鐪嬫湰椤甸潰鐨勪慨璁㈣褰曞垪琛ㄣ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/preview.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/preview.txt
new file mode 100644
index 000000000..dbb3de675
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/preview.txt
@@ -0,0 +1,3 @@
+====== 棰勮 ======
+
+杩欐槸璇ユ枃浠剁殑鏁堟灉棰勮銆傝璁颁綇锛氬畠**骞舵病鏈夎淇濆瓨**锛
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/pwconfirm.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/pwconfirm.txt
new file mode 100644
index 000000000..7e48751a6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/pwconfirm.txt
@@ -0,0 +1,15 @@
+@FULLNAME@ 鎮ㄥソ锛
+
+鏈変汉璇锋眰涓烘偍鍦 @DOKUWIKIURL@ 娉ㄥ唽鐨勭敤鎴峰悕 @TITLE@ 鍙戦佹柊瀵嗙爜
+
+濡傛灉鎮ㄦ病鏈夎姹傚彂閫佹柊瀵嗙爜锛岃蹇界暐杩欏皝閭欢銆
+
+涓轰簡纭鍙戦佹柊瀵嗙爜璇锋眰鐨勭‘鏉ヨ嚜鎮紝璇蜂娇鐢ㄤ笅闈㈢殑閾炬帴銆
+
+@CONFIRM@
+
+--
+鏈偖浠剁敱 DokuWiki 鑷姩鍒涘缓
+@DOKUWIKIURL@
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/read.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/read.txt
new file mode 100644
index 000000000..eb47765a2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/read.txt
@@ -0,0 +1,2 @@
+鏈〉闈㈠彧璇汇傛偍鍙互鏌ョ湅婧愭枃浠讹紝浣嗕笉鑳芥洿鏀瑰畠銆傚鏋滄偍瑙夊緱杩欐槸绯荤粺閿欒锛岃鑱旂郴绠$悊鍛樸
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/recent.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/recent.txt
new file mode 100644
index 000000000..95634d037
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/recent.txt
@@ -0,0 +1,5 @@
+====== 鏈杩戞洿鏂 ======
+
+浠ヤ笅鐨勯〉闈㈡槸鏈杩戞墠鏇存柊鐨勶細
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/register.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/register.txt
new file mode 100644
index 000000000..7410ff1c0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/register.txt
@@ -0,0 +1,3 @@
+====== 娉ㄥ唽鏂扮敤鎴 ======
+
+濉啓浠ヤ笅璧勬枡鏉ュ垱寤轰竴涓柊甯愭埛銆傝纭畾鎮ㄦ彁渚涚殑鏄 **姝g‘鐨 E-mail 鍦板潃** - 濡傛灉鎮ㄦ病鏈夎瑕佹眰鍦ㄨ繖閲岃緭鍏ュ瘑鐮侊紝閭d箞鏂板瘑鐮佸皢閫氳繃鎮ㄧ殑閭欢鍦板潃鍙戦佺粰鎮ㄣ 鐢ㄤ簬鐧诲綍鐨勭敤鎴峰悕蹇呴』鍚堟硶锛岃鍙傞槄 [[doku>pagename|pagename]]銆
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/registermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/registermail.txt
new file mode 100644
index 000000000..9647b5fad
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/registermail.txt
@@ -0,0 +1,16 @@
+鏂扮敤鎴峰凡鍒涘缓銆備笅闈㈡槸璇︾粏淇℃伅锛
+
+鐢ㄦ埛鍚 : @NEWUSER@
+鍏ㄥ悕 : @NEWNAME@
+E-mail : @NEWEMAIL@
+
+鏃ユ湡 : @DATE@
+娴忚鍣 : @BROWSER@
+IP 鍦板潃 : @IPADDRESS@
+鏈哄櫒鍚嶇О : @HOSTNAME@
+
+--
+鏈偖浠剁敱 DokuWiki 鑷姩鍒涘缓
+@DOKUWIKIURL@
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/resendpwd.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/resendpwd.txt
new file mode 100644
index 000000000..f98e469e6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/resendpwd.txt
@@ -0,0 +1,5 @@
+====== 鍙戦佹柊瀵嗙爜 ======
+
+璇峰湪涓嬪垪鍖哄煙涓緭鍏ユ偍鐨勭敤鎴峰悕鏉ヨ幏鍙栨柊瀵嗙爜銆 涓灏佸寘鍚‘璁ら摼鎺ョ殑閭欢灏嗗彂閫佺粰鎮ㄦ敞鍐岀殑閭欢鍦板潃銆
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/revisions.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/revisions.txt
new file mode 100644
index 000000000..89d2a7857
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/revisions.txt
@@ -0,0 +1,3 @@
+====== 淇璁板綍 ======
+
+浠ヤ笅鏄綋鍓嶆枃妗g殑淇璁板綍銆傚鏋滆鍥炲鍒版煇涓棫鐨勪慨璁㈢増锛岃鍦ㄤ笅闈㈤夋嫨瀹冿紝骞剁偣鍑烩滅紪杈戞湰椤碘濓紝涔嬪悗淇濆瓨鍗冲彲銆 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/searchpage.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/searchpage.txt
new file mode 100644
index 000000000..8222e242c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/searchpage.txt
@@ -0,0 +1,5 @@
+====== 鎼滅储 ======
+
+涓嬮潰灏嗘樉绀烘偍鐨勬悳绱㈢粨鏋溿傚鏋滄病鏈夋壘鍒版偍鎯宠鐨勪笢瑗匡紝鎮ㄥ彲浠ヤ娇鐢ㄧ浉搴旂殑鎸夐挳鏉ュ垱寤烘垨缂栬緫璇ラ〉闈€
+
+===== 鎼滅储缁撴灉 ===== \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/showrev.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/showrev.txt
new file mode 100644
index 000000000..770fecc98
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/showrev.txt
@@ -0,0 +1,2 @@
+**杩欐槸鏈枃妗f棫鐨勪慨璁㈢増锛**
+----
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/stopwords.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/stopwords.txt
new file mode 100644
index 000000000..bc6eb48ae
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/stopwords.txt
@@ -0,0 +1,29 @@
+# This is a list of words the indexer ignores, one word per line
+# When you edit this file be sure to use UNIX line endings (single newline)
+# No need to include words shorter than 3 chars - these are ignored anyway
+# This list is based upon the ones found at http://www.ranks.nl/stopwords/
+about
+are
+and
+you
+your
+them
+their
+com
+for
+from
+into
+how
+that
+the
+this
+was
+what
+when
+where
+who
+will
+with
+und
+the
+www
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/subscribermail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/subscribermail.txt
new file mode 100644
index 000000000..1a0a0aa16
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/subscribermail.txt
@@ -0,0 +1,19 @@
+鎮ㄥソ锛
+
+@TITLE@ 涓殑 @PAGE@ 椤甸潰宸叉洿鏀广
+涓嬮潰鏄洿鏀圭殑璇︾粏鎯呭喌锛
+
+--------------------------------------------------------
+@DIFF@
+--------------------------------------------------------
+
+瑕侀璁㈡湰椤碉紝璇风櫥褰曠淮鍩
+@DOKUWIKIURL@ 鐒跺悗璁块棶
+@NEWPAGE@
+骞堕夋嫨'閫璁㈡洿鏀'銆
+
+--
+鏈偖浠剁敱 DokuWiki 鑷姩鍒涘缓
+@DOKUWIKIURL@
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/updateprofile.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/updateprofile.txt
new file mode 100644
index 000000000..007578801
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/updateprofile.txt
@@ -0,0 +1,5 @@
+====== 鏇存柊鎮ㄥ笎鎴风殑淇℃伅 ======
+
+鎮ㄥ彧闇瑕佸~鍐欏笇鏈涙洿鏀圭殑鍖哄煙鍗冲彲銆傛偍涓嶈兘鏇存敼鐢ㄦ埛鍚嶃
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/uploadmail.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/uploadmail.txt
new file mode 100644
index 000000000..b820cee2b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/uploadmail.txt
@@ -0,0 +1,20 @@
+鎮ㄥソ锛
+
+涓涓枃浠惰涓婁紶鍒版偍鐨 DokuWiki 绔欑偣銆備笅闈㈡槸璇︾粏淇℃伅锛
+
+鏂囦欢鍚 : @MEDIA@
+鏃ユ湡 : @DATE@
+娴忚鍣 : @BROWSER@
+IP 鍦板潃 : @IPADDRESS@
+涓绘満鍚 : @HOSTNAME@
+澶у皬 : @SIZE@
+MIME 绫诲瀷 : @MIME@
+鐢ㄦ埛 : @USER@
+
+--
+鏈偖浠剁敱 DokuWiki 鑷姩鍒涘缓
+@DOKUWIKIURL@
+
+
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/wordblock.txt b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/wordblock.txt
new file mode 100644
index 000000000..72c473a59
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/lang/zh/wordblock.txt
@@ -0,0 +1,3 @@
+====== 鎷掔粷鍨冨溇璧勬枡 ======
+
+鎮ㄧ殑鏇存敼 **骞舵病鏈** 淇濆瓨锛屽洜涓哄畠鍖呭惈浜嗕竴涓垨澶氫釜琚檺鍒剁殑瀛楃溂銆傚鏋滄偍灏濊瘯涓烘湰缁村熀娣诲姞鍨冨溇淇℃伅 -- 浣犳槸涓涓ぇ鍧忚泲锛佸鏋滄偍璁や负杩欐槸涓涓郴缁熼敊璇紝璇疯仈绯绘湰缁村熀鐨勭鐞嗗憳銆
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/mail.php b/mod/dokuwiki/vendors/dokuwiki/inc/mail.php
new file mode 100644
index 000000000..3b0592b8b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/mail.php
@@ -0,0 +1,266 @@
+<?php
+/**
+ * Mail functions
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+
+if(!defined('DOKU_INC')) die('meh.');
+require_once(DOKU_INC.'inc/utf8.php');
+require_once(DOKU_INC.'inc/EmailAddressValidator.php');
+
+// end of line for mail lines - RFC822 says CRLF but postfix (and other MTAs?)
+// think different
+if(!defined('MAILHEADER_EOL')) define('MAILHEADER_EOL',"\n");
+#define('MAILHEADER_ASCIIONLY',1);
+
+/**
+ * Patterns for use in email detection and validation
+ *
+ * NOTE: there is an unquoted '/' in RFC2822_ATEXT, it must remain unquoted to be used in the parser
+ * the pattern uses non-capturing groups as captured groups aren't allowed in the parser
+ * select pattern delimiters with care!
+ *
+ * May not be completly RFC conform!
+ * @link http://www.faqs.org/rfcs/rfc2822.html (paras 3.4.1 & 3.2.4)
+ *
+ * @author Chris Smith <chris@jalakai.co.uk>
+ * Check if a given mail address is valid
+ */
+if (!defined('RFC2822_ATEXT')) define('RFC2822_ATEXT',"0-9a-zA-Z!#$%&'*+/=?^_`{|}~-");
+if (!defined('PREG_PATTERN_VALID_EMAIL')) define('PREG_PATTERN_VALID_EMAIL', '['.RFC2822_ATEXT.']+(?:\.['.RFC2822_ATEXT.']+)*@(?i:[0-9a-z][0-9a-z-]*\.)+(?i:[a-z]{2,4}|museum|travel)');
+
+
+
+/**
+ * UTF-8 autoencoding replacement for PHPs mail function
+ *
+ * Email address fields (To, From, Cc, Bcc can contain a textpart and an address
+ * like this: 'Andreas Gohr <andi@splitbrain.org>' - the text part is encoded
+ * automatically. You can seperate receivers by commas.
+ *
+ * @param string $to Receiver of the mail (multiple seperated by commas)
+ * @param string $subject Mailsubject
+ * @param string $body Messagebody
+ * @param string $from Sender address
+ * @param string $cc CarbonCopy receiver (multiple seperated by commas)
+ * @param string $bcc BlindCarbonCopy receiver (multiple seperated by commas)
+ * @param string $headers Additional Headers (seperated by MAILHEADER_EOL
+ * @param string $params Additonal Sendmail params (passed to mail())
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @see mail()
+ */
+function mail_send($to, $subject, $body, $from='', $cc='', $bcc='', $headers=null, $params=null){
+
+ $message = compact('to','subject','body','from','cc','bcc','headers','params');
+ return trigger_event('MAIL_MESSAGE_SEND',$message,'_mail_send_action');
+}
+
+function _mail_send_action($data) {
+
+ // retrieve parameters from event data, $to, $subject, $body, $from, $cc, $bcc, $headers, $params
+ $to = $data['to'];
+ $subject = $data['subject'];
+ $body = $data['body'];
+
+ // add robustness in case plugin removes any of these optional values
+ $from = isset($data['from']) ? $data['from'] : '';
+ $cc = isset($data['cc']) ? $data['cc'] : '';
+ $bcc = isset($data['bcc']) ? $data['bcc'] : '';
+ $headers = isset($data['headers']) ? $data['headers'] : null;
+ $params = isset($data['params']) ? $data['params'] : null;
+
+ // end additional code to support event ... original mail_send() code from here
+
+ if(defined('MAILHEADER_ASCIIONLY')){
+ $subject = utf8_deaccent($subject);
+ $subject = utf8_strip($subject);
+ }
+
+ if(!utf8_isASCII($subject)) {
+ $subject = '=?UTF-8?Q?'.mail_quotedprintable_encode($subject,0).'?=';
+ // Spaces must be encoded according to rfc2047. Use the "_" shorthand
+ $subject = preg_replace('/ /', '_', $subject);
+ }
+
+ $header = '';
+
+ // No named recipients for To: in Windows (see FS#652)
+ $usenames = (strtoupper(substr(PHP_OS, 0, 3)) === 'WIN') ? false : true;
+
+ $to = mail_encode_address($to,'',$usenames);
+ $header .= mail_encode_address($from,'From');
+ $header .= mail_encode_address($cc,'Cc');
+ $header .= mail_encode_address($bcc,'Bcc');
+ $header .= 'MIME-Version: 1.0'.MAILHEADER_EOL;
+ $header .= 'Content-Type: text/plain; charset=UTF-8'.MAILHEADER_EOL;
+ $header .= 'Content-Transfer-Encoding: quoted-printable'.MAILHEADER_EOL;
+ $header .= $headers;
+ $header = trim($header);
+
+ $body = mail_quotedprintable_encode($body);
+
+ if($params == null){
+ return @mail($to,$subject,$body,$header);
+ }else{
+ return @mail($to,$subject,$body,$header,$params);
+ }
+}
+
+/**
+ * Encodes an email address header
+ *
+ * Unicode characters will be deaccented and encoded
+ * quoted_printable for headers.
+ * Addresses may not contain Non-ASCII data!
+ *
+ * Example:
+ * mail_encode_address("f枚枚 <foo@bar.com>, me@somewhere.com","TBcc");
+ *
+ * @param string $string Multiple adresses separated by commas
+ * @param string $header Name of the header (To,Bcc,Cc,...)
+ * @param boolean $names Allow named Recipients?
+ */
+function mail_encode_address($string,$header='',$names=true){
+ $headers = '';
+ $parts = explode(',',$string);
+ foreach ($parts as $part){
+ $part = trim($part);
+
+ // parse address
+ if(preg_match('#(.*?)<(.*?)>#',$part,$matches)){
+ $text = trim($matches[1]);
+ $addr = $matches[2];
+ }else{
+ $addr = $part;
+ }
+
+ // skip empty ones
+ if(empty($addr)){
+ continue;
+ }
+
+ // FIXME: is there a way to encode the localpart of a emailaddress?
+ if(!utf8_isASCII($addr)){
+ msg(htmlspecialchars("E-Mail address <$addr> is not ASCII"),-1);
+ continue;
+ }
+
+ if(!mail_isvalid($addr)){
+ msg(htmlspecialchars("E-Mail address <$addr> is not valid"),-1);
+ continue;
+ }
+
+ // text was given
+ if(!empty($text) && $names){
+ // add address quotes
+ $addr = "<$addr>";
+
+ if(defined('MAILHEADER_ASCIIONLY')){
+ $text = utf8_deaccent($text);
+ $text = utf8_strip($text);
+ }
+
+ if(!utf8_isASCII($text)){
+ $text = '=?UTF-8?Q?'.mail_quotedprintable_encode($text,0).'?=';
+ }
+ }else{
+ $text = '';
+ }
+
+ // add to header comma seperated
+ if($headers != ''){
+ $headers .= ',';
+ if($header) $headers .= MAILHEADER_EOL.' '; // avoid overlong mail headers
+ }
+ $headers .= $text.' '.$addr;
+ }
+
+ if(empty($headers)) return null;
+
+ //if headername was given add it and close correctly
+ if($header) $headers = $header.': '.$headers.MAILHEADER_EOL;
+
+ return $headers;
+}
+
+/**
+ * Check if a given mail address is valid
+ *
+ * @param string $email the address to check
+ * @return bool true if address is valid
+ */
+function mail_isvalid($email){
+ $validator = new EmailAddressValidator;
+ return $validator->check_email_address($email);
+}
+
+/**
+ * Quoted printable encoding
+ *
+ * @author umu <umuAThrz.tu-chemnitz.de>
+ * @link http://www.php.net/manual/en/function.imap-8bit.php#61216
+ */
+function mail_quotedprintable_encode($sText,$maxlen=74,$bEmulate_imap_8bit=true) {
+ // split text into lines
+ $aLines= preg_split("/(?:\r\n|\r|\n)/", $sText);
+ $cnt = count($aLines);
+
+ for ($i=0;$i<$cnt;$i++) {
+ $sLine =& $aLines[$i];
+ if (strlen($sLine)===0) continue; // do nothing, if empty
+
+ $sRegExp = '/[^\x09\x20\x21-\x3C\x3E-\x7E]/e';
+
+ // imap_8bit encodes x09 everywhere, not only at lineends,
+ // for EBCDIC safeness encode !"#$@[\]^`{|}~,
+ // for complete safeness encode every character :)
+ if ($bEmulate_imap_8bit)
+ $sRegExp = '/[^\x20\x21-\x3C\x3E-\x7E]/e';
+
+ $sReplmt = 'sprintf( "=%02X", ord ( "$0" ) ) ;';
+ $sLine = preg_replace( $sRegExp, $sReplmt, $sLine );
+
+ // encode x09,x20 at lineends
+ {
+ $iLength = strlen($sLine);
+ $iLastChar = ord($sLine{$iLength-1});
+
+ // !!!!!!!!
+ // imap_8_bit does not encode x20 at the very end of a text,
+ // here is, where I don't agree with imap_8_bit,
+ // please correct me, if I'm wrong,
+ // or comment next line for RFC2045 conformance, if you like
+ if (!($bEmulate_imap_8bit && ($i==count($aLines)-1))){
+ if (($iLastChar==0x09)||($iLastChar==0x20)) {
+ $sLine{$iLength-1}='=';
+ $sLine .= ($iLastChar==0x09)?'09':'20';
+ }
+ }
+ } // imap_8bit encodes x20 before chr(13), too
+ // although IMHO not requested by RFC2045, why not do it safer :)
+ // and why not encode any x20 around chr(10) or chr(13)
+ if ($bEmulate_imap_8bit) {
+ $sLine=str_replace(' =0D','=20=0D',$sLine);
+ //$sLine=str_replace(' =0A','=20=0A',$sLine);
+ //$sLine=str_replace('=0D ','=0D=20',$sLine);
+ //$sLine=str_replace('=0A ','=0A=20',$sLine);
+ }
+
+ // finally split into softlines no longer than $maxlen chars,
+ // for even more safeness one could encode x09,x20
+ // at the very first character of the line
+ // and after soft linebreaks, as well,
+ // but this wouldn't be caught by such an easy RegExp
+ if($maxlen){
+ preg_match_all( '/.{1,'.($maxlen - 2).'}([^=]{0,2})?/', $sLine, $aMatch );
+ $sLine = implode( '=' . MAILHEADER_EOL, $aMatch[0] ); // add soft crlf's
+ }
+ }
+
+ // join lines into text
+ return implode(MAILHEADER_EOL,$aLines);
+}
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/media.php b/mod/dokuwiki/vendors/dokuwiki/inc/media.php
new file mode 100644
index 000000000..9090dbd22
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/media.php
@@ -0,0 +1,1102 @@
+<?php
+/**
+ * All output and handler function needed for the media management popup
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+
+if(!defined('DOKU_INC')) die('meh.');
+if(!defined('NL')) define('NL',"\n");
+require_once(DOKU_INC.'inc/html.php');
+require_once(DOKU_INC.'inc/search.php');
+require_once(DOKU_INC.'inc/JpegMeta.php');
+
+/**
+ * Lists pages which currently use a media file selected for deletion
+ *
+ * References uses the same visual as search results and share
+ * their CSS tags except pagenames won't be links.
+ *
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+function media_filesinuse($data,$id){
+ global $lang;
+ echo '<h1>'.$lang['reference'].' <code>'.hsc(noNS($id)).'</code></h1>';
+ echo '<p>'.hsc($lang['ref_inuse']).'</p>';
+
+ $hidden=0; //count of hits without read permission
+ foreach($data as $row){
+ if(auth_quickaclcheck($row) >= AUTH_READ && isVisiblePage($row)){
+ echo '<div class="search_result">';
+ echo '<span class="mediaref_ref">'.hsc($row).'</span>';
+ echo '</div>';
+ }else
+ $hidden++;
+ }
+ if ($hidden){
+ print '<div class="mediaref_hidden">'.$lang['ref_hidden'].'</div>';
+ }
+}
+
+/**
+ * Handles the saving of image meta data
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function media_metasave($id,$auth,$data){
+ if($auth < AUTH_UPLOAD) return false;
+ if(!checkSecurityToken()) return false;
+ global $lang;
+ global $conf;
+ $src = mediaFN($id);
+
+ $meta = new JpegMeta($src);
+ $meta->_parseAll();
+
+ foreach($data as $key => $val){
+ $val=trim($val);
+ if(empty($val)){
+ $meta->deleteField($key);
+ }else{
+ $meta->setField($key,$val);
+ }
+ }
+
+ if($meta->save()){
+ if($conf['fperm']) chmod($src, $conf['fperm']);
+ msg($lang['metasaveok'],1);
+ return $id;
+ }else{
+ msg($lang['metasaveerr'],-1);
+ return false;
+ }
+}
+
+/**
+ * Display the form to edit image meta data
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function media_metaform($id,$auth){
+ if($auth < AUTH_UPLOAD) return false;
+ global $lang, $config_cascade;
+
+ // load the field descriptions
+ static $fields = null;
+ if(is_null($fields)){
+
+ foreach (array('default','local') as $config_group) {
+ if (empty($config_cascade['mediameta'][$config_group])) continue;
+ foreach ($config_cascade['mediameta'][$config_group] as $config_file) {
+ if(@file_exists($config_file)){
+ include($config_file);
+ }
+ }
+ }
+ }
+
+ $src = mediaFN($id);
+
+ // output
+ echo '<h1>'.hsc(noNS($id)).'</h1>'.NL;
+ echo '<form action="'.DOKU_BASE.'lib/exe/mediamanager.php" accept-charset="utf-8" method="post" class="meta">'.NL;
+ formSecurityToken();
+ foreach($fields as $key => $field){
+ // get current value
+ $tags = array($field[0]);
+ if(is_array($field[3])) $tags = array_merge($tags,$field[3]);
+ $value = tpl_img_getTag($tags,'',$src);
+ $value = cleanText($value);
+
+ // prepare attributes
+ $p = array();
+ $p['class'] = 'edit';
+ $p['id'] = 'meta__'.$key;
+ $p['name'] = 'meta['.$field[0].']';
+
+ // put label
+ echo '<div class="metafield">';
+ echo '<label for="meta__'.$key.'">';
+ echo ($lang[$field[1]]) ? $lang[$field[1]] : $field[1];
+ echo ':</label>';
+
+ // put input field
+ if($field[2] == 'text'){
+ $p['value'] = $value;
+ $p['type'] = 'text';
+ $att = buildAttributes($p);
+ echo "<input $att/>".NL;
+ }else{
+ $att = buildAttributes($p);
+ echo "<textarea $att rows=\"6\" cols=\"50\">".formText($value).'</textarea>'.NL;
+ }
+ echo '</div>'.NL;
+ }
+ echo '<div class="buttons">'.NL;
+ echo '<input type="hidden" name="img" value="'.hsc($id).'" />'.NL;
+ echo '<input name="do[save]" type="submit" value="'.$lang['btn_save'].
+ '" title="'.$lang['btn_save'].' [S]" accesskey="s" class="button" />'.NL;
+ echo '<input name="do[cancel]" type="submit" value="'.$lang['btn_cancel'].
+ '" title="'.$lang['btn_cancel'].' [C]" accesskey="c" class="button" />'.NL;
+ echo '</div>'.NL;
+ echo '</form>'.NL;
+}
+
+/**
+ * Conveinience function to check if a media file is still in use
+ *
+ * @author Michael Klier <chi@chimeric.de>
+ */
+function media_inuse($id) {
+ global $conf;
+ $mediareferences = array();
+ if($conf['refcheck']){
+ require_once(DOKU_INC.'inc/fulltext.php');
+ $mediareferences = ft_mediause($id,$conf['refshow']);
+ if(!count($mediareferences)) {
+ return false;
+ } else {
+ return $mediareferences;
+ }
+ } else {
+ return false;
+ }
+}
+
+/**
+ * Handles media file deletions
+ *
+ * If configured, checks for media references before deletion
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @return mixed false on error, true on delete or array with refs
+ */
+function media_delete($id,$auth){
+ if($auth < AUTH_DELETE) return false;
+ if(!checkSecurityToken()) return false;
+ global $conf;
+ global $lang;
+
+ $file = mediaFN($id);
+
+ // trigger an event - MEDIA_DELETE_FILE
+ $data['id'] = $id;
+ $data['name'] = basename($file);
+ $data['path'] = $file;
+ $data['size'] = (@file_exists($file)) ? filesize($file) : 0;
+
+ $data['unl'] = false;
+ $data['del'] = false;
+ $evt = new Doku_Event('MEDIA_DELETE_FILE',$data);
+ if ($evt->advise_before()) {
+ $data['unl'] = @unlink($file);
+ if($data['unl']){
+ addMediaLogEntry(time(), $id, DOKU_CHANGE_TYPE_DELETE);
+ $data['del'] = io_sweepNS($id,'mediadir');
+ }
+ }
+ $evt->advise_after();
+ unset($evt);
+
+ if($data['unl'] && $data['del']){
+ // current namespace was removed. redirecting to root ns passing msg along
+ send_redirect(DOKU_URL.'lib/exe/mediamanager.php?msg1='.
+ rawurlencode(sprintf(noNS($id),$lang['deletesucc'])));
+ }
+
+ return $data['unl'];
+}
+
+/**
+ * Handles media file uploads
+ *
+ * This generates an action event and delegates to _media_upload_action().
+ * Action plugins are allowed to pre/postprocess the uploaded file.
+ * (The triggered event is preventable.)
+ *
+ * Event data:
+ * $data[0] fn_tmp: the temporary file name (read from $_FILES)
+ * $data[1] fn: the file name of the uploaded file
+ * $data[2] id: the future directory id of the uploaded file
+ * $data[3] imime: the mimetype of the uploaded file
+ * $data[4] overwrite: if an existing file is going to be overwritten
+ *
+ * @triggers MEDIA_UPLOAD_FINISH
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Michael Klier <chi@chimeric.de>
+ * @return mixed false on error, id of the new file on success
+ */
+function media_upload($ns,$auth){
+ if($auth < AUTH_UPLOAD) return false;
+ if(!checkSecurityToken()) return false;
+ require_once(DOKU_INC.'inc/confutils.php');
+ global $lang;
+ global $conf;
+
+ // get file and id
+ $id = $_POST['id'];
+ $file = $_FILES['upload'];
+ if(empty($id)) $id = $file['name'];
+
+ // check for errors (messages are done in lib/exe/mediamanager.php)
+ if($file['error']) return false;
+
+ // check extensions
+ list($fext,$fmime,$dl) = mimetype($file['name']);
+ list($iext,$imime,$dl) = mimetype($id);
+ if($fext && !$iext){
+ // no extension specified in id - read original one
+ $id .= '.'.$fext;
+ $imime = $fmime;
+ }elseif($fext && $fext != $iext){
+ // extension was changed, print warning
+ msg(sprintf($lang['mediaextchange'],$fext,$iext));
+ }
+
+ // get filename
+ $id = cleanID($ns.':'.$id,false,true);
+ $fn = mediaFN($id);
+
+ // get filetype regexp
+ $types = array_keys(getMimeTypes());
+ $types = array_map(create_function('$q','return preg_quote($q,"/");'),$types);
+ $regex = join('|',$types);
+
+ // because a temp file was created already
+ if(preg_match('/\.('.$regex.')$/i',$fn)){
+ //check for overwrite
+ $overwrite = @file_exists($fn);
+ if($overwrite && (!$_REQUEST['ow'] || $auth < AUTH_DELETE)){
+ msg($lang['uploadexist'],0);
+ return false;
+ }
+ // check for valid content
+ $ok = media_contentcheck($file['tmp_name'],$imime);
+ if($ok == -1){
+ msg(sprintf($lang['uploadbadcontent'],".$iext"),-1);
+ return false;
+ }elseif($ok == -2){
+ msg($lang['uploadspam'],-1);
+ return false;
+ }elseif($ok == -3){
+ msg($lang['uploadxss'],-1);
+ return false;
+ }
+
+ // prepare event data
+ $data[0] = $file['tmp_name'];
+ $data[1] = $fn;
+ $data[2] = $id;
+ $data[3] = $imime;
+ $data[4] = $overwrite;
+
+ // trigger event
+ return trigger_event('MEDIA_UPLOAD_FINISH', $data, '_media_upload_action', true);
+
+ }else{
+ msg($lang['uploadwrong'],-1);
+ }
+ return false;
+}
+
+/**
+ * Callback adapter for media_upload_finish()
+ * @author Michael Klier <chi@chimeric.de>
+ */
+function _media_upload_action($data) {
+ // fixme do further sanity tests of given data?
+ if(is_array($data) && count($data)===5) {
+ return media_upload_finish($data[0], $data[1], $data[2], $data[3], $data[4]);
+ } else {
+ return false; //callback error
+ }
+}
+
+/**
+ * Saves an uploaded media file
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Michael Klier <chi@chimeric.de>
+ */
+function media_upload_finish($fn_tmp, $fn, $id, $imime, $overwrite) {
+ global $conf;
+ global $lang;
+
+ // prepare directory
+ io_createNamespace($id, 'media');
+
+ if(move_uploaded_file($fn_tmp, $fn)) {
+ // Set the correct permission here.
+ // Always chmod media because they may be saved with different permissions than expected from the php umask.
+ // (Should normally chmod to $conf['fperm'] only if $conf['fperm'] is set.)
+ chmod($fn, $conf['fmode']);
+ msg($lang['uploadsucc'],1);
+ media_notify($id,$fn,$imime);
+ // add a log entry to the media changelog
+ if ($overwrite) {
+ addMediaLogEntry(time(), $id, DOKU_CHANGE_TYPE_EDIT);
+ } else {
+ addMediaLogEntry(time(), $id, DOKU_CHANGE_TYPE_CREATE);
+ }
+ return $id;
+ }else{
+ msg($lang['uploadfail'],-1);
+ }
+}
+
+/**
+ * This function checks if the uploaded content is really what the
+ * mimetype says it is. We also do spam checking for text types here.
+ *
+ * We need to do this stuff because we can not rely on the browser
+ * to do this check correctly. Yes, IE is broken as usual.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @link http://www.splitbrain.org/blog/2007-02/12-internet_explorer_facilitates_cross_site_scripting
+ * @fixme check all 26 magic IE filetypes here?
+ */
+function media_contentcheck($file,$mime){
+ global $conf;
+ if($conf['iexssprotect']){
+ $fh = @fopen($file, 'rb');
+ if($fh){
+ $bytes = fread($fh, 256);
+ fclose($fh);
+ if(preg_match('/<(script|a|img|html|body|iframe)[\s>]/i',$bytes)){
+ return -3;
+ }
+ }
+ }
+ if(substr($mime,0,6) == 'image/'){
+ $info = @getimagesize($file);
+ if($mime == 'image/gif' && $info[2] != 1){
+ return -1;
+ }elseif($mime == 'image/jpeg' && $info[2] != 2){
+ return -1;
+ }elseif($mime == 'image/png' && $info[2] != 3){
+ return -1;
+ }
+ # fixme maybe check other images types as well
+ }elseif(substr($mime,0,5) == 'text/'){
+ global $TEXT;
+ $TEXT = io_readFile($file);
+ if(checkwordblock()){
+ return -2;
+ }
+ }
+ return 0;
+}
+
+/**
+ * Send a notify mail on uploads
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function media_notify($id,$file,$mime){
+ global $lang;
+ global $conf;
+ if(empty($conf['notify'])) return; //notify enabled?
+
+ $ip = clientIP();
+
+ $text = rawLocale('uploadmail');
+ $text = str_replace('@DATE@',dformat(),$text);
+ $text = str_replace('@BROWSER@',$_SERVER['HTTP_USER_AGENT'],$text);
+ $text = str_replace('@IPADDRESS@',$ip,$text);
+ $text = str_replace('@HOSTNAME@',gethostsbyaddrs($ip),$text);
+ $text = str_replace('@DOKUWIKIURL@',DOKU_URL,$text);
+ $text = str_replace('@USER@',$_SERVER['REMOTE_USER'],$text);
+ $text = str_replace('@MIME@',$mime,$text);
+ $text = str_replace('@MEDIA@',ml($id,'',true,'&',true),$text);
+ $text = str_replace('@SIZE@',filesize_h(filesize($file)),$text);
+
+ $from = $conf['mailfrom'];
+ $from = str_replace('@USER@',$_SERVER['REMOTE_USER'],$from);
+ $from = str_replace('@NAME@',$INFO['userinfo']['name'],$from);
+ $from = str_replace('@MAIL@',$INFO['userinfo']['mail'],$from);
+
+ $subject = '['.$conf['title'].'] '.$lang['mail_upload'].' '.$id;
+
+ mail_send($conf['notify'],$subject,$text,$from);
+}
+
+/**
+ * List all files in a given Media namespace
+ */
+function media_filelist($ns,$auth=null,$jump=''){
+ global $conf;
+ global $lang;
+ $ns = cleanID($ns);
+
+ // check auth our self if not given (needed for ajax calls)
+ if(is_null($auth)) $auth = auth_quickaclcheck("$ns:*");
+
+ echo '<h1 id="media__ns">:'.hsc($ns).'</h1>'.NL;
+
+ if($auth < AUTH_READ){
+ // FIXME: print permission warning here instead?
+ echo '<div class="nothing">'.$lang['nothingfound'].'</div>'.NL;
+ }else{
+ media_uploadform($ns, $auth);
+
+ $dir = utf8_encodeFN(str_replace(':','/',$ns));
+ $data = array();
+ search($data,$conf['mediadir'],'search_media',
+ array('showmsg'=>true,'depth'=>1),$dir);
+
+ if(!count($data)){
+ echo '<div class="nothing">'.$lang['nothingfound'].'</div>'.NL;
+ }else foreach($data as $item){
+ media_printfile($item,$auth,$jump);
+ }
+ }
+ media_searchform($ns);
+}
+
+/**
+ * List all files found by the search request
+ *
+ * @author Tobias Sarnowski <sarnowski@cosmocode.de>
+ * @author Andreas Gohr <gohr@cosmocode.de>
+ * @triggers MEDIA_SEARCH
+ */
+function media_searchlist($query,$ns,$auth=null){
+ global $conf;
+ global $lang;
+ $ns = cleanID($ns);
+
+ if ($query) {
+ $evdata = array(
+ 'ns' => $ns,
+ 'data' => array(),
+ 'query' => $query
+ );
+ $evt = new Doku_Event('MEDIA_SEARCH', $evdata);
+ if ($evt->advise_before()) {
+ $dir = utf8_encodeFN(str_replace(':','/',$evdata['ns']));
+ $pattern = '/'.preg_quote($evdata['query'],'/').'/i';
+ search($evdata['data'],
+ $conf['mediadir'],
+ 'search_media',
+ array('showmsg'=>false,'pattern'=>$pattern),
+ $dir);
+ }
+ $evt->advise_after();
+ unset($evt);
+ }
+
+ echo '<h1 id="media__ns">'.sprintf($lang['searchmedia_in'],hsc($ns).':*').'</h1>'.NL;
+ media_searchform($ns,$query);
+
+ if(!count($evdata['data'])){
+ echo '<div class="nothing">'.$lang['nothingfound'].'</div>'.NL;
+ }else foreach($evdata['data'] as $item){
+ media_printfile($item,$item['perm'],'',true);
+ }
+}
+
+/**
+ * Print action links for a file depending on filetype
+ * and available permissions
+ */
+function media_fileactions($item,$auth){
+ global $lang;
+
+ // view button
+ $link = ml($item['id'],'',true);
+ echo ' <a href="'.$link.'" target="_blank"><img src="'.DOKU_MEDIA.'lib/images/magnifier.png" '.
+ 'alt="'.$lang['mediaview'].'" title="'.$lang['mediaview'].'" class="btn" /></a>';
+
+ // no further actions if not writable
+ if(!$item['writable']) return;
+
+ // delete button
+ if($auth >= AUTH_DELETE){
+ echo ' <a href="'.DOKU_BASE.'lib/exe/mediamanager.php?delete='.rawurlencode($item['id']).
+ '&amp;sectok='.getSecurityToken().'" class="btn_media_delete" title="'.$item['id'].'">'.
+ '<img src="'.DOKU_MEDIA.'lib/images/trash.png" alt="'.$lang['btn_delete'].'" '.
+ 'title="'.$lang['btn_delete'].'" class="btn" /></a>';
+ }
+
+ // edit button
+ if($auth >= AUTH_UPLOAD && $item['isimg'] && $item['meta']->getField('File.Mime') == 'image/jpeg'){
+ echo ' <a href="'.DOKU_BASE.'lib/exe/mediamanager.php?edit='.rawurlencode($item['id']).'">'.
+ '<img src="'.DOKU_MEDIA.'lib/images/pencil.png" alt="'.$lang['metaedit'].'" '.
+ 'title="'.$lang['metaedit'].'" class="btn" /></a>';
+ }
+
+}
+
+/**
+ * Formats and prints one file in the list
+ */
+function media_printfile($item,$auth,$jump,$display_namespace=false){
+ global $lang;
+ global $conf;
+
+ // Prepare zebra coloring
+ // I always wanted to use this variable name :-D
+ static $twibble = 1;
+ $twibble *= -1;
+ $zebra = ($twibble == -1) ? 'odd' : 'even';
+
+ // Automatically jump to recent action
+ if($jump == $item['id']) {
+ $jump = ' id="scroll__here" ';
+ }else{
+ $jump = '';
+ }
+
+ // Prepare fileicons
+ list($ext,$mime,$dl) = mimetype($item['file'],false);
+ $class = preg_replace('/[^_\-a-z0-9]+/i','_',$ext);
+ $class = 'select mediafile mf_'.$class;
+
+ // Prepare filename
+ $file = utf8_decodeFN($item['file']);
+
+ // Prepare info
+ $info = '';
+ if($item['isimg']){
+ $info .= (int) $item['meta']->getField('File.Width');
+ $info .= '&#215;';
+ $info .= (int) $item['meta']->getField('File.Height');
+ $info .= ' ';
+ }
+ $info .= '<i>'.dformat($item['mtime']).'</i>';
+ $info .= ' ';
+ $info .= filesize_h($item['size']);
+
+ // output
+ echo '<div class="'.$zebra.'"'.$jump.'>'.NL;
+ if (!$display_namespace) {
+ echo '<a name="h_:'.$item['id'].'" class="'.$class.'">'.hsc($file).'</a> ';
+ } else {
+ echo '<a name="h_:'.$item['id'].'" class="'.$class.'">'.hsc($item['id']).'</a><br/>';
+ }
+ echo '<span class="info">('.$info.')</span>'.NL;
+ media_fileactions($item,$auth);
+ echo '<div class="example" id="ex_'.str_replace(':','_',$item['id']).'">';
+ echo $lang['mediausage'].' <code>{{:'.$item['id'].'}}</code>';
+ echo '</div>';
+ if($item['isimg']) media_printimgdetail($item);
+ echo '<div class="clearer"></div>'.NL;
+ echo '</div>'.NL;
+}
+
+/**
+ * Prints a thumbnail and metainfos
+ */
+function media_printimgdetail($item){
+ // prepare thumbnail
+ $w = (int) $item['meta']->getField('File.Width');
+ $h = (int) $item['meta']->getField('File.Height');
+ if($w>120 || $h>120){
+ $ratio = $item['meta']->getResizeRatio(120);
+ $w = floor($w * $ratio);
+ $h = floor($h * $ratio);
+ }
+ $src = ml($item['id'],array('w'=>$w,'h'=>$h));
+ $p = array();
+ $p['width'] = $w;
+ $p['height'] = $h;
+ $p['alt'] = $item['id'];
+ $p['class'] = 'thumb';
+ $att = buildAttributes($p);
+
+ // output
+ echo '<div class="detail">';
+ echo '<div class="thumb">';
+ echo '<a name="d_:'.$item['id'].'" class="select">';
+ echo '<img src="'.$src.'" '.$att.' />';
+ echo '</a>';
+ echo '</div>';
+
+ // read EXIF/IPTC data
+ $t = $item['meta']->getField(array('IPTC.Headline','xmp.dc:title'));
+ $d = $item['meta']->getField(array('IPTC.Caption','EXIF.UserComment',
+ 'EXIF.TIFFImageDescription',
+ 'EXIF.TIFFUserComment'));
+ if(utf8_strlen($d) > 250) $d = utf8_substr($d,0,250).'...';
+ $k = $item['meta']->getField(array('IPTC.Keywords','IPTC.Category','xmp.dc:subject'));
+
+ // print EXIF/IPTC data
+ if($t || $d || $k ){
+ echo '<p>';
+ if($t) echo '<strong>'.htmlspecialchars($t).'</strong><br />';
+ if($d) echo htmlspecialchars($d).'<br />';
+ if($t) echo '<em>'.htmlspecialchars($k).'</em>';
+ echo '</p>';
+ }
+ echo '</div>';
+}
+
+/**
+ * Print the media upload form if permissions are correct
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function media_uploadform($ns, $auth){
+ global $lang;
+
+ if($auth < AUTH_UPLOAD) return; //fixme print info on missing permissions?
+
+ // The default HTML upload form
+ $form = new Doku_Form(array('id' => 'dw__upload',
+ 'action' => DOKU_BASE.'lib/exe/mediamanager.php',
+ 'enctype' => 'multipart/form-data'));
+ $form->addElement('<div class="upload">' . $lang['mediaupload'] . '</div>');
+ $form->addElement(formSecurityToken());
+ $form->addHidden('ns', hsc($ns));
+ $form->addElement(form_makeOpenTag('p'));
+ $form->addElement(form_makeFileField('upload', $lang['txt_upload'].':', 'upload__file'));
+ $form->addElement(form_makeCloseTag('p'));
+ $form->addElement(form_makeOpenTag('p'));
+ $form->addElement(form_makeTextField('id', '', $lang['txt_filename'].':', 'upload__name'));
+ $form->addElement(form_makeButton('submit', '', $lang['btn_upload']));
+ $form->addElement(form_makeCloseTag('p'));
+
+ if($auth >= AUTH_DELETE){
+ $form->addElement(form_makeOpenTag('p'));
+ $form->addElement(form_makeCheckboxField('ow', 1, $lang['txt_overwrt'], 'dw__ow', 'check'));
+ $form->addElement(form_makeCloseTag('p'));
+ }
+ html_form('upload', $form);
+
+ // prepare flashvars for multiupload
+ $opt = array(
+ 'L_gridname' => $lang['mu_gridname'] ,
+ 'L_gridsize' => $lang['mu_gridsize'] ,
+ 'L_gridstat' => $lang['mu_gridstat'] ,
+ 'L_namespace' => $lang['mu_namespace'] ,
+ 'L_overwrite' => $lang['txt_overwrt'],
+ 'L_browse' => $lang['mu_browse'],
+ 'L_upload' => $lang['btn_upload'],
+ 'L_toobig' => $lang['mu_toobig'],
+ 'L_ready' => $lang['mu_ready'],
+ 'L_done' => $lang['mu_done'],
+ 'L_fail' => $lang['mu_fail'],
+ 'L_authfail' => $lang['mu_authfail'],
+ 'L_progress' => $lang['mu_progress'],
+ 'L_filetypes' => $lang['mu_filetypes'],
+ 'L_info' => $lang['mu_info'],
+ 'L_lasterr' => $lang['mu_lasterr'],
+
+ 'O_ns' => ":$ns",
+ 'O_backend' => 'mediamanager.php?'.session_name().'='.session_id(),
+ 'O_maxsize' => php_to_byte(ini_get('upload_max_filesize')),
+ 'O_extensions'=> join('|',array_keys(getMimeTypes())),
+ 'O_overwrite' => ($auth >= AUTH_DELETE),
+ 'O_sectok' => getSecurityToken(),
+ 'O_authtok' => auth_createToken(),
+ );
+ $var = buildURLparams($opt);
+ // output the flash uploader
+ ?>
+ <div id="dw__flashupload" style="display:none">
+ <div class="upload"><?php echo $lang['mu_intro']?></div>
+ <?php echo html_flashobject('multipleUpload.swf','500','190',null,$opt); ?>
+ </div>
+ <?php
+}
+
+/**
+ * Print the search field form
+ *
+ * @author Tobias Sarnowski <sarnowski@cosmocode.de>
+ */
+function media_searchform($ns,$query=''){
+ global $lang;
+
+ // The default HTML search form
+ $form = new Doku_Form(array('id' => 'dw__mediasearch', 'action' => DOKU_BASE.'lib/exe/mediamanager.php'));
+ $form->addElement('<div class="upload">' . $lang['mediasearch'] . '</div>');
+ $form->addElement(formSecurityToken());
+ $form->addHidden('ns', $ns);
+ $form->addHidden('do', 'searchlist');
+ $form->addElement(form_makeOpenTag('p'));
+ $form->addElement(form_makeTextField('q', $query,$lang['searchmedia'],'','',array('title'=>sprintf($lang['searchmedia_in'],hsc($ns).':*'))));
+ $form->addElement(form_makeButton('submit', '', $lang['btn_search']));
+ $form->addElement(form_makeCloseTag('p'));
+ html_form('searchmedia', $form);
+}
+
+/**
+ * Build a tree outline of available media namespaces
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function media_nstree($ns){
+ global $conf;
+ global $lang;
+
+ // currently selected namespace
+ $ns = cleanID($ns);
+ if(empty($ns)){
+ $ns = dirname(str_replace(':','/',$ID));
+ if($ns == '.') $ns ='';
+ }
+ $ns = utf8_encodeFN(str_replace(':','/',$ns));
+
+ $data = array();
+ search($data,$conf['mediadir'],'search_index',array('ns' => $ns, 'nofiles' => true));
+
+ // wrap a list with the root level around the other namespaces
+ $item = array( 'level' => 0, 'id' => '',
+ 'open' =>'true', 'label' => '['.$lang['mediaroot'].']');
+
+ echo '<ul class="idx">';
+ echo media_nstree_li($item);
+ echo media_nstree_item($item);
+ echo html_buildlist($data,'idx','media_nstree_item','media_nstree_li');
+ echo '</li>';
+ echo '</ul>';
+}
+
+/**
+ * Userfunction for html_buildlist
+ *
+ * Prints a media namespace tree item
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function media_nstree_item($item){
+ $pos = strrpos($item['id'], ':');
+ $label = substr($item['id'], $pos > 0 ? $pos + 1 : 0);
+ if(!$item['label']) $item['label'] = $label;
+
+ $ret = '';
+ $ret .= '<a href="'.DOKU_BASE.'lib/exe/mediamanager.php?ns='.idfilter($item['id']).'" class="idx_dir">';
+ $ret .= $item['label'];
+ $ret .= '</a>';
+ return $ret;
+}
+
+/**
+ * Userfunction for html_buildlist
+ *
+ * Prints a media namespace tree item opener
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function media_nstree_li($item){
+ $class='media level'.$item['level'];
+ if($item['open']){
+ $class .= ' open';
+ $img = DOKU_BASE.'lib/images/minus.gif';
+ $alt = '&minus;';
+ }else{
+ $class .= ' closed';
+ $img = DOKU_BASE.'lib/images/plus.gif';
+ $alt = '+';
+ }
+ return '<li class="'.$class.'">'.
+ '<img src="'.$img.'" alt="'.$alt.'" />';
+}
+
+/**
+ * Resizes the given image to the given size
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function media_resize_image($file, $ext, $w, $h=0){
+ global $conf;
+
+ $info = @getimagesize($file); //get original size
+ if($info == false) return $file; // that's no image - it's a spaceship!
+
+ if(!$h) $h = round(($w * $info[1]) / $info[0]);
+
+ // we wont scale up to infinity
+ if($w > 2000 || $h > 2000) return $file;
+
+ //cache
+ $local = getCacheName($file,'.media.'.$w.'x'.$h.'.'.$ext);
+ $mtime = @filemtime($local); // 0 if not exists
+
+ if( $mtime > filemtime($file) ||
+ media_resize_imageIM($ext,$file,$info[0],$info[1],$local,$w,$h) ||
+ media_resize_imageGD($ext,$file,$info[0],$info[1],$local,$w,$h) ){
+ if($conf['fperm']) chmod($local, $conf['fperm']);
+ return $local;
+ }
+ //still here? resizing failed
+ return $file;
+}
+
+/**
+ * Crops the given image to the wanted ratio, then calls media_resize_image to scale it
+ * to the wanted size
+ *
+ * Crops are centered horizontally but prefer the upper third of an vertical
+ * image because most pics are more interesting in that area (rule of thirds)
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function media_crop_image($file, $ext, $w, $h=0){
+ global $conf;
+
+ if(!$h) $h = $w;
+ $info = @getimagesize($file); //get original size
+ if($info == false) return $file; // that's no image - it's a spaceship!
+
+ // calculate crop size
+ $fr = $info[0]/$info[1];
+ $tr = $w/$h;
+ if($tr >= 1){
+ if($tr > $fr){
+ $cw = $info[0];
+ $ch = (int) $info[0]/$tr;
+ }else{
+ $cw = (int) $info[1]*$tr;
+ $ch = $info[1];
+ }
+ }else{
+ if($tr < $fr){
+ $cw = (int) $info[1]*$tr;
+ $ch = $info[1];
+ }else{
+ $cw = $info[0];
+ $ch = (int) $info[0]/$tr;
+ }
+ }
+ // calculate crop offset
+ $cx = (int) ($info[0]-$cw)/2;
+ $cy = (int) ($info[1]-$ch)/3;
+
+ //cache
+ $local = getCacheName($file,'.media.'.$cw.'x'.$ch.'.crop.'.$ext);
+ $mtime = @filemtime($local); // 0 if not exists
+
+ if( $mtime > filemtime($file) ||
+ media_crop_imageIM($ext,$file,$info[0],$info[1],$local,$cw,$ch,$cx,$cy) ||
+ media_resize_imageGD($ext,$file,$cw,$ch,$local,$cw,$ch,$cx,$cy) ){
+ if($conf['fperm']) chmod($local, $conf['fperm']);
+ return media_resize_image($local,$ext, $w, $h);
+ }
+
+ //still here? cropping failed
+ return media_resize_image($file,$ext, $w, $h);
+}
+
+/**
+ * Download a remote file and return local filename
+ *
+ * returns false if download fails. Uses cached file if available and
+ * wanted
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Pavel Vitis <Pavel.Vitis@seznam.cz>
+ */
+function media_get_from_URL($url,$ext,$cache){
+ global $conf;
+
+ // if no cache or fetchsize just redirect
+ if ($cache==0) return false;
+ if (!$conf['fetchsize']) return false;
+
+ $local = getCacheName(strtolower($url),".media.$ext");
+ $mtime = @filemtime($local); // 0 if not exists
+
+ //decide if download needed:
+ if( ($mtime == 0) || // cache does not exist
+ ($cache != -1 && $mtime < time()-$cache) // 'recache' and cache has expired
+ ){
+ if(media_image_download($url,$local)){
+ return $local;
+ }else{
+ return false;
+ }
+ }
+
+ //if cache exists use it else
+ if($mtime) return $local;
+
+ //else return false
+ return false;
+}
+
+/**
+ * Download image files
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function media_image_download($url,$file){
+ global $conf;
+ $http = new DokuHTTPClient();
+ $http->max_bodysize = $conf['fetchsize'];
+ $http->timeout = 25; //max. 25 sec
+ $http->header_regexp = '!\r\nContent-Type: image/(jpe?g|gif|png)!i';
+
+ $data = $http->get($url);
+ if(!$data) return false;
+
+ $fileexists = @file_exists($file);
+ $fp = @fopen($file,"w");
+ if(!$fp) return false;
+ fwrite($fp,$data);
+ fclose($fp);
+ if(!$fileexists and $conf['fperm']) chmod($file, $conf['fperm']);
+
+ // check if it is really an image
+ $info = @getimagesize($file);
+ if(!$info){
+ @unlink($file);
+ return false;
+ }
+
+ return true;
+}
+
+/**
+ * resize images using external ImageMagick convert program
+ *
+ * @author Pavel Vitis <Pavel.Vitis@seznam.cz>
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function media_resize_imageIM($ext,$from,$from_w,$from_h,$to,$to_w,$to_h){
+ global $conf;
+
+ // check if convert is configured
+ if(!$conf['im_convert']) return false;
+
+ // prepare command
+ $cmd = $conf['im_convert'];
+ $cmd .= ' -resize '.$to_w.'x'.$to_h.'!';
+ if ($ext == 'jpg' || $ext == 'jpeg') {
+ $cmd .= ' -quality '.$conf['jpg_quality'];
+ }
+ $cmd .= " $from $to";
+
+ @exec($cmd,$out,$retval);
+ if ($retval == 0) return true;
+ return false;
+}
+
+/**
+ * crop images using external ImageMagick convert program
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function media_crop_imageIM($ext,$from,$from_w,$from_h,$to,$to_w,$to_h,$ofs_x,$ofs_y){
+ global $conf;
+
+ // check if convert is configured
+ if(!$conf['im_convert']) return false;
+
+ // prepare command
+ $cmd = $conf['im_convert'];
+ $cmd .= ' -crop '.$to_w.'x'.$to_h.'+'.$ofs_x.'+'.$ofs_y;
+ if ($ext == 'jpg' || $ext == 'jpeg') {
+ $cmd .= ' -quality '.$conf['jpg_quality'];
+ }
+ $cmd .= " $from $to";
+
+ @exec($cmd,$out,$retval);
+ if ($retval == 0) return true;
+ return false;
+}
+
+/**
+ * resize or crop images using PHP's libGD support
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Sebastian Wienecke <s_wienecke@web.de>
+ */
+function media_resize_imageGD($ext,$from,$from_w,$from_h,$to,$to_w,$to_h,$ofs_x=0,$ofs_y=0){
+ global $conf;
+
+ if($conf['gdlib'] < 1) return false; //no GDlib available or wanted
+
+ // check available memory
+ if(!is_mem_available(($from_w * $from_h * 4) + ($to_w * $to_h * 4))){
+ return false;
+ }
+
+ // create an image of the given filetype
+ if ($ext == 'jpg' || $ext == 'jpeg'){
+ if(!function_exists("imagecreatefromjpeg")) return false;
+ $image = @imagecreatefromjpeg($from);
+ }elseif($ext == 'png') {
+ if(!function_exists("imagecreatefrompng")) return false;
+ $image = @imagecreatefrompng($from);
+
+ }elseif($ext == 'gif') {
+ if(!function_exists("imagecreatefromgif")) return false;
+ $image = @imagecreatefromgif($from);
+ }
+ if(!$image) return false;
+
+ if(($conf['gdlib']>1) && function_exists("imagecreatetruecolor") && $ext != 'gif'){
+ $newimg = @imagecreatetruecolor ($to_w, $to_h);
+ }
+ if(!$newimg) $newimg = @imagecreate($to_w, $to_h);
+ if(!$newimg){
+ imagedestroy($image);
+ return false;
+ }
+
+ //keep png alpha channel if possible
+ if($ext == 'png' && $conf['gdlib']>1 && function_exists('imagesavealpha')){
+ imagealphablending($newimg, false);
+ imagesavealpha($newimg,true);
+ }
+
+ //keep gif transparent color if possible
+ if($ext == 'gif' && function_exists('imagefill') && function_exists('imagecolorallocate')) {
+ if(function_exists('imagecolorsforindex') && function_exists('imagecolortransparent')) {
+ $transcolorindex = @imagecolortransparent($image);
+ if($transcolorindex >= 0 ) { //transparent color exists
+ $transcolor = @imagecolorsforindex($image, $transcolorindex);
+ $transcolorindex = @imagecolorallocate($newimg, $transcolor['red'], $transcolor['green'], $transcolor['blue']);
+ @imagefill($newimg, 0, 0, $transcolorindex);
+ @imagecolortransparent($newimg, $transcolorindex);
+ }else{ //filling with white
+ $whitecolorindex = @imagecolorallocate($newimg, 255, 255, 255);
+ @imagefill($newimg, 0, 0, $whitecolorindex);
+ }
+ }else{ //filling with white
+ $whitecolorindex = @imagecolorallocate($newimg, 255, 255, 255);
+ @imagefill($newimg, 0, 0, $whitecolorindex);
+ }
+ }
+
+ //try resampling first
+ if(function_exists("imagecopyresampled")){
+ if(!@imagecopyresampled($newimg, $image, 0, 0, $ofs_x, $ofs_y, $to_w, $to_h, $from_w, $from_h)) {
+ imagecopyresized($newimg, $image, 0, 0, $ofs_x, $ofs_y, $to_w, $to_h, $from_w, $from_h);
+ }
+ }else{
+ imagecopyresized($newimg, $image, 0, 0, $ofs_x, $ofs_y, $to_w, $to_h, $from_w, $from_h);
+ }
+
+ $okay = false;
+ if ($ext == 'jpg' || $ext == 'jpeg'){
+ if(!function_exists('imagejpeg')){
+ $okay = false;
+ }else{
+ $okay = imagejpeg($newimg, $to, $conf['jpg_quality']);
+ }
+ }elseif($ext == 'png') {
+ if(!function_exists('imagepng')){
+ $okay = false;
+ }else{
+ $okay = imagepng($newimg, $to);
+ }
+ }elseif($ext == 'gif') {
+ if(!function_exists('imagegif')){
+ $okay = false;
+ }else{
+ $okay = imagegif($newimg, $to);
+ }
+ }
+
+ // destroy GD image ressources
+ if($image) imagedestroy($image);
+ if($newimg) imagedestroy($newimg);
+
+ return $okay;
+}
+
+/* vim: set expandtab tabstop=4 shiftwidth=4 softtabstop=4: */
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/pageutils.php b/mod/dokuwiki/vendors/dokuwiki/inc/pageutils.php
new file mode 100644
index 000000000..9c192e5e6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/pageutils.php
@@ -0,0 +1,537 @@
+<?php
+/**
+ * Utilities for handling pagenames
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @todo Combine similar functions like {wiki,media,meta}FN()
+ */
+
+/**
+ * Fetch the an ID from request
+ *
+ * Uses either standard $_REQUEST variable or extracts it from
+ * the full request URI when userewrite is set to 2
+ *
+ * For $param='id' $conf['start'] is returned if no id was found.
+ * If the second parameter is true (default) the ID is cleaned.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function getID($param='id',$clean=true){
+ global $conf;
+
+ $id = isset($_REQUEST[$param]) ? $_REQUEST[$param] : null;
+
+ $request = $_SERVER['REQUEST_URI'];
+
+ //construct page id from request URI
+ if(empty($id) && $conf['userewrite'] == 2){
+ //get the script URL
+ if($conf['basedir']){
+ $relpath = '';
+ if($param != 'id') {
+ $relpath = 'lib/exe/';
+ }
+ $script = $conf['basedir'].$relpath.basename($_SERVER['SCRIPT_FILENAME']);
+
+ }elseif($_SERVER['DOCUMENT_ROOT'] && $_SERVER['PATH_TRANSLATED']){
+ $request = preg_replace ('/^'.preg_quote($_SERVER['DOCUMENT_ROOT'],'/').'/','',
+ $_SERVER['PATH_TRANSLATED']);
+ }elseif($_SERVER['DOCUMENT_ROOT'] && $_SERVER['SCRIPT_FILENAME']){
+ $script = preg_replace ('/^'.preg_quote($_SERVER['DOCUMENT_ROOT'],'/').'/','',
+ $_SERVER['SCRIPT_FILENAME']);
+ $script = '/'.$script;
+ }else{
+ $script = $_SERVER['SCRIPT_NAME'];
+ }
+
+ //clean script and request (fixes a windows problem)
+ $script = preg_replace('/\/\/+/','/',$script);
+ $request = preg_replace('/\/\/+/','/',$request);
+
+ //remove script URL and Querystring to gain the id
+ if(preg_match('/^'.preg_quote($script,'/').'(.*)/',$request, $match)){
+ $id = preg_replace ('/\?.*/','',$match[1]);
+ }
+ $id = urldecode($id);
+ //strip leading slashes
+ $id = preg_replace('!^/+!','',$id);
+ }
+
+ // Namespace autolinking from URL
+ if(substr($id,-1) == ':' || ($conf['useslash'] && substr($id,-1) == '/')){
+ if(page_exists($id.$conf['start'])){
+ // start page inside namespace
+ $id = $id.$conf['start'];
+ }elseif(page_exists($id.noNS(cleanID($id)))){
+ // page named like the NS inside the NS
+ $id = $id.noNS(cleanID($id));
+ }elseif(page_exists($id)){
+ // page like namespace exists
+ $id = substr($id,0,-1);
+ }else{
+ // fall back to default
+ $id = $id.$conf['start'];
+ }
+ send_redirect(wl($id,'',true));
+ }
+
+ if($clean) $id = cleanID($id);
+ if(empty($id) && $param=='id') $id = $conf['start'];
+
+ return $id;
+}
+
+/**
+ * Remove unwanted chars from ID
+ *
+ * Cleans a given ID to only use allowed characters. Accented characters are
+ * converted to unaccented ones
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @param string $raw_id The pageid to clean
+ * @param boolean $ascii Force ASCII
+ * @param boolean $media Allow leading or trailing _ for media files
+ */
+function cleanID($raw_id,$ascii=false,$media=false){
+ global $conf;
+ global $lang;
+ static $sepcharpat = null;
+
+ global $cache_cleanid;
+ $cache = & $cache_cleanid;
+
+ // check if it's already in the memory cache
+ if (isset($cache[(string)$raw_id])) {
+ return $cache[(string)$raw_id];
+ }
+
+ $sepchar = $conf['sepchar'];
+ if($sepcharpat == null) // build string only once to save clock cycles
+ $sepcharpat = '#\\'.$sepchar.'+#';
+
+ $id = trim((string)$raw_id);
+ $id = utf8_strtolower($id);
+
+ //alternative namespace seperator
+ $id = strtr($id,';',':');
+ if($conf['useslash']){
+ $id = strtr($id,'/',':');
+ }else{
+ $id = strtr($id,'/',$sepchar);
+ }
+
+ if($conf['deaccent'] == 2 || $ascii) $id = utf8_romanize($id);
+ if($conf['deaccent'] || $ascii) $id = utf8_deaccent($id,-1);
+
+ //remove specials
+ $id = utf8_stripspecials($id,$sepchar,'\*');
+
+ if($ascii) $id = utf8_strip($id);
+
+ //clean up
+ $id = preg_replace($sepcharpat,$sepchar,$id);
+ $id = preg_replace('#:+#',':',$id);
+ $id = ($media ? trim($id,':.-') : trim($id,':._-'));
+ $id = preg_replace('#:[:\._\-]+#',':',$id);
+
+ $cache[(string)$raw_id] = $id;
+ return($id);
+}
+
+/**
+ * Return namespacepart of a wiki ID
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function getNS($id){
+ $pos = strrpos((string)$id,':');
+ if($pos!==false){
+ return substr((string)$id,0,$pos);
+ }
+ return false;
+}
+
+/**
+ * Returns the ID without the namespace
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function noNS($id) {
+ $pos = strrpos($id, ':');
+ if ($pos!==false) {
+ return substr($id, $pos+1);
+ } else {
+ return $id;
+ }
+}
+
+/**
+ * Returns the current namespace
+ *
+ * @author Nathan Fritz <fritzn@crown.edu>
+ */
+function curNS($id) {
+ return noNS(getNS($id));
+}
+
+/**
+ * Returns the ID without the namespace or current namespace for 'start' pages
+ *
+ * @author Nathan Fritz <fritzn@crown.edu>
+ */
+function noNSorNS($id) {
+ global $conf;
+
+ $p = noNS($id);
+ if ($p == $conf['start']) {
+ $p = curNS($id);
+ if ($p == false) {
+ return noNS($id);
+ }
+ }
+ return $p;
+}
+
+/**
+ * Creates a XHTML valid linkid from a given headline title
+ *
+ * @param string $title The headline title
+ * @param array $check List of existing IDs
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function sectionID($title,&$check) {
+ $title = str_replace(array(':','.'),'',cleanID($title));
+ $new = ltrim($title,'0123456789_-');
+ if(empty($new)){
+ $title = 'section'.preg_replace('/[^0-9]+/','',$title); //keep numbers from headline
+ }else{
+ $title = $new;
+ }
+
+ if(is_array($check)){
+ // make sure tiles are unique
+ $num = '';
+ while(in_array($title.$num,$check)){
+ ($num) ? $num++ : $num = 1;
+ }
+ $title = $title.$num;
+ $check[] = $title;
+ }
+
+ return $title;
+}
+
+
+/**
+ * Wiki page existence check
+ *
+ * parameters as for wikiFN
+ *
+ * @author Chris Smith <chris@jalakai.co.uk>
+ */
+function page_exists($id,$rev='',$clean=true) {
+ return @file_exists(wikiFN($id,$rev,$clean));
+}
+
+/**
+ * returns the full path to the datafile specified by ID and optional revision
+ *
+ * The filename is URL encoded to protect Unicode chars
+ *
+ * @param $raw_id string id of wikipage
+ * @param $rev string page revision, empty string for current
+ * @param $clean bool flag indicating that $raw_id should be cleaned. Only set to false
+ * when $id is guaranteed to have been cleaned already.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function wikiFN($raw_id,$rev='',$clean=true){
+ global $conf;
+
+ global $cache_wikifn;
+ $cache = & $cache_wikifn;
+
+ if (isset($cache[$raw_id]) && isset($cache[$raw_id][$rev])) {
+ return $cache[$raw_id][$rev];
+ }
+
+ $id = $raw_id;
+
+ if ($clean) $id = cleanID($id);
+ $id = str_replace(':','/',$id);
+ if(empty($rev)){
+ $fn = $conf['datadir'].'/'.utf8_encodeFN($id).'.txt';
+ }else{
+ $fn = $conf['olddir'].'/'.utf8_encodeFN($id).'.'.$rev.'.txt';
+ if($conf['compression']){
+ //test for extensions here, we want to read both compressions
+ if (@file_exists($fn . '.gz')){
+ $fn .= '.gz';
+ }else if(@file_exists($fn . '.bz2')){
+ $fn .= '.bz2';
+ }else{
+ //file doesnt exist yet, so we take the configured extension
+ $fn .= '.' . $conf['compression'];
+ }
+ }
+ }
+
+ if (!isset($cache[$raw_id])) { $cache[$raw_id] = array(); }
+ $cache[$raw_id][$rev] = $fn;
+ return $fn;
+}
+
+/**
+ * Returns the full path to the file for locking the page while editing.
+ *
+ * @author Ben Coburn <btcoburn@silicodon.net>
+ */
+function wikiLockFN($id) {
+ global $conf;
+ return $conf['lockdir'].'/'.md5(cleanID($id)).'.lock';
+}
+
+
+/**
+ * returns the full path to the meta file specified by ID and extension
+ *
+ * The filename is URL encoded to protect Unicode chars
+ *
+ * @author Steven Danz <steven-danz@kc.rr.com>
+ */
+function metaFN($id,$ext){
+ global $conf;
+ $id = cleanID($id);
+ $id = str_replace(':','/',$id);
+ $fn = $conf['metadir'].'/'.utf8_encodeFN($id).$ext;
+ return $fn;
+}
+
+/**
+ * returns an array of full paths to all metafiles of a given ID
+ *
+ * @author Esther Brunner <esther@kaffeehaus.ch>
+ */
+function metaFiles($id){
+ $name = noNS($id);
+ $ns = getNS($id);
+ $dir = ($ns) ? metaFN($ns,'').'/' : metaFN($ns,'');
+ $files = array();
+
+ $dh = @opendir($dir);
+ if(!$dh) return $files;
+ while(($file = readdir($dh)) !== false){
+ if(strpos($file,$name.'.') === 0 && !is_dir($dir.$file))
+ $files[] = $dir.$file;
+ }
+ closedir($dh);
+
+ return $files;
+}
+
+/**
+ * returns the full path to the mediafile specified by ID
+ *
+ * The filename is URL encoded to protect Unicode chars
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function mediaFN($id){
+ global $conf;
+ $id = cleanID($id);
+ $id = str_replace(':','/',$id);
+ $fn = $conf['mediadir'].'/'.utf8_encodeFN($id);
+ return $fn;
+}
+
+/**
+ * Returns the full filepath to a localized textfile if local
+ * version isn't found the english one is returned
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function localeFN($id){
+ global $conf;
+ $file = DOKU_INC.'inc/lang/'.$conf['lang'].'/'.$id.'.txt';
+ if(!@file_exists($file)){
+ //fall back to english
+ $file = DOKU_INC.'inc/lang/en/'.$id.'.txt';
+ }
+ return $file;
+}
+
+/**
+ * Resolve relative paths in IDs
+ *
+ * Do not call directly use resolve_mediaid or resolve_pageid
+ * instead
+ *
+ * Partyly based on a cleanPath function found at
+ * http://www.php.net/manual/en/function.realpath.php#57016
+ *
+ * @author <bart at mediawave dot nl>
+ */
+function resolve_id($ns,$id,$clean=true){
+ global $conf;
+
+ // some pre cleaning for useslash:
+ if($conf['useslash']) $id = str_replace('/',':',$id);
+
+ // if the id starts with a dot we need to handle the
+ // relative stuff
+ if($id{0} == '.'){
+ // normalize initial dots without a colon
+ $id = preg_replace('/^(\.+)(?=[^:\.])/','\1:',$id);
+ // prepend the current namespace
+ $id = $ns.':'.$id;
+
+ // cleanup relatives
+ $result = array();
+ $pathA = explode(':', $id);
+ if (!$pathA[0]) $result[] = '';
+ foreach ($pathA AS $key => $dir) {
+ if ($dir == '..') {
+ if (end($result) == '..') {
+ $result[] = '..';
+ } elseif (!array_pop($result)) {
+ $result[] = '..';
+ }
+ } elseif ($dir && $dir != '.') {
+ $result[] = $dir;
+ }
+ }
+ if (!end($pathA)) $result[] = '';
+ $id = implode(':', $result);
+ }elseif($ns !== false && strpos($id,':') === false){
+ //if link contains no namespace. add current namespace (if any)
+ $id = $ns.':'.$id;
+ }
+
+ if($clean) $id = cleanID($id);
+ return $id;
+}
+
+/**
+ * Returns a full media id
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function resolve_mediaid($ns,&$page,&$exists){
+ $page = resolve_id($ns,$page);
+ $file = mediaFN($page);
+ $exists = @file_exists($file);
+}
+
+/**
+ * Returns a full page id
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function resolve_pageid($ns,&$page,&$exists){
+ global $conf;
+ $exists = false;
+
+ //keep hashlink if exists then clean both parts
+ if (strpos($page,'#')) {
+ list($page,$hash) = explode('#',$page,2);
+ } else {
+ $hash = '';
+ }
+ $hash = cleanID($hash);
+ $page = resolve_id($ns,$page,false); // resolve but don't clean, yet
+
+ // get filename (calls clean itself)
+ $file = wikiFN($page);
+
+ // if ends with colon or slash we have a namespace link
+ if(substr($page,-1) == ':' || ($conf['useslash'] && substr($page,-1) == '/')){
+ if(page_exists($page.$conf['start'])){
+ // start page inside namespace
+ $page = $page.$conf['start'];
+ $exists = true;
+ }elseif(page_exists($page.noNS(cleanID($page)))){
+ // page named like the NS inside the NS
+ $page = $page.noNS(cleanID($page));
+ $exists = true;
+ }elseif(page_exists($page)){
+ // page like namespace exists
+ $page = $page;
+ $exists = true;
+ }else{
+ // fall back to default
+ $page = $page.$conf['start'];
+ }
+ }else{
+ //check alternative plural/nonplural form
+ if(!@file_exists($file)){
+ if( $conf['autoplural'] ){
+ if(substr($page,-1) == 's'){
+ $try = substr($page,0,-1);
+ }else{
+ $try = $page.'s';
+ }
+ if(page_exists($try)){
+ $page = $try;
+ $exists = true;
+ }
+ }
+ }else{
+ $exists = true;
+ }
+ }
+
+ // now make sure we have a clean page
+ $page = cleanID($page);
+
+ //add hash if any
+ if(!empty($hash)) $page .= '#'.$hash;
+}
+
+/**
+ * Returns the name of a cachefile from given data
+ *
+ * The needed directory is created by this function!
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ *
+ * @param string $data This data is used to create a unique md5 name
+ * @param string $ext This is appended to the filename if given
+ * @return string The filename of the cachefile
+ */
+function getCacheName($data,$ext=''){
+ global $conf;
+ $md5 = md5($data);
+ $file = $conf['cachedir'].'/'.$md5{0}.'/'.$md5.$ext;
+ io_makeFileDir($file);
+ return $file;
+}
+
+/**
+ * Checks a pageid against $conf['hidepages']
+ *
+ * @author Andreas Gohr <gohr@cosmocode.de>
+ */
+function isHiddenPage($id){
+ global $conf;
+ global $ACT;
+ if(empty($conf['hidepages'])) return false;
+ if($ACT == 'admin') return false;
+
+ if(preg_match('/'.$conf['hidepages'].'/ui',':'.$id)){
+ return true;
+ }
+ return false;
+}
+
+/**
+ * Reverse of isHiddenPage
+ *
+ * @author Andreas Gohr <gohr@cosmocode.de>
+ */
+function isVisiblePage($id){
+ return !isHiddenPage($id);
+}
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/parser/code.php b/mod/dokuwiki/vendors/dokuwiki/inc/parser/code.php
new file mode 100644
index 000000000..4d94dcf4e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/parser/code.php
@@ -0,0 +1,58 @@
+<?php
+/**
+ * A simple renderer that allows downloading of code and file snippets
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+if(!defined('DOKU_INC')) die('meh.');
+require_once DOKU_INC . 'inc/parser/renderer.php';
+
+class Doku_Renderer_code extends Doku_Renderer {
+ var $_codeblock=0;
+
+ /**
+ * Send the wanted code block to the browser
+ *
+ * When the correct block was found it exits the script.
+ */
+ function code($text, $language = NULL, $filename='' ) {
+ if(!$language) $language = 'txt';
+ if(!$filename) $filename = 'snippet.'.$language;
+ $filename = basename($filename);
+
+ if($this->_codeblock == $_REQUEST['codeblock']){
+ header("Content-Type: text/plain; charset=utf-8");
+ header("Content-Disposition: attachment; filename=$filename");
+ header("X-Robots-Tag: noindex");
+ echo trim($text,"\r\n");
+ exit;
+ }
+
+ $this->_codeblock++;
+ }
+
+ /**
+ * Wraps around code()
+ */
+ function file($text, $language = NULL, $filename='') {
+ $this->code($text, $language, $filename);
+ }
+
+ /**
+ * This should never be reached, if it is send a 404
+ */
+ function document_end() {
+ header("HTTP/1.0 404 Not Found");
+ echo '404 - Not found';
+ exit;
+ }
+
+ /**
+ * Return the format of the renderer
+ *
+ * @returns string 'code'
+ */
+ function getFormat(){
+ return 'code';
+ }
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/parser/handler.php b/mod/dokuwiki/vendors/dokuwiki/inc/parser/handler.php
new file mode 100644
index 000000000..9fe5866ad
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/parser/handler.php
@@ -0,0 +1,1697 @@
+<?php
+if(!defined('DOKU_INC')) die('meh.');
+if (!defined('DOKU_PARSER_EOL')) define('DOKU_PARSER_EOL',"\n"); // add this to make handling test cases simpler
+
+class Doku_Handler {
+
+ var $Renderer = NULL;
+
+ var $CallWriter = NULL;
+
+ var $calls = array();
+
+ var $status = array(
+ 'section' => false,
+ 'section_edit_start' => -1,
+ 'section_edit_level' => 1,
+ 'section_edit_title' => ''
+ );
+
+ var $rewriteBlocks = true;
+
+ function Doku_Handler() {
+ $this->CallWriter = & new Doku_Handler_CallWriter($this);
+ }
+
+ function _addCall($handler, $args, $pos) {
+ $call = array($handler,$args, $pos);
+ $this->CallWriter->writeCall($call);
+ }
+
+ function addPluginCall($plugin, $args, $state, $pos, $match) {
+ $call = array('plugin',array($plugin, $args, $state, $match), $pos);
+ $this->CallWriter->writeCall($call);
+ }
+
+ function _finalize(){
+
+ $this->CallWriter->finalise();
+
+ if ( $this->status['section'] ) {
+ $last_call = end($this->calls);
+ array_push($this->calls,array('section_close',array(), $last_call[2]));
+ if ($this->status['section_edit_start']>1) {
+ // ignore last edit section if there is only one header
+ array_push($this->calls,array('section_edit',array($this->status['section_edit_start'], 0, $this->status['section_edit_level'], $this->status['section_edit_title']), $last_call[2]));
+ }
+ }
+
+ if ( $this->rewriteBlocks ) {
+ $B = & new Doku_Handler_Block();
+ $this->calls = $B->process($this->calls);
+ }
+
+ trigger_event('PARSER_HANDLER_DONE',$this);
+
+ array_unshift($this->calls,array('document_start',array(),0));
+ $last_call = end($this->calls);
+ array_push($this->calls,array('document_end',array(),$last_call[2]));
+ }
+
+ function fetch() {
+ $call = each($this->calls);
+ if ( $call ) {
+ return $call['value'];
+ }
+ return false;
+ }
+
+
+ /**
+ * Special plugin handler
+ *
+ * This handler is called for all modes starting with 'plugin_'.
+ * An additional parameter with the plugin name is passed
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function plugin($match, $state, $pos, $pluginname){
+ $data = array($match);
+ $plugin =& plugin_load('syntax',$pluginname);
+ if($plugin != null){
+ $data = $plugin->handle($match, $state, $pos, $this);
+ }
+ if ($data !== false) {
+ $this->addPluginCall($pluginname,$data,$state,$pos,$match);
+ }
+ return true;
+ }
+
+ function base($match, $state, $pos) {
+ switch ( $state ) {
+ case DOKU_LEXER_UNMATCHED:
+ $this->_addCall('cdata',array($match), $pos);
+ return true;
+ break;
+ }
+ }
+
+ function header($match, $state, $pos) {
+ global $conf;
+
+ // get level and title
+ $title = trim($match);
+ $level = 7 - strspn($title,'=');
+ if($level < 1) $level = 1;
+ $title = trim($title,'=');
+ $title = trim($title);
+
+ if ($this->status['section']) $this->_addCall('section_close',array(),$pos);
+
+ if ($level<=$conf['maxseclevel']) {
+ $this->_addCall('section_edit',array($this->status['section_edit_start'], $pos-1, $this->status['section_edit_level'], $this->status['section_edit_title']), $pos);
+ $this->status['section_edit_start'] = $pos;
+ $this->status['section_edit_level'] = $level;
+ $this->status['section_edit_title'] = $title;
+ }
+
+ $this->_addCall('header',array($title,$level,$pos), $pos);
+
+ $this->_addCall('section_open',array($level),$pos);
+ $this->status['section'] = true;
+ return true;
+ }
+
+ function notoc($match, $state, $pos) {
+ $this->_addCall('notoc',array(),$pos);
+ return true;
+ }
+
+ function nocache($match, $state, $pos) {
+ $this->_addCall('nocache',array(),$pos);
+ return true;
+ }
+
+ function linebreak($match, $state, $pos) {
+ $this->_addCall('linebreak',array(),$pos);
+ return true;
+ }
+
+ function eol($match, $state, $pos) {
+ $this->_addCall('eol',array(),$pos);
+ return true;
+ }
+
+ function hr($match, $state, $pos) {
+ $this->_addCall('hr',array(),$pos);
+ return true;
+ }
+
+ function _nestingTag($match, $state, $pos, $name) {
+ switch ( $state ) {
+ case DOKU_LEXER_ENTER:
+ $this->_addCall($name.'_open', array(), $pos);
+ break;
+ case DOKU_LEXER_EXIT:
+ $this->_addCall($name.'_close', array(), $pos);
+ break;
+ case DOKU_LEXER_UNMATCHED:
+ $this->_addCall('cdata',array($match), $pos);
+ break;
+ }
+ }
+
+ function strong($match, $state, $pos) {
+ $this->_nestingTag($match, $state, $pos, 'strong');
+ return true;
+ }
+
+ function emphasis($match, $state, $pos) {
+ $this->_nestingTag($match, $state, $pos, 'emphasis');
+ return true;
+ }
+
+ function underline($match, $state, $pos) {
+ $this->_nestingTag($match, $state, $pos, 'underline');
+ return true;
+ }
+
+ function monospace($match, $state, $pos) {
+ $this->_nestingTag($match, $state, $pos, 'monospace');
+ return true;
+ }
+
+ function subscript($match, $state, $pos) {
+ $this->_nestingTag($match, $state, $pos, 'subscript');
+ return true;
+ }
+
+ function superscript($match, $state, $pos) {
+ $this->_nestingTag($match, $state, $pos, 'superscript');
+ return true;
+ }
+
+ function deleted($match, $state, $pos) {
+ $this->_nestingTag($match, $state, $pos, 'deleted');
+ return true;
+ }
+
+
+ function footnote($match, $state, $pos) {
+// $this->_nestingTag($match, $state, $pos, 'footnote');
+ if (!isset($this->_footnote)) $this->_footnote = false;
+
+ switch ( $state ) {
+ case DOKU_LEXER_ENTER:
+ // footnotes can not be nested - however due to limitations in lexer it can't be prevented
+ // we will still enter a new footnote mode, we just do nothing
+ if ($this->_footnote) {
+ $this->_addCall('cdata',array($match), $pos);
+ break;
+ }
+
+ $this->_footnote = true;
+
+ $ReWriter = & new Doku_Handler_Nest($this->CallWriter,'footnote_close');
+ $this->CallWriter = & $ReWriter;
+ $this->_addCall('footnote_open', array(), $pos);
+ break;
+ case DOKU_LEXER_EXIT:
+ // check whether we have already exitted the footnote mode, can happen if the modes were nested
+ if (!$this->_footnote) {
+ $this->_addCall('cdata',array($match), $pos);
+ break;
+ }
+
+ $this->_footnote = false;
+
+ $this->_addCall('footnote_close', array(), $pos);
+ $this->CallWriter->process();
+ $ReWriter = & $this->CallWriter;
+ $this->CallWriter = & $ReWriter->CallWriter;
+ break;
+ case DOKU_LEXER_UNMATCHED:
+ $this->_addCall('cdata', array($match), $pos);
+ break;
+ }
+ return true;
+ }
+
+ function listblock($match, $state, $pos) {
+ switch ( $state ) {
+ case DOKU_LEXER_ENTER:
+ $ReWriter = & new Doku_Handler_List($this->CallWriter);
+ $this->CallWriter = & $ReWriter;
+ $this->_addCall('list_open', array($match), $pos);
+ break;
+ case DOKU_LEXER_EXIT:
+ $this->_addCall('list_close', array(), $pos);
+ $this->CallWriter->process();
+ $ReWriter = & $this->CallWriter;
+ $this->CallWriter = & $ReWriter->CallWriter;
+ break;
+ case DOKU_LEXER_MATCHED:
+ $this->_addCall('list_item', array($match), $pos);
+ break;
+ case DOKU_LEXER_UNMATCHED:
+ $this->_addCall('cdata', array($match), $pos);
+ break;
+ }
+ return true;
+ }
+
+ function unformatted($match, $state, $pos) {
+ if ( $state == DOKU_LEXER_UNMATCHED ) {
+ $this->_addCall('unformatted',array($match), $pos);
+ }
+ return true;
+ }
+
+ function php($match, $state, $pos) {
+ global $conf;
+ if ( $state == DOKU_LEXER_UNMATCHED ) {
+ $this->_addCall('php',array($match), $pos);
+ }
+ return true;
+ }
+
+ function phpblock($match, $state, $pos) {
+ global $conf;
+ if ( $state == DOKU_LEXER_UNMATCHED ) {
+ $this->_addCall('phpblock',array($match), $pos);
+ }
+ return true;
+ }
+
+ function html($match, $state, $pos) {
+ global $conf;
+ if ( $state == DOKU_LEXER_UNMATCHED ) {
+ $this->_addCall('html',array($match), $pos);
+ }
+ return true;
+ }
+
+ function htmlblock($match, $state, $pos) {
+ global $conf;
+ if ( $state == DOKU_LEXER_UNMATCHED ) {
+ $this->_addCall('htmlblock',array($match), $pos);
+ }
+ return true;
+ }
+
+ function preformatted($match, $state, $pos) {
+ switch ( $state ) {
+ case DOKU_LEXER_ENTER:
+ $ReWriter = & new Doku_Handler_Preformatted($this->CallWriter);
+ $this->CallWriter = & $ReWriter;
+ $this->_addCall('preformatted_start',array(), $pos);
+ break;
+ case DOKU_LEXER_EXIT:
+ $this->_addCall('preformatted_end',array(), $pos);
+ $this->CallWriter->process();
+ $ReWriter = & $this->CallWriter;
+ $this->CallWriter = & $ReWriter->CallWriter;
+ break;
+ case DOKU_LEXER_MATCHED:
+ $this->_addCall('preformatted_newline',array(), $pos);
+ break;
+ case DOKU_LEXER_UNMATCHED:
+ $this->_addCall('preformatted_content',array($match), $pos);
+ break;
+ }
+
+ return true;
+ }
+
+ function quote($match, $state, $pos) {
+
+ switch ( $state ) {
+
+ case DOKU_LEXER_ENTER:
+ $ReWriter = & new Doku_Handler_Quote($this->CallWriter);
+ $this->CallWriter = & $ReWriter;
+ $this->_addCall('quote_start',array($match), $pos);
+ break;
+
+ case DOKU_LEXER_EXIT:
+ $this->_addCall('quote_end',array(), $pos);
+ $this->CallWriter->process();
+ $ReWriter = & $this->CallWriter;
+ $this->CallWriter = & $ReWriter->CallWriter;
+ break;
+
+ case DOKU_LEXER_MATCHED:
+ $this->_addCall('quote_newline',array($match), $pos);
+ break;
+
+ case DOKU_LEXER_UNMATCHED:
+ $this->_addCall('cdata',array($match), $pos);
+ break;
+
+ }
+
+ return true;
+ }
+
+ function file($match, $state, $pos) {
+ return $this->code($match, $state, $pos, 'file');
+ }
+
+ function code($match, $state, $pos, $type='code') {
+ if ( $state == DOKU_LEXER_UNMATCHED ) {
+ $matches = explode('>',$match,2);
+ $matches[0] = trim($matches[0]);
+
+ list($language,$filename) = explode(' ',$matches[0],2);
+ $language = trim($language);
+ $filename = trim($filename);
+ if ( $language == '' ) $language = null;
+ if ( $language == '-' ) $language = null;
+ if ( $filename == '' ) $filename = null;
+ # We shortcut html here.
+ if($language == 'html') $language = 'html4strict';
+ $this->_addCall(
+ $type,
+ array($matches[1],$language,$filename),
+ $pos
+ );
+ }
+ return true;
+ }
+
+ function acronym($match, $state, $pos) {
+ $this->_addCall('acronym',array($match), $pos);
+ return true;
+ }
+
+ function smiley($match, $state, $pos) {
+ $this->_addCall('smiley',array($match), $pos);
+ return true;
+ }
+
+ function wordblock($match, $state, $pos) {
+ $this->_addCall('wordblock',array($match), $pos);
+ return true;
+ }
+
+ function entity($match, $state, $pos) {
+ $this->_addCall('entity',array($match), $pos);
+ return true;
+ }
+
+ function multiplyentity($match, $state, $pos) {
+ preg_match_all('/\d+/',$match,$matches);
+ $this->_addCall('multiplyentity',array($matches[0][0],$matches[0][1]), $pos);
+ return true;
+ }
+
+ function singlequoteopening($match, $state, $pos) {
+ $this->_addCall('singlequoteopening',array(), $pos);
+ return true;
+ }
+
+ function singlequoteclosing($match, $state, $pos) {
+ $this->_addCall('singlequoteclosing',array(), $pos);
+ return true;
+ }
+
+ function apostrophe($match, $state, $pos) {
+ $this->_addCall('apostrophe',array(), $pos);
+ return true;
+ }
+
+ function doublequoteopening($match, $state, $pos) {
+ $this->_addCall('doublequoteopening',array(), $pos);
+ return true;
+ }
+
+ function doublequoteclosing($match, $state, $pos) {
+ $this->_addCall('doublequoteclosing',array(), $pos);
+ return true;
+ }
+
+ function camelcaselink($match, $state, $pos) {
+ $this->_addCall('camelcaselink',array($match), $pos);
+ return true;
+ }
+
+ /*
+ */
+ function internallink($match, $state, $pos) {
+ // Strip the opening and closing markup
+ $link = preg_replace(array('/^\[\[/','/\]\]$/u'),'',$match);
+
+ // Split title from URL
+ $link = explode('|',$link,2);
+ if ( !isset($link[1]) ) {
+ $link[1] = NULL;
+ } else if ( preg_match('/^\{\{[^\}]+\}\}$/',$link[1]) ) {
+ // If the title is an image, convert it to an array containing the image details
+ $link[1] = Doku_Handler_Parse_Media($link[1]);
+ }
+ $link[0] = trim($link[0]);
+
+ //decide which kind of link it is
+
+ if ( preg_match('/^[a-zA-Z0-9\.]+>{1}.*$/u',$link[0]) ) {
+ // Interwiki
+ $interwiki = explode('>',$link[0],2);
+ $this->_addCall(
+ 'interwikilink',
+ array($link[0],$link[1],strtolower($interwiki[0]),$interwiki[1]),
+ $pos
+ );
+ }elseif ( preg_match('/^\\\\\\\\[\w.:?\-;,]+?\\\\/u',$link[0]) ) {
+ // Windows Share
+ $this->_addCall(
+ 'windowssharelink',
+ array($link[0],$link[1]),
+ $pos
+ );
+ }elseif ( preg_match('#^([a-z0-9\-\.+]+?)://#i',$link[0]) ) {
+ // external link (accepts all protocols)
+ $this->_addCall(
+ 'externallink',
+ array($link[0],$link[1]),
+ $pos
+ );
+ }elseif ( preg_match('<'.PREG_PATTERN_VALID_EMAIL.'>',$link[0]) ) {
+ // E-Mail (pattern above is defined in inc/mail.php)
+ $this->_addCall(
+ 'emaillink',
+ array($link[0],$link[1]),
+ $pos
+ );
+ }elseif ( preg_match('!^#.+!',$link[0]) ){
+ // local link
+ $this->_addCall(
+ 'locallink',
+ array(substr($link[0],1),$link[1]),
+ $pos
+ );
+ }else{
+ // internal link
+ $this->_addCall(
+ 'internallink',
+ array($link[0],$link[1]),
+ $pos
+ );
+ }
+
+ return true;
+ }
+
+ function filelink($match, $state, $pos) {
+ $this->_addCall('filelink',array($match, NULL), $pos);
+ return true;
+ }
+
+ function windowssharelink($match, $state, $pos) {
+ $this->_addCall('windowssharelink',array($match, NULL), $pos);
+ return true;
+ }
+
+ function media($match, $state, $pos) {
+ $p = Doku_Handler_Parse_Media($match);
+
+ $this->_addCall(
+ $p['type'],
+ array($p['src'], $p['title'], $p['align'], $p['width'],
+ $p['height'], $p['cache'], $p['linking']),
+ $pos
+ );
+ return true;
+ }
+
+ function rss($match, $state, $pos) {
+ $link = preg_replace(array('/^\{\{rss>/','/\}\}$/'),'',$match);
+
+ // get params
+ list($link,$params) = explode(' ',$link,2);
+
+ $p = array();
+ if(preg_match('/\b(\d+)\b/',$params,$match)){
+ $p['max'] = $match[1];
+ }else{
+ $p['max'] = 8;
+ }
+ $p['reverse'] = (preg_match('/rev/',$params));
+ $p['author'] = (preg_match('/\b(by|author)/',$params));
+ $p['date'] = (preg_match('/\b(date)/',$params));
+ $p['details'] = (preg_match('/\b(desc|detail)/',$params));
+
+ if (preg_match('/\b(\d+)([dhm])\b/',$params,$match)) {
+ $period = array('d' => 86400, 'h' => 3600, 'm' => 60);
+ $p['refresh'] = max(600,$match[1]*$period[$match[2]]); // n * period in seconds, minimum 10 minutes
+ } else {
+ $p['refresh'] = 14400; // default to 4 hours
+ }
+
+ $this->_addCall('rss',array($link,$p),$pos);
+ return true;
+ }
+
+ function externallink($match, $state, $pos) {
+ $url = $match;
+ $title = null;
+
+ // add protocol on simple short URLs
+ if(substr($url,0,3) == 'ftp' && (substr($url,0,6) != 'ftp://')){
+ $title = $url;
+ $url = 'ftp://'.$url;
+ }
+ if(substr($url,0,3) == 'www' && (substr($url,0,7) != 'http://')){
+ $title = $url;
+ $url = 'http://'.$url;
+ }
+
+ $this->_addCall('externallink',array($url, $title), $pos);
+ return true;
+ }
+
+ function emaillink($match, $state, $pos) {
+ $email = preg_replace(array('/^</','/>$/'),'',$match);
+ $this->_addCall('emaillink',array($email, NULL), $pos);
+ return true;
+ }
+
+ function table($match, $state, $pos) {
+ switch ( $state ) {
+
+ case DOKU_LEXER_ENTER:
+
+ $ReWriter = & new Doku_Handler_Table($this->CallWriter);
+ $this->CallWriter = & $ReWriter;
+
+ $this->_addCall('table_start', array(), $pos);
+ if ( trim($match) == '^' ) {
+ $this->_addCall('tableheader', array(), $pos);
+ } else {
+ $this->_addCall('tablecell', array(), $pos);
+ }
+ break;
+
+ case DOKU_LEXER_EXIT:
+ $this->_addCall('table_end', array(), $pos);
+ $this->CallWriter->process();
+ $ReWriter = & $this->CallWriter;
+ $this->CallWriter = & $ReWriter->CallWriter;
+ break;
+
+ case DOKU_LEXER_UNMATCHED:
+ if ( trim($match) != '' ) {
+ $this->_addCall('cdata',array($match), $pos);
+ }
+ break;
+
+ case DOKU_LEXER_MATCHED:
+ if ( $match == ' ' ){
+ $this->_addCall('cdata', array($match), $pos);
+ } else if ( preg_match('/:::/',$match) ) {
+ $this->_addCall('rowspan', array($match), $pos);
+ } else if ( preg_match('/\t+/',$match) ) {
+ $this->_addCall('table_align', array($match), $pos);
+ } else if ( preg_match('/ {2,}/',$match) ) {
+ $this->_addCall('table_align', array($match), $pos);
+ } else if ( $match == "\n|" ) {
+ $this->_addCall('table_row', array(), $pos);
+ $this->_addCall('tablecell', array(), $pos);
+ } else if ( $match == "\n^" ) {
+ $this->_addCall('table_row', array(), $pos);
+ $this->_addCall('tableheader', array(), $pos);
+ } else if ( $match == '|' ) {
+ $this->_addCall('tablecell', array(), $pos);
+ } else if ( $match == '^' ) {
+ $this->_addCall('tableheader', array(), $pos);
+ }
+ break;
+ }
+ return true;
+ }
+}
+
+//------------------------------------------------------------------------
+function Doku_Handler_Parse_Media($match) {
+
+ // Strip the opening and closing markup
+ $link = preg_replace(array('/^\{\{/','/\}\}$/u'),'',$match);
+
+ // Split title from URL
+ $link = explode('|',$link,2);
+
+
+ // Check alignment
+ $ralign = (bool)preg_match('/^ /',$link[0]);
+ $lalign = (bool)preg_match('/ $/',$link[0]);
+
+ // Logic = what's that ;)...
+ if ( $lalign & $ralign ) {
+ $align = 'center';
+ } else if ( $ralign ) {
+ $align = 'right';
+ } else if ( $lalign ) {
+ $align = 'left';
+ } else {
+ $align = NULL;
+ }
+
+ // The title...
+ if ( !isset($link[1]) ) {
+ $link[1] = NULL;
+ }
+
+ //remove aligning spaces
+ $link[0] = trim($link[0]);
+
+ //split into src and parameters (using the very last questionmark)
+ $pos = strrpos($link[0], '?');
+ if($pos !== false){
+ $src = substr($link[0],0,$pos);
+ $param = substr($link[0],$pos+1);
+ }else{
+ $src = $link[0];
+ $param = '';
+ }
+
+ //parse width and height
+ if(preg_match('#(\d+)(x(\d+))?#i',$param,$size)){
+ ($size[1]) ? $w = $size[1] : $w = NULL;
+ ($size[3]) ? $h = $size[3] : $h = NULL;
+ } else {
+ $w = NULL;
+ $h = NULL;
+ }
+
+ //get linking command
+ if(preg_match('/nolink/i',$param)){
+ $linking = 'nolink';
+ }else if(preg_match('/direct/i',$param)){
+ $linking = 'direct';
+ }else if(preg_match('/linkonly/i',$param)){
+ $linking = 'linkonly';
+ }else{
+ $linking = 'details';
+ }
+
+ //get caching command
+ if (preg_match('/(nocache|recache)/i',$param,$cachemode)){
+ $cache = $cachemode[1];
+ }else{
+ $cache = 'cache';
+ }
+
+ // Check whether this is a local or remote image
+ if ( preg_match('#^(https?|ftp)#i',$src) ) {
+ $call = 'externalmedia';
+ } else {
+ $call = 'internalmedia';
+ }
+
+ $params = array(
+ 'type'=>$call,
+ 'src'=>$src,
+ 'title'=>$link[1],
+ 'align'=>$align,
+ 'width'=>$w,
+ 'height'=>$h,
+ 'cache'=>$cache,
+ 'linking'=>$linking,
+ );
+
+ return $params;
+}
+
+//------------------------------------------------------------------------
+class Doku_Handler_CallWriter {
+
+ var $Handler;
+
+ function Doku_Handler_CallWriter(& $Handler) {
+ $this->Handler = & $Handler;
+ }
+
+ function writeCall($call) {
+ $this->Handler->calls[] = $call;
+ }
+
+ function writeCalls($calls) {
+ $this->Handler->calls = array_merge($this->Handler->calls, $calls);
+ }
+
+ // function is required, but since this call writer is first/highest in
+ // the chain it is not required to do anything
+ function finalise() {
+ }
+}
+
+//------------------------------------------------------------------------
+/**
+ * Generic call writer class to handle nesting of rendering instructions
+ * within a render instruction. Also see nest() method of renderer base class
+ *
+ * @author Chris Smith <chris@jalakai.co.uk>
+ */
+class Doku_Handler_Nest {
+
+ var $CallWriter;
+ var $calls = array();
+
+ var $closingInstruction;
+
+ /**
+ * constructor
+ *
+ * @param object $CallWriter the renderers current call writer
+ * @param string $close closing instruction name, this is required to properly terminate the
+ * syntax mode if the document ends without a closing pattern
+ */
+ function Doku_Handler_Nest(& $CallWriter, $close="nest_close") {
+ $this->CallWriter = & $CallWriter;
+
+ $this->closingInstruction = $close;
+ }
+
+ function writeCall($call) {
+ $this->calls[] = $call;
+ }
+
+ function writeCalls($calls) {
+ $this->calls = array_merge($this->calls, $calls);
+ }
+
+ function finalise() {
+ $last_call = end($this->calls);
+ $this->writeCall(array($this->closingInstruction,array(), $last_call[2]));
+
+ $this->process();
+ $this->CallWriter->finalise();
+ }
+
+ function process() {
+ // merge consecutive cdata
+ $unmerged_calls = $this->calls;
+ $this->calls = array();
+
+ foreach ($unmerged_calls as $call) $this->addCall($call);
+
+ $first_call = reset($this->calls);
+ $this->CallWriter->writeCall(array("nest", array($this->calls), $first_call[2]));
+ }
+
+ function addCall($call) {
+ $key = count($this->calls);
+ if ($key and ($call[0] == 'cdata') and ($this->calls[$key-1][0] == 'cdata')) {
+ $this->calls[$key-1][1][0] .= $call[1][0];
+ } else if ($call[0] == 'eol') {
+ // do nothing (eol shouldn't be allowed, to counter preformatted fix in #1652 & #1699)
+ } else {
+ $this->calls[] = $call;
+ }
+ }
+}
+
+class Doku_Handler_List {
+
+ var $CallWriter;
+
+ var $calls = array();
+ var $listCalls = array();
+ var $listStack = array();
+
+ function Doku_Handler_List(& $CallWriter) {
+ $this->CallWriter = & $CallWriter;
+ }
+
+ function writeCall($call) {
+ $this->calls[] = $call;
+ }
+
+ // Probably not needed but just in case...
+ function writeCalls($calls) {
+ $this->calls = array_merge($this->calls, $calls);
+# $this->CallWriter->writeCalls($this->calls);
+ }
+
+ function finalise() {
+ $last_call = end($this->calls);
+ $this->writeCall(array('list_close',array(), $last_call[2]));
+
+ $this->process();
+ $this->CallWriter->finalise();
+ }
+
+ //------------------------------------------------------------------------
+ function process() {
+
+ foreach ( $this->calls as $call ) {
+ switch ($call[0]) {
+ case 'list_item':
+ $this->listOpen($call);
+ break;
+ case 'list_open':
+ $this->listStart($call);
+ break;
+ case 'list_close':
+ $this->listEnd($call);
+ break;
+ default:
+ $this->listContent($call);
+ break;
+ }
+ }
+
+ $this->CallWriter->writeCalls($this->listCalls);
+ }
+
+ //------------------------------------------------------------------------
+ function listStart($call) {
+ $depth = $this->interpretSyntax($call[1][0], $listType);
+
+ $this->initialDepth = $depth;
+ $this->listStack[] = array($listType, $depth);
+
+ $this->listCalls[] = array('list'.$listType.'_open',array(),$call[2]);
+ $this->listCalls[] = array('listitem_open',array(1),$call[2]);
+ $this->listCalls[] = array('listcontent_open',array(),$call[2]);
+ }
+
+ //------------------------------------------------------------------------
+ function listEnd($call) {
+ $closeContent = true;
+
+ while ( $list = array_pop($this->listStack) ) {
+ if ( $closeContent ) {
+ $this->listCalls[] = array('listcontent_close',array(),$call[2]);
+ $closeContent = false;
+ }
+ $this->listCalls[] = array('listitem_close',array(),$call[2]);
+ $this->listCalls[] = array('list'.$list[0].'_close', array(), $call[2]);
+ }
+ }
+
+ //------------------------------------------------------------------------
+ function listOpen($call) {
+ $depth = $this->interpretSyntax($call[1][0], $listType);
+ $end = end($this->listStack);
+
+ // Not allowed to be shallower than initialDepth
+ if ( $depth < $this->initialDepth ) {
+ $depth = $this->initialDepth;
+ }
+
+ //------------------------------------------------------------------------
+ if ( $depth == $end[1] ) {
+
+ // Just another item in the list...
+ if ( $listType == $end[0] ) {
+ $this->listCalls[] = array('listcontent_close',array(),$call[2]);
+ $this->listCalls[] = array('listitem_close',array(),$call[2]);
+ $this->listCalls[] = array('listitem_open',array($depth-1),$call[2]);
+ $this->listCalls[] = array('listcontent_open',array(),$call[2]);
+
+ // Switched list type...
+ } else {
+
+ $this->listCalls[] = array('listcontent_close',array(),$call[2]);
+ $this->listCalls[] = array('listitem_close',array(),$call[2]);
+ $this->listCalls[] = array('list'.$end[0].'_close', array(), $call[2]);
+ $this->listCalls[] = array('list'.$listType.'_open', array(), $call[2]);
+ $this->listCalls[] = array('listitem_open', array($depth-1), $call[2]);
+ $this->listCalls[] = array('listcontent_open',array(),$call[2]);
+
+ array_pop($this->listStack);
+ $this->listStack[] = array($listType, $depth);
+ }
+
+ //------------------------------------------------------------------------
+ // Getting deeper...
+ } else if ( $depth > $end[1] ) {
+
+ $this->listCalls[] = array('listcontent_close',array(),$call[2]);
+ $this->listCalls[] = array('list'.$listType.'_open', array(), $call[2]);
+ $this->listCalls[] = array('listitem_open', array($depth-1), $call[2]);
+ $this->listCalls[] = array('listcontent_open',array(),$call[2]);
+
+ $this->listStack[] = array($listType, $depth);
+
+ //------------------------------------------------------------------------
+ // Getting shallower ( $depth < $end[1] )
+ } else {
+ $this->listCalls[] = array('listcontent_close',array(),$call[2]);
+ $this->listCalls[] = array('listitem_close',array(),$call[2]);
+ $this->listCalls[] = array('list'.$end[0].'_close',array(),$call[2]);
+
+ // Throw away the end - done
+ array_pop($this->listStack);
+
+ while (1) {
+ $end = end($this->listStack);
+
+ if ( $end[1] <= $depth ) {
+
+ // Normalize depths
+ $depth = $end[1];
+
+ $this->listCalls[] = array('listitem_close',array(),$call[2]);
+
+ if ( $end[0] == $listType ) {
+ $this->listCalls[] = array('listitem_open',array($depth-1),$call[2]);
+ $this->listCalls[] = array('listcontent_open',array(),$call[2]);
+
+ } else {
+ // Switching list type...
+ $this->listCalls[] = array('list'.$end[0].'_close', array(), $call[2]);
+ $this->listCalls[] = array('list'.$listType.'_open', array(), $call[2]);
+ $this->listCalls[] = array('listitem_open', array($depth-1), $call[2]);
+ $this->listCalls[] = array('listcontent_open',array(),$call[2]);
+
+ array_pop($this->listStack);
+ $this->listStack[] = array($listType, $depth);
+ }
+
+ break;
+
+ // Haven't dropped down far enough yet.... ( $end[1] > $depth )
+ } else {
+
+ $this->listCalls[] = array('listitem_close',array(),$call[2]);
+ $this->listCalls[] = array('list'.$end[0].'_close',array(),$call[2]);
+
+ array_pop($this->listStack);
+
+ }
+
+ }
+
+ }
+ }
+
+ //------------------------------------------------------------------------
+ function listContent($call) {
+ $this->listCalls[] = $call;
+ }
+
+ //------------------------------------------------------------------------
+ function interpretSyntax($match, & $type) {
+ if ( substr($match,-1) == '*' ) {
+ $type = 'u';
+ } else {
+ $type = 'o';
+ }
+ // Is the +1 needed? It used to be count(explode(...))
+ // but I don't think the number is seen outside this handler
+ return substr_count(str_replace("\t",' ',$match), ' ') + 1;
+ }
+}
+
+//------------------------------------------------------------------------
+class Doku_Handler_Preformatted {
+
+ var $CallWriter;
+
+ var $calls = array();
+ var $pos;
+ var $text ='';
+
+
+
+ function Doku_Handler_Preformatted(& $CallWriter) {
+ $this->CallWriter = & $CallWriter;
+ }
+
+ function writeCall($call) {
+ $this->calls[] = $call;
+ }
+
+ // Probably not needed but just in case...
+ function writeCalls($calls) {
+ $this->calls = array_merge($this->calls, $calls);
+# $this->CallWriter->writeCalls($this->calls);
+ }
+
+ function finalise() {
+ $last_call = end($this->calls);
+ $this->writeCall(array('preformatted_end',array(), $last_call[2]));
+
+ $this->process();
+ $this->CallWriter->finalise();
+ }
+
+ function process() {
+ foreach ( $this->calls as $call ) {
+ switch ($call[0]) {
+ case 'preformatted_start':
+ $this->pos = $call[2];
+ break;
+ case 'preformatted_newline':
+ $this->text .= "\n";
+ break;
+ case 'preformatted_content':
+ $this->text .= $call[1][0];
+ break;
+ case 'preformatted_end':
+ if (trim($this->text)) {
+ $this->CallWriter->writeCall(array('preformatted',array($this->text),$this->pos));
+ }
+ // see FS#1699 & FS#1652, add 'eol' instructions to ensure proper triggering of following p_open
+ $this->CallWriter->writeCall(array('eol',array(),$this->pos));
+ $this->CallWriter->writeCall(array('eol',array(),$this->pos));
+ break;
+ }
+ }
+ }
+
+}
+
+//------------------------------------------------------------------------
+class Doku_Handler_Quote {
+
+ var $CallWriter;
+
+ var $calls = array();
+
+ var $quoteCalls = array();
+
+ function Doku_Handler_Quote(& $CallWriter) {
+ $this->CallWriter = & $CallWriter;
+ }
+
+ function writeCall($call) {
+ $this->calls[] = $call;
+ }
+
+ // Probably not needed but just in case...
+ function writeCalls($calls) {
+ $this->calls = array_merge($this->calls, $calls);
+ }
+
+ function finalise() {
+ $last_call = end($this->calls);
+ $this->writeCall(array('quote_end',array(), $last_call[2]));
+
+ $this->process();
+ $this->CallWriter->finalise();
+ }
+
+ function process() {
+
+ $quoteDepth = 1;
+
+ foreach ( $this->calls as $call ) {
+ switch ($call[0]) {
+
+ case 'quote_start':
+
+ $this->quoteCalls[] = array('quote_open',array(),$call[2]);
+
+ case 'quote_newline':
+
+ $quoteLength = $this->getDepth($call[1][0]);
+
+ if ( $quoteLength > $quoteDepth ) {
+ $quoteDiff = $quoteLength - $quoteDepth;
+ for ( $i = 1; $i <= $quoteDiff; $i++ ) {
+ $this->quoteCalls[] = array('quote_open',array(),$call[2]);
+ }
+ } else if ( $quoteLength < $quoteDepth ) {
+ $quoteDiff = $quoteDepth - $quoteLength;
+ for ( $i = 1; $i <= $quoteDiff; $i++ ) {
+ $this->quoteCalls[] = array('quote_close',array(),$call[2]);
+ }
+ } else {
+ if ($call[0] != 'quote_start') $this->quoteCalls[] = array('linebreak',array(),$call[2]);
+ }
+
+ $quoteDepth = $quoteLength;
+
+ break;
+
+ case 'quote_end':
+
+ if ( $quoteDepth > 1 ) {
+ $quoteDiff = $quoteDepth - 1;
+ for ( $i = 1; $i <= $quoteDiff; $i++ ) {
+ $this->quoteCalls[] = array('quote_close',array(),$call[2]);
+ }
+ }
+
+ $this->quoteCalls[] = array('quote_close',array(),$call[2]);
+
+ $this->CallWriter->writeCalls($this->quoteCalls);
+ break;
+
+ default:
+ $this->quoteCalls[] = $call;
+ break;
+ }
+ }
+ }
+
+ function getDepth($marker) {
+ preg_match('/>{1,}/', $marker, $matches);
+ $quoteLength = strlen($matches[0]);
+ return $quoteLength;
+ }
+}
+
+//------------------------------------------------------------------------
+class Doku_Handler_Table {
+
+ var $CallWriter;
+
+ var $calls = array();
+ var $tableCalls = array();
+ var $maxCols = 0;
+ var $maxRows = 1;
+ var $currentCols = 0;
+ var $firstCell = false;
+ var $lastCellType = 'tablecell';
+
+ function Doku_Handler_Table(& $CallWriter) {
+ $this->CallWriter = & $CallWriter;
+ }
+
+ function writeCall($call) {
+ $this->calls[] = $call;
+ }
+
+ // Probably not needed but just in case...
+ function writeCalls($calls) {
+ $this->calls = array_merge($this->calls, $calls);
+ }
+
+ function finalise() {
+ $last_call = end($this->calls);
+ $this->writeCall(array('table_end',array(), $last_call[2]));
+
+ $this->process();
+ $this->CallWriter->finalise();
+ }
+
+ //------------------------------------------------------------------------
+ function process() {
+ foreach ( $this->calls as $call ) {
+ switch ( $call[0] ) {
+ case 'table_start':
+ $this->tableStart($call);
+ break;
+ case 'table_row':
+ $this->tableRowClose(array('tablerow_close',$call[1],$call[2]));
+ $this->tableRowOpen(array('tablerow_open',$call[1],$call[2]));
+ break;
+ case 'tableheader':
+ case 'tablecell':
+ $this->tableCell($call);
+ break;
+ case 'table_end':
+ $this->tableRowClose(array('tablerow_close',$call[1],$call[2]));
+ $this->tableEnd($call);
+ break;
+ default:
+ $this->tableDefault($call);
+ break;
+ }
+ }
+ $this->CallWriter->writeCalls($this->tableCalls);
+ }
+
+ function tableStart($call) {
+ $this->tableCalls[] = array('table_open',array(),$call[2]);
+ $this->tableCalls[] = array('tablerow_open',array(),$call[2]);
+ $this->firstCell = true;
+ }
+
+ function tableEnd($call) {
+ $this->tableCalls[] = array('table_close',array(),$call[2]);
+ $this->finalizeTable();
+ }
+
+ function tableRowOpen($call) {
+ $this->tableCalls[] = $call;
+ $this->currentCols = 0;
+ $this->firstCell = true;
+ $this->lastCellType = 'tablecell';
+ $this->maxRows++;
+ }
+
+ function tableRowClose($call) {
+ // Strip off final cell opening and anything after it
+ while ( $discard = array_pop($this->tableCalls ) ) {
+
+ if ( $discard[0] == 'tablecell_open' || $discard[0] == 'tableheader_open') {
+ break;
+ }
+ }
+ $this->tableCalls[] = $call;
+
+ if ( $this->currentCols > $this->maxCols ) {
+ $this->maxCols = $this->currentCols;
+ }
+ }
+
+ function tableCell($call) {
+ if ( !$this->firstCell ) {
+
+ // Increase the span
+ $lastCall = end($this->tableCalls);
+
+ // A cell call which follows an open cell means an empty cell so span
+ if ( $lastCall[0] == 'tablecell_open' || $lastCall[0] == 'tableheader_open' ) {
+ $this->tableCalls[] = array('colspan',array(),$call[2]);
+
+ }
+
+ $this->tableCalls[] = array($this->lastCellType.'_close',array(),$call[2]);
+ $this->tableCalls[] = array($call[0].'_open',array(1,NULL,1),$call[2]);
+ $this->lastCellType = $call[0];
+
+ } else {
+
+ $this->tableCalls[] = array($call[0].'_open',array(1,NULL,1),$call[2]);
+ $this->lastCellType = $call[0];
+ $this->firstCell = false;
+
+ }
+
+ $this->currentCols++;
+ }
+
+ function tableDefault($call) {
+ $this->tableCalls[] = $call;
+ }
+
+ function finalizeTable() {
+
+ // Add the max cols and rows to the table opening
+ if ( $this->tableCalls[0][0] == 'table_open' ) {
+ // Adjust to num cols not num col delimeters
+ $this->tableCalls[0][1][] = $this->maxCols - 1;
+ $this->tableCalls[0][1][] = $this->maxRows;
+ } else {
+ trigger_error('First element in table call list is not table_open');
+ }
+
+ $lastRow = 0;
+ $lastCell = 0;
+ $cellKey = array();
+ $toDelete = array();
+
+ // Look for the colspan elements and increment the colspan on the
+ // previous non-empty opening cell. Once done, delete all the cells
+ // that contain colspans
+ foreach ( $this->tableCalls as $key => $call ) {
+
+ if ( $call[0] == 'tablerow_open' ) {
+
+ $lastRow++;
+ $lastCell = 0;
+
+ } else if ( $call[0] == 'tablecell_open' || $call[0] == 'tableheader_open' ) {
+
+ $lastCell++;
+ $cellKey[$lastRow][$lastCell] = $key;
+
+ } else if ( $call[0] == 'table_align' ) {
+
+ $prev = in_array($this->tableCalls[$key-1][0], array('tablecell_open', 'tableheader_open'));
+ $next = in_array($this->tableCalls[$key+1][0], array('tablecell_close', 'tableheader_close'));
+ // If the cell is empty, align left
+ if ($prev && $next) {
+ $this->tableCalls[$key-1][1][1] = 'left';
+
+ // If the previous element was a cell open, align right
+ } elseif ($prev) {
+ $this->tableCalls[$key-1][1][1] = 'right';
+
+ // If the next element is the close of an element, align either center or left
+ } elseif ( $next) {
+ if ( $this->tableCalls[$cellKey[$lastRow][$lastCell]][1][1] == 'right' ) {
+ $this->tableCalls[$cellKey[$lastRow][$lastCell]][1][1] = 'center';
+ } else {
+ $this->tableCalls[$cellKey[$lastRow][$lastCell]][1][1] = 'left';
+ }
+
+ }
+
+ // Now convert the whitespace back to cdata
+ $this->tableCalls[$key][0] = 'cdata';
+
+ } else if ( $call[0] == 'colspan' ) {
+
+ $this->tableCalls[$key-1][1][0] = false;
+
+ for($i = $key-2; $i >= $cellKey[$lastRow][1]; $i--) {
+
+ if ( $this->tableCalls[$i][0] == 'tablecell_open' || $this->tableCalls[$i][0] == 'tableheader_open' ) {
+
+ if ( false !== $this->tableCalls[$i][1][0] ) {
+ $this->tableCalls[$i][1][0]++;
+ break;
+ }
+
+
+ }
+ }
+
+ $toDelete[] = $key-1;
+ $toDelete[] = $key;
+ $toDelete[] = $key+1;
+
+ } else if ( $call[0] == 'rowspan' ) {
+
+ if ( $this->tableCalls[$key-1][0] == 'cdata' ) {
+ // ignore rowspan if previous call was cdata (text mixed with :::) we don't have to check next call as that wont match regex
+ $this->tableCalls[$key][0] = 'cdata';
+
+ } else {
+
+ $this->tableCalls[$key-1][1][2] = false;
+
+ for($i = $lastRow-1; $i > 0; $i--) {
+
+ if ( $this->tableCalls[$cellKey[$i][$lastCell]][0] == 'tablecell_open' || $this->tableCalls[$cellKey[$i][$lastCell]][0] == 'tableheader_open' ) {
+
+ if ( false !== $this->tableCalls[$cellKey[$i][$lastCell]][1][2] ) {
+ $this->tableCalls[$cellKey[$i][$lastCell]][1][2]++;
+ break;
+ }
+
+
+ }
+ }
+
+ $toDelete[] = $key-1;
+ $toDelete[] = $key;
+ $toDelete[] = $key+1;
+ }
+ }
+ }
+
+
+ // condense cdata
+ $cnt = count($this->tableCalls);
+ for( $key = 0; $key < $cnt; $key++){
+ if($this->tableCalls[$key][0] == 'cdata'){
+ $ckey = $key;
+ $key++;
+ while($this->tableCalls[$key][0] == 'cdata'){
+ $this->tableCalls[$ckey][1][0] .= $this->tableCalls[$key][1][0];
+ $toDelete[] = $key;
+ $key++;
+ }
+ continue;
+ }
+ }
+
+ foreach ( $toDelete as $delete ) {
+ unset($this->tableCalls[$delete]);
+ }
+ $this->tableCalls = array_values($this->tableCalls);
+ }
+}
+
+
+/**
+ * Handler for paragraphs
+ *
+ * @author Harry Fuecks <hfuecks@gmail.com>
+ */
+class Doku_Handler_Block {
+
+ var $calls = array();
+
+ var $blockStack = array();
+
+ var $inParagraph = false;
+ var $atStart = true;
+ var $skipEolKey = -1;
+
+ // Blocks these should not be inside paragraphs
+ var $blockOpen = array(
+ 'header',
+ 'listu_open','listo_open','listitem_open','listcontent_open',
+ 'table_open','tablerow_open','tablecell_open','tableheader_open',
+ 'quote_open',
+ 'section_open', // Needed to prevent p_open between header and section_open
+ 'code','file','hr','preformatted','rss',
+ 'htmlblock','phpblock',
+ );
+
+ var $blockClose = array(
+ 'header',
+ 'listu_close','listo_close','listitem_close','listcontent_close',
+ 'table_close','tablerow_close','tablecell_close','tableheader_close',
+ 'quote_close',
+ 'section_close', // Needed to prevent p_close after section_close
+ 'code','file','hr','preformatted','rss',
+ 'htmlblock','phpblock',
+ );
+
+ // Stacks can contain paragraphs
+ var $stackOpen = array(
+ 'footnote_open','section_open',
+ );
+
+ var $stackClose = array(
+ 'footnote_close','section_close',
+ );
+
+
+ /**
+ * Constructor. Adds loaded syntax plugins to the block and stack
+ * arrays
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function Doku_Handler_Block(){
+ global $DOKU_PLUGINS;
+ //check if syntax plugins were loaded
+ if(empty($DOKU_PLUGINS['syntax'])) return;
+ foreach($DOKU_PLUGINS['syntax'] as $n => $p){
+ $ptype = $p->getPType();
+ if($ptype == 'block'){
+ $this->blockOpen[] = 'plugin_'.$n;
+ $this->blockClose[] = 'plugin_'.$n;
+ }elseif($ptype == 'stack'){
+ $this->stackOpen[] = 'plugin_'.$n;
+ $this->stackClose[] = 'plugin_'.$n;
+ }
+ }
+ }
+
+ /**
+ * Close a paragraph if needed
+ *
+ * This function makes sure there are no empty paragraphs on the stack
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function closeParagraph($pos){
+ // look back if there was any content - we don't want empty paragraphs
+ $content = '';
+ for($i=count($this->calls)-1; $i>=0; $i--){
+ if($this->calls[$i][0] == 'p_open'){
+ break;
+ }elseif($this->calls[$i][0] == 'cdata'){
+ $content .= $this->calls[$i][1][0];
+ }else{
+ $content = 'found markup';
+ break;
+ }
+ }
+
+ if(trim($content)==''){
+ //remove the whole paragraph
+ array_splice($this->calls,$i);
+ }else{
+ if ($this->calls[count($this->calls)-1][0] == 'section_edit') {
+ $tmp = array_pop($this->calls);
+ $this->calls[] = array('p_close',array(), $pos);
+ $this->calls[] = $tmp;
+ } else {
+ $this->calls[] = array('p_close',array(), $pos);
+ }
+ }
+
+ $this->inParagraph = false;
+ }
+
+ /**
+ * Processes the whole instruction stack to open and close paragraphs
+ *
+ * @author Harry Fuecks <hfuecks@gmail.com>
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @todo This thing is really messy and should be rewritten
+ */
+ function process($calls) {
+ foreach ( $calls as $key => $call ) {
+ $cname = $call[0];
+ if($cname == 'plugin') {
+ $cname='plugin_'.$call[1][0];
+
+ $plugin = true;
+ $plugin_open = (($call[1][2] == DOKU_LEXER_ENTER) || ($call[1][2] == DOKU_LEXER_SPECIAL));
+ $plugin_close = (($call[1][2] == DOKU_LEXER_EXIT) || ($call[1][2] == DOKU_LEXER_SPECIAL));
+ } else {
+ $plugin = false;
+ }
+
+ // Process blocks which are stack like... (contain linefeeds)
+ if ( in_array($cname,$this->stackOpen ) && (!$plugin || $plugin_open) ) {
+
+ $this->calls[] = $call;
+
+ // Hack - footnotes shouldn't immediately contain a p_open
+ if ( $cname != 'footnote_open' ) {
+ $this->addToStack();
+ } else {
+ $this->addToStack(false);
+ }
+ continue;
+ }
+
+ if ( in_array($cname,$this->stackClose ) && (!$plugin || $plugin_close)) {
+
+ if ( $this->inParagraph ) {
+ $this->closeParagraph($call[2]);
+ }
+ $this->calls[] = $call;
+ $this->removeFromStack();
+ continue;
+ }
+
+ if ( !$this->atStart ) {
+
+ if ( $cname == 'eol' ) {
+
+ // Check this isn't an eol instruction to skip...
+ if ( $this->skipEolKey != $key ) {
+ // Look to see if the next instruction is an EOL
+ if ( isset($calls[$key+1]) && $calls[$key+1][0] == 'eol' ) {
+
+ if ( $this->inParagraph ) {
+ //$this->calls[] = array('p_close',array(), $call[2]);
+ $this->closeParagraph($call[2]);
+ }
+
+ $this->calls[] = array('p_open',array(), $call[2]);
+ $this->inParagraph = true;
+
+
+ // Mark the next instruction for skipping
+ $this->skipEolKey = $key+1;
+
+ }else{
+ //if this is just a single eol make a space from it
+ $this->addCall(array('cdata',array(DOKU_PARSER_EOL), $call[2]));
+ }
+ }
+
+
+ } else {
+
+ $storeCall = true;
+ if ( $this->inParagraph && (in_array($cname, $this->blockOpen) && (!$plugin || $plugin_open))) {
+ $this->closeParagraph($call[2]);
+ $this->calls[] = $call;
+ $storeCall = false;
+ }
+
+ if ( in_array($cname, $this->blockClose) && (!$plugin || $plugin_close)) {
+ if ( $this->inParagraph ) {
+ $this->closeParagraph($call[2]);
+ }
+ if ( $storeCall ) {
+ $this->calls[] = $call;
+ $storeCall = false;
+ }
+
+ // This really sucks and suggests this whole class sucks but...
+ if ( isset($calls[$key+1])) {
+ $cname_plusone = $calls[$key+1][0];
+ if ($cname_plusone == 'plugin') {
+ $cname_plusone = 'plugin'.$calls[$key+1][1][0];
+
+ // plugin test, true if plugin has a state which precludes it requiring blockOpen or blockClose
+ $plugin_plusone = true;
+ $plugin_test = ($call[$key+1][1][2] == DOKU_LEXER_MATCHED) || ($call[$key+1][1][2] == DOKU_LEXER_MATCHED);
+ } else {
+ $plugin_plusone = false;
+ }
+ if ((!in_array($cname_plusone, $this->blockOpen) && !in_array($cname_plusone, $this->blockClose)) ||
+ ($plugin_plusone && $plugin_test)
+ ) {
+
+ $this->calls[] = array('p_open',array(), $call[2]);
+ $this->inParagraph = true;
+ }
+ }
+ }
+
+ if ( $storeCall ) {
+ $this->addCall($call);
+ }
+
+ }
+
+
+ } else {
+
+ // Unless there's already a block at the start, start a paragraph
+ if ( !in_array($cname,$this->blockOpen) ) {
+ $this->calls[] = array('p_open',array(), $call[2]);
+ if ( $call[0] != 'eol' ) {
+ $this->calls[] = $call;
+ }
+ $this->atStart = false;
+ $this->inParagraph = true;
+ } else {
+ $this->addCall($call);
+ $this->atStart = false;
+ }
+
+ }
+
+ }
+
+ if ( $this->inParagraph ) {
+ if ( $cname == 'p_open' ) {
+ // Ditch the last call
+ array_pop($this->calls);
+ } else if ( !in_array($cname, $this->blockClose) ) {
+ //$this->calls[] = array('p_close',array(), $call[2]);
+ $this->closeParagraph($call[2]);
+ } else {
+ $last_call = array_pop($this->calls);
+ //$this->calls[] = array('p_close',array(), $call[2]);
+ $this->closeParagraph($call[2]);
+ $this->calls[] = $last_call;
+ }
+ }
+
+ return $this->calls;
+ }
+
+ function addToStack($newStart = true) {
+ $this->blockStack[] = array($this->atStart, $this->inParagraph);
+ $this->atStart = $newStart;
+ $this->inParagraph = false;
+ }
+
+ function removeFromStack() {
+ $state = array_pop($this->blockStack);
+ $this->atStart = $state[0];
+ $this->inParagraph = $state[1];
+ }
+
+ function addCall($call) {
+ $key = count($this->calls);
+ if ($key and ($call[0] == 'cdata') and ($this->calls[$key-1][0] == 'cdata')) {
+ $this->calls[$key-1][1][0] .= $call[1][0];
+ } else {
+ $this->calls[] = $call;
+ }
+ }
+}
+
+//Setup VIM: ex: et ts=4 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/parser/lexer.php b/mod/dokuwiki/vendors/dokuwiki/inc/parser/lexer.php
new file mode 100644
index 000000000..afd260a05
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/parser/lexer.php
@@ -0,0 +1,600 @@
+<?php
+/**
+* Author Markus Baker: http://www.lastcraft.com
+* Version adapted from Simple Test: http://sourceforge.net/projects/simpletest/
+* For an intro to the Lexer see:
+* http://www.phppatterns.com/index.php/article/articleview/106/1/2/
+* @author Marcus Baker
+* @package Doku
+* @subpackage Lexer
+* @version $Id: lexer.php,v 1.1 2005/03/23 23:14:09 harryf Exp $
+*/
+
+/**
+* Init path constant
+*/
+if(!defined('DOKU_INC')) die('meh.');
+
+/**#@+
+ * lexer mode constant
+ */
+define("DOKU_LEXER_ENTER", 1);
+define("DOKU_LEXER_MATCHED", 2);
+define("DOKU_LEXER_UNMATCHED", 3);
+define("DOKU_LEXER_EXIT", 4);
+define("DOKU_LEXER_SPECIAL", 5);
+/**#@-*/
+
+/**
+ * Compounded regular expression. Any of
+ * the contained patterns could match and
+ * when one does it's label is returned.
+ * @package Doku
+ * @subpackage Lexer
+ */
+class Doku_LexerParallelRegex {
+ var $_patterns;
+ var $_labels;
+ var $_regex;
+ var $_case;
+
+ /**
+ * Constructor. Starts with no patterns.
+ * @param boolean $case True for case sensitive, false
+ * for insensitive.
+ * @access public
+ */
+ function Doku_LexerParallelRegex($case) {
+ $this->_case = $case;
+ $this->_patterns = array();
+ $this->_labels = array();
+ $this->_regex = null;
+ }
+
+ /**
+ * Adds a pattern with an optional label.
+ * @param mixed $pattern Perl style regex. Must be UTF-8
+ * encoded. If its a string, the (, )
+ * lose their meaning unless they
+ * form part of a lookahead or
+ * lookbehind assertation.
+ * @param string $label Label of regex to be returned
+ * on a match. Label must be ASCII
+ * @access public
+ */
+ function addPattern($pattern, $label = true) {
+ $count = count($this->_patterns);
+ $this->_patterns[$count] = $pattern;
+ $this->_labels[$count] = $label;
+ $this->_regex = null;
+ }
+
+ /**
+ * Attempts to match all patterns at once against
+ * a string.
+ * @param string $subject String to match against.
+ * @param string $match First matched portion of
+ * subject.
+ * @return boolean True on success.
+ * @access public
+ */
+ function match($subject, &$match) {
+ if (count($this->_patterns) == 0) {
+ return false;
+ }
+ if (! preg_match($this->_getCompoundedRegex(), $subject, $matches)) {
+ $match = "";
+ return false;
+ }
+
+ $match = $matches[0];
+ $size = count($matches);
+ for ($i = 1; $i < $size; $i++) {
+ if ($matches[$i] && isset($this->_labels[$i - 1])) {
+ return $this->_labels[$i - 1];
+ }
+ }
+ return true;
+ }
+
+ /**
+ * Attempts to split the string against all patterns at once
+ *
+ * @param string $subject String to match against.
+ * @param array $split The split result: array containing, pre-match, match & post-match strings
+ * @return boolean True on success.
+ * @access public
+ *
+ * @author Christopher Smith <chris@jalakai.co.uk>
+ */
+ function split($subject, &$split) {
+ if (count($this->_patterns) == 0) {
+ return false;
+ }
+
+ if (! preg_match($this->_getCompoundedRegex(), $subject, $matches)) {
+ if(function_exists('preg_last_error')){
+ $err = preg_last_error();
+ switch($err){
+ case PREG_BACKTRACK_LIMIT_ERROR:
+ msg('A PCRE backtrack error occured. Try to increase the pcre.backtrack_limit in php.ini',-1);
+ break;
+ case PREG_RECURSION_LIMIT_ERROR:
+ msg('A PCRE recursion error occured. Try to increase the pcre.recursion_limit in php.ini',-1);
+ break;
+ case PREG_BAD_UTF8_ERROR:
+ msg('A PCRE UTF-8 error occured. This might be caused by a faulty plugin',-1);
+ break;
+ case PREG_INTERNAL_ERROR:
+ msg('A PCRE internal error occured. This might be caused by a faulty plugin',-1);
+ break;
+ }
+ }
+
+ $split = array($subject, "", "");
+ return false;
+ }
+
+ $idx = count($matches)-2;
+ list($pre, $post) = preg_split($this->_patterns[$idx].$this->_getPerlMatchingFlags(), $subject, 2);
+ $split = array($pre, $matches[0], $post);
+
+ return isset($this->_labels[$idx]) ? $this->_labels[$idx] : true;
+ }
+
+ /**
+ * Compounds the patterns into a single
+ * regular expression separated with the
+ * "or" operator. Caches the regex.
+ * Will automatically escape (, ) and / tokens.
+ * @param array $patterns List of patterns in order.
+ * @access private
+ */
+ function _getCompoundedRegex() {
+ if ($this->_regex == null) {
+ $cnt = count($this->_patterns);
+ for ($i = 0; $i < $cnt; $i++) {
+
+ /*
+ * decompose the input pattern into "(", "(?", ")",
+ * "[...]", "[]..]", "[^]..]", "[...[:...:]..]", "\x"...
+ * elements.
+ */
+ preg_match_all('/\\\\.|' .
+ '\(\?|' .
+ '[()]|' .
+ '\[\^?\]?(?:\\\\.|\[:[^]]*:\]|[^]\\\\])*\]|' .
+ '[^[()\\\\]+/', $this->_patterns[$i], $elts);
+
+ $pattern = "";
+ $level = 0;
+
+ foreach ($elts[0] as $elt) {
+ /*
+ * for "(", ")" remember the nesting level, add "\"
+ * only to the non-"(?" ones.
+ */
+
+ switch($elt) {
+ case '(':
+ $pattern .= '\(';
+ break;
+ case ')':
+ if ($level > 0)
+ $level--; /* closing (? */
+ else
+ $pattern .= '\\';
+ $pattern .= ')';
+ break;
+ case '(?':
+ $level++;
+ $pattern .= '(?';
+ break;
+ default:
+ if (substr($elt, 0, 1) == '\\')
+ $pattern .= $elt;
+ else
+ $pattern .= str_replace('/', '\/', $elt);
+ }
+ }
+ $this->_patterns[$i] = "($pattern)";
+ }
+ $this->_regex = "/" . implode("|", $this->_patterns) . "/" . $this->_getPerlMatchingFlags();
+ }
+ return $this->_regex;
+ }
+
+ /**
+ * Accessor for perl regex mode flags to use.
+ * @return string Perl regex flags.
+ * @access private
+ */
+ function _getPerlMatchingFlags() {
+ return ($this->_case ? "msS" : "msSi");
+ }
+}
+
+/**
+ * States for a stack machine.
+ * @package Lexer
+ * @subpackage Lexer
+ */
+class Doku_LexerStateStack {
+ var $_stack;
+
+ /**
+ * Constructor. Starts in named state.
+ * @param string $start Starting state name.
+ * @access public
+ */
+ function Doku_LexerStateStack($start) {
+ $this->_stack = array($start);
+ }
+
+ /**
+ * Accessor for current state.
+ * @return string State.
+ * @access public
+ */
+ function getCurrent() {
+ return $this->_stack[count($this->_stack) - 1];
+ }
+
+ /**
+ * Adds a state to the stack and sets it
+ * to be the current state.
+ * @param string $state New state.
+ * @access public
+ */
+ function enter($state) {
+ array_push($this->_stack, $state);
+ }
+
+ /**
+ * Leaves the current state and reverts
+ * to the previous one.
+ * @return boolean False if we drop off
+ * the bottom of the list.
+ * @access public
+ */
+ function leave() {
+ if (count($this->_stack) == 1) {
+ return false;
+ }
+ array_pop($this->_stack);
+ return true;
+ }
+}
+
+/**
+ * Accepts text and breaks it into tokens.
+ * Some optimisation to make the sure the
+ * content is only scanned by the PHP regex
+ * parser once. Lexer modes must not start
+ * with leading underscores.
+ * @package Doku
+ * @subpackage Lexer
+ */
+class Doku_Lexer {
+ var $_regexes;
+ var $_parser;
+ var $_mode;
+ var $_mode_handlers;
+ var $_case;
+
+ /**
+ * Sets up the lexer in case insensitive matching
+ * by default.
+ * @param Doku_Parser $parser Handling strategy by
+ * reference.
+ * @param string $start Starting handler.
+ * @param boolean $case True for case sensitive.
+ * @access public
+ */
+ function Doku_Lexer(&$parser, $start = "accept", $case = false) {
+ $this->_case = $case;
+ $this->_regexes = array();
+ $this->_parser = &$parser;
+ $this->_mode = &new Doku_LexerStateStack($start);
+ $this->_mode_handlers = array();
+ }
+
+ /**
+ * Adds a token search pattern for a particular
+ * parsing mode. The pattern does not change the
+ * current mode.
+ * @param string $pattern Perl style regex, but ( and )
+ * lose the usual meaning.
+ * @param string $mode Should only apply this
+ * pattern when dealing with
+ * this type of input.
+ * @access public
+ */
+ function addPattern($pattern, $mode = "accept") {
+ if (! isset($this->_regexes[$mode])) {
+ $this->_regexes[$mode] = new Doku_LexerParallelRegex($this->_case);
+ }
+ $this->_regexes[$mode]->addPattern($pattern);
+ }
+
+ /**
+ * Adds a pattern that will enter a new parsing
+ * mode. Useful for entering parenthesis, strings,
+ * tags, etc.
+ * @param string $pattern Perl style regex, but ( and )
+ * lose the usual meaning.
+ * @param string $mode Should only apply this
+ * pattern when dealing with
+ * this type of input.
+ * @param string $new_mode Change parsing to this new
+ * nested mode.
+ * @access public
+ */
+ function addEntryPattern($pattern, $mode, $new_mode) {
+ if (! isset($this->_regexes[$mode])) {
+ $this->_regexes[$mode] = new Doku_LexerParallelRegex($this->_case);
+ }
+ $this->_regexes[$mode]->addPattern($pattern, $new_mode);
+ }
+
+ /**
+ * Adds a pattern that will exit the current mode
+ * and re-enter the previous one.
+ * @param string $pattern Perl style regex, but ( and )
+ * lose the usual meaning.
+ * @param string $mode Mode to leave.
+ * @access public
+ */
+ function addExitPattern($pattern, $mode) {
+ if (! isset($this->_regexes[$mode])) {
+ $this->_regexes[$mode] = new Doku_LexerParallelRegex($this->_case);
+ }
+ $this->_regexes[$mode]->addPattern($pattern, "__exit");
+ }
+
+ /**
+ * Adds a pattern that has a special mode. Acts as an entry
+ * and exit pattern in one go, effectively calling a special
+ * parser handler for this token only.
+ * @param string $pattern Perl style regex, but ( and )
+ * lose the usual meaning.
+ * @param string $mode Should only apply this
+ * pattern when dealing with
+ * this type of input.
+ * @param string $special Use this mode for this one token.
+ * @access public
+ */
+ function addSpecialPattern($pattern, $mode, $special) {
+ if (! isset($this->_regexes[$mode])) {
+ $this->_regexes[$mode] = new Doku_LexerParallelRegex($this->_case);
+ }
+ $this->_regexes[$mode]->addPattern($pattern, "_$special");
+ }
+
+ /**
+ * Adds a mapping from a mode to another handler.
+ * @param string $mode Mode to be remapped.
+ * @param string $handler New target handler.
+ * @access public
+ */
+ function mapHandler($mode, $handler) {
+ $this->_mode_handlers[$mode] = $handler;
+ }
+
+ /**
+ * Splits the page text into tokens. Will fail
+ * if the handlers report an error or if no
+ * content is consumed. If successful then each
+ * unparsed and parsed token invokes a call to the
+ * held listener.
+ * @param string $raw Raw HTML text.
+ * @return boolean True on success, else false.
+ * @access public
+ */
+ function parse($raw) {
+ if (! isset($this->_parser)) {
+ return false;
+ }
+ $initialLength = strlen($raw);
+ $length = $initialLength;
+ $pos = 0;
+ while (is_array($parsed = $this->_reduce($raw))) {
+ list($unmatched, $matched, $mode) = $parsed;
+ $currentLength = strlen($raw);
+ $matchPos = $initialLength - $currentLength - strlen($matched);
+ if (! $this->_dispatchTokens($unmatched, $matched, $mode, $pos, $matchPos)) {
+ return false;
+ }
+ if ($currentLength == $length) {
+ return false;
+ }
+ $length = $currentLength;
+ $pos = $initialLength - $currentLength;
+ }
+ if (!$parsed) {
+ return false;
+ }
+ return $this->_invokeParser($raw, DOKU_LEXER_UNMATCHED, $pos);
+ }
+
+ /**
+ * Sends the matched token and any leading unmatched
+ * text to the parser changing the lexer to a new
+ * mode if one is listed.
+ * @param string $unmatched Unmatched leading portion.
+ * @param string $matched Actual token match.
+ * @param string $mode Mode after match. A boolean
+ * false mode causes no change.
+ * @param int $pos Current byte index location in raw doc
+ * thats being parsed
+ * @return boolean False if there was any error
+ * from the parser.
+ * @access private
+ */
+ function _dispatchTokens($unmatched, $matched, $mode = false, $initialPos, $matchPos) {
+ if (! $this->_invokeParser($unmatched, DOKU_LEXER_UNMATCHED, $initialPos) ){
+ return false;
+ }
+ if ($this->_isModeEnd($mode)) {
+ if (! $this->_invokeParser($matched, DOKU_LEXER_EXIT, $matchPos)) {
+ return false;
+ }
+ return $this->_mode->leave();
+ }
+ if ($this->_isSpecialMode($mode)) {
+ $this->_mode->enter($this->_decodeSpecial($mode));
+ if (! $this->_invokeParser($matched, DOKU_LEXER_SPECIAL, $matchPos)) {
+ return false;
+ }
+ return $this->_mode->leave();
+ }
+ if (is_string($mode)) {
+ $this->_mode->enter($mode);
+ return $this->_invokeParser($matched, DOKU_LEXER_ENTER, $matchPos);
+ }
+ return $this->_invokeParser($matched, DOKU_LEXER_MATCHED, $matchPos);
+ }
+
+ /**
+ * Tests to see if the new mode is actually to leave
+ * the current mode and pop an item from the matching
+ * mode stack.
+ * @param string $mode Mode to test.
+ * @return boolean True if this is the exit mode.
+ * @access private
+ */
+ function _isModeEnd($mode) {
+ return ($mode === "__exit");
+ }
+
+ /**
+ * Test to see if the mode is one where this mode
+ * is entered for this token only and automatically
+ * leaves immediately afterwoods.
+ * @param string $mode Mode to test.
+ * @return boolean True if this is the exit mode.
+ * @access private
+ */
+ function _isSpecialMode($mode) {
+ return (strncmp($mode, "_", 1) == 0);
+ }
+
+ /**
+ * Strips the magic underscore marking single token
+ * modes.
+ * @param string $mode Mode to decode.
+ * @return string Underlying mode name.
+ * @access private
+ */
+ function _decodeSpecial($mode) {
+ return substr($mode, 1);
+ }
+
+ /**
+ * Calls the parser method named after the current
+ * mode. Empty content will be ignored. The lexer
+ * has a parser handler for each mode in the lexer.
+ * @param string $content Text parsed.
+ * @param boolean $is_match Token is recognised rather
+ * than unparsed data.
+ * @param int $pos Current byte index location in raw doc
+ * thats being parsed
+ * @access private
+ */
+ function _invokeParser($content, $is_match, $pos) {
+ if (($content === "") || ($content === false)) {
+ return true;
+ }
+ $handler = $this->_mode->getCurrent();
+ if (isset($this->_mode_handlers[$handler])) {
+ $handler = $this->_mode_handlers[$handler];
+ }
+
+ // modes starting with plugin_ are all handled by the same
+ // handler but with an additional parameter
+ if(substr($handler,0,7)=='plugin_'){
+ list($handler,$plugin) = explode('_',$handler,2);
+ return $this->_parser->$handler($content, $is_match, $pos, $plugin);
+ }
+
+ return $this->_parser->$handler($content, $is_match, $pos);
+ }
+
+ /**
+ * Tries to match a chunk of text and if successful
+ * removes the recognised chunk and any leading
+ * unparsed data. Empty strings will not be matched.
+ * @param string $raw The subject to parse. This is the
+ * content that will be eaten.
+ * @return array Three item list of unparsed
+ * content followed by the
+ * recognised token and finally the
+ * action the parser is to take.
+ * True if no match, false if there
+ * is a parsing error.
+ * @access private
+ */
+ function _reduce(&$raw) {
+ if (! isset($this->_regexes[$this->_mode->getCurrent()])) {
+ return false;
+ }
+ if ($raw === "") {
+ return true;
+ }
+ if ($action = $this->_regexes[$this->_mode->getCurrent()]->split($raw, $split)) {
+ list($unparsed, $match, $raw) = $split;
+ return array($unparsed, $match, $action);
+ }
+ return true;
+ }
+}
+
+/**
+* Escapes regex characters other than (, ) and /
+* @TODO
+*/
+function Doku_Lexer_Escape($str) {
+ //$str = addslashes($str);
+ $chars = array(
+ '/\\\\/',
+ '/\./',
+ '/\+/',
+ '/\*/',
+ '/\?/',
+ '/\[/',
+ '/\^/',
+ '/\]/',
+ '/\$/',
+ '/\{/',
+ '/\}/',
+ '/\=/',
+ '/\!/',
+ '/\</',
+ '/\>/',
+ '/\|/',
+ '/\:/'
+ );
+
+ $escaped = array(
+ '\\\\\\\\',
+ '\.',
+ '\+',
+ '\*',
+ '\?',
+ '\[',
+ '\^',
+ '\]',
+ '\$',
+ '\{',
+ '\}',
+ '\=',
+ '\!',
+ '\<',
+ '\>',
+ '\|',
+ '\:'
+ );
+ return preg_replace($chars, $escaped, $str);
+}
+
+//Setup VIM: ex: et ts=4 sw=4 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/parser/metadata.php b/mod/dokuwiki/vendors/dokuwiki/inc/parser/metadata.php
new file mode 100644
index 000000000..fc60e5774
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/parser/metadata.php
@@ -0,0 +1,483 @@
+<?php
+/**
+ * Renderer for metadata
+ *
+ * @author Esther Brunner <wikidesign@gmail.com>
+ */
+if(!defined('DOKU_INC')) die('meh.');
+
+if ( !defined('DOKU_LF') ) {
+ // Some whitespace to help View > Source
+ define ('DOKU_LF',"\n");
+}
+
+if ( !defined('DOKU_TAB') ) {
+ // Some whitespace to help View > Source
+ define ('DOKU_TAB',"\t");
+}
+
+require_once DOKU_INC . 'inc/parser/renderer.php';
+
+/**
+ * The Renderer
+ */
+class Doku_Renderer_metadata extends Doku_Renderer {
+
+ var $doc = '';
+ var $meta = array();
+ var $persistent = array();
+
+ var $headers = array();
+ var $capture = true;
+ var $store = '';
+ var $firstimage = '';
+
+ function getFormat(){
+ return 'metadata';
+ }
+
+ function document_start(){
+ global $ID;
+
+ $this->headers = array();
+
+ // external pages are missing create date
+ if(!$this->persistent['date']['created']){
+ $this->persistent['date']['created'] = filectime(wikiFN($ID));
+ }
+ if(!isset($this->persistent['creator'])){
+ $this->persistent['creator'] = '';
+ }
+ // reset metadata to persistent values
+ $this->meta = $this->persistent;
+ }
+
+ function document_end(){
+ global $ID;
+
+ // store internal info in metadata (notoc,nocache)
+ $this->meta['internal'] = $this->info;
+
+ if (!isset($this->meta['description']['abstract'])){
+ // cut off too long abstracts
+ $this->doc = trim($this->doc);
+ if (strlen($this->doc) > 500)
+ $this->doc = utf8_substr($this->doc, 0, 500).'鈥';
+ $this->meta['description']['abstract'] = $this->doc;
+ }
+
+ $this->meta['relation']['firstimage'] = $this->firstimage;
+
+ if(!isset($this->meta['date']['modified'])){
+ $this->meta['date']['modified'] = filemtime(wikiFN($ID));
+ }
+
+ }
+
+ function toc_additem($id, $text, $level) {
+ global $conf;
+
+ //only add items within configured levels
+ if($level >= $conf['toptoclevel'] && $level <= $conf['maxtoclevel']){
+ // the TOC is one of our standard ul list arrays ;-)
+ $this->meta['description']['tableofcontents'][] = array(
+ 'hid' => $id,
+ 'title' => $text,
+ 'type' => 'ul',
+ 'level' => $level-$conf['toptoclevel']+1
+ );
+ }
+
+ }
+
+ function header($text, $level, $pos) {
+ if (!isset($this->meta['title'])) $this->meta['title'] = $text;
+
+ // add the header to the TOC
+ $hid = $this->_headerToLink($text,'true');
+ $this->toc_additem($hid, $text, $level);
+
+ // add to summary
+ if ($this->capture && ($level > 1)) $this->doc .= DOKU_LF.$text.DOKU_LF;
+ }
+
+ function section_open($level){}
+ function section_close(){}
+
+ function cdata($text){
+ if ($this->capture) $this->doc .= $text;
+ }
+
+ function p_open(){
+ if ($this->capture) $this->doc .= DOKU_LF;
+ }
+
+ function p_close(){
+ if ($this->capture){
+ if (strlen($this->doc) > 250) $this->capture = false;
+ else $this->doc .= DOKU_LF;
+ }
+ }
+
+ function linebreak(){
+ if ($this->capture) $this->doc .= DOKU_LF;
+ }
+
+ function hr(){
+ if ($this->capture){
+ if (strlen($this->doc) > 250) $this->capture = false;
+ else $this->doc .= DOKU_LF.'----------'.DOKU_LF;
+ }
+ }
+
+ function strong_open(){}
+ function strong_close(){}
+
+ function emphasis_open(){}
+ function emphasis_close(){}
+
+ function underline_open(){}
+ function underline_close(){}
+
+ function monospace_open(){}
+ function monospace_close(){}
+
+ function subscript_open(){}
+ function subscript_close(){}
+
+ function superscript_open(){}
+ function superscript_close(){}
+
+ function deleted_open(){}
+ function deleted_close(){}
+
+ /**
+ * Callback for footnote start syntax
+ *
+ * All following content will go to the footnote instead of
+ * the document. To achieve this the previous rendered content
+ * is moved to $store and $doc is cleared
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function footnote_open() {
+ if ($this->capture){
+ // move current content to store and record footnote
+ $this->store = $this->doc;
+ $this->doc = '';
+ }
+ }
+
+ /**
+ * Callback for footnote end syntax
+ *
+ * All rendered content is moved to the $footnotes array and the old
+ * content is restored from $store again
+ *
+ * @author Andreas Gohr
+ */
+ function footnote_close() {
+ if ($this->capture){
+ // restore old content
+ $this->doc = $this->store;
+ $this->store = '';
+ }
+ }
+
+ function listu_open(){
+ if ($this->capture) $this->doc .= DOKU_LF;
+ }
+
+ function listu_close(){
+ if ($this->capture && (strlen($this->doc) > 250)) $this->capture = false;
+ }
+
+ function listo_open(){
+ if ($this->capture) $this->doc .= DOKU_LF;
+ }
+
+ function listo_close(){
+ if ($this->capture && (strlen($this->doc) > 250)) $this->capture = false;
+ }
+
+ function listitem_open($level){
+ if ($this->capture) $this->doc .= str_repeat(DOKU_TAB, $level).'* ';
+ }
+
+ function listitem_close(){
+ if ($this->capture) $this->doc .= DOKU_LF;
+ }
+
+ function listcontent_open(){}
+ function listcontent_close(){}
+
+ function unformatted($text){
+ if ($this->capture) $this->doc .= $text;
+ }
+
+ function php($text){}
+
+ function phpblock($text){}
+
+ function html($text){}
+
+ function htmlblock($text){}
+
+ function preformatted($text){
+ if ($this->capture) $this->doc .= $text;
+ }
+
+ function file($text, $lang = null, $file = null){
+ if ($this->capture){
+ $this->doc .= DOKU_LF.$text;
+ if (strlen($this->doc) > 250) $this->capture = false;
+ else $this->doc .= DOKU_LF;
+ }
+ }
+
+ function quote_open(){
+ if ($this->capture) $this->doc .= DOKU_LF.DOKU_TAB.'"';
+ }
+
+ function quote_close(){
+ if ($this->capture){
+ $this->doc .= '"';
+ if (strlen($this->doc) > 250) $this->capture = false;
+ else $this->doc .= DOKU_LF;
+ }
+ }
+
+ function code($text, $language = NULL, $file = null){
+ if ($this->capture){
+ $this->doc .= DOKU_LF.$text;
+ if (strlen($this->doc) > 250) $this->capture = false;
+ else $this->doc .= DOKU_LF;
+ }
+ }
+
+ function acronym($acronym){
+ if ($this->capture) $this->doc .= $acronym;
+ }
+
+ function smiley($smiley){
+ if ($this->capture) $this->doc .= $smiley;
+ }
+
+ function entity($entity){
+ if ($this->capture) $this->doc .= $entity;
+ }
+
+ function multiplyentity($x, $y){
+ if ($this->capture) $this->doc .= $x.'脳'.$y;
+ }
+
+ function singlequoteopening(){
+ global $lang;
+ if ($this->capture) $this->doc .= $lang['singlequoteopening'];
+ }
+
+ function singlequoteclosing(){
+ global $lang;
+ if ($this->capture) $this->doc .= $lang['singlequoteclosing'];
+ }
+
+ function apostrophe() {
+ global $lang;
+ if ($this->capture) $this->doc .= $lang['apostrophe'];
+ }
+
+ function doublequoteopening(){
+ global $lang;
+ if ($this->capture) $this->doc .= $lang['doublequoteopening'];
+ }
+
+ function doublequoteclosing(){
+ global $lang;
+ if ($this->capture) $this->doc .= $lang['doublequoteclosing'];
+ }
+
+ function camelcaselink($link) {
+ $this->internallink($link, $link);
+ }
+
+ function locallink($hash, $name = NULL){}
+
+ /**
+ * keep track of internal links in $this->meta['relation']['references']
+ */
+ function internallink($id, $name = NULL){
+ global $ID;
+
+ if(is_array($name))
+ $this->_firstimage($name['src']);
+
+ $default = $this->_simpleTitle($id);
+
+ // first resolve and clean up the $id
+ resolve_pageid(getNS($ID), $id, $exists);
+ list($page, $hash) = explode('#', $id, 2);
+
+ // set metadata
+ $this->meta['relation']['references'][$page] = $exists;
+ // $data = array('relation' => array('isreferencedby' => array($ID => true)));
+ // p_set_metadata($id, $data);
+
+ // add link title to summary
+ if ($this->capture){
+ $name = $this->_getLinkTitle($name, $default, $id);
+ $this->doc .= $name;
+ }
+ }
+
+ function externallink($url, $name = NULL){
+ if(is_array($name))
+ $this->_firstimage($name['src']);
+
+ if ($this->capture){
+ if ($name) $this->doc .= $name;
+ else $this->doc .= '<'.$url.'>';
+ }
+ }
+
+ function interwikilink($match, $name = NULL, $wikiName, $wikiUri){
+ if(is_array($name))
+ $this->_firstimage($name['src']);
+
+ if ($this->capture){
+ list($wikiUri, $hash) = explode('#', $wikiUri, 2);
+ $name = $this->_getLinkTitle($name, $wikiName.'>'.$wikiUri);
+ $this->doc .= $name;
+ }
+ }
+
+ function windowssharelink($url, $name = NULL){
+ if(is_array($name))
+ $this->_firstimage($name['src']);
+
+ if ($this->capture){
+ if ($name) $this->doc .= $name;
+ else $this->doc .= '<'.$url.'>';
+ }
+ }
+
+ function emaillink($address, $name = NULL){
+ if(is_array($name))
+ $this->_firstimage($name['src']);
+
+ if ($this->capture){
+ if ($name) $this->doc .= $name;
+ else $this->doc .= '<'.$address.'>';
+ }
+ }
+
+ function internalmedia($src, $title=NULL, $align=NULL, $width=NULL,
+ $height=NULL, $cache=NULL, $linking=NULL){
+ if ($this->capture && $title) $this->doc .= '['.$title.']';
+ $this->_firstimage($src);
+ }
+
+ function externalmedia($src, $title=NULL, $align=NULL, $width=NULL,
+ $height=NULL, $cache=NULL, $linking=NULL){
+ if ($this->capture && $title) $this->doc .= '['.$title.']';
+ $this->_firstimage($src);
+ }
+
+ function rss($url,$params) {
+ $this->meta['relation']['haspart'][$url] = true;
+
+ $this->meta['date']['valid']['age'] =
+ isset($this->meta['date']['valid']['age']) ?
+ min($this->meta['date']['valid']['age'],$params['refresh']) :
+ $params['refresh'];
+ }
+
+ function table_open($maxcols = NULL, $numrows = NULL){}
+ function table_close(){}
+
+ function tablerow_open(){}
+ function tablerow_close(){}
+
+ function tableheader_open($colspan = 1, $align = NULL, $rowspan = 1){}
+ function tableheader_close(){}
+
+ function tablecell_open($colspan = 1, $align = NULL, $rowspan = 1){}
+ function tablecell_close(){}
+
+ //----------------------------------------------------------
+ // Utils
+
+ /**
+ * Removes any Namespace from the given name but keeps
+ * casing and special chars
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function _simpleTitle($name){
+ global $conf;
+
+ if(is_array($name)) return '';
+
+ if($conf['useslash']){
+ $nssep = '[:;/]';
+ }else{
+ $nssep = '[:;]';
+ }
+ $name = preg_replace('!.*'.$nssep.'!','',$name);
+ //if there is a hash we use the anchor name only
+ $name = preg_replace('!.*#!','',$name);
+ return $name;
+ }
+
+ /**
+ * Creates a linkid from a headline
+ *
+ * @param string $title The headline title
+ * @param boolean $create Create a new unique ID?
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function _headerToLink($title, $create=false) {
+ if($create){
+ return sectionID($title,$this->headers);
+ }else{
+ $check = false;
+ return sectionID($title,$check);
+ }
+ }
+
+ /**
+ * Construct a title and handle images in titles
+ *
+ * @author Harry Fuecks <hfuecks@gmail.com>
+ */
+ function _getLinkTitle($title, $default, $id=NULL) {
+ global $conf;
+
+ $isImage = false;
+ if (is_null($title)){
+ if (useHeading('content') && $id){
+ $heading = p_get_first_heading($id,false);
+ if ($heading) return $heading;
+ }
+ return $default;
+ } else if (is_string($title)){
+ return $title;
+ } else if (is_array($title)){
+ return '['.$title['title'].']';
+ }
+ }
+
+ function _firstimage($src){
+ if($this->firstimage) return;
+ global $ID;
+
+ list($src,$hash) = explode('#',$src,2);
+ if(!preg_match('/^https?:\/\//i',$src)){
+ resolve_mediaid(getNS($ID),$src, $exists);
+ }
+ if(preg_match('/.(jpe?g|gif|png)$/i',$src)){
+ $this->firstimage = $src;
+ }
+ }
+}
+
+//Setup VIM: ex: et ts=4 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/parser/parser.php b/mod/dokuwiki/vendors/dokuwiki/inc/parser/parser.php
new file mode 100644
index 000000000..a78b08a29
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/parser/parser.php
@@ -0,0 +1,956 @@
+<?php
+if(!defined('DOKU_INC')) die('meh.');
+require_once DOKU_INC . 'inc/parser/lexer.php';
+require_once DOKU_INC . 'inc/parser/handler.php';
+
+
+/**
+ * Define various types of modes used by the parser - they are used to
+ * populate the list of modes another mode accepts
+ */
+global $PARSER_MODES;
+$PARSER_MODES = array(
+ // containers are complex modes that can contain many other modes
+ // hr breaks the principle but they shouldn't be used in tables / lists
+ // so they are put here
+ 'container' => array('listblock','table','quote','hr'),
+
+ // some mode are allowed inside the base mode only
+ 'baseonly' => array('header'),
+
+ // modes for styling text -- footnote behaves similar to styling
+ 'formatting' => array('strong', 'emphasis', 'underline', 'monospace',
+ 'subscript', 'superscript', 'deleted', 'footnote'),
+
+ // modes where the token is simply replaced - they can not contain any
+ // other modes
+ 'substition' => array('acronym','smiley','wordblock','entity',
+ 'camelcaselink', 'internallink','media',
+ 'externallink','linebreak','emaillink',
+ 'windowssharelink','filelink','notoc',
+ 'nocache','multiplyentity','quotes','rss'),
+
+ // modes which have a start and end token but inside which
+ // no other modes should be applied
+ 'protected' => array('preformatted','code','file','php','html','htmlblock','phpblock'),
+
+ // inside this mode no wiki markup should be applied but lineendings
+ // and whitespace isn't preserved
+ 'disabled' => array('unformatted'),
+
+ // used to mark paragraph boundaries
+ 'paragraphs' => array('eol')
+);
+
+//-------------------------------------------------------------------
+
+/**
+* Sets up the Lexer with modes and points it to the Handler
+* For an intro to the Lexer see: wiki:parser
+*/
+class Doku_Parser {
+
+ var $Handler;
+
+ var $Lexer;
+
+ var $modes = array();
+
+ var $connected = false;
+
+ function addBaseMode(& $BaseMode) {
+ $this->modes['base'] = & $BaseMode;
+ if ( !$this->Lexer ) {
+ $this->Lexer = & new Doku_Lexer($this->Handler,'base', true);
+ }
+ $this->modes['base']->Lexer = & $this->Lexer;
+ }
+
+ /**
+ * PHP preserves order of associative elements
+ * Mode sequence is important
+ */
+ function addMode($name, & $Mode) {
+ if ( !isset($this->modes['base']) ) {
+ $this->addBaseMode(new Doku_Parser_Mode_base());
+ }
+ $Mode->Lexer = & $this->Lexer;
+ $this->modes[$name] = & $Mode;
+ }
+
+ function connectModes() {
+
+ if ( $this->connected ) {
+ return;
+ }
+
+ foreach ( array_keys($this->modes) as $mode ) {
+
+ // Base isn't connected to anything
+ if ( $mode == 'base' ) {
+ continue;
+ }
+
+ $this->modes[$mode]->preConnect();
+
+ foreach ( array_keys($this->modes) as $cm ) {
+
+ if ( $this->modes[$cm]->accepts($mode) ) {
+ $this->modes[$mode]->connectTo($cm);
+ }
+
+ }
+
+ $this->modes[$mode]->postConnect();
+ }
+
+ $this->connected = true;
+ }
+
+ function parse($doc) {
+ if ( $this->Lexer ) {
+ $this->connectModes();
+ // Normalize CRs and pad doc
+ $doc = "\n".str_replace("\r\n","\n",$doc)."\n";
+ $this->Lexer->parse($doc);
+ $this->Handler->_finalize();
+ return $this->Handler->calls;
+ } else {
+ return false;
+ }
+ }
+
+}
+
+//-------------------------------------------------------------------
+/**
+ * This class and all the subclasses below are
+ * used to reduce the effort required to register
+ * modes with the Lexer. For performance these
+ * could all be eliminated later perhaps, or
+ * the Parser could be serialized to a file once
+ * all modes are registered
+ *
+ * @author Harry Fuecks <hfuecks@gmail.com>
+*/
+class Doku_Parser_Mode {
+
+ var $Lexer;
+
+ var $allowedModes = array();
+
+ // returns a number used to determine in which order modes are added
+ function getSort() {
+ trigger_error('getSort() not implemented in '.get_class($this), E_USER_WARNING);
+ }
+
+ // Called before any calls to connectTo
+ function preConnect() {}
+
+ // Connects the mode
+ function connectTo($mode) {}
+
+ // Called after all calls to connectTo
+ function postConnect() {}
+
+ function accepts($mode) {
+ return in_array($mode, (array) $this->allowedModes );
+ }
+
+}
+
+//-------------------------------------------------------------------
+class Doku_Parser_Mode_base extends Doku_Parser_Mode {
+
+ function Doku_Parser_Mode_base() {
+ global $PARSER_MODES;
+
+ $this->allowedModes = array_merge (
+ $PARSER_MODES['container'],
+ $PARSER_MODES['baseonly'],
+ $PARSER_MODES['paragraphs'],
+ $PARSER_MODES['formatting'],
+ $PARSER_MODES['substition'],
+ $PARSER_MODES['protected'],
+ $PARSER_MODES['disabled']
+ );
+ }
+
+ function getSort() {
+ return 0;
+ }
+}
+
+//-------------------------------------------------------------------
+class Doku_Parser_Mode_footnote extends Doku_Parser_Mode {
+
+ function Doku_Parser_Mode_footnote() {
+ global $PARSER_MODES;
+
+ $this->allowedModes = array_merge (
+ $PARSER_MODES['container'],
+ $PARSER_MODES['formatting'],
+ $PARSER_MODES['substition'],
+ $PARSER_MODES['protected'],
+ $PARSER_MODES['disabled']
+ );
+
+ unset($this->allowedModes[array_search('footnote', $this->allowedModes)]);
+ }
+
+ function connectTo($mode) {
+ $this->Lexer->addEntryPattern(
+ '\x28\x28(?=.*\x29\x29)',$mode,'footnote'
+ );
+ }
+
+ function postConnect() {
+ $this->Lexer->addExitPattern(
+ '\x29\x29','footnote'
+ );
+ }
+
+ function getSort() {
+ return 150;
+ }
+}
+
+//-------------------------------------------------------------------
+class Doku_Parser_Mode_header extends Doku_Parser_Mode {
+
+ function preConnect() {
+ //we're not picky about the closing ones, two are enough
+ $this->Lexer->addSpecialPattern(
+ '[ \t]*={2,}[^\n]+={2,}[ \t]*(?=\n)',
+ 'base',
+ 'header'
+ );
+ }
+
+ function getSort() {
+ return 50;
+ }
+}
+
+//-------------------------------------------------------------------
+class Doku_Parser_Mode_notoc extends Doku_Parser_Mode {
+
+ function connectTo($mode) {
+ $this->Lexer->addSpecialPattern('~~NOTOC~~',$mode,'notoc');
+ }
+
+ function getSort() {
+ return 30;
+ }
+}
+
+//-------------------------------------------------------------------
+class Doku_Parser_Mode_nocache extends Doku_Parser_Mode {
+
+ function connectTo($mode) {
+ $this->Lexer->addSpecialPattern('~~NOCACHE~~',$mode,'nocache');
+ }
+
+ function getSort() {
+ return 40;
+ }
+}
+
+//-------------------------------------------------------------------
+class Doku_Parser_Mode_linebreak extends Doku_Parser_Mode {
+
+ function connectTo($mode) {
+ $this->Lexer->addSpecialPattern('\x5C{2}(?:[ \t]|(?=\n))',$mode,'linebreak');
+ }
+
+ function getSort() {
+ return 140;
+ }
+}
+
+//-------------------------------------------------------------------
+class Doku_Parser_Mode_eol extends Doku_Parser_Mode {
+
+ function connectTo($mode) {
+ $badModes = array('listblock','table');
+ if ( in_array($mode, $badModes) ) {
+ return;
+ }
+ // see FS#1652, pattern extended to swallow preceding whitespace to avoid issues with lines that only contain whitespace
+ $this->Lexer->addSpecialPattern('(?:^[ \t]*)?\n',$mode,'eol');
+ }
+
+ function getSort() {
+ return 370;
+ }
+}
+
+//-------------------------------------------------------------------
+class Doku_Parser_Mode_hr extends Doku_Parser_Mode {
+
+ function connectTo($mode) {
+ $this->Lexer->addSpecialPattern('\n[ \t]*-{4,}[ \t]*(?=\n)',$mode,'hr');
+ }
+
+ function getSort() {
+ return 160;
+ }
+}
+
+//-------------------------------------------------------------------
+class Doku_Parser_Mode_formatting extends Doku_Parser_Mode {
+ var $type;
+
+ var $formatting = array (
+ 'strong' => array (
+ 'entry'=>'\*\*(?=.*\*\*)',
+ 'exit'=>'\*\*',
+ 'sort'=>70
+ ),
+
+ 'emphasis'=> array (
+ 'entry'=>'//(?=[^\x00]*[^:])', //hack for bugs #384 #763 #1468
+ 'exit'=>'//',
+ 'sort'=>80
+ ),
+
+ 'underline'=> array (
+ 'entry'=>'__(?=.*__)',
+ 'exit'=>'__',
+ 'sort'=>90
+ ),
+
+ 'monospace'=> array (
+ 'entry'=>'\x27\x27(?=.*\x27\x27)',
+ 'exit'=>'\x27\x27',
+ 'sort'=>100
+ ),
+
+ 'subscript'=> array (
+ 'entry'=>'<sub>(?=.*</sub>)',
+ 'exit'=>'</sub>',
+ 'sort'=>110
+ ),
+
+ 'superscript'=> array (
+ 'entry'=>'<sup>(?=.*</sup>)',
+ 'exit'=>'</sup>',
+ 'sort'=>120
+ ),
+
+ 'deleted'=> array (
+ 'entry'=>'<del>(?=.*</del>)',
+ 'exit'=>'</del>',
+ 'sort'=>130
+ ),
+ );
+
+ function Doku_Parser_Mode_formatting($type) {
+ global $PARSER_MODES;
+
+ if ( !array_key_exists($type, $this->formatting) ) {
+ trigger_error('Invalid formatting type '.$type, E_USER_WARNING);
+ }
+
+ $this->type = $type;
+
+ // formatting may contain other formatting but not it self
+ $modes = $PARSER_MODES['formatting'];
+ $key = array_search($type, $modes);
+ if ( is_int($key) ) {
+ unset($modes[$key]);
+ }
+
+ $this->allowedModes = array_merge (
+ $modes,
+ $PARSER_MODES['substition'],
+ $PARSER_MODES['disabled']
+ );
+ }
+
+ function connectTo($mode) {
+
+ // Can't nest formatting in itself
+ if ( $mode == $this->type ) {
+ return;
+ }
+
+ $this->Lexer->addEntryPattern(
+ $this->formatting[$this->type]['entry'],
+ $mode,
+ $this->type
+ );
+ }
+
+ function postConnect() {
+
+ $this->Lexer->addExitPattern(
+ $this->formatting[$this->type]['exit'],
+ $this->type
+ );
+
+ }
+
+ function getSort() {
+ return $this->formatting[$this->type]['sort'];
+ }
+}
+
+//-------------------------------------------------------------------
+class Doku_Parser_Mode_listblock extends Doku_Parser_Mode {
+
+ function Doku_Parser_Mode_listblock() {
+ global $PARSER_MODES;
+
+ $this->allowedModes = array_merge (
+ $PARSER_MODES['formatting'],
+ $PARSER_MODES['substition'],
+ $PARSER_MODES['disabled'],
+ $PARSER_MODES['protected'] #XXX new
+ );
+
+ // $this->allowedModes[] = 'footnote';
+ }
+
+ function connectTo($mode) {
+ $this->Lexer->addEntryPattern('\n {2,}[\-\*]',$mode,'listblock');
+ $this->Lexer->addEntryPattern('\n\t{1,}[\-\*]',$mode,'listblock');
+
+ $this->Lexer->addPattern('\n {2,}[\-\*]','listblock');
+ $this->Lexer->addPattern('\n\t{1,}[\-\*]','listblock');
+
+ }
+
+ function postConnect() {
+ $this->Lexer->addExitPattern('\n','listblock');
+ }
+
+ function getSort() {
+ return 10;
+ }
+}
+
+//-------------------------------------------------------------------
+class Doku_Parser_Mode_table extends Doku_Parser_Mode {
+
+ function Doku_Parser_Mode_table() {
+ global $PARSER_MODES;
+
+ $this->allowedModes = array_merge (
+ $PARSER_MODES['formatting'],
+ $PARSER_MODES['substition'],
+ $PARSER_MODES['disabled'],
+ $PARSER_MODES['protected']
+ );
+ }
+
+ function connectTo($mode) {
+ $this->Lexer->addEntryPattern('\n\^',$mode,'table');
+ $this->Lexer->addEntryPattern('\n\|',$mode,'table');
+ }
+
+ function postConnect() {
+ $this->Lexer->addPattern('\n\^','table');
+ $this->Lexer->addPattern('\n\|','table');
+ $this->Lexer->addPattern('[\t ]*:::[\t ]*(?=[\|\^])','table');
+ $this->Lexer->addPattern('[\t ]+','table');
+ $this->Lexer->addPattern('\^','table');
+ $this->Lexer->addPattern('\|','table');
+ $this->Lexer->addExitPattern('\n','table');
+ }
+
+ function getSort() {
+ return 60;
+ }
+}
+
+//-------------------------------------------------------------------
+class Doku_Parser_Mode_unformatted extends Doku_Parser_Mode {
+
+ function connectTo($mode) {
+ $this->Lexer->addEntryPattern('<nowiki>(?=.*</nowiki>)',$mode,'unformatted');
+ $this->Lexer->addEntryPattern('%%(?=.*%%)',$mode,'unformattedalt');
+ }
+
+ function postConnect() {
+ $this->Lexer->addExitPattern('</nowiki>','unformatted');
+ $this->Lexer->addExitPattern('%%','unformattedalt');
+ $this->Lexer->mapHandler('unformattedalt','unformatted');
+ }
+
+ function getSort() {
+ return 170;
+ }
+}
+
+//-------------------------------------------------------------------
+class Doku_Parser_Mode_php extends Doku_Parser_Mode {
+
+ function connectTo($mode) {
+ $this->Lexer->addEntryPattern('<php>(?=.*</php>)',$mode,'php');
+ $this->Lexer->addEntryPattern('<PHP>(?=.*</PHP>)',$mode,'phpblock');
+ }
+
+ function postConnect() {
+ $this->Lexer->addExitPattern('</php>','php');
+ $this->Lexer->addExitPattern('</PHP>','phpblock');
+ }
+
+ function getSort() {
+ return 180;
+ }
+}
+
+//-------------------------------------------------------------------
+class Doku_Parser_Mode_html extends Doku_Parser_Mode {
+
+ function connectTo($mode) {
+ $this->Lexer->addEntryPattern('<html>(?=.*</html>)',$mode,'html');
+ $this->Lexer->addEntryPattern('<HTML>(?=.*</HTML>)',$mode,'htmlblock');
+ }
+
+ function postConnect() {
+ $this->Lexer->addExitPattern('</html>','html');
+ $this->Lexer->addExitPattern('</HTML>','htmlblock');
+ }
+
+ function getSort() {
+ return 190;
+ }
+}
+
+//-------------------------------------------------------------------
+class Doku_Parser_Mode_preformatted extends Doku_Parser_Mode {
+
+ function connectTo($mode) {
+ // Has hard coded awareness of lists...
+ $this->Lexer->addEntryPattern('\n (?![\*\-])',$mode,'preformatted');
+ $this->Lexer->addEntryPattern('\n\t(?![\*\-])',$mode,'preformatted');
+
+ // How to effect a sub pattern with the Lexer!
+ $this->Lexer->addPattern('\n ','preformatted');
+ $this->Lexer->addPattern('\n\t','preformatted');
+
+ }
+
+ function postConnect() {
+ $this->Lexer->addExitPattern('\n','preformatted');
+ }
+
+ function getSort() {
+ return 20;
+ }
+}
+
+//-------------------------------------------------------------------
+class Doku_Parser_Mode_code extends Doku_Parser_Mode {
+
+ function connectTo($mode) {
+ $this->Lexer->addEntryPattern('<code(?=.*</code>)',$mode,'code');
+ }
+
+ function postConnect() {
+ $this->Lexer->addExitPattern('</code>','code');
+ }
+
+ function getSort() {
+ return 200;
+ }
+}
+
+//-------------------------------------------------------------------
+class Doku_Parser_Mode_file extends Doku_Parser_Mode {
+
+ function connectTo($mode) {
+ $this->Lexer->addEntryPattern('<file(?=.*</file>)',$mode,'file');
+ }
+
+ function postConnect() {
+ $this->Lexer->addExitPattern('</file>','file');
+ }
+
+ function getSort() {
+ return 210;
+ }
+}
+
+//-------------------------------------------------------------------
+class Doku_Parser_Mode_quote extends Doku_Parser_Mode {
+
+ function Doku_Parser_Mode_quote() {
+ global $PARSER_MODES;
+
+ $this->allowedModes = array_merge (
+ $PARSER_MODES['formatting'],
+ $PARSER_MODES['substition'],
+ $PARSER_MODES['disabled'],
+ $PARSER_MODES['protected'] #XXX new
+ );
+ #$this->allowedModes[] = 'footnote';
+ #$this->allowedModes[] = 'preformatted';
+ #$this->allowedModes[] = 'unformatted';
+ }
+
+ function connectTo($mode) {
+ $this->Lexer->addEntryPattern('\n>{1,}',$mode,'quote');
+ }
+
+ function postConnect() {
+ $this->Lexer->addPattern('\n>{1,}','quote');
+ $this->Lexer->addExitPattern('\n','quote');
+ }
+
+ function getSort() {
+ return 220;
+ }
+}
+
+//-------------------------------------------------------------------
+class Doku_Parser_Mode_acronym extends Doku_Parser_Mode {
+ // A list
+ var $acronyms = array();
+ var $pattern = '';
+
+ function Doku_Parser_Mode_acronym($acronyms) {
+ usort($acronyms,array($this,'_compare'));
+ $this->acronyms = $acronyms;
+ }
+
+ function preConnect() {
+ if(!count($this->acronyms)) return;
+
+ $bound = '[\x00-\x2f\x3a-\x40\x5b-\x60\x7b-\x7f]';
+ $acronyms = array_map('Doku_Lexer_Escape',$this->acronyms);
+ $this->pattern = '(?<=^|'.$bound.')(?:'.join('|',$acronyms).')(?='.$bound.')';
+ }
+
+ function connectTo($mode) {
+ if(!count($this->acronyms)) return;
+
+ if ( strlen($this->pattern) > 0 ) {
+ $this->Lexer->addSpecialPattern($this->pattern,$mode,'acronym');
+ }
+ }
+
+ function getSort() {
+ return 240;
+ }
+
+ /**
+ * sort callback to order by string length descending
+ */
+ function _compare($a,$b) {
+ $a_len = strlen($a);
+ $b_len = strlen($b);
+ if ($a_len > $b_len) {
+ return -1;
+ } else if ($a_len < $b_len) {
+ return 1;
+ }
+
+ return 0;
+ }
+}
+
+//-------------------------------------------------------------------
+class Doku_Parser_Mode_smiley extends Doku_Parser_Mode {
+ // A list
+ var $smileys = array();
+ var $pattern = '';
+
+ function Doku_Parser_Mode_smiley($smileys) {
+ $this->smileys = $smileys;
+ }
+
+ function preConnect() {
+ if(!count($this->smileys) || $this->pattern != '') return;
+
+ $sep = '';
+ foreach ( $this->smileys as $smiley ) {
+ $this->pattern .= $sep.'(?<=\W|^)'.Doku_Lexer_Escape($smiley).'(?=\W|$)';
+ $sep = '|';
+ }
+ }
+
+ function connectTo($mode) {
+ if(!count($this->smileys)) return;
+
+ if ( strlen($this->pattern) > 0 ) {
+ $this->Lexer->addSpecialPattern($this->pattern,$mode,'smiley');
+ }
+ }
+
+ function getSort() {
+ return 230;
+ }
+}
+
+//-------------------------------------------------------------------
+class Doku_Parser_Mode_wordblock extends Doku_Parser_Mode {
+ // A list
+ var $badwords = array();
+ var $pattern = '';
+
+ function Doku_Parser_Mode_wordblock($badwords) {
+ $this->badwords = $badwords;
+ }
+
+ function preConnect() {
+
+ if ( count($this->badwords) == 0 || $this->pattern != '') {
+ return;
+ }
+
+ $sep = '';
+ foreach ( $this->badwords as $badword ) {
+ $this->pattern .= $sep.'(?<=\b)(?i)'.Doku_Lexer_Escape($badword).'(?-i)(?=\b)';
+ $sep = '|';
+ }
+
+ }
+
+ function connectTo($mode) {
+ if ( strlen($this->pattern) > 0 ) {
+ $this->Lexer->addSpecialPattern($this->pattern,$mode,'wordblock');
+ }
+ }
+
+ function getSort() {
+ return 250;
+ }
+}
+
+//-------------------------------------------------------------------
+class Doku_Parser_Mode_entity extends Doku_Parser_Mode {
+ // A list
+ var $entities = array();
+ var $pattern = '';
+
+ function Doku_Parser_Mode_entity($entities) {
+ $this->entities = $entities;
+ }
+
+ function preConnect() {
+ if(!count($this->entities) || $this->pattern != '') return;
+
+ $sep = '';
+ foreach ( $this->entities as $entity ) {
+ $this->pattern .= $sep.Doku_Lexer_Escape($entity);
+ $sep = '|';
+ }
+ }
+
+ function connectTo($mode) {
+ if(!count($this->entities)) return;
+
+ if ( strlen($this->pattern) > 0 ) {
+ $this->Lexer->addSpecialPattern($this->pattern,$mode,'entity');
+ }
+ }
+
+ function getSort() {
+ return 260;
+ }
+}
+
+//-------------------------------------------------------------------
+// Implements the 640x480 replacement
+class Doku_Parser_Mode_multiplyentity extends Doku_Parser_Mode {
+
+ function connectTo($mode) {
+
+ $this->Lexer->addSpecialPattern(
+ '(?<=\b)(?:[1-9]|\d{2,})[xX]\d+(?=\b)',$mode,'multiplyentity'
+ );
+
+ }
+
+ function getSort() {
+ return 270;
+ }
+}
+
+//-------------------------------------------------------------------
+class Doku_Parser_Mode_quotes extends Doku_Parser_Mode {
+
+ function connectTo($mode) {
+ global $conf;
+
+ $ws = '\s/\#~:+=&%@\-\x28\x29\]\[{}><"\''; // whitespace
+ $punc = ';,\.?!';
+
+ if($conf['typography'] == 2){
+ $this->Lexer->addSpecialPattern(
+ "(?<=^|[$ws])'(?=[^$ws$punc])",$mode,'singlequoteopening'
+ );
+ $this->Lexer->addSpecialPattern(
+ "(?<=^|[^$ws]|[$punc])'(?=$|[$ws$punc])",$mode,'singlequoteclosing'
+ );
+ $this->Lexer->addSpecialPattern(
+ "(?<=^|[^$ws$punc])'(?=$|[^$ws$punc])",$mode,'apostrophe'
+ );
+ }
+
+ $this->Lexer->addSpecialPattern(
+ "(?<=^|[$ws])\"(?=[^$ws$punc])",$mode,'doublequoteopening'
+ );
+ $this->Lexer->addSpecialPattern(
+ "\"",$mode,'doublequoteclosing'
+ );
+
+
+ }
+
+ function getSort() {
+ return 280;
+ }
+}
+
+//-------------------------------------------------------------------
+class Doku_Parser_Mode_camelcaselink extends Doku_Parser_Mode {
+
+ function connectTo($mode) {
+ $this->Lexer->addSpecialPattern(
+ '\b[A-Z]+[a-z]+[A-Z][A-Za-z]*\b',$mode,'camelcaselink'
+ );
+ }
+
+ function getSort() {
+ return 290;
+ }
+}
+
+//-------------------------------------------------------------------
+class Doku_Parser_Mode_internallink extends Doku_Parser_Mode {
+
+ function connectTo($mode) {
+ // Word boundaries?
+ $this->Lexer->addSpecialPattern("\[\[.+?\]\]",$mode,'internallink');
+ }
+
+ function getSort() {
+ return 300;
+ }
+}
+
+//-------------------------------------------------------------------
+class Doku_Parser_Mode_media extends Doku_Parser_Mode {
+
+ function connectTo($mode) {
+ // Word boundaries?
+ $this->Lexer->addSpecialPattern("\{\{[^\}]+\}\}",$mode,'media');
+ }
+
+ function getSort() {
+ return 320;
+ }
+}
+
+//-------------------------------------------------------------------
+class Doku_Parser_Mode_rss extends Doku_Parser_Mode {
+
+ function connectTo($mode) {
+ $this->Lexer->addSpecialPattern("\{\{rss>[^\}]+\}\}",$mode,'rss');
+ }
+
+ function getSort() {
+ return 310;
+ }
+}
+
+//-------------------------------------------------------------------
+class Doku_Parser_Mode_externallink extends Doku_Parser_Mode {
+ var $schemes = array();
+ var $patterns = array();
+
+ function preConnect() {
+ if(count($this->patterns)) return;
+
+ $ltrs = '\w';
+ $gunk = '/\#~:.?+=&%@!\-';
+ $punc = '.:?\-;,';
+ $host = $ltrs.$punc;
+ $any = $ltrs.$gunk.$punc;
+
+ $this->schemes = getSchemes();
+ foreach ( $this->schemes as $scheme ) {
+ $this->patterns[] = '\b(?i)'.$scheme.'(?-i)://['.$any.']+?(?=['.$punc.']*[^'.$any.'])';
+ }
+
+ $this->patterns[] = '\b(?i)www?(?-i)\.['.$host.']+?\.['.$host.']+?['.$any.']+?(?=['.$punc.']*[^'.$any.'])';
+ $this->patterns[] = '\b(?i)ftp?(?-i)\.['.$host.']+?\.['.$host.']+?['.$any.']+?(?=['.$punc.']*[^'.$any.'])';
+ }
+
+ function connectTo($mode) {
+
+ foreach ( $this->patterns as $pattern ) {
+ $this->Lexer->addSpecialPattern($pattern,$mode,'externallink');
+ }
+ }
+
+ function getSort() {
+ return 330;
+ }
+}
+
+//-------------------------------------------------------------------
+class Doku_Parser_Mode_filelink extends Doku_Parser_Mode {
+
+ var $pattern;
+
+ function preConnect() {
+
+ $ltrs = '\w';
+ $gunk = '/\#~:.?+=&%@!\-';
+ $punc = '.:?\-;,';
+ $host = $ltrs.$punc;
+ $any = $ltrs.$gunk.$punc;
+
+ $this->pattern = '\b(?i)file(?-i)://['.$any.']+?['.
+ $punc.']*[^'.$any.']';
+ }
+
+ function connectTo($mode) {
+ $this->Lexer->addSpecialPattern(
+ $this->pattern,$mode,'filelink');
+ }
+
+ function getSort() {
+ return 360;
+ }
+}
+
+//-------------------------------------------------------------------
+class Doku_Parser_Mode_windowssharelink extends Doku_Parser_Mode {
+
+ var $pattern;
+
+ function preConnect() {
+ $this->pattern = "\\\\\\\\\w+?(?:\\\\[\w$]+)+";
+ }
+
+ function connectTo($mode) {
+ $this->Lexer->addSpecialPattern(
+ $this->pattern,$mode,'windowssharelink');
+ }
+
+ function getSort() {
+ return 350;
+ }
+}
+
+//-------------------------------------------------------------------
+class Doku_Parser_Mode_emaillink extends Doku_Parser_Mode {
+
+ function connectTo($mode) {
+ // pattern below is defined in inc/mail.php
+ $this->Lexer->addSpecialPattern('<'.PREG_PATTERN_VALID_EMAIL.'>',$mode,'emaillink');
+ }
+
+ function getSort() {
+ return 340;
+ }
+}
+
+
+//Setup VIM: ex: et ts=4 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/parser/renderer.php b/mod/dokuwiki/vendors/dokuwiki/inc/parser/renderer.php
new file mode 100644
index 000000000..6082e935d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/parser/renderer.php
@@ -0,0 +1,322 @@
+<?php
+/**
+ * Renderer output base class
+ *
+ * @author Harry Fuecks <hfuecks@gmail.com>
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+if(!defined('DOKU_INC')) die('meh.');
+require_once DOKU_INC . 'inc/parser/renderer.php';
+require_once DOKU_INC . 'inc/plugin.php';
+require_once DOKU_INC . 'inc/pluginutils.php';
+
+/**
+ * An empty renderer, produces no output
+ *
+ * Inherits from DokuWiki_Plugin for giving additional functions to render plugins
+ */
+class Doku_Renderer extends DokuWiki_Plugin {
+ var $info = array(
+ 'cache' => true, // may the rendered result cached?
+ 'toc' => true, // render the TOC?
+ );
+
+ // keep some config options
+ var $acronyms = array();
+ var $smileys = array();
+ var $badwords = array();
+ var $entities = array();
+ var $interwiki = array();
+
+ // allows renderer to be used again, clean out any per-use values
+ function reset() {
+ }
+
+ function nocache() {
+ $this->info['cache'] = false;
+ }
+
+ function notoc() {
+ $this->info['toc'] = false;
+ }
+
+ /**
+ * Returns the format produced by this renderer.
+ *
+ * Has to be overidden by decendend classes
+ */
+ function getFormat(){
+ trigger_error('getFormat() not implemented in '.get_class($this), E_USER_WARNING);
+ }
+
+
+ //handle plugin rendering
+ function plugin($name,$data){
+ $plugin =& plugin_load('syntax',$name);
+ if($plugin != null){
+ $plugin->render($this->getFormat(),$this,$data);
+ }
+ }
+
+ /**
+ * handle nested render instructions
+ * this method (and nest_close method) should not be overloaded in actual renderer output classes
+ */
+ function nest($instructions) {
+
+ foreach ( $instructions as $instruction ) {
+ // execute the callback against ourself
+ call_user_func_array(array(&$this, $instruction[0]),$instruction[1]);
+ }
+ }
+
+ // dummy closing instruction issued by Doku_Handler_Nest, normally the syntax mode should
+ // override this instruction when instantiating Doku_Handler_Nest - however plugins will not
+ // be able to - as their instructions require data.
+ function nest_close() {}
+
+ function document_start() {}
+
+ function document_end() {}
+
+ function render_TOC() { return ''; }
+
+ function toc_additem($id, $text, $level) {}
+
+ function header($text, $level, $pos) {}
+
+ function section_edit($start, $end, $level, $name) {}
+
+ function section_open($level) {}
+
+ function section_close() {}
+
+ function cdata($text) {}
+
+ function p_open() {}
+
+ function p_close() {}
+
+ function linebreak() {}
+
+ function hr() {}
+
+ function strong_open() {}
+
+ function strong_close() {}
+
+ function emphasis_open() {}
+
+ function emphasis_close() {}
+
+ function underline_open() {}
+
+ function underline_close() {}
+
+ function monospace_open() {}
+
+ function monospace_close() {}
+
+ function subscript_open() {}
+
+ function subscript_close() {}
+
+ function superscript_open() {}
+
+ function superscript_close() {}
+
+ function deleted_open() {}
+
+ function deleted_close() {}
+
+ function footnote_open() {}
+
+ function footnote_close() {}
+
+ function listu_open() {}
+
+ function listu_close() {}
+
+ function listo_open() {}
+
+ function listo_close() {}
+
+ function listitem_open($level) {}
+
+ function listitem_close() {}
+
+ function listcontent_open() {}
+
+ function listcontent_close() {}
+
+ function unformatted($text) {}
+
+ function php($text) {}
+
+ function phpblock($text) {}
+
+ function html($text) {}
+
+ function htmlblock($text) {}
+
+ function preformatted($text) {}
+
+ function quote_open() {}
+
+ function quote_close() {}
+
+ function file($text, $lang = null, $file = null ) {}
+
+ function code($text, $lang = null, $file = null ) {}
+
+ function acronym($acronym) {}
+
+ function smiley($smiley) {}
+
+ function wordblock($word) {}
+
+ function entity($entity) {}
+
+ // 640x480 ($x=640, $y=480)
+ function multiplyentity($x, $y) {}
+
+ function singlequoteopening() {}
+
+ function singlequoteclosing() {}
+
+ function apostrophe() {}
+
+ function doublequoteopening() {}
+
+ function doublequoteclosing() {}
+
+ // $link like 'SomePage'
+ function camelcaselink($link) {}
+
+ function locallink($hash, $name = NULL) {}
+
+ // $link like 'wiki:syntax', $title could be an array (media)
+ function internallink($link, $title = NULL) {}
+
+ // $link is full URL with scheme, $title could be an array (media)
+ function externallink($link, $title = NULL) {}
+
+ function rss ($url,$params) {}
+
+ // $link is the original link - probably not much use
+ // $wikiName is an indentifier for the wiki
+ // $wikiUri is the URL fragment to append to some known URL
+ function interwikilink($link, $title = NULL, $wikiName, $wikiUri) {}
+
+ // Link to file on users OS, $title could be an array (media)
+ function filelink($link, $title = NULL) {}
+
+ // Link to a Windows share, , $title could be an array (media)
+ function windowssharelink($link, $title = NULL) {}
+
+// function email($address, $title = NULL) {}
+ function emaillink($address, $name = NULL) {}
+
+ function internalmedia ($src, $title=NULL, $align=NULL, $width=NULL,
+ $height=NULL, $cache=NULL, $linking=NULL) {}
+
+ function externalmedia ($src, $title=NULL, $align=NULL, $width=NULL,
+ $height=NULL, $cache=NULL, $linking=NULL) {}
+
+ function internalmedialink (
+ $src,$title=NULL,$align=NULL,$width=NULL,$height=NULL,$cache=NULL
+ ) {}
+
+ function externalmedialink(
+ $src,$title=NULL,$align=NULL,$width=NULL,$height=NULL,$cache=NULL
+ ) {}
+
+ function table_open($maxcols = NULL, $numrows = NULL){}
+
+ function table_close(){}
+
+ function tablerow_open(){}
+
+ function tablerow_close(){}
+
+ function tableheader_open($colspan = 1, $align = NULL, $rowspan = 1){}
+
+ function tableheader_close(){}
+
+ function tablecell_open($colspan = 1, $align = NULL, $rowspan = 1){}
+
+ function tablecell_close(){}
+
+
+ // util functions follow, you probably won't need to reimplement them
+
+
+ /**
+ * Removes any Namespace from the given name but keeps
+ * casing and special chars
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function _simpleTitle($name){
+ global $conf;
+
+ //if there is a hash we use the ancor name only
+ list($name,$hash) = explode('#',$name,2);
+ if($hash) return $hash;
+
+ //trim colons or slash of a namespace link
+ $name = rtrim($name,':');
+ if($conf['useslash'])
+ $name = rtrim($name,'/');
+
+ if($conf['useslash']){
+ $nssep = '[:;/]';
+ }else{
+ $nssep = '[:;]';
+ }
+ $name = preg_replace('!.*'.$nssep.'!','',$name);
+
+ if(!$name) return $this->_simpleTitle($conf['start']);
+ return $name;
+ }
+
+ /**
+ * Resolve an interwikilink
+ */
+ function _resolveInterWiki(&$shortcut,$reference){
+ //get interwiki URL
+ if ( isset($this->interwiki[$shortcut]) ) {
+ $url = $this->interwiki[$shortcut];
+ } else {
+ // Default to Google I'm feeling lucky
+ $url = 'http://www.google.com/search?q={URL}&amp;btnI=lucky';
+ $shortcut = 'go';
+ }
+
+ //split into hash and url part
+ list($reference,$hash) = explode('#',$reference,2);
+
+ //replace placeholder
+ if(preg_match('#\{(URL|NAME|SCHEME|HOST|PORT|PATH|QUERY)\}#',$url)){
+ //use placeholders
+ $url = str_replace('{URL}',rawurlencode($reference),$url);
+ $url = str_replace('{NAME}',$reference,$url);
+ $parsed = parse_url($reference);
+ if(!$parsed['port']) $parsed['port'] = 80;
+ $url = str_replace('{SCHEME}',$parsed['scheme'],$url);
+ $url = str_replace('{HOST}',$parsed['host'],$url);
+ $url = str_replace('{PORT}',$parsed['port'],$url);
+ $url = str_replace('{PATH}',$parsed['path'],$url);
+ $url = str_replace('{QUERY}',$parsed['query'],$url);
+ }else{
+ //default
+ $url = $url.rawurlencode($reference);
+ }
+ if($hash) $url .= '#'.rawurlencode($hash);
+
+ return $url;
+ }
+}
+
+
+//Setup VIM: ex: et ts=4 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/parser/xhtml.php b/mod/dokuwiki/vendors/dokuwiki/inc/parser/xhtml.php
new file mode 100644
index 000000000..4d5333f7a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/parser/xhtml.php
@@ -0,0 +1,1142 @@
+<?php
+/**
+ * Renderer for XHTML output
+ *
+ * @author Harry Fuecks <hfuecks@gmail.com>
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+if(!defined('DOKU_INC')) die('meh.');
+
+if ( !defined('DOKU_LF') ) {
+ // Some whitespace to help View > Source
+ define ('DOKU_LF',"\n");
+}
+
+if ( !defined('DOKU_TAB') ) {
+ // Some whitespace to help View > Source
+ define ('DOKU_TAB',"\t");
+}
+
+require_once DOKU_INC . 'inc/parser/renderer.php';
+require_once DOKU_INC . 'inc/html.php';
+
+/**
+ * The Renderer
+ */
+class Doku_Renderer_xhtml extends Doku_Renderer {
+
+ // @access public
+ var $doc = ''; // will contain the whole document
+ var $toc = array(); // will contain the Table of Contents
+
+
+ var $headers = array();
+ var $footnotes = array();
+ var $lastlevel = 0;
+ var $node = array(0,0,0,0,0);
+ var $store = '';
+
+ var $_counter = array(); // used as global counter, introduced for table classes
+ var $_codeblock = 0; // counts the code and file blocks, used to provide download links
+
+ function getFormat(){
+ return 'xhtml';
+ }
+
+
+ function document_start() {
+ //reset some internals
+ $this->toc = array();
+ $this->headers = array();
+ }
+
+ function document_end() {
+ if ( count ($this->footnotes) > 0 ) {
+ $this->doc .= '<div class="footnotes">'.DOKU_LF;
+
+ $id = 0;
+ foreach ( $this->footnotes as $footnote ) {
+ $id++; // the number of the current footnote
+
+ // check its not a placeholder that indicates actual footnote text is elsewhere
+ if (substr($footnote, 0, 5) != "@@FNT") {
+
+ // open the footnote and set the anchor and backlink
+ $this->doc .= '<div class="fn">';
+ $this->doc .= '<sup><a href="#fnt__'.$id.'" id="fn__'.$id.'" name="fn__'.$id.'" class="fn_bot">';
+ $this->doc .= $id.')</a></sup> '.DOKU_LF;
+
+ // get any other footnotes that use the same markup
+ $alt = array_keys($this->footnotes, "@@FNT$id");
+
+ if (count($alt)) {
+ foreach ($alt as $ref) {
+ // set anchor and backlink for the other footnotes
+ $this->doc .= ', <sup><a href="#fnt__'.($ref+1).'" id="fn__'.($ref+1).'" name="fn__'.($ref+1).'" class="fn_bot">';
+ $this->doc .= ($ref+1).')</a></sup> '.DOKU_LF;
+ }
+ }
+
+ // add footnote markup and close this footnote
+ $this->doc .= $footnote;
+ $this->doc .= '</div>' . DOKU_LF;
+ }
+ }
+ $this->doc .= '</div>'.DOKU_LF;
+ }
+
+ // Prepare the TOC
+ global $conf;
+ if($this->info['toc'] && is_array($this->toc) && $conf['tocminheads'] && count($this->toc) >= $conf['tocminheads']){
+ global $TOC;
+ $TOC = $this->toc;
+ }
+
+ // make sure there are no empty paragraphs
+ $this->doc = preg_replace('#<p>\s*</p>#','',$this->doc);
+ }
+
+ function toc_additem($id, $text, $level) {
+ global $conf;
+
+ //handle TOC
+ if($level >= $conf['toptoclevel'] && $level <= $conf['maxtoclevel']){
+ $this->toc[] = html_mktocitem($id, $text, $level-$conf['toptoclevel']+1);
+ }
+ }
+
+ function header($text, $level, $pos) {
+ if(!$text) return; //skip empty headlines
+
+ $hid = $this->_headerToLink($text,true);
+
+ //only add items within configured levels
+ $this->toc_additem($hid, $text, $level);
+
+ // adjust $node to reflect hierarchy of levels
+ $this->node[$level-1]++;
+ if ($level < $this->lastlevel) {
+ for ($i = 0; $i < $this->lastlevel-$level; $i++) {
+ $this->node[$this->lastlevel-$i-1] = 0;
+ }
+ }
+ $this->lastlevel = $level;
+
+ // write the header
+ $this->doc .= DOKU_LF.'<h'.$level.'><a name="'.$hid.'" id="'.$hid.'">';
+ $this->doc .= $this->_xmlEntities($text);
+ $this->doc .= "</a></h$level>".DOKU_LF;
+ }
+
+ /**
+ * Section edit marker is replaced by an edit button when
+ * the page is editable. Replacement done in 'inc/html.php#html_secedit'
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Ben Coburn <btcoburn@silicodon.net>
+ */
+ function section_edit($start, $end, $level, $name) {
+ global $conf;
+
+ if ($start!=-1 && $level<=$conf['maxseclevel']) {
+ $name = str_replace('"', '', $name);
+ $this->doc .= '<!-- SECTION "'.$name.'" ['.$start.'-'.(($end===0)?'':$end).'] -->';
+ }
+ }
+
+ function section_open($level) {
+ $this->doc .= "<div class=\"level$level\">".DOKU_LF;
+ }
+
+ function section_close() {
+ $this->doc .= DOKU_LF.'</div>'.DOKU_LF;
+ }
+
+ function cdata($text) {
+ $this->doc .= $this->_xmlEntities($text);
+ }
+
+ function p_open() {
+ $this->doc .= DOKU_LF.'<p>'.DOKU_LF;
+ }
+
+ function p_close() {
+ $this->doc .= DOKU_LF.'</p>'.DOKU_LF;
+ }
+
+ function linebreak() {
+ $this->doc .= '<br/>'.DOKU_LF;
+ }
+
+ function hr() {
+ $this->doc .= '<hr />'.DOKU_LF;
+ }
+
+ function strong_open() {
+ $this->doc .= '<strong>';
+ }
+
+ function strong_close() {
+ $this->doc .= '</strong>';
+ }
+
+ function emphasis_open() {
+ $this->doc .= '<em>';
+ }
+
+ function emphasis_close() {
+ $this->doc .= '</em>';
+ }
+
+ function underline_open() {
+ $this->doc .= '<em class="u">';
+ }
+
+ function underline_close() {
+ $this->doc .= '</em>';
+ }
+
+ function monospace_open() {
+ $this->doc .= '<code>';
+ }
+
+ function monospace_close() {
+ $this->doc .= '</code>';
+ }
+
+ function subscript_open() {
+ $this->doc .= '<sub>';
+ }
+
+ function subscript_close() {
+ $this->doc .= '</sub>';
+ }
+
+ function superscript_open() {
+ $this->doc .= '<sup>';
+ }
+
+ function superscript_close() {
+ $this->doc .= '</sup>';
+ }
+
+ function deleted_open() {
+ $this->doc .= '<del>';
+ }
+
+ function deleted_close() {
+ $this->doc .= '</del>';
+ }
+
+ /**
+ * Callback for footnote start syntax
+ *
+ * All following content will go to the footnote instead of
+ * the document. To achieve this the previous rendered content
+ * is moved to $store and $doc is cleared
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function footnote_open() {
+
+ // move current content to store and record footnote
+ $this->store = $this->doc;
+ $this->doc = '';
+ }
+
+ /**
+ * Callback for footnote end syntax
+ *
+ * All rendered content is moved to the $footnotes array and the old
+ * content is restored from $store again
+ *
+ * @author Andreas Gohr
+ */
+ function footnote_close() {
+
+ // recover footnote into the stack and restore old content
+ $footnote = $this->doc;
+ $this->doc = $this->store;
+ $this->store = '';
+
+ // check to see if this footnote has been seen before
+ $i = array_search($footnote, $this->footnotes);
+
+ if ($i === false) {
+ // its a new footnote, add it to the $footnotes array
+ $id = count($this->footnotes)+1;
+ $this->footnotes[count($this->footnotes)] = $footnote;
+ } else {
+ // seen this one before, translate the index to an id and save a placeholder
+ $i++;
+ $id = count($this->footnotes)+1;
+ $this->footnotes[count($this->footnotes)] = "@@FNT".($i);
+ }
+
+ // output the footnote reference and link
+ $this->doc .= '<sup><a href="#fn__'.$id.'" name="fnt__'.$id.'" id="fnt__'.$id.'" class="fn_top">'.$id.')</a></sup>';
+ }
+
+ function listu_open() {
+ $this->doc .= '<ul>'.DOKU_LF;
+ }
+
+ function listu_close() {
+ $this->doc .= '</ul>'.DOKU_LF;
+ }
+
+ function listo_open() {
+ $this->doc .= '<ol>'.DOKU_LF;
+ }
+
+ function listo_close() {
+ $this->doc .= '</ol>'.DOKU_LF;
+ }
+
+ function listitem_open($level) {
+ $this->doc .= '<li class="level'.$level.'">';
+ }
+
+ function listitem_close() {
+ $this->doc .= '</li>'.DOKU_LF;
+ }
+
+ function listcontent_open() {
+ $this->doc .= '<div class="li">';
+ }
+
+ function listcontent_close() {
+ $this->doc .= '</div>'.DOKU_LF;
+ }
+
+ function unformatted($text) {
+ $this->doc .= $this->_xmlEntities($text);
+ }
+
+ /**
+ * Execute PHP code if allowed
+ *
+ * @param string $wrapper html element to wrap result if $conf['phpok'] is okff
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function php($text, $wrapper='code') {
+ global $conf;
+
+ if($conf['phpok']){
+ ob_start();
+ eval($text);
+ $this->doc .= ob_get_contents();
+ ob_end_clean();
+ } else {
+ $this->doc .= p_xhtml_cached_geshi($text, 'php', $wrapper);
+ }
+ }
+
+ function phpblock($text) {
+ $this->php($text, 'pre');
+ }
+
+ /**
+ * Insert HTML if allowed
+ *
+ * @param string $wrapper html element to wrap result if $conf['htmlok'] is okff
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function html($text, $wrapper='code') {
+ global $conf;
+
+ if($conf['htmlok']){
+ $this->doc .= $text;
+ } else {
+ $this->doc .= p_xhtml_cached_geshi($text, 'html4strict', $wrapper);
+ }
+ }
+
+ function htmlblock($text) {
+ $this->html($text, 'pre');
+ }
+
+ function quote_open() {
+ $this->doc .= '<blockquote><div class="no">'.DOKU_LF;
+ }
+
+ function quote_close() {
+ $this->doc .= '</div></blockquote>'.DOKU_LF;
+ }
+
+ function preformatted($text) {
+ $this->doc .= '<pre class="code">' . trim($this->_xmlEntities($text),"\n\r") . '</pre>'. DOKU_LF;
+ }
+
+ function file($text, $language=null, $filename=null) {
+ $this->_highlight('file',$text,$language,$filename);
+ }
+
+ function code($text, $language=null, $filename=null) {
+ $this->_highlight('code',$text,$language,$filename);
+ }
+
+ /**
+ * Use GeSHi to highlight language syntax in code and file blocks
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function _highlight($type, $text, $language=null, $filename=null) {
+ global $conf;
+ global $ID;
+ global $lang;
+
+ if($filename){
+ // add icon
+ list($ext) = mimetype($filename,false);
+ $class = preg_replace('/[^_\-a-z0-9]+/i','_',$ext);
+ $class = 'mediafile mf_'.$class;
+
+ $this->doc .= '<dl class="'.$type.'">'.DOKU_LF;
+ $this->doc .= '<dt><a href="'.exportlink($ID,'code',array('codeblock'=>$this->_codeblock)).'" title="'.$lang['download'].'" class="'.$class.'">';
+ $this->doc .= hsc($filename);
+ $this->doc .= '</a></dt>'.DOKU_LF.'<dd>';
+ }
+
+ if ( is_null($language) ) {
+ $this->doc .= '<pre class="'.$type.'">'.$this->_xmlEntities($text).'</pre>'.DOKU_LF;
+ } else {
+ $class = 'code'; //we always need the code class to make the syntax highlighting apply
+ if($type != 'code') $class .= ' '.$type;
+
+ $this->doc .= "<pre class=\"$class $language\">".p_xhtml_cached_geshi($text, $language, '').'</pre>'.DOKU_LF;
+ }
+
+ if($filename){
+ $this->doc .= '</dd></dl>'.DOKU_LF;
+ }
+
+ $this->_codeblock++;
+ }
+
+ function acronym($acronym) {
+
+ if ( array_key_exists($acronym, $this->acronyms) ) {
+
+ $title = $this->_xmlEntities($this->acronyms[$acronym]);
+
+ $this->doc .= '<acronym title="'.$title
+ .'">'.$this->_xmlEntities($acronym).'</acronym>';
+
+ } else {
+ $this->doc .= $this->_xmlEntities($acronym);
+ }
+ }
+
+ function smiley($smiley) {
+ if ( array_key_exists($smiley, $this->smileys) ) {
+ $title = $this->_xmlEntities($this->smileys[$smiley]);
+ $this->doc .= '<img src="'.DOKU_BASE.'lib/images/smileys/'.$this->smileys[$smiley].
+ '" class="middle" alt="'.
+ $this->_xmlEntities($smiley).'" />';
+ } else {
+ $this->doc .= $this->_xmlEntities($smiley);
+ }
+ }
+
+ /*
+ * not used
+ function wordblock($word) {
+ if ( array_key_exists($word, $this->badwords) ) {
+ $this->doc .= '** BLEEP **';
+ } else {
+ $this->doc .= $this->_xmlEntities($word);
+ }
+ }
+ */
+
+ function entity($entity) {
+ if ( array_key_exists($entity, $this->entities) ) {
+ $this->doc .= $this->entities[$entity];
+ } else {
+ $this->doc .= $this->_xmlEntities($entity);
+ }
+ }
+
+ function multiplyentity($x, $y) {
+ $this->doc .= "$x&times;$y";
+ }
+
+ function singlequoteopening() {
+ global $lang;
+ $this->doc .= $lang['singlequoteopening'];
+ }
+
+ function singlequoteclosing() {
+ global $lang;
+ $this->doc .= $lang['singlequoteclosing'];
+ }
+
+ function apostrophe() {
+ global $lang;
+ $this->doc .= $lang['apostrophe'];
+ }
+
+ function doublequoteopening() {
+ global $lang;
+ $this->doc .= $lang['doublequoteopening'];
+ }
+
+ function doublequoteclosing() {
+ global $lang;
+ $this->doc .= $lang['doublequoteclosing'];
+ }
+
+ /**
+ */
+ function camelcaselink($link) {
+ $this->internallink($link,$link);
+ }
+
+
+ function locallink($hash, $name = NULL){
+ global $ID;
+ $name = $this->_getLinkTitle($name, $hash, $isImage);
+ $hash = $this->_headerToLink($hash);
+ $title = $ID.' &crarr;';
+ $this->doc .= '<a href="#'.$hash.'" title="'.$title.'" class="wikilink1">';
+ $this->doc .= $name;
+ $this->doc .= '</a>';
+ }
+
+ /**
+ * Render an internal Wiki Link
+ *
+ * $search,$returnonly & $linktype are not for the renderer but are used
+ * elsewhere - no need to implement them in other renderers
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function internallink($id, $name = NULL, $search=NULL,$returnonly=false,$linktype='content') {
+ global $conf;
+ global $ID;
+ // default name is based on $id as given
+ $default = $this->_simpleTitle($id);
+
+ // now first resolve and clean up the $id
+ resolve_pageid(getNS($ID),$id,$exists);
+ $name = $this->_getLinkTitle($name, $default, $isImage, $id, $linktype);
+ if ( !$isImage ) {
+ if ( $exists ) {
+ $class='wikilink1';
+ } else {
+ $class='wikilink2';
+ $link['rel']='nofollow';
+ }
+ } else {
+ $class='media';
+ }
+
+ //keep hash anchor
+ list($id,$hash) = explode('#',$id,2);
+ if(!empty($hash)) $hash = $this->_headerToLink($hash);
+
+ //prepare for formating
+ $link['target'] = $conf['target']['wiki'];
+ $link['style'] = '';
+ $link['pre'] = '';
+ $link['suf'] = '';
+ // highlight link to current page
+ if ($id == $ID) {
+ $link['pre'] = '<span class="curid">';
+ $link['suf'] = '</span>';
+ }
+ $link['more'] = '';
+ $link['class'] = $class;
+ $link['url'] = wl($id);
+ $link['name'] = $name;
+ $link['title'] = $id;
+ //add search string
+ if($search){
+ ($conf['userewrite']) ? $link['url'].='?' : $link['url'].='&amp;';
+ if(is_array($search)){
+ $search = array_map('rawurlencode',$search);
+ $link['url'] .= 's[]='.join('&amp;s[]=',$search);
+ }else{
+ $link['url'] .= 's='.rawurlencode($search);
+ }
+ }
+
+ //keep hash
+ if($hash) $link['url'].='#'.$hash;
+
+ //output formatted
+ if($returnonly){
+ return $this->_formatLink($link);
+ }else{
+ $this->doc .= $this->_formatLink($link);
+ }
+ }
+
+ function externallink($url, $name = NULL) {
+ global $conf;
+
+ $name = $this->_getLinkTitle($name, $url, $isImage);
+
+ if ( !$isImage ) {
+ $class='urlextern';
+ } else {
+ $class='media';
+ }
+
+ //prepare for formating
+ $link['target'] = $conf['target']['extern'];
+ $link['style'] = '';
+ $link['pre'] = '';
+ $link['suf'] = '';
+ $link['more'] = '';
+ $link['class'] = $class;
+ $link['url'] = $url;
+
+ $link['name'] = $name;
+ $link['title'] = $this->_xmlEntities($url);
+ if($conf['relnofollow']) $link['more'] .= ' rel="nofollow"';
+
+ //output formatted
+ $this->doc .= $this->_formatLink($link);
+ }
+
+ /**
+ */
+ function interwikilink($match, $name = NULL, $wikiName, $wikiUri) {
+ global $conf;
+
+ $link = array();
+ $link['target'] = $conf['target']['interwiki'];
+ $link['pre'] = '';
+ $link['suf'] = '';
+ $link['more'] = '';
+ $link['name'] = $this->_getLinkTitle($name, $wikiUri, $isImage);
+
+ //get interwiki URL
+ $url = $this->_resolveInterWiki($wikiName,$wikiUri);
+
+ if ( !$isImage ) {
+ $class = preg_replace('/[^_\-a-z0-9]+/i','_',$wikiName);
+ $link['class'] = "interwiki iw_$class";
+ } else {
+ $link['class'] = 'media';
+ }
+
+ //do we stay at the same server? Use local target
+ if( strpos($url,DOKU_URL) === 0 ){
+ $link['target'] = $conf['target']['wiki'];
+ }
+
+ $link['url'] = $url;
+ $link['title'] = htmlspecialchars($link['url']);
+
+ //output formatted
+ $this->doc .= $this->_formatLink($link);
+ }
+
+ /**
+ */
+ function windowssharelink($url, $name = NULL) {
+ global $conf;
+ global $lang;
+ //simple setup
+ $link['target'] = $conf['target']['windows'];
+ $link['pre'] = '';
+ $link['suf'] = '';
+ $link['style'] = '';
+
+ $link['name'] = $this->_getLinkTitle($name, $url, $isImage);
+ if ( !$isImage ) {
+ $link['class'] = 'windows';
+ } else {
+ $link['class'] = 'media';
+ }
+
+
+ $link['title'] = $this->_xmlEntities($url);
+ $url = str_replace('\\','/',$url);
+ $url = 'file:///'.$url;
+ $link['url'] = $url;
+
+ //output formatted
+ $this->doc .= $this->_formatLink($link);
+ }
+
+ function emaillink($address, $name = NULL) {
+ global $conf;
+ //simple setup
+ $link = array();
+ $link['target'] = '';
+ $link['pre'] = '';
+ $link['suf'] = '';
+ $link['style'] = '';
+ $link['more'] = '';
+
+ $name = $this->_getLinkTitle($name, '', $isImage);
+ if ( !$isImage ) {
+ $link['class']='mail JSnocheck';
+ } else {
+ $link['class']='media JSnocheck';
+ }
+
+ $address = $this->_xmlEntities($address);
+ $address = obfuscate($address);
+ $title = $address;
+
+ if(empty($name)){
+ $name = $address;
+ }
+
+ if($conf['mailguard'] == 'visible') $address = rawurlencode($address);
+
+ $link['url'] = 'mailto:'.$address;
+ $link['name'] = $name;
+ $link['title'] = $title;
+
+ //output formatted
+ $this->doc .= $this->_formatLink($link);
+ }
+
+ function internalmedia ($src, $title=NULL, $align=NULL, $width=NULL,
+ $height=NULL, $cache=NULL, $linking=NULL) {
+ global $ID;
+ list($src,$hash) = explode('#',$src,2);
+ resolve_mediaid(getNS($ID),$src, $exists);
+
+ $noLink = false;
+ $render = ($linking == 'linkonly') ? false : true;
+ $link = $this->_getMediaLinkConf($src, $title, $align, $width, $height, $cache, $render);
+
+ list($ext,$mime,$dl) = mimetype($src,false);
+ if(substr($mime,0,5) == 'image' && $render){
+ $link['url'] = ml($src,array('id'=>$ID,'cache'=>$cache),($linking=='direct'));
+ }elseif($mime == 'application/x-shockwave-flash' && $render){
+ // don't link flash movies
+ $noLink = true;
+ }else{
+ // add file icons
+ $class = preg_replace('/[^_\-a-z0-9]+/i','_',$ext);
+ $link['class'] .= ' mediafile mf_'.$class;
+ $link['url'] = ml($src,array('id'=>$ID,'cache'=>$cache),true);
+ }
+
+ if($hash) $link['url'] .= '#'.$hash;
+
+ //markup non existing files
+ if (!$exists)
+ $link['class'] .= ' wikilink2';
+
+ //output formatted
+ if ($linking == 'nolink' || $noLink) $this->doc .= $link['name'];
+ else $this->doc .= $this->_formatLink($link);
+ }
+
+ function externalmedia ($src, $title=NULL, $align=NULL, $width=NULL,
+ $height=NULL, $cache=NULL, $linking=NULL) {
+ list($src,$hash) = explode('#',$src,2);
+ $noLink = false;
+ $render = ($linking == 'linkonly') ? false : true;
+ $link = $this->_getMediaLinkConf($src, $title, $align, $width, $height, $cache, $render);
+
+ $link['url'] = ml($src,array('cache'=>$cache));
+
+ list($ext,$mime,$dl) = mimetype($src,false);
+ if(substr($mime,0,5) == 'image' && $render){
+ // link only jpeg images
+ // if ($ext != 'jpg' && $ext != 'jpeg') $noLink = true;
+ }elseif($mime == 'application/x-shockwave-flash' && $render){
+ // don't link flash movies
+ $noLink = true;
+ }else{
+ // add file icons
+ $class = preg_replace('/[^_\-a-z0-9]+/i','_',$ext);
+ $link['class'] .= ' mediafile mf_'.$class;
+ }
+
+ if($hash) $link['url'] .= '#'.$hash;
+
+ //output formatted
+ if ($linking == 'nolink' || $noLink) $this->doc .= $link['name'];
+ else $this->doc .= $this->_formatLink($link);
+ }
+
+ /**
+ * Renders an RSS feed
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function rss ($url,$params){
+ global $lang;
+ global $conf;
+
+ require_once(DOKU_INC.'inc/FeedParser.php');
+ $feed = new FeedParser();
+ $feed->set_feed_url($url);
+
+ //disable warning while fetching
+ if (!defined('DOKU_E_LEVEL')) { $elvl = error_reporting(E_ERROR); }
+ $rc = $feed->init();
+ if (!defined('DOKU_E_LEVEL')) { error_reporting($elvl); }
+
+ //decide on start and end
+ if($params['reverse']){
+ $mod = -1;
+ $start = $feed->get_item_quantity()-1;
+ $end = $start - ($params['max']);
+ $end = ($end < -1) ? -1 : $end;
+ }else{
+ $mod = 1;
+ $start = 0;
+ $end = $feed->get_item_quantity();
+ $end = ($end > $params['max']) ? $params['max'] : $end;;
+ }
+
+ $this->doc .= '<ul class="rss">';
+ if($rc){
+ for ($x = $start; $x != $end; $x += $mod) {
+ $item = $feed->get_item($x);
+ $this->doc .= '<li><div class="li">';
+ // support feeds without links
+ $lnkurl = $item->get_permalink();
+ if($lnkurl){
+ // title is escaped by SimplePie, we unescape here because it
+ // is escaped again in externallink() FS#1705
+ $this->externallink($item->get_permalink(),
+ htmlspecialchars_decode($item->get_title()));
+ }else{
+ $this->doc .= ' '.$item->get_title();
+ }
+ if($params['author']){
+ $author = $item->get_author(0);
+ if($author){
+ $name = $author->get_name();
+ if(!$name) $name = $author->get_email();
+ if($name) $this->doc .= ' '.$lang['by'].' '.$name;
+ }
+ }
+ if($params['date']){
+ $this->doc .= ' ('.$item->get_local_date($conf['dformat']).')';
+ }
+ if($params['details']){
+ $this->doc .= '<div class="detail">';
+ if($conf['htmlok']){
+ $this->doc .= $item->get_description();
+ }else{
+ $this->doc .= strip_tags($item->get_description());
+ }
+ $this->doc .= '</div>';
+ }
+
+ $this->doc .= '</div></li>';
+ }
+ }else{
+ $this->doc .= '<li><div class="li">';
+ $this->doc .= '<em>'.$lang['rssfailed'].'</em>';
+ $this->externallink($url);
+ if($conf['allowdebug']){
+ $this->doc .= '<!--'.hsc($feed->error).'-->';
+ }
+ $this->doc .= '</div></li>';
+ }
+ $this->doc .= '</ul>';
+ }
+
+ // $numrows not yet implemented
+ function table_open($maxcols = NULL, $numrows = NULL){
+ // initialize the row counter used for classes
+ $this->_counter['row_counter'] = 0;
+ $this->doc .= '<table class="inline">'.DOKU_LF;
+ }
+
+ function table_close(){
+ $this->doc .= '</table>'.DOKU_LF;
+ }
+
+ function tablerow_open(){
+ // initialize the cell counter used for classes
+ $this->_counter['cell_counter'] = 0;
+ $class = 'row' . $this->_counter['row_counter']++;
+ $this->doc .= DOKU_TAB . '<tr class="'.$class.'">' . DOKU_LF . DOKU_TAB . DOKU_TAB;
+ }
+
+ function tablerow_close(){
+ $this->doc .= DOKU_LF . DOKU_TAB . '</tr>' . DOKU_LF;
+ }
+
+ function tableheader_open($colspan = 1, $align = NULL, $rowspan = 1){
+ $class = 'class="col' . $this->_counter['cell_counter']++;
+ if ( !is_null($align) ) {
+ $class .= ' '.$align.'align';
+ }
+ $class .= '"';
+ $this->doc .= '<th ' . $class;
+ if ( $colspan > 1 ) {
+ $this->_counter['cell_counter'] += $colspan-1;
+ $this->doc .= ' colspan="'.$colspan.'"';
+ }
+ if ( $rowspan > 1 ) {
+ $this->doc .= ' rowspan="'.$rowspan.'"';
+ }
+ $this->doc .= '>';
+ }
+
+ function tableheader_close(){
+ $this->doc .= '</th>';
+ }
+
+ function tablecell_open($colspan = 1, $align = NULL, $rowspan = 1){
+ $class = 'class="col' . $this->_counter['cell_counter']++;
+ if ( !is_null($align) ) {
+ $class .= ' '.$align.'align';
+ }
+ $class .= '"';
+ $this->doc .= '<td '.$class;
+ if ( $colspan > 1 ) {
+ $this->_counter['cell_counter'] += $colspan-1;
+ $this->doc .= ' colspan="'.$colspan.'"';
+ }
+ if ( $rowspan > 1 ) {
+ $this->doc .= ' rowspan="'.$rowspan.'"';
+ }
+ $this->doc .= '>';
+ }
+
+ function tablecell_close(){
+ $this->doc .= '</td>';
+ }
+
+ //----------------------------------------------------------
+ // Utils
+
+ /**
+ * Build a link
+ *
+ * Assembles all parts defined in $link returns HTML for the link
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function _formatLink($link){
+ //make sure the url is XHTML compliant (skip mailto)
+ if(substr($link['url'],0,7) != 'mailto:'){
+ $link['url'] = str_replace('&','&amp;',$link['url']);
+ $link['url'] = str_replace('&amp;amp;','&amp;',$link['url']);
+ }
+ //remove double encodings in titles
+ $link['title'] = str_replace('&amp;amp;','&amp;',$link['title']);
+
+ // be sure there are no bad chars in url or title
+ // (we can't do this for name because it can contain an img tag)
+ $link['url'] = strtr($link['url'],array('>'=>'%3E','<'=>'%3C','"'=>'%22'));
+ $link['title'] = strtr($link['title'],array('>'=>'&gt;','<'=>'&lt;','"'=>'&quot;'));
+
+ $ret = '';
+ $ret .= $link['pre'];
+ $ret .= '<a href="'.$link['url'].'"';
+ if(!empty($link['class'])) $ret .= ' class="'.$link['class'].'"';
+ if(!empty($link['target'])) $ret .= ' target="'.$link['target'].'"';
+ if(!empty($link['title'])) $ret .= ' title="'.$link['title'].'"';
+ if(!empty($link['style'])) $ret .= ' style="'.$link['style'].'"';
+ if(!empty($link['rel'])) $ret .= ' rel="'.$link['rel'].'"';
+ if(!empty($link['more'])) $ret .= ' '.$link['more'];
+ $ret .= '>';
+ $ret .= $link['name'];
+ $ret .= '</a>';
+ $ret .= $link['suf'];
+ return $ret;
+ }
+
+ /**
+ * Renders internal and external media
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function _media ($src, $title=NULL, $align=NULL, $width=NULL,
+ $height=NULL, $cache=NULL, $render = true) {
+
+ $ret = '';
+
+ list($ext,$mime,$dl) = mimetype($src);
+ if(substr($mime,0,5) == 'image'){
+ // first get the $title
+ if (!is_null($title)) {
+ $title = $this->_xmlEntities($title);
+ }elseif($ext == 'jpg' || $ext == 'jpeg'){
+ //try to use the caption from IPTC/EXIF
+ require_once(DOKU_INC.'inc/JpegMeta.php');
+ $jpeg =& new JpegMeta(mediaFN($src));
+ if($jpeg !== false) $cap = $jpeg->getTitle();
+ if($cap){
+ $title = $this->_xmlEntities($cap);
+ }
+ }
+ if (!$render) {
+ // if the picture is not supposed to be rendered
+ // return the title of the picture
+ if (!$title) {
+ // just show the sourcename
+ $title = $this->_xmlEntities(basename(noNS($src)));
+ }
+ return $title;
+ }
+ //add image tag
+ $ret .= '<img src="'.ml($src,array('w'=>$width,'h'=>$height,'cache'=>$cache)).'"';
+ $ret .= ' class="media'.$align.'"';
+
+ // make left/right alignment for no-CSS view work (feeds)
+ if($align == 'right') $ret .= ' align="right"';
+ if($align == 'left') $ret .= ' align="left"';
+
+ if ($title) {
+ $ret .= ' title="' . $title . '"';
+ $ret .= ' alt="' . $title .'"';
+ }else{
+ $ret .= ' alt=""';
+ }
+
+ if ( !is_null($width) )
+ $ret .= ' width="'.$this->_xmlEntities($width).'"';
+
+ if ( !is_null($height) )
+ $ret .= ' height="'.$this->_xmlEntities($height).'"';
+
+ $ret .= ' />';
+
+ }elseif($mime == 'application/x-shockwave-flash'){
+ if (!$render) {
+ // if the flash is not supposed to be rendered
+ // return the title of the flash
+ if (!$title) {
+ // just show the sourcename
+ $title = basename(noNS($src));
+ }
+ return $this->_xmlEntities($title);
+ }
+
+ $att = array();
+ $att['class'] = "media$align";
+ if($align == 'right') $att['align'] = 'right';
+ if($align == 'left') $att['align'] = 'left';
+ $ret .= html_flashobject(ml($src,array('cache'=>$cache)),$width,$height,
+ array('quality' => 'high'),
+ null,
+ $att,
+ $this->_xmlEntities($title));
+ }elseif($title){
+ // well at least we have a title to display
+ $ret .= $this->_xmlEntities($title);
+ }else{
+ // just show the sourcename
+ $ret .= $this->_xmlEntities(basename(noNS($src)));
+ }
+
+ return $ret;
+ }
+
+ function _xmlEntities($string) {
+ return htmlspecialchars($string,ENT_QUOTES,'UTF-8');
+ }
+
+ /**
+ * Creates a linkid from a headline
+ *
+ * @param string $title The headline title
+ * @param boolean $create Create a new unique ID?
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function _headerToLink($title,$create=false) {
+ if($create){
+ return sectionID($title,$this->headers);
+ }else{
+ $check = false;
+ return sectionID($title,$check);
+ }
+ }
+
+ /**
+ * Construct a title and handle images in titles
+ *
+ * @author Harry Fuecks <hfuecks@gmail.com>
+ */
+ function _getLinkTitle($title, $default, & $isImage, $id=NULL, $linktype='content') {
+ global $conf;
+
+ $isImage = false;
+ if ( is_array($title) ) {
+ $isImage = true;
+ return $this->_imageTitle($title);
+ } elseif ( is_null($title) || trim($title)=='') {
+ if (useHeading($linktype) && $id) {
+ $heading = p_get_first_heading($id,true);
+ if ($heading) {
+ return $this->_xmlEntities($heading);
+ }
+ }
+ return $this->_xmlEntities($default);
+ } else {
+ return $this->_xmlEntities($title);
+ }
+ }
+
+ /**
+ * Returns an HTML code for images used in link titles
+ *
+ * @todo Resolve namespace on internal images
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function _imageTitle($img) {
+ global $ID;
+
+ // some fixes on $img['src']
+ // see internalmedia() and externalmedia()
+ list($img['src'],$hash) = explode('#',$img['src'],2);
+ if ($img['type'] == 'internalmedia') {
+ resolve_mediaid(getNS($ID),$img['src'],$exists);
+ }
+
+ return $this->_media($img['src'],
+ $img['title'],
+ $img['align'],
+ $img['width'],
+ $img['height'],
+ $img['cache']);
+ }
+
+ /**
+ * _getMediaLinkConf is a helperfunction to internalmedia() and externalmedia()
+ * which returns a basic link to a media.
+ *
+ * @author Pierre Spring <pierre.spring@liip.ch>
+ * @param string $src
+ * @param string $title
+ * @param string $align
+ * @param string $width
+ * @param string $height
+ * @param string $cache
+ * @param string $render
+ * @access protected
+ * @return array
+ */
+ function _getMediaLinkConf($src, $title, $align, $width, $height, $cache, $render)
+ {
+ global $conf;
+
+ $link = array();
+ $link['class'] = 'media';
+ $link['style'] = '';
+ $link['pre'] = '';
+ $link['suf'] = '';
+ $link['more'] = '';
+ $link['target'] = $conf['target']['media'];
+ $link['title'] = $this->_xmlEntities($src);
+ $link['name'] = $this->_media($src, $title, $align, $width, $height, $cache, $render);
+
+ return $link;
+ }
+
+
+}
+
+//Setup VIM: ex: et ts=4 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/parser/xhtmlsummary.php b/mod/dokuwiki/vendors/dokuwiki/inc/parser/xhtmlsummary.php
new file mode 100644
index 000000000..b187fef01
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/parser/xhtmlsummary.php
@@ -0,0 +1,90 @@
+<?php
+if(!defined('DOKU_INC')) die('meh.');
+require_once DOKU_INC . 'inc/parser/xhtml.php';
+
+/**
+ * The summary XHTML form selects either up to the first two paragraphs
+ * it find in a page or the first section (whichever comes first)
+ * It strips out the table of contents if one exists
+ * Section divs are not used - everything should be nested in a single
+ * div with CSS class "page"
+ * Headings have their a name link removed and section editing links
+ * removed
+ * It also attempts to capture the first heading in a page for
+ * use as the title of the page.
+ *
+ *
+ * @author Harry Fuecks <hfuecks@gmail.com>
+ * @todo Is this currently used anywhere? Should it?
+ */
+class Doku_Renderer_xhtmlsummary extends Doku_Renderer_xhtml {
+
+ // Namespace these variables to
+ // avoid clashes with parent classes
+ var $sum_paragraphs = 0;
+ var $sum_capture = true;
+ var $sum_inSection = false;
+ var $sum_summary = '';
+ var $sum_pageTitle = false;
+
+ function document_start() {
+ $this->doc .= DOKU_LF.'<div>'.DOKU_LF;
+ }
+
+ function document_end() {
+ $this->doc = $this->sum_summary;
+ $this->doc .= DOKU_LF.'</div>'.DOKU_LF;
+ }
+
+ // FIXME not supported anymore
+ function toc_open() {
+ $this->sum_summary .= $this->doc;
+ }
+
+ // FIXME not supported anymore
+ function toc_close() {
+ $this->doc = '';
+ }
+
+ function header($text, $level, $pos) {
+ if ( !$this->sum_pageTitle ) {
+ $this->info['sum_pagetitle'] = $text;
+ $this->sum_pageTitle = true;
+ }
+ $this->doc .= DOKU_LF.'<h'.$level.'>';
+ $this->doc .= $this->_xmlEntities($text);
+ $this->doc .= "</h$level>".DOKU_LF;
+ }
+
+ function section_open($level) {
+ if ( $this->sum_capture ) {
+ $this->sum_inSection = true;
+ }
+ }
+
+ function section_close() {
+ if ( $this->sum_capture && $this->sum_inSection ) {
+ $this->sum_summary .= $this->doc;
+ $this->sum_capture = false;
+ }
+ }
+
+ function p_open() {
+ if ( $this->sum_capture && $this->sum_paragraphs < 2 ) {
+ $this->sum_paragraphs++;
+ }
+ parent :: p_open();
+ }
+
+ function p_close() {
+ parent :: p_close();
+ if ( $this->sum_capture && $this->sum_paragraphs >= 2 ) {
+ $this->sum_summary .= $this->doc;
+ $this->sum_capture = false;
+ }
+ }
+
+}
+
+
+//Setup VIM: ex: et ts=2 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/parserutils.php b/mod/dokuwiki/vendors/dokuwiki/inc/parserutils.php
new file mode 100644
index 000000000..e2dd85979
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/parserutils.php
@@ -0,0 +1,672 @@
+<?php
+/**
+ * Utilities for accessing the parser
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Harry Fuecks <hfuecks@gmail.com>
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+
+if(!defined('DOKU_INC')) die('meh.');
+require_once(DOKU_INC.'inc/confutils.php');
+require_once(DOKU_INC.'inc/pageutils.php');
+require_once(DOKU_INC.'inc/pluginutils.php');
+require_once(DOKU_INC.'inc/cache.php');
+
+/**
+ * Returns the parsed Wikitext in XHTML for the given id and revision.
+ *
+ * If $excuse is true an explanation is returned if the file
+ * wasn't found
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function p_wiki_xhtml($id, $rev='', $excuse=true){
+ $file = wikiFN($id,$rev);
+ $ret = '';
+
+ //ensure $id is in global $ID (needed for parsing)
+ global $ID;
+ $keep = $ID;
+ $ID = $id;
+
+ if($rev){
+ if(@file_exists($file)){
+ $ret = p_render('xhtml',p_get_instructions(io_readWikiPage($file,$id,$rev)),$info); //no caching on old revisions
+ }elseif($excuse){
+ $ret = p_locale_xhtml('norev');
+ }
+ }else{
+ if(@file_exists($file)){
+ $ret = p_cached_output($file,'xhtml',$id);
+ }elseif($excuse){
+ $ret = p_locale_xhtml('newpage');
+ }
+ }
+
+ //restore ID (just in case)
+ $ID = $keep;
+
+ return $ret;
+}
+
+/**
+ * Returns starting summary for a page (e.g. the first few
+ * paragraphs), marked up in XHTML.
+ *
+ * If $excuse is true an explanation is returned if the file
+ * wasn't found
+ *
+ * @param string wiki page id
+ * @param reference populated with page title from heading or page id
+ * @deprecated
+ * @author Harry Fuecks <hfuecks@gmail.com>
+ */
+function p_wiki_xhtml_summary($id, &$title, $rev='', $excuse=true){
+ $file = wikiFN($id,$rev);
+ $ret = '';
+
+ //ensure $id is in global $ID (needed for parsing)
+ global $ID;
+ $keep = $ID;
+ $ID = $id;
+
+ if($rev){
+ if(@file_exists($file)){
+ //no caching on old revisions
+ $ins = p_get_instructions(io_readWikiPage($file,$id,$rev));
+ }elseif($excuse){
+ $ret = p_locale_xhtml('norev');
+ //restore ID (just in case)
+ $ID = $keep;
+ return $ret;
+ }
+
+ }else{
+
+ if(@file_exists($file)){
+ // The XHTML for a summary is not cached so use the instruction cache
+ $ins = p_cached_instructions($file);
+ }elseif($excuse){
+ $ret = p_locale_xhtml('newpage');
+ //restore ID (just in case)
+ $ID = $keep;
+ return $ret;
+ }
+ }
+
+ $ret = p_render('xhtmlsummary',$ins,$info);
+
+ if ( $info['sum_pagetitle'] ) {
+ $title = $info['sum_pagetitle'];
+ } else {
+ $title = $id;
+ }
+
+ $ID = $keep;
+ return $ret;
+}
+
+/**
+ * Returns the specified local text in parsed format
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function p_locale_xhtml($id){
+ //fetch parsed locale
+ $html = p_cached_output(localeFN($id));
+ return $html;
+}
+
+/**
+ * *** DEPRECATED ***
+ *
+ * use p_cached_output()
+ *
+ * Returns the given file parsed to XHTML
+ *
+ * Uses and creates a cachefile
+ *
+ * @deprecated
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @todo rewrite to use mode instead of hardcoded XHTML
+ */
+function p_cached_xhtml($file){
+ return p_cached_output($file);
+}
+
+/**
+ * Returns the given file parsed into the requested output format
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Chris Smith <chris@jalakai.co.uk>
+ */
+function p_cached_output($file, $format='xhtml', $id='') {
+ global $conf;
+
+ $cache = new cache_renderer($id, $file, $format);
+ if ($cache->useCache()) {
+ $parsed = $cache->retrieveCache(false);
+ if($conf['allowdebug'] && $format=='xhtml') $parsed .= "\n<!-- cachefile {$cache->cache} used -->\n";
+ } else {
+ $parsed = p_render($format, p_cached_instructions($file,false,$id), $info);
+
+ if ($info['cache']) {
+ $cache->storeCache($parsed); //save cachefile
+ if($conf['allowdebug'] && $format=='xhtml') $parsed .= "\n<!-- no cachefile used, but created {$cache->cache} -->\n";
+ }else{
+ $cache->removeCache(); //try to delete cachefile
+ if($conf['allowdebug'] && $format=='xhtml') $parsed .= "\n<!-- no cachefile used, caching forbidden -->\n";
+ }
+ }
+
+ return $parsed;
+}
+
+/**
+ * Returns the render instructions for a file
+ *
+ * Uses and creates a serialized cache file
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function p_cached_instructions($file,$cacheonly=false,$id='') {
+ global $conf;
+ static $run = null;
+ if(is_null($run)) $run = array();
+
+ $cache = new cache_instructions($id, $file);
+
+ if ($cacheonly || $cache->useCache() || isset($run[$file])) {
+ return $cache->retrieveCache();
+ } else if (@file_exists($file)) {
+ // no cache - do some work
+ $ins = p_get_instructions(io_readWikiPage($file,$id));
+ if ($cache->storeCache($ins)) {
+ $run[$file] = true; // we won't rebuild these instructions in the same run again
+ } else {
+ msg('Unable to save cache file. Hint: disk full; file permissions; safe_mode setting.',-1);
+ }
+ return $ins;
+ }
+
+ return null;
+}
+
+/**
+ * turns a page into a list of instructions
+ *
+ * @author Harry Fuecks <hfuecks@gmail.com>
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function p_get_instructions($text){
+
+ $modes = p_get_parsermodes();
+
+ // Create the parser
+ $Parser = & new Doku_Parser();
+
+ // Add the Handler
+ $Parser->Handler = & new Doku_Handler();
+
+ //add modes to parser
+ foreach($modes as $mode){
+ $Parser->addMode($mode['mode'],$mode['obj']);
+ }
+
+ // Do the parsing
+ trigger_event('PARSER_WIKITEXT_PREPROCESS', $text);
+ $p = $Parser->parse($text);
+ // dbg($p);
+ return $p;
+}
+
+/**
+ * returns the metadata of a page
+ *
+ * @author Esther Brunner <esther@kaffeehaus.ch>
+ */
+function p_get_metadata($id, $key=false, $render=false){
+ global $ID, $INFO, $cache_metadata;
+
+ // cache the current page
+ // Benchmarking shows the current page's metadata is generally the only page metadata
+ // accessed several times. This may catch a few other pages, but that shouldn't be an issue.
+ $cache = ($ID == $id);
+ $meta = p_read_metadata($id, $cache);
+
+ // metadata has never been rendered before - do it! (but not for non-existent pages)
+ if ($render && !isset($meta['current']['description']['abstract']) && page_exists($id)){
+ $meta = p_render_metadata($id, $meta);
+ io_saveFile(metaFN($id, '.meta'), serialize($meta));
+
+ // sync cached copies, including $INFO metadata
+ if (!empty($cache_metadata[$id])) $cache_metadata[$id] = $meta;
+ if (!empty($INFO) && ($id == $INFO['id'])) { $INFO['meta'] = $meta['current']; }
+ }
+
+ // filter by $key
+ if ($key){
+ list($key, $subkey) = explode(' ', $key, 2);
+ $subkey = trim($subkey);
+
+ if ($subkey) {
+ return isset($meta['current'][$key][$subkey]) ? $meta['current'][$key][$subkey] : null;
+ }
+
+ return isset($meta['current'][$key]) ? $meta['current'][$key] : null;
+ }
+
+ return $meta['current'];
+}
+
+/**
+ * sets metadata elements of a page
+ *
+ * @author Esther Brunner <esther@kaffeehaus.ch>
+ */
+function p_set_metadata($id, $data, $render=false, $persistent=true){
+ if (!is_array($data)) return false;
+
+ global $ID;
+
+ // cache the current page
+ $cache = ($ID == $id);
+ $orig = p_read_metadata($id, $cache);
+
+ // render metadata first?
+ $meta = $render ? p_render_metadata($id, $orig) : $orig;
+
+ // now add the passed metadata
+ $protected = array('description', 'date', 'contributor');
+ foreach ($data as $key => $value){
+
+ // be careful with sub-arrays of $meta['relation']
+ if ($key == 'relation'){
+
+ foreach ($value as $subkey => $subvalue){
+ $meta['current'][$key][$subkey] = !empty($meta['current'][$key][$subkey]) ? array_merge($meta['current'][$key][$subkey], $subvalue) : $subvalue;
+ if ($persistent)
+ $meta['persistent'][$key][$subkey] = !empty($meta['persistent'][$key][$subkey]) ? array_merge($meta['persistent'][$key][$subkey], $subvalue) : $subvalue;
+ }
+
+ // be careful with some senisitive arrays of $meta
+ } elseif (in_array($key, $protected)){
+
+ // these keys, must have subkeys - a legitimate value must be an array
+ if (is_array($value)) {
+ $meta['current'][$key] = !empty($meta['current'][$key]) ? array_merge($meta['current'][$key],$value) : $value;
+
+ if ($persistent) {
+ $meta['persistent'][$key] = !empty($meta['persistent'][$key]) ? array_merge($meta['persistent'][$key],$value) : $value;
+ }
+ }
+
+ // no special treatment for the rest
+ } else {
+ $meta['current'][$key] = $value;
+ if ($persistent) $meta['persistent'][$key] = $value;
+ }
+ }
+
+ // save only if metadata changed
+ if ($meta == $orig) return true;
+
+ // sync cached copies, including $INFO metadata
+ global $cache_metadata, $INFO;
+
+ if (!empty($cache_metadata[$id])) $cache_metadata[$id] = $meta;
+ if (!empty($INFO) && ($id == $INFO['id'])) { $INFO['meta'] = $meta['current']; }
+
+ return io_saveFile(metaFN($id, '.meta'), serialize($meta));
+}
+
+/**
+ * Purges the non-persistant part of the meta data
+ * used on page deletion
+ *
+ * @author Michael Klier <chi@chimeric.de>
+ */
+function p_purge_metadata($id) {
+ $metafn = metaFN('id', '.meta');
+ $meta = p_read_metadata($id);
+ foreach($meta['current'] as $key => $value) {
+ if(is_array($meta[$key])) {
+ $meta['current'][$key] = array();
+ } else {
+ $meta['current'][$key] = '';
+ }
+ }
+ return io_saveFile(metaFN($id, '.meta'), serialize($meta));
+}
+
+/**
+ * read the metadata from source/cache for $id
+ * (internal use only - called by p_get_metadata & p_set_metadata)
+ *
+ * this function also converts the metadata from the original format to
+ * the current format ('current' & 'persistent' arrays)
+ *
+ * @author Christopher Smith <chris@jalakai.co.uk>
+ *
+ * @param string $id absolute wiki page id
+ * @param bool $cache whether or not to cache metadata in memory
+ * (only use for metadata likely to be accessed several times)
+ *
+ * @return array metadata
+ */
+function p_read_metadata($id,$cache=false) {
+ global $cache_metadata;
+
+ if (isset($cache_metadata[(string)$id])) return $cache_metadata[(string)$id];
+
+ $file = metaFN($id, '.meta');
+ $meta = @file_exists($file) ? unserialize(io_readFile($file, false)) : array('current'=>array(),'persistent'=>array());
+
+ // convert $meta from old format to new (current+persistent) format
+ if (!isset($meta['current'])) {
+ $meta = array('current'=>$meta,'persistent'=>$meta);
+
+ // remove non-persistent keys
+ unset($meta['persistent']['title']);
+ unset($meta['persistent']['description']['abstract']);
+ unset($meta['persistent']['description']['tableofcontents']);
+ unset($meta['persistent']['relation']['haspart']);
+ unset($meta['persistent']['relation']['references']);
+ unset($meta['persistent']['date']['valid']);
+
+ if (empty($meta['persistent']['description'])) unset($meta['persistent']['description']);
+ if (empty($meta['persistent']['relation'])) unset($meta['persistent']['relation']);
+ if (empty($meta['persistent']['date'])) unset($meta['persistent']['date']);
+
+ // save converted metadata
+ io_saveFile($file, serialize($meta));
+ }
+
+ if ($cache) {
+ $cache_metadata[(string)$id] = $meta;
+ }
+
+ return $meta;
+}
+
+/**
+ * renders the metadata of a page
+ *
+ * @author Esther Brunner <esther@kaffeehaus.ch>
+ */
+function p_render_metadata($id, $orig){
+ // make sure the correct ID is in global ID
+ global $ID;
+ $keep = $ID;
+ $ID = $id;
+
+ // add an extra key for the event - to tell event handlers the page whose metadata this is
+ $orig['page'] = $id;
+ $evt = new Doku_Event('PARSER_METADATA_RENDER', $orig);
+ if ($evt->advise_before()) {
+
+ require_once DOKU_INC."inc/parser/metadata.php";
+
+ // get instructions
+ $instructions = p_cached_instructions(wikiFN($id),false,$id);
+ if(is_null($instructions)){
+ $ID = $keep;
+ return null; // something went wrong with the instructions
+ }
+
+ // set up the renderer
+ $renderer = & new Doku_Renderer_metadata();
+ $renderer->meta = $orig['current'];
+ $renderer->persistent = $orig['persistent'];
+
+ // loop through the instructions
+ foreach ($instructions as $instruction){
+ // execute the callback against the renderer
+ call_user_func_array(array(&$renderer, $instruction[0]), $instruction[1]);
+ }
+
+ $evt->result = array('current'=>$renderer->meta,'persistent'=>$renderer->persistent);
+ }
+ $evt->advise_after();
+
+ $ID = $keep;
+ return $evt->result;
+}
+
+/**
+ * returns all available parser syntax modes in correct order
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function p_get_parsermodes(){
+ global $conf;
+
+ //reuse old data
+ static $modes = null;
+ if($modes != null){
+ return $modes;
+ }
+
+ //import parser classes and mode definitions
+ require_once DOKU_INC . 'inc/parser/parser.php';
+
+ // we now collect all syntax modes and their objects, then they will
+ // be sorted and added to the parser in correct order
+ $modes = array();
+
+ // add syntax plugins
+ $pluginlist = plugin_list('syntax');
+ if(count($pluginlist)){
+ global $PARSER_MODES;
+ $obj = null;
+ foreach($pluginlist as $p){
+ if(!$obj =& plugin_load('syntax',$p)) continue; //attempt to load plugin into $obj
+ $PARSER_MODES[$obj->getType()][] = "plugin_$p"; //register mode type
+ //add to modes
+ $modes[] = array(
+ 'sort' => $obj->getSort(),
+ 'mode' => "plugin_$p",
+ 'obj' => $obj,
+ );
+ unset($obj); //remove the reference
+ }
+ }
+
+ // add default modes
+ $std_modes = array('listblock','preformatted','notoc','nocache',
+ 'header','table','linebreak','footnote','hr',
+ 'unformatted','php','html','code','file','quote',
+ 'internallink','rss','media','externallink',
+ 'emaillink','windowssharelink','eol');
+ if($conf['typography']){
+ $std_modes[] = 'quotes';
+ $std_modes[] = 'multiplyentity';
+ }
+ foreach($std_modes as $m){
+ $class = "Doku_Parser_Mode_$m";
+ $obj = new $class();
+ $modes[] = array(
+ 'sort' => $obj->getSort(),
+ 'mode' => $m,
+ 'obj' => $obj
+ );
+ }
+
+ // add formatting modes
+ $fmt_modes = array('strong','emphasis','underline','monospace',
+ 'subscript','superscript','deleted');
+ foreach($fmt_modes as $m){
+ $obj = new Doku_Parser_Mode_formatting($m);
+ $modes[] = array(
+ 'sort' => $obj->getSort(),
+ 'mode' => $m,
+ 'obj' => $obj
+ );
+ }
+
+ // add modes which need files
+ $obj = new Doku_Parser_Mode_smiley(array_keys(getSmileys()));
+ $modes[] = array('sort' => $obj->getSort(), 'mode' => 'smiley','obj' => $obj );
+ $obj = new Doku_Parser_Mode_acronym(array_keys(getAcronyms()));
+ $modes[] = array('sort' => $obj->getSort(), 'mode' => 'acronym','obj' => $obj );
+ $obj = new Doku_Parser_Mode_entity(array_keys(getEntities()));
+ $modes[] = array('sort' => $obj->getSort(), 'mode' => 'entity','obj' => $obj );
+
+ // add optional camelcase mode
+ if($conf['camelcase']){
+ $obj = new Doku_Parser_Mode_camelcaselink();
+ $modes[] = array('sort' => $obj->getSort(), 'mode' => 'camelcaselink','obj' => $obj );
+ }
+
+ //sort modes
+ usort($modes,'p_sort_modes');
+
+ return $modes;
+}
+
+/**
+ * Callback function for usort
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function p_sort_modes($a, $b){
+ if($a['sort'] == $b['sort']) return 0;
+ return ($a['sort'] < $b['sort']) ? -1 : 1;
+}
+
+/**
+ * Renders a list of instruction to the specified output mode
+ *
+ * In the $info array are informations from the renderer returned
+ *
+ * @author Harry Fuecks <hfuecks@gmail.com>
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function p_render($mode,$instructions,&$info){
+ if(is_null($instructions)) return '';
+
+ $Renderer =& p_get_renderer($mode);
+ if (is_null($Renderer)) return null;
+
+ $Renderer->reset();
+
+ $Renderer->smileys = getSmileys();
+ $Renderer->entities = getEntities();
+ $Renderer->acronyms = getAcronyms();
+ $Renderer->interwiki = getInterwiki();
+
+ // Loop through the instructions
+ foreach ( $instructions as $instruction ) {
+ // Execute the callback against the Renderer
+ call_user_func_array(array(&$Renderer, $instruction[0]),$instruction[1]);
+ }
+
+ //set info array
+ $info = $Renderer->info;
+
+ // Post process and return the output
+ $data = array($mode,& $Renderer->doc);
+ trigger_event('RENDERER_CONTENT_POSTPROCESS',$data);
+ return $Renderer->doc;
+}
+
+function & p_get_renderer($mode) {
+ global $conf, $plugin_controller;
+
+ $rname = !empty($conf['renderer_'.$mode]) ? $conf['renderer_'.$mode] : $mode;
+
+ // try default renderer first:
+ $file = DOKU_INC."inc/parser/$rname.php";
+ if(@file_exists($file)){
+ require_once $file;
+ $rclass = "Doku_Renderer_$rname";
+
+ if ( !class_exists($rclass) ) {
+ trigger_error("Unable to resolve render class $rclass",E_USER_WARNING);
+ msg("Renderer '$rname' for $mode not valid",-1);
+ return null;
+ }
+ $Renderer = & new $rclass();
+ }else{
+ // Maybe a plugin/component is available?
+ list($plugin, $component) = $plugin_controller->_splitName($rname);
+ if (!$plugin_controller->isdisabled($plugin)){
+ $Renderer =& $plugin_controller->load('renderer',$rname, true);
+ }
+
+ if(is_null($Renderer)){
+ msg("No renderer '$rname' found for mode '$mode'",-1);
+ return null;
+ }
+ }
+
+ return $Renderer;
+}
+
+/**
+ * Gets the first heading from a file
+ *
+ * @param string $id dokuwiki page id
+ * @param bool $render rerender if first heading not known
+ * default: true -- must be set to false for calls from the metadata renderer to
+ * protects against loops and excessive resource usage when pages
+ * for which only a first heading is required will attempt to
+ * render metadata for all the pages for which they require first
+ * headings ... and so on.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function p_get_first_heading($id, $render=true){
+ return p_get_metadata($id,'title',$render);
+}
+
+/**
+ * Wrapper for GeSHi Code Highlighter, provides caching of its output
+ *
+ * @param string $code source code to be highlighted
+ * @param string $language language to provide highlighting
+ * @param string $wrapper html element to wrap the returned highlighted text
+ *
+ * @author Christopher Smith <chris@jalakai.co.uk>
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function p_xhtml_cached_geshi($code, $language, $wrapper='pre') {
+ global $conf, $config_cascade;
+ $language = strtolower($language);
+
+ // remove any leading or trailing blank lines
+ $code = preg_replace('/^\s*?\n|\s*?\n$/','',$code);
+
+ $cache = getCacheName($language.$code,".code");
+ $ctime = @filemtime($cache);
+ if($ctime && !$_REQUEST['purge'] &&
+ $ctime > filemtime(DOKU_INC.'inc/geshi.php') && // geshi changed
+ $ctime > @filemtime(DOKU_INC.'inc/geshi/'.$language.'.php') && // language syntax definition changed
+ $ctime > filemtime(reset($config_cascade['main']['default']))){ // dokuwiki changed
+ $highlighted_code = io_readFile($cache, false);
+
+ } else {
+
+ require_once(DOKU_INC . 'inc/geshi.php');
+
+ $geshi = new GeSHi($code, $language, DOKU_INC . 'inc/geshi');
+ $geshi->set_encoding('utf-8');
+ $geshi->enable_classes();
+ $geshi->set_header_type(GESHI_HEADER_PRE);
+ $geshi->set_link_target($conf['target']['extern']);
+
+ // remove GeSHi's wrapper element (we'll replace it with our own later)
+ // we need to use a GeSHi wrapper to avoid <BR> throughout the highlighted text
+ $highlighted_code = trim(preg_replace('!^<pre[^>]*>|</pre>$!','',$geshi->parse_code()),"\n\r");
+ io_saveFile($cache,$highlighted_code);
+ }
+
+ // add a wrapper element if required
+ if ($wrapper) {
+ return "<$wrapper class=\"code $language\">$highlighted_code</$wrapper>";
+ } else {
+ return $highlighted_code;
+ }
+}
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/plugin.php b/mod/dokuwiki/vendors/dokuwiki/inc/plugin.php
new file mode 100644
index 000000000..364534739
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/plugin.php
@@ -0,0 +1,248 @@
+<?php
+/**
+ * DokuWiki Plugin base class
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Christopher Smith <chris@jalakai.co.uk>
+ */
+
+/**
+ * Do not inherit directly from this class, instead inherit from the specialized
+ * ones in lib/plugin
+ */
+class DokuWiki_Plugin {
+
+ var $localised = false; // set to true by setupLocale() after loading language dependent strings
+ var $lang = array(); // array to hold language dependent strings, best accessed via ->getLang()
+ var $configloaded = false; // set to true by loadConfig() after loading plugin configuration variables
+ var $conf = array(); // array to hold plugin settings, best accessed via ->getConf()
+
+ /**
+ * General Info
+ *
+ * Needs to return a associative array with the following values:
+ *
+ * author - Author of the plugin
+ * email - Email address to contact the author
+ * date - Last modified date of the plugin in YYYY-MM-DD format
+ * name - Name of the plugin
+ * desc - Short description of the plugin (Text only)
+ * url - Website with more information on the plugin (eg. syntax description)
+ */
+ function getInfo(){
+ $parts = explode('_',get_class($this));
+ $info = DOKU_PLUGIN.'/'.$parts[2].'/plugin.info.txt';
+ if(@file_exists($info)) return confToHash($info);
+ trigger_error('getInfo() not implemented in '.get_class($this).' and '.$info.' not found', E_USER_WARNING);
+ }
+
+ // plugin introspection methods
+ // extract from class name, format = <plugin type>_plugin_<name>[_<component name>]
+ function getPluginType() {
+ list($t) = explode('_', get_class($this), 2);
+ return $t;
+ }
+ function getPluginName() {
+ list($t, $p, $n) = explode('_', get_class($this), 4);
+ return $n;
+ }
+ function getPluginComponent() {
+ list($t, $p, $n, $c) = explode('_', get_class($this), 4);
+ return (isset($c)?$c:'');
+ }
+
+ // localisation methods
+ /**
+ * getLang($id)
+ * use this function to access plugin language strings
+ * to try to minimise unnecessary loading of the strings when the plugin doesn't require them
+ * e.g. when info plugin is querying plugins for information about themselves.
+ *
+ * @param $id id of the string to be retrieved
+ * @return string string in appropriate language or english if not available
+ */
+ function getLang($id) {
+ if (!$this->localised) $this->setupLocale();
+
+ return (isset($this->lang[$id]) ? $this->lang[$id] : '');
+ }
+
+ /**
+ * locale_xhtml($id)
+ *
+ * retrieve a language dependent file and pass to xhtml renderer for display
+ * plugin equivalent of p_locale_xhtml()
+ *
+ * @param $id id of language dependent wiki page
+ * @return string parsed contents of the wiki page in xhtml format
+ */
+ function locale_xhtml($id) {
+ return p_cached_output($this->localFN($id));
+ }
+
+ /**
+ * localFN($id)
+ * prepends appropriate path for a language dependent filename
+ * plugin equivalent of localFN()
+ */
+ function localFN($id) {
+ global $conf;
+ $plugin = $this->getPluginName();
+ $file = DOKU_PLUGIN.$plugin.'/lang/'.$conf['lang'].'/'.$id.'.txt';
+ if(!@file_exists($file)){
+ //fall back to english
+ $file = DOKU_PLUGIN.$plugin.'/lang/en/'.$id.'.txt';
+ }
+ return $file;
+ }
+
+ /**
+ * setupLocale()
+ * reads all the plugins language dependent strings into $this->lang
+ * this function is automatically called by getLang()
+ */
+ function setupLocale() {
+ if ($this->localised) return;
+
+ global $conf; // definitely don't invoke "global $lang"
+ $path = DOKU_PLUGIN.$this->getPluginName().'/lang/';
+
+ $lang = array();
+
+ // don't include once, in case several plugin components require the same language file
+ @include($path.'en/lang.php');
+ if ($conf['lang'] != 'en') @include($path.$conf['lang'].'/lang.php');
+
+ $this->lang = $lang;
+ $this->localised = true;
+ }
+
+ // configuration methods
+ /**
+ * getConf($setting)
+ *
+ * use this function to access plugin configuration variables
+ */
+ function getConf($setting){
+
+ if (!$this->configloaded){ $this->loadConfig(); }
+
+ return $this->conf[$setting];
+ }
+
+ /**
+ * loadConfig()
+ * merges the plugin's default settings with any local settings
+ * this function is automatically called through getConf()
+ */
+ function loadConfig(){
+ global $conf;
+
+ $defaults = $this->readDefaultSettings();
+ $plugin = $this->getPluginName();
+
+ foreach ($defaults as $key => $value) {
+ if (isset($conf['plugin'][$plugin][$key])) continue;
+ $conf['plugin'][$plugin][$key] = $value;
+ }
+
+ $this->configloaded = true;
+ $this->conf =& $conf['plugin'][$plugin];
+ }
+
+ /**
+ * read the plugin's default configuration settings from conf/default.php
+ * this function is automatically called through getConf()
+ *
+ * @return array setting => value
+ */
+ function readDefaultSettings() {
+
+ $path = DOKU_PLUGIN.$this->getPluginName().'/conf/';
+ $conf = array();
+
+ if (@file_exists($path.'default.php')) {
+ include($path.'default.php');
+ }
+
+ return $conf;
+ }
+
+ /**
+ * Loads a given helper plugin (if enabled)
+ *
+ * @author Esther Brunner <wikidesign@gmail.com>
+ *
+ * @param $name name of plugin to load
+ * @param $msg message to display in case the plugin is not available
+ *
+ * @return object helper plugin object
+ */
+ function loadHelper($name, $msg){
+ if (!plugin_isdisabled($name)){
+ $obj =& plugin_load('helper',$name);
+ }else{
+ $obj = null;
+ }
+ if (is_null($obj) && $msg) msg("Helper plugin $name is not available or invalid.",-1);
+ return $obj;
+ }
+
+ // standard functions for outputing email addresses and links
+ // use these to avoid having to duplicate code to produce links in line with the installation configuration
+
+ /**
+ * email
+ * standardised function to generate an email link according to obfuscation settings
+ */
+ function email($email, $name='', $class='', $more='') {
+ if (!$email) return $name;
+ $email = obfuscate($email);
+ if (!$name) $name = $email;
+ $class = "class='".($class ? $class : 'mail')."'";
+ return "<a href='mailto:$email' $class title='$email' $more>$name</a>";
+ }
+
+ /**
+ * external_link
+ * standardised function to generate an external link according to conf settings
+ */
+ function external_link($link, $title='', $class='', $target='', $more='') {
+ global $conf;
+
+ $link = htmlentities($link);
+ if (!$title) $title = $link;
+ if (!$target) $target = $conf['target']['extern'];
+ if ($conf['relnofollow']) $more .= ' rel="nofollow"';
+
+ if ($class) $class = " class='$class'";
+ if ($target) $target = " target='$target'";
+ if ($more) $more = " ".trim($more);
+
+ return "<a href='$link'$class$target$more>$title</a>";
+ }
+
+ /**
+ * output text string through the parser, allows dokuwiki markup to be used
+ * very ineffecient for small pieces of data - try not to use
+ */
+ function render($text, $format='xhtml') {
+ return p_render($format, p_get_instructions($text),$info);
+ }
+
+ /**
+ * Allow the plugin to prevent DokuWiki creating a second instance of itself
+ *
+ * @return bool true if the plugin can not be instantiated more than once
+ */
+ function isSingleton() {
+ return false;
+ }
+
+ // deprecated functions
+ function plugin_localFN($id) { return $this->localFN($id); }
+ function plugin_locale_xhtml($id) { return $this->locale_xhtml($id); }
+ function plugin_email($e, $n='', $c='', $m='') { return $this->email($e, $n, $c, $m); }
+ function plugin_link($l, $t='', $c='', $to='', $m='') { return $this->external_link($l, $t, $c, $to, $m); }
+ function plugin_render($t, $f='xhtml') { return $this->render($t, $f); }
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/plugincontroller.class.php b/mod/dokuwiki/vendors/dokuwiki/inc/plugincontroller.class.php
new file mode 100644
index 000000000..4400a4187
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/plugincontroller.class.php
@@ -0,0 +1,172 @@
+<?php
+/**
+ * Class to encapsulate access to dokuwiki plugins
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Christopher Smith <chris@jalakai.co.uk>
+ */
+
+// plugin related constants
+if(!defined('DOKU_PLUGIN')) define('DOKU_PLUGIN',DOKU_INC.'lib/plugins/');
+
+class Doku_Plugin_Controller {
+
+ var $list_enabled = array();
+ var $list_disabled = array();
+ var $list_bytype = array();
+
+ function Doku_Plugin_Controller() {
+ $this->_populateMasterList();
+ }
+
+ /**
+ * Returns a list of available plugins of given type
+ *
+ * @param $type string, plugin_type name;
+ * the type of plugin to return,
+ * use empty string for all types
+ * @param $all bool;
+ * false to only return enabled plugins,
+ * true to return both enabled and disabled plugins
+ *
+ * @return array of plugin names
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function getList($type='',$all=false){
+
+ // request the complete list
+ if (!$type) {
+ return $all ? array_merge($this->list_enabled,$this->list_disabled) : $this->list_enabled;
+ }
+
+ if (!isset($this->list_bytype[$type]['enabled'])) {
+ $this->list_bytype[$type]['enabled'] = $this->_getListByType($type,true);
+ }
+ if ($all && !isset($this->list_bytype[$type]['disabled'])) {
+ $this->list_bytype[$type]['disabled'] = $this->_getListByType($type,false);
+ }
+
+ return $all ? array_merge($this->list_bytype[$type]['enabled'],$this->list_bytype[$type]['disabled']) : $this->list_bytype[$type]['enabled'];
+ }
+
+ /**
+ * Loads the given plugin and creates an object of it
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ *
+ * @param $type string type of plugin to load
+ * @param $name string name of the plugin to load
+ * @param $new bool true to return a new instance of the plugin, false to use an already loaded instance
+ * @return objectreference the plugin object or null on failure
+ */
+ function &load($type,$name,$new=false){
+ //we keep all loaded plugins available in global scope for reuse
+ global $DOKU_PLUGINS;
+
+ //plugin already loaded?
+ if(!empty($DOKU_PLUGINS[$type][$name])){
+ if ($new && !$DOKU_PLUGINS[$type][$name]->isSingleton()) {
+ $class = $type.'_plugin_'.$name;
+ return class_exists($class) ? new $class : null;
+ } else {
+ return $DOKU_PLUGINS[$type][$name];
+ }
+ }
+
+ //try to load the wanted plugin file
+ list($plugin,$component) = $this->_splitName($name);
+ $dir = $this->get_directory($plugin);
+ $file = $component ? "$type/$component.php" : "$type.php";
+
+ if(!is_file(DOKU_PLUGIN."$dir/$file")){
+ return null;
+ }
+
+ if (!include_once(DOKU_PLUGIN."$dir/$file")) {
+ return null;
+ }
+
+ //construct class and instantiate
+ $class = $type.'_plugin_'.$name;
+ if (!class_exists($class)) return null;
+
+ $DOKU_PLUGINS[$type][$name] = new $class;
+ return $DOKU_PLUGINS[$type][$name];
+ }
+
+ function isdisabled($plugin) {
+ return (array_search($plugin, $this->list_enabled) === false);
+ }
+
+ function enable($plugin) {
+ if (array_search($plugin, $this->list_disabled) !== false) {
+ return @unlink(DOKU_PLUGIN.$plugin.'/disabled');
+ }
+ return false;
+ }
+
+ function disable($plugin) {
+ if (array_search($plugin, $this->list_enabled) !== false) {
+ return @touch(DOKU_PLUGIN.$plugin.'/disabled');
+ }
+ return false;
+ }
+
+ function get_directory($plugin) {
+ return $plugin;
+ }
+
+ function _populateMasterList() {
+ if ($dh = opendir(DOKU_PLUGIN)) {
+ while (false !== ($plugin = readdir($dh))) {
+ if ($plugin == '.' || $plugin == '..' || $plugin == 'tmp') continue;
+ if (is_file(DOKU_PLUGIN.$plugin)) continue;
+
+ if (substr($plugin,-9) == '.disabled') {
+ // the plugin was disabled by rc2009-01-26
+ // disabling mechanism was changed back very soon again
+ // to keep everything simple we just skip the plugin completely
+ }elseif(@file_exists(DOKU_PLUGIN.$plugin.'/disabled')){
+ $this->list_disabled[] = $plugin;
+ } else {
+ $this->list_enabled[] = $plugin;
+ }
+ }
+ }
+ }
+
+ function _getListByType($type, $enabled) {
+ $master_list = $enabled ? $this->list_enabled : $this->list_disabled;
+
+ $plugins = array();
+ foreach ($master_list as $plugin) {
+ $dir = $this->get_directory($plugin);
+
+ if (@file_exists(DOKU_PLUGIN."$dir/$type.php")){
+ $plugins[] = $plugin;
+ } else {
+ if ($dp = @opendir(DOKU_PLUGIN."$dir/$type/")) {
+ while (false !== ($component = readdir($dp))) {
+ if (substr($component,0,1) == '.' || strtolower(substr($component, -4)) != ".php") continue;
+ if (is_file(DOKU_PLUGIN."$dir/$type/$component")) {
+ $plugins[] = $plugin.'_'.substr($component, 0, -4);
+ }
+ }
+ closedir($dp);
+ }
+ }
+ }
+
+ return $plugins;
+ }
+
+ function _splitName($name) {
+ if (array_search($name, $this->list_enabled + $this->list_disabled) === false) {
+ return explode('_',$name,2);
+ }
+
+ return array($name,'');
+ }
+
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/pluginutils.php b/mod/dokuwiki/vendors/dokuwiki/inc/pluginutils.php
new file mode 100644
index 000000000..8294d1ec8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/pluginutils.php
@@ -0,0 +1,47 @@
+<?php
+/**
+ * Utilities for handling plugins
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+
+// plugin related constants
+if(!defined('DOKU_PLUGIN')) define('DOKU_PLUGIN',DOKU_INC.'lib/plugins/');
+require_once(DOKU_INC.'inc/plugincontroller.class.php');
+
+$plugin_types = array('admin','syntax','action','renderer', 'helper');
+
+global $plugin_controller_class, $plugin_controller;
+if (empty($plugin_controller_class)) $plugin_controller_class = 'Doku_Plugin_Controller';
+
+$plugin_controller = new $plugin_controller_class();
+
+/**
+ * Original plugin functions, remain for backwards compatibility
+ */
+function plugin_list($type='',$all=false) {
+ global $plugin_controller;
+ return $plugin_controller->getList($type,$all);
+}
+function &plugin_load($type,$name,$new=false) {
+ global $plugin_controller;
+ return $plugin_controller->load($type,$name,$new);
+}
+function plugin_isdisabled($plugin) {
+ global $plugin_controller;
+ return $plugin_controller->isdisabled($plugin);
+}
+function plugin_enable($plugin) {
+ global $plugin_controller;
+ return $plugin_controller->enable($plugin);
+}
+function plugin_disable($plugin) {
+ global $plugin_controller;
+ return $plugin_controller->disable($plugin);
+}
+function plugin_directory($plugin) {
+ global $plugin_controller;
+ return $plugin_controller->get_directory($plugin);
+}
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/search.php b/mod/dokuwiki/vendors/dokuwiki/inc/search.php
new file mode 100644
index 000000000..2b9a51fb3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/search.php
@@ -0,0 +1,630 @@
+<?php
+/**
+ * DokuWiki search functions
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+
+if(!defined('DOKU_INC')) die('meh.');
+require_once(DOKU_INC.'inc/common.php');
+
+/**
+ * recurse direcory
+ *
+ * This function recurses into a given base directory
+ * and calls the supplied function for each file and directory
+ *
+ * @param array ref $data The results of the search are stored here
+ * @param string $base Where to start the search
+ * @param callback $func Callback (function name or arayy with object,method)
+ * @param string $dir Current directory beyond $base
+ * @param int $lvl Recursion Level
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function search(&$data,$base,$func,$opts,$dir='',$lvl=1){
+ $dirs = array();
+ $files = array();
+
+ //read in directories and files
+ $dh = @opendir($base.'/'.$dir);
+ if(!$dh) return;
+ while(($file = readdir($dh)) !== false){
+ if(preg_match('/^[\._]/',$file)) continue; //skip hidden files and upper dirs
+ if(is_dir($base.'/'.$dir.'/'.$file)){
+ $dirs[] = $dir.'/'.$file;
+ continue;
+ }
+ $files[] = $dir.'/'.$file;
+ }
+ closedir($dh);
+ sort($files);
+ sort($dirs);
+
+ //give directories to userfunction then recurse
+ foreach($dirs as $dir){
+ if (call_user_func_array($func, array(&$data,$base,$dir,'d',$lvl,$opts))){
+ search($data,$base,$func,$opts,$dir,$lvl+1);
+ }
+ }
+ //now handle the files
+ foreach($files as $file){
+ call_user_func_array($func, array(&$data,$base,$file,'f',$lvl,$opts));
+ }
+}
+
+/**
+ * Wrapper around call_user_func_array.
+ *
+ * @deprecated
+ */
+function search_callback($func,&$data,$base,$file,$type,$lvl,$opts){
+ return call_user_func_array($func, array(&$data,$base,$file,$type,$lvl,$opts));
+}
+
+/**
+ * The following functions are userfunctions to use with the search
+ * function above. This function is called for every found file or
+ * directory. When a directory is given to the function it has to
+ * decide if this directory should be traversed (true) or not (false)
+ * The function has to accept the following parameters:
+ *
+ * &$data - Reference to the result data structure
+ * $base - Base usually $conf['datadir']
+ * $file - current file or directory relative to $base
+ * $type - Type either 'd' for directory or 'f' for file
+ * $lvl - Current recursion depht
+ * $opts - option array as given to search()
+ *
+ * return values for files are ignored
+ *
+ * All functions should check the ACL for document READ rights
+ * namespaces (directories) are NOT checked as this would break
+ * the recursion (You can have an nonreadable dir over a readable
+ * one deeper nested) also make sure to check the file type (for example
+ * in case of lockfiles).
+ */
+
+/**
+ * Searches for pages beginning with the given query
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function search_qsearch(&$data,$base,$file,$type,$lvl,$opts){
+ $opts = array(
+ 'idmatch' => '(^|:)'.preg_quote($opts['query'],'/').'/',
+ 'listfiles' => true,
+ 'pagesonly' => true,
+ );
+ return search_universal($data,$base,$file,$type,$lvl,$opts);
+}
+
+/**
+ * Build the browsable index of pages
+ *
+ * $opts['ns'] is the current namespace
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function search_index(&$data,$base,$file,$type,$lvl,$opts){
+ global $conf;
+ $return = true;
+
+ $item = array();
+
+ if($type == 'd' && !preg_match('#^'.$file.'(/|$)#','/'.$opts['ns'])){
+ //add but don't recurse
+ $return = false;
+ }elseif($type == 'f' && ($opts['nofiles'] || substr($file,-4) != '.txt')){
+ //don't add
+ return false;
+ }
+
+ $id = pathID($file);
+
+ if($type=='d' && $conf['sneaky_index'] && auth_quickaclcheck($id.':') < AUTH_READ){
+ return false;
+ }
+
+ //check hidden
+ if(isHiddenPage($id)){
+ return false;
+ }
+
+ //check ACL
+ if($type=='f' && auth_quickaclcheck($id) < AUTH_READ){
+ return false;
+ }
+
+ $data[]=array( 'id' => $id,
+ 'type' => $type,
+ 'level' => $lvl,
+ 'open' => $return );
+ return $return;
+}
+
+/**
+ * List all namespaces
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function search_namespaces(&$data,$base,$file,$type,$lvl,$opts){
+ $opts = array(
+ 'listdirs' => true,
+ );
+ return search_universal($data,$base,$file,$type,$lvl,$opts);
+}
+
+/**
+ * List all mediafiles in a namespace
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function search_media(&$data,$base,$file,$type,$lvl,$opts){
+
+ //we do nothing with directories
+ if($type == 'd') {
+ if(!$opts['depth']) return true; // recurse forever
+ $depth = substr_count($file,'/');
+ if($depth >= $opts['depth']) return false; // depth reached
+ return true;
+ }
+
+ $info = array();
+ $info['id'] = pathID($file,true);
+ if($info['id'] != cleanID($info['id'])){
+ if($opts['showmsg'])
+ msg(hsc($info['id']).' is not a valid file name for DokuWiki - skipped',-1);
+ return false; // skip non-valid files
+ }
+
+ //check ACL for namespace (we have no ACL for mediafiles)
+ $info['perm'] = auth_quickaclcheck(getNS($info['id']).':*');
+ if(!$opts['skipacl'] && $info['perm'] < AUTH_READ){
+ return false;
+ }
+
+ //check pattern filter
+ if($opts['pattern'] && !@preg_match($opts['pattern'], $info['id'])){
+ return false;
+ }
+
+ $info['file'] = basename($file);
+ $info['size'] = filesize($base.'/'.$file);
+ $info['mtime'] = filemtime($base.'/'.$file);
+ $info['writable'] = is_writable($base.'/'.$file);
+ if(preg_match("/\.(jpe?g|gif|png)$/",$file)){
+ $info['isimg'] = true;
+ require_once(DOKU_INC.'inc/JpegMeta.php');
+ $info['meta'] = new JpegMeta($base.'/'.$file);
+ }else{
+ $info['isimg'] = false;
+ }
+ if($opts['hash']){
+ $info['hash'] = md5(io_readFile(wikiFN($info['id']),false));
+ }
+
+ $data[] = $info;
+
+ return false;
+}
+
+/**
+ * This function just lists documents (for RSS namespace export)
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function search_list(&$data,$base,$file,$type,$lvl,$opts){
+ //we do nothing with directories
+ if($type == 'd') return false;
+ //only search txt files
+ if(substr($file,-4) == '.txt'){
+ //check ACL
+ $id = pathID($file);
+ if(auth_quickaclcheck($id) < AUTH_READ){
+ return false;
+ }
+ $data[]['id'] = $id;
+ }
+ return false;
+}
+
+/**
+ * Quicksearch for searching matching pagenames
+ *
+ * $opts['query'] is the search query
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function search_pagename(&$data,$base,$file,$type,$lvl,$opts){
+ //we do nothing with directories
+ if($type == 'd') return true;
+ //only search txt files
+ if(substr($file,-4) != '.txt') return true;
+
+ //simple stringmatching
+ if (!empty($opts['query'])){
+ if(strpos($file,$opts['query']) !== false){
+ //check ACL
+ $id = pathID($file);
+ if(auth_quickaclcheck($id) < AUTH_READ){
+ return false;
+ }
+ $data[]['id'] = $id;
+ }
+ }
+ return true;
+}
+
+/**
+ * Just lists all documents
+ *
+ * $opts['depth'] recursion level, 0 for all
+ * $opts['hash'] do md5 sum of content?
+ * $opts['skipacl'] list everything regardless of ACL
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function search_allpages(&$data,$base,$file,$type,$lvl,$opts){
+ //we do nothing with directories
+ if($type == 'd'){
+ if(!$opts['depth']) return true; // recurse forever
+ $parts = explode('/',ltrim($file,'/'));
+ if(count($parts) == $opts['depth']) return false; // depth reached
+ return true;
+ }
+
+ //only search txt files
+ if(substr($file,-4) != '.txt') return true;
+
+ $item['id'] = pathID($file);
+ if(!$opts['skipacl'] && auth_quickaclcheck($item['id']) < AUTH_READ){
+ return false;
+ }
+
+ $item['rev'] = filemtime($base.'/'.$file);
+ $item['mtime'] = $item['rev'];
+ $item['size'] = filesize($base.'/'.$file);
+ if($opts['hash']){
+ $item['hash'] = md5(trim(rawWiki($item['id'])));
+ }
+
+ $data[] = $item;
+ return true;
+}
+
+/**
+ * Search for backlinks to a given page
+ *
+ * $opts['ns'] namespace of the page
+ * $opts['name'] name of the page without namespace
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @deprecated Replaced by ft_backlinks()
+ */
+function search_backlinks(&$data,$base,$file,$type,$lvl,$opts){
+ //we do nothing with directories
+ if($type == 'd') return true;
+ //only search txt files
+ if(substr($file,-4) != '.txt') return true;
+
+ //absolute search id
+ $sid = cleanID($opts['ns'].':'.$opts['name']);
+
+ //current id and namespace
+ $cid = pathID($file);
+ $cns = getNS($cid);
+
+ //check ACL
+ if(auth_quickaclcheck($cid) < AUTH_READ){
+ return false;
+ }
+
+ //fetch instructions
+ require_once(DOKU_INC.'inc/parserutils.php');
+ $instructions = p_cached_instructions($base.$file,true);
+ if(is_null($instructions)) return false;
+
+ //check all links for match
+ foreach($instructions as $ins){
+ if($ins[0] == 'internallink' || ($conf['camelcase'] && $ins[0] == 'camelcaselink') ){
+ $mid = $ins[1][0];
+ resolve_pageid($cns,$mid,$exists); //exists is not used
+ if($mid == $sid){
+ //we have a match - finish
+ $data[]['id'] = $cid;
+ break;
+ }
+ }
+ }
+
+ return false;
+}
+
+/**
+ * Fulltextsearch
+ *
+ * $opts['query'] is the search query
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @deprecated - fulltext indexer is used instead
+ */
+function search_fulltext(&$data,$base,$file,$type,$lvl,$opts){
+ //we do nothing with directories
+ if($type == 'd') return true;
+ //only search txt files
+ if(substr($file,-4) != '.txt') return true;
+
+ //check ACL
+ $id = pathID($file);
+ if(auth_quickaclcheck($id) < AUTH_READ){
+ return false;
+ }
+
+ //create regexp from queries
+ $poswords = array();
+ $negwords = array();
+ $qpreg = preg_split('/\s+/',$opts['query']);
+
+ foreach($qpreg as $word){
+ switch(substr($word,0,1)){
+ case '-':
+ if(strlen($word) > 1){ // catch single '-'
+ array_push($negwords,preg_quote(substr($word,1),'#'));
+ }
+ break;
+ case '+':
+ if(strlen($word) > 1){ // catch single '+'
+ array_push($poswords,preg_quote(substr($word,1),'#'));
+ }
+ break;
+ default:
+ array_push($poswords,preg_quote($word,'#'));
+ break;
+ }
+ }
+
+ // a search without any posword is useless
+ if (!count($poswords)) return true;
+
+ $reg = '^(?=.*?'.join(')(?=.*?',$poswords).')';
+ $reg .= count($negwords) ? '((?!'.join('|',$negwords).').)*$' : '.*$';
+ search_regex($data,$base,$file,$reg,$poswords);
+ return true;
+ }
+
+ /**
+ * Reference search
+ * This fuction searches for existing references to a given media file
+ * and returns an array with the found pages. It doesn't pay any
+ * attention to ACL permissions to find every reference. The caller
+ * must check if the user has the appropriate rights to see the found
+ * page and eventually have to prevent the result from displaying.
+ *
+ * @param array $data Reference to the result data structure
+ * @param string $base Base usually $conf['datadir']
+ * @param string $file current file or directory relative to $base
+ * @param char $type Type either 'd' for directory or 'f' for file
+ * @param int $lvl Current recursion depht
+ * @param mixed $opts option array as given to search()
+ *
+ * $opts['query'] is the demanded media file name
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+function search_reference(&$data,$base,$file,$type,$lvl,$opts){
+ global $conf;
+
+ //we do nothing with directories
+ if($type == 'd') return true;
+
+ //only search txt files
+ if(substr($file,-4) != '.txt') return true;
+
+ //we finish after 'cnt' references found. The return value
+ //'false' will skip subdirectories to speed search up.
+ $cnt = $conf['refshow'] > 0 ? $conf['refshow'] : 1;
+ if(count($data) >= $cnt) return false;
+
+ $reg = '\{\{ *\:?'.$opts['query'].' *(\|.*)?\}\}';
+ search_regex($data,$base,$file,$reg,array($opts['query']));
+ return true;
+}
+
+/* ------------- helper functions below -------------- */
+
+/**
+ * fulltext search helper
+ * searches a text file with a given regular expression
+ * no ACL checks are performed. This have to be done by
+ * the caller if necessary.
+ *
+ * @param array $data reference to array for results
+ * @param string $base base directory
+ * @param string $file file name to search in
+ * @param string $reg regular expression to search for
+ * @param array $words words that should be marked in the results
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ *
+ * @deprecated - fulltext indexer is used instead
+ */
+function search_regex(&$data,$base,$file,$reg,$words){
+
+ //get text
+ $text = io_readfile($base.'/'.$file);
+ //lowercase text (u modifier does not help with case)
+ $lctext = utf8_strtolower($text);
+
+ //do the fulltext search
+ $matches = array();
+ if($cnt = preg_match_all('#'.$reg.'#usi',$lctext,$matches)){
+ //this is not the best way for snippet generation but the fastest I could find
+ $q = $words[0]; //use first word for snippet creation
+ $p = utf8_strpos($lctext,$q);
+ $f = $p - 100;
+ $l = utf8_strlen($q) + 200;
+ if($f < 0) $f = 0;
+ $snippet = '<span class="search_sep"> ... </span>'.
+ htmlspecialchars(utf8_substr($text,$f,$l)).
+ '<span class="search_sep"> ... </span>';
+ $mark = '('.join('|', $words).')';
+ $snippet = preg_replace('#'.$mark.'#si','<strong class="search_hit">\\1</strong>',$snippet);
+
+ $data[] = array(
+ 'id' => pathID($file),
+ 'count' => preg_match_all('#'.$mark.'#usi',$lctext,$matches),
+ 'poswords' => join(' ',$words),
+ 'snippet' => $snippet,
+ );
+ }
+
+ return true;
+}
+
+
+/**
+ * fulltext sort
+ *
+ * Callback sort function for use with usort to sort the data
+ * structure created by search_fulltext. Sorts descending by count
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function sort_search_fulltext($a,$b){
+ if($a['count'] > $b['count']){
+ return -1;
+ }elseif($a['count'] < $b['count']){
+ return 1;
+ }else{
+ return strcmp($a['id'],$b['id']);
+ }
+}
+
+/**
+ * translates a document path to an ID
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @todo move to pageutils
+ */
+function pathID($path,$keeptxt=false){
+ $id = utf8_decodeFN($path);
+ $id = str_replace('/',':',$id);
+ if(!$keeptxt) $id = preg_replace('#\.txt$#','',$id);
+ $id = preg_replace('#^:+#','',$id);
+ $id = preg_replace('#:+$#','',$id);
+ return $id;
+}
+
+
+/**
+ * This is a very universal callback for the search() function, replacing
+ * many of the former individual functions at the cost of a more complex
+ * setup.
+ *
+ * How the function behaves, depends on the options passed in the $opts
+ * array, where the following settings can be used.
+ *
+ * depth int recursion depth. 0 for unlimited
+ * keeptxt bool keep .txt extension for IDs
+ * listfiles bool include files in listing
+ * listdirs bool include namespaces in listing
+ * pagesonly bool restrict files to pages
+ * skipacl bool do not check for READ permission
+ * sneakyacl bool don't recurse into nonreadable dirs
+ * hash bool create MD5 hash for files
+ * meta bool return file metadata
+ * filematch string match files against this regexp
+ * idmatch string match full ID against this regexp
+ * dirmatch string match directory against this regexp when adding
+ * nsmatch string match namespace against this regexp when adding
+ * recmatch string match directory against this regexp when recursing
+ * showmsg bool warn about non-ID files
+ * showhidden bool show hidden files too
+ * firsthead bool return first heading for pages
+ *
+ * @author Andreas Gohr <gohr@cosmocode.de>
+ */
+function search_universal(&$data,$base,$file,$type,$lvl,$opts){
+ $item = array();
+ $return = true;
+
+ // get ID and check if it is a valid one
+ $item['id'] = pathID($file);
+ if($item['id'] != cleanID($item['id'])){
+ if($opts['showmsg'])
+ msg(hsc($item['id']).' is not a valid file name for DokuWiki - skipped',-1);
+ return false; // skip non-valid files
+ }
+ $item['ns'] = getNS($item['id']);
+
+ if($type == 'd') {
+ // decide if to recursion into this directory is wanted
+ if(!$opts['depth']){
+ $return = true; // recurse forever
+ }else{
+ $depth = substr_count($file,'/');
+ if($depth >= $opts['depth']){
+ $return = false; // depth reached
+ }else{
+ $return = true;
+ }
+ }
+ if($return && !preg_match('/'.$opts['recmatch'].'/',$file)){
+ $return = false; // doesn't match
+ }
+ }
+
+ // check ACL
+ if(!$opts['skipacl']){
+ if($type == 'd'){
+ $item['perm'] = auth_quickaclcheck($item['id'].':*');
+ }else{
+ $item['perm'] = auth_quickaclcheck($item['id']); //FIXME check namespace for media files
+ }
+ }else{
+ $item['perm'] = AUTH_DELETE;
+ }
+
+ // are we done here maybe?
+ if($type == 'd'){
+ if(!$opts['listdirs']) return $return;
+ if(!$opts['skipacl'] && $opts['sneakyacl'] && $item['perm'] < AUTH_READ) return false; //neither list nor recurse
+ if($opts['dirmatch'] && !preg_match('/'.$opts['dirmatch'].'/',$file)) return $return;
+ if($opts['nsmatch'] && !preg_match('/'.$opts['nsmatch'].'/',$item['ns'])) return $return;
+ }else{
+ if(!$opts['listfiles']) return $return;
+ if(!$opts['skipacl'] && $item['perm'] < AUTH_READ) return $return;
+ if($opts['pagesonly'] && (substr($file,-4) != '.txt')) return $return;
+ if(!$conf['showhidden'] && isHiddenPage($id)) return $return;
+ if($opts['filematch'] && !preg_match('/'.$opts['filematch'].'/',$file)) return $return;
+ if($opts['idmatch'] && !preg_match('/'.$opts['idmatch'].'/',$item['id'])) return $return;
+ }
+
+ // still here? prepare the item
+ $item['type'] = $type;
+ $item['level'] = $lvl;
+ $item['open'] = $return;
+
+ if($opts['meta']){
+ $item['file'] = basename($file);
+ $item['size'] = filesize($base.'/'.$file);
+ $item['mtime'] = filemtime($base.'/'.$file);
+ $item['rev'] = $item['mtime'];
+ $item['writable'] = is_writable($base.'/'.$file);
+ $item['executable'] = is_executable($base.'/'.$file);
+ }
+
+ if($type == 'f'){
+ if($opts['hash']) $item['hash'] = md5(io_readFile($base.'/'.$file,false));
+ if($opts['firsthead']) $item['title'] = p_get_first_heading($item['id'],false);
+ }
+
+ // finally add the item
+ $data[] = $item;
+ return $return;
+}
+
+//Setup VIM: ex: et ts=4 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/template.php b/mod/dokuwiki/vendors/dokuwiki/inc/template.php
new file mode 100644
index 000000000..b7790060c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/template.php
@@ -0,0 +1,1413 @@
+<?php
+/**
+ * DokuWiki template functions
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+
+if(!defined('DOKU_INC')) die('meh.');
+
+/**
+ * Returns the path to the given template, uses
+ * default one if the custom version doesn't exist.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function template($tpl){
+ global $conf;
+
+ if(@is_readable(DOKU_INC.'lib/tpl/'.$conf['template'].'/'.$tpl))
+ return DOKU_INC.'lib/tpl/'.$conf['template'].'/'.$tpl;
+
+ return DOKU_INC.'lib/tpl/default/'.$tpl;
+}
+
+/**
+ * Print the content
+ *
+ * This function is used for printing all the usual content
+ * (defined by the global $ACT var) by calling the appropriate
+ * outputfunction(s) from html.php
+ *
+ * Everything that doesn't use the main template file isn't
+ * handled by this function. ACL stuff is not done here either.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+
+function tpl_content($prependTOC=true) {
+ global $ACT;
+ global $INFO;
+ $INFO['prependTOC'] = $prependTOC;
+
+ ob_start();
+ trigger_event('TPL_ACT_RENDER',$ACT,'tpl_content_core');
+ $html_output = ob_get_clean();
+ trigger_event('TPL_CONTENT_DISPLAY',$html_output,'ptln');
+
+ return !empty($html_output);
+}
+
+function tpl_content_core(){
+ global $ACT;
+ global $TEXT;
+ global $PRE;
+ global $SUF;
+ global $SUM;
+ global $IDX;
+
+ switch($ACT){
+ case 'show':
+ html_show();
+ break;
+ case 'preview':
+ html_edit($TEXT);
+ html_show($TEXT);
+ break;
+ case 'recover':
+ html_edit($TEXT);
+ break;
+ case 'edit':
+ html_edit();
+ break;
+ case 'draft':
+ html_draft();
+ break;
+ case 'wordblock':
+ html_edit($TEXT,'wordblock');
+ break;
+ case 'search':
+ html_search();
+ break;
+ case 'revisions':
+ $first = isset($_REQUEST['first']) ? intval($_REQUEST['first']) : 0;
+ html_revisions($first);
+ break;
+ case 'diff':
+ html_diff();
+ break;
+ case 'recent':
+ if (is_array($_REQUEST['first'])) {
+ $_REQUEST['first'] = array_keys($_REQUEST['first']);
+ $_REQUEST['first'] = $_REQUEST['first'][0];
+ }
+ $first = is_numeric($_REQUEST['first']) ? intval($_REQUEST['first']) : 0;
+ html_recent($first);
+ break;
+ case 'index':
+ html_index($IDX); #FIXME can this be pulled from globals? is it sanitized correctly?
+ break;
+ case 'backlink':
+ html_backlinks();
+ break;
+ case 'conflict':
+ html_conflict(con($PRE,$TEXT,$SUF),$SUM);
+ html_diff(con($PRE,$TEXT,$SUF),false);
+ break;
+ case 'locked':
+ html_locked();
+ html_edit();
+ break;
+ case 'login':
+ html_login();
+ break;
+ case 'register':
+ html_register();
+ break;
+ case 'resendpwd':
+ html_resendpwd();
+ break;
+ case 'denied':
+ print p_locale_xhtml('denied');
+ break;
+ case 'profile' :
+ html_updateprofile();
+ break;
+ case 'admin':
+ tpl_admin();
+ break;
+ default:
+ $evt = new Doku_Event('TPL_ACT_UNKNOWN',$ACT);
+ if ($evt->advise_before())
+ msg("Failed to handle command: ".hsc($ACT),-1);
+ $evt->advise_after();
+ unset($evt);
+ return false;
+ }
+ return true;
+}
+
+/**
+ * Places the TOC where the function is called
+ *
+ * If you use this you most probably want to call tpl_content with
+ * a false argument
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function tpl_toc($return=false){
+ global $TOC;
+ global $ACT;
+ global $ID;
+ global $REV;
+ global $INFO;
+ global $conf;
+ $toc = array();
+
+ if(is_array($TOC)){
+ // if a TOC was prepared in global scope, always use it
+ $toc = $TOC;
+ }elseif(($ACT == 'show' || substr($ACT,0,6) == 'export') && !$REV && $INFO['exists']){
+ // get TOC from metadata, render if neccessary
+ $meta = p_get_metadata($ID, false, true);
+ if(isset($meta['internal']['toc'])){
+ $tocok = $meta['internal']['toc'];
+ }else{
+ $tocok = true;
+ }
+ $toc = $meta['description']['tableofcontents'];
+ if(!$tocok || !is_array($toc) || !$conf['tocminheads'] || count($toc) < $conf['tocminheads']){
+ $toc = array();
+ }
+ }elseif($ACT == 'admin'){
+ // try to load admin plugin TOC FIXME: duplicates code from tpl_admin
+ $plugin = null;
+ $page = get_input('dokupage');
+ if (!empty($page)) {
+ $pluginlist = plugin_list('admin');
+ if (in_array($page, $pluginlist)) {
+ // attempt to load the plugin
+ $plugin =& plugin_load('admin',$page);
+ }
+ }
+ if ( ($plugin !== null) &&
+ (!$plugin->forAdminOnly() || $INFO['isadmin']) ){
+ $toc = $plugin->getTOC();
+ $TOC = $toc; // avoid later rebuild
+ }
+ }
+
+ trigger_event('TPL_TOC_RENDER', $toc, NULL, false);
+ $html = html_TOC($toc);
+ if($return) return $html;
+ echo $html;
+}
+
+/**
+ * Handle the admin page contents
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function tpl_admin(){
+ global $INFO;
+ global $TOC;
+
+ $plugin = null;
+ $page = get_input('dokupage');
+ if (!empty($page)) {
+ $pluginlist = plugin_list('admin');
+
+ if (in_array($page, $pluginlist)) {
+
+ // attempt to load the plugin
+ $plugin =& plugin_load('admin',$page);
+ }
+ }
+
+ if ($plugin !== null){
+ if($plugin->forAdminOnly() && !$INFO['isadmin']){
+ msg('For admins only',-1);
+ html_admin();
+ }else{
+ if(!is_array($TOC)) $TOC = $plugin->getTOC(); //if TOC wasn't requested yet
+ if($INFO['prependTOC']) tpl_toc();
+ $plugin->html();
+ }
+ }else{
+ html_admin();
+ }
+ return true;
+}
+
+/**
+ * Print the correct HTML meta headers
+ *
+ * This has to go into the head section of your template.
+ *
+ * @triggers TPL_METAHEADER_OUTPUT
+ * @param boolean $alt Should feeds and alternative format links be added?
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function tpl_metaheaders($alt=true){
+ global $ID;
+ global $REV;
+ global $INFO;
+ global $JSINFO;
+ global $ACT;
+ global $QUERY;
+ global $lang;
+ global $conf;
+ $it=2;
+
+ // prepare the head array
+ $head = array();
+
+ // prepare seed for js and css
+ $tseed = 0;
+ $depends = getConfigFiles('main');
+ foreach($depends as $f) {
+ $time = @filemtime($f);
+ if($time > $tseed) $tseed = $time;
+ }
+
+ // the usual stuff
+ $head['meta'][] = array( 'name'=>'generator', 'content'=>'DokuWiki '.getVersion() );
+ $head['link'][] = array( 'rel'=>'search', 'type'=>'application/opensearchdescription+xml',
+ 'href'=>DOKU_BASE.'lib/exe/opensearch.php', 'title'=>$conf['title'] );
+ $head['link'][] = array( 'rel'=>'start', 'href'=>DOKU_BASE );
+ if(actionOK('index')){
+ $head['link'][] = array( 'rel'=>'contents', 'href'=> wl($ID,'do=index',false,'&'),
+ 'title'=>$lang['btn_index'] );
+ }
+
+ if($alt){
+ $head['link'][] = array( 'rel'=>'alternate', 'type'=>'application/rss+xml',
+ 'title'=>'Recent Changes', 'href'=>DOKU_BASE.'feed.php');
+ $head['link'][] = array( 'rel'=>'alternate', 'type'=>'application/rss+xml',
+ 'title'=>'Current Namespace',
+ 'href'=>DOKU_BASE.'feed.php?mode=list&ns='.$INFO['namespace']);
+ if(($ACT == 'show' || $ACT == 'search') && $INFO['writable']){
+ $head['link'][] = array( 'rel'=>'edit',
+ 'title'=>$lang['btn_edit'],
+ 'href'=> wl($ID,'do=edit',false,'&'));
+ }
+
+ if($ACT == 'search'){
+ $head['link'][] = array( 'rel'=>'alternate', 'type'=>'application/rss+xml',
+ 'title'=>'Search Result',
+ 'href'=>DOKU_BASE.'feed.php?mode=search&q='.$QUERY);
+ }
+
+ if(actionOK('export_xhtml')){
+ $head['link'][] = array( 'rel'=>'alternate', 'type'=>'text/html', 'title'=>'Plain HTML',
+ 'href'=>exportlink($ID, 'xhtml', '', false, '&'));
+ }
+
+ if(actionOK('export_raw')){
+ $head['link'][] = array( 'rel'=>'alternate', 'type'=>'text/plain', 'title'=>'Wiki Markup',
+ 'href'=>exportlink($ID, 'raw', '', false, '&'));
+ }
+ }
+
+ // setup robot tags apropriate for different modes
+ if( ($ACT=='show' || $ACT=='export_xhtml') && !$REV){
+ if($INFO['exists']){
+ //delay indexing:
+ if((time() - $INFO['lastmod']) >= $conf['indexdelay']){
+ $head['meta'][] = array( 'name'=>'robots', 'content'=>'index,follow');
+ }else{
+ $head['meta'][] = array( 'name'=>'robots', 'content'=>'noindex,nofollow');
+ }
+ $head['link'][] = array( 'rel'=>'canonical', 'href'=>wl($ID,'',true,'&') );
+ }else{
+ $head['meta'][] = array( 'name'=>'robots', 'content'=>'noindex,follow');
+ }
+ }elseif(defined('DOKU_MEDIADETAIL')){
+ $head['meta'][] = array( 'name'=>'robots', 'content'=>'index,follow');
+ }else{
+ $head['meta'][] = array( 'name'=>'robots', 'content'=>'noindex,nofollow');
+ }
+
+ // set metadata
+ if($ACT == 'show' || $ACT=='export_xhtml'){
+ // date of modification
+ if($REV){
+ $head['meta'][] = array( 'name'=>'date', 'content'=>date('Y-m-d\TH:i:sO',$REV));
+ }else{
+ $head['meta'][] = array( 'name'=>'date', 'content'=>date('Y-m-d\TH:i:sO',$INFO['lastmod']));
+ }
+
+ // keywords (explicit or implicit)
+ if(!empty($INFO['meta']['subject'])){
+ $head['meta'][] = array( 'name'=>'keywords', 'content'=>join(',',$INFO['meta']['subject']));
+ }else{
+ $head['meta'][] = array( 'name'=>'keywords', 'content'=>str_replace(':',',',$ID));
+ }
+ }
+
+ // load stylesheets
+ $head['link'][] = array('rel'=>'stylesheet', 'media'=>'all', 'type'=>'text/css',
+ 'href'=>DOKU_BASE.'lib/exe/css.php?s=all&t='.$conf['template'].'&tseed='.$tseed);
+ $head['link'][] = array('rel'=>'stylesheet', 'media'=>'screen', 'type'=>'text/css',
+ 'href'=>DOKU_BASE.'lib/exe/css.php?t='.$conf['template'].'&tseed='.$tseed);
+ $head['link'][] = array('rel'=>'stylesheet', 'media'=>'print', 'type'=>'text/css',
+ 'href'=>DOKU_BASE.'lib/exe/css.php?s=print&t='.$conf['template'].'&tseed='.$tseed);
+
+ // make $INFO and other vars available to JavaScripts
+ require_once(DOKU_INC.'inc/JSON.php');
+ $json = new JSON();
+ $script = "var NS='".$INFO['namespace']."';";
+ if($conf['useacl'] && $_SERVER['REMOTE_USER']){
+ require_once(DOKU_INC.'inc/toolbar.php');
+ $script .= "var SIG='".toolbar_signature()."';";
+ }
+ $script .= 'var JSINFO = '.$json->encode($JSINFO).';';
+ $head['script'][] = array( 'type'=>'text/javascript', 'charset'=>'utf-8',
+ '_data'=> $script);
+
+ // load external javascript
+ $head['script'][] = array( 'type'=>'text/javascript', 'charset'=>'utf-8', '_data'=>'',
+ 'src'=>DOKU_BASE.'lib/exe/js.php'.'?tseed='.$tseed);
+
+
+ // trigger event here
+ trigger_event('TPL_METAHEADER_OUTPUT',$head,'_tpl_metaheaders_action',true);
+ return true;
+}
+
+/**
+ * prints the array build by tpl_metaheaders
+ *
+ * $data is an array of different header tags. Each tag can have multiple
+ * instances. Attributes are given as key value pairs. Values will be HTML
+ * encoded automatically so they should be provided as is in the $data array.
+ *
+ * For tags having a body attribute specify the the body data in the special
+ * attribute '_data'. This field will NOT BE ESCAPED automatically.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function _tpl_metaheaders_action($data){
+ foreach($data as $tag => $inst){
+ foreach($inst as $attr){
+ echo '<',$tag,' ',buildAttributes($attr);
+ if(isset($attr['_data']) || $tag == 'script'){
+ if($tag == 'script' && $attr['_data'])
+ $attr['_data'] = "<!--//--><![CDATA[//><!--\n".
+ $attr['_data'].
+ "\n//--><!]]>";
+
+ echo '>',$attr['_data'],'</',$tag,'>';
+ }else{
+ echo '/>';
+ }
+ echo "\n";
+ }
+ }
+}
+
+/**
+ * Print a link
+ *
+ * Just builds a link.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function tpl_link($url,$name,$more='',$return=false){
+ $out = '<a href="'.$url.'" ';
+ if ($more) $out .= ' '.$more;
+ $out .= ">$name</a>";
+ if ($return) return $out;
+ print $out;
+ return true;
+}
+
+/**
+ * Prints a link to a WikiPage
+ *
+ * Wrapper around html_wikilink
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function tpl_pagelink($id,$name=NULL){
+ print html_wikilink($id,$name);
+ return true;
+}
+
+/**
+ * get the parent page
+ *
+ * Tries to find out which page is parent.
+ * returns false if none is available
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function tpl_getparent($id){
+ global $conf;
+ $parent = getNS($id).':';
+ resolve_pageid('',$parent,$exists);
+ if($parent == $id) {
+ $pos = strrpos (getNS($id),':');
+ $parent = substr($parent,0,$pos).':';
+ resolve_pageid('',$parent,$exists);
+ if($parent == $id) return false;
+ }
+ return $parent;
+}
+
+/**
+ * Print one of the buttons
+ *
+ * Available Buttons are
+ *
+ * edit - edit/create/show/draft button
+ * history - old revisions
+ * recent - recent changes
+ * login - login/logout button - if ACL enabled
+ * profile - user profile button (if logged in)
+ * index - The index
+ * admin - admin page - if enough rights
+ * top - a back to top button
+ * back - a back to parent button - if available
+ * backlink - links to the list of backlinks
+ * subscription- subscribe/unsubscribe button
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+function tpl_button($type,$return=false){
+ global $ACT;
+ global $ID;
+ global $REV;
+ global $NS;
+ global $INFO;
+ global $conf;
+ global $auth;
+
+ // check disabled actions and fix the badly named ones
+ $ctype = $type;
+ if($type == 'history') $ctype='revisions';
+ if(!actionOK($ctype)) return false;
+
+ $out = '';
+ switch($type){
+ case 'edit':
+ #most complicated type - we need to decide on current action
+ if($ACT == 'show' || $ACT == 'search'){
+ if($INFO['writable']){
+ if(!empty($INFO['draft'])){
+ $out .= html_btn('draft',$ID,'e',array('do' => 'draft'),'post');
+ }else{
+ if($INFO['exists']){
+ $out .= html_btn('edit',$ID,'e',array('do' => 'edit','rev' => $REV),'post');
+ }else{
+ $out .= html_btn('create',$ID,'e',array('do' => 'edit','rev' => $REV),'post');
+ }
+ }
+ }else{
+ if(!actionOK('source')) return false; //pseudo action
+ $out .= html_btn('source',$ID,'v',array('do' => 'edit','rev' => $REV),'post');
+ }
+ }else{
+ $out .= html_btn('show',$ID,'v',array('do' => 'show'));
+ }
+ break;
+ case 'history':
+ if(actionOK('revisions'))
+ $out .= html_btn('revs',$ID,'o',array('do' => 'revisions'));
+ break;
+ case 'recent':
+ if(actionOK('recent'))
+ $out .= html_btn('recent',$ID,'r',array('do' => 'recent'));
+ break;
+ case 'index':
+ if(actionOK('index'))
+ $out .= html_btn('index',$ID,'x',array('do' => 'index'));
+ break;
+ case 'back':
+ if ($parent = tpl_getparent($ID)) {
+ $out .= html_btn('back',$parent,'b',array('do' => 'show'));
+ }
+ break;
+ case 'top':
+ $out .= html_topbtn();
+ break;
+ case 'login':
+ if($conf['useacl'] && $auth){
+ if(isset($_SERVER['REMOTE_USER'])){
+ $out .= html_btn('logout',$ID,'',array('do' => 'logout', 'sectok' => getSecurityToken()));
+ }else{
+ $out .= html_btn('login',$ID,'',array('do' => 'login', 'sectok' => getSecurityToken()));
+ }
+ }
+ break;
+ case 'admin':
+ if($INFO['ismanager']){
+ $out .= html_btn('admin',$ID,'',array('do' => 'admin'));
+ }
+ break;
+ case 'revert':
+ if($INFO['ismanager'] && $REV && $INFO['writable'] && actionOK('revert')){
+ $out .= html_btn('revert',$ID,'',array('do' => 'revert', 'rev' => $REV, 'sectok' => getSecurityToken()));
+ }
+ break;
+ case 'subscribe':
+ case 'subscription':
+ if($conf['useacl'] && $auth && $ACT == 'show' && $conf['subscribers'] == 1){
+ if($_SERVER['REMOTE_USER']){
+ if($INFO['subscribed']){
+ if(actionOK('unsubscribe'))
+ $out .= html_btn('unsubscribe',$ID,'',array('do' => 'unsubscribe',));
+ } else {
+ if(actionOK('subscribe'))
+ $out .= html_btn('subscribe',$ID,'',array('do' => 'subscribe',));
+ }
+ }
+ }
+ if($type == 'subscribe') break;
+ // else: fall through for backward compatibility
+ case 'subscribens':
+ if($conf['useacl'] && $auth && $ACT == 'show' && $conf['subscribers'] == 1){
+ if($_SERVER['REMOTE_USER']){
+ if($INFO['subscribedns']){
+ if(actionOK('unsubscribens'))
+ $out .= html_btn('unsubscribens',$ID,'',array('do' => 'unsubscribens',));
+ } else {
+ if(actionOK('subscribens'))
+ $out .= html_btn('subscribens',$ID,'',array('do' => 'subscribens',));
+ }
+ }
+ }
+ break;
+ case 'backlink':
+ if(actionOK('backlink'))
+ $out .= html_btn('backlink',$ID,'',array('do' => 'backlink'));
+ break;
+ case 'profile':
+ if($conf['useacl'] && isset($_SERVER['REMOTE_USER']) && $auth &&
+ $auth->canDo('Profile') && ($ACT!='profile')){
+ $out .= html_btn('profile',$ID,'',array('do' => 'profile'));
+ }
+ break;
+ default:
+ $out .= '[unknown button type]';
+ break;
+ }
+ if ($return) return $out;
+ print $out;
+ return $out ? true : false;
+}
+
+/**
+ * Like the action buttons but links
+ *
+ * Available links are
+ *
+ * edit - edit/create/show link
+ * history - old revisions
+ * recent - recent changes
+ * login - login/logout link - if ACL enabled
+ * profile - user profile link (if logged in)
+ * index - The index
+ * admin - admin page - if enough rights
+ * top - a back to top link
+ * back - a back to parent link - if available
+ * backlink - links to the list of backlinks
+ * subscribe/subscription - subscribe/unsubscribe link
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ * @see tpl_button
+ */
+function tpl_actionlink($type,$pre='',$suf='',$inner='',$return=false){
+ global $ID;
+ global $INFO;
+ global $REV;
+ global $ACT;
+ global $conf;
+ global $lang;
+ global $auth;
+
+ // check disabled actions and fix the badly named ones
+ $ctype = $type;
+ if($type == 'history') $ctype='revisions';
+ if(!actionOK($ctype)) return false;
+
+ $out = '';
+ switch($type){
+ case 'edit':
+ #most complicated type - we need to decide on current action
+ if($ACT == 'show' || $ACT == 'search'){
+ if($INFO['writable']){
+ if(!empty($INFO['draft'])) {
+ $out .= tpl_link(wl($ID,'do=draft'),
+ $pre.(($inner)?$inner:$lang['btn_draft']).$suf,
+ 'class="action edit" accesskey="e" rel="nofollow"',1);
+ } else {
+ if($INFO['exists']){
+ $out .= tpl_link(wl($ID,'do=edit&amp;rev='.$REV),
+ $pre.(($inner)?$inner:$lang['btn_edit']).$suf,
+ 'class="action edit" accesskey="e" rel="nofollow"',1);
+ }else{
+ $out .= tpl_link(wl($ID,'do=edit&amp;rev='.$REV),
+ $pre.(($inner)?$inner:$lang['btn_create']).$suf,
+ 'class="action create" accesskey="e" rel="nofollow"',1);
+ }
+ }
+ }else{
+ if(actionOK('source')) //pseudo action
+ $out .= tpl_link(wl($ID,'do=edit&amp;rev='.$REV),
+ $pre.(($inner)?$inner:$lang['btn_source']).$suf,
+ 'class="action source" accesskey="v" rel="nofollow"',1);
+ }
+ }else{
+ $out .= tpl_link(wl($ID,'do=show'),
+ $pre.(($inner)?$inner:$lang['btn_show']).$suf,
+ 'class="action show" accesskey="v" rel="nofollow"',1);
+ }
+ break;
+ case 'history':
+ if(actionOK('revisions'))
+ $out .= tpl_link(wl($ID,'do=revisions'),
+ $pre.(($inner)?$inner:$lang['btn_revs']).$suf,
+ 'class="action revisions" accesskey="o" rel="nofollow"',1);
+ break;
+ case 'recent':
+ if(actionOK('recent'))
+ $out .= tpl_link(wl($ID,'do=recent'),
+ $pre.(($inner)?$inner:$lang['btn_recent']).$suf,
+ 'class="action recent" accesskey="r" rel="nofollow"',1);
+ break;
+ case 'index':
+ if(actionOK('index'))
+ $out .= tpl_link(wl($ID,'do=index'),
+ $pre.(($inner)?$inner:$lang['btn_index']).$suf,
+ 'class="action index" accesskey="x" rel="nofollow"',1);
+ break;
+ case 'top':
+ $out .= '<a href="#dokuwiki__top" class="action top" accesskey="x">'.
+ $pre.(($inner)?$inner:$lang['btn_top']).$suf.'</a>';
+ break;
+ case 'back':
+ if ($parent = tpl_getparent($ID)) {
+ $out .= tpl_link(wl($parent,'do=show'),
+ $pre.(($inner)?$inner:$lang['btn_back']).$suf,
+ 'class="action back" accesskey="b" rel="nofollow"',1);
+ }
+ break;
+ case 'login':
+ if($conf['useacl'] && $auth){
+ if($_SERVER['REMOTE_USER']){
+ $out .= tpl_link(wl($ID,'do=logout&amp;sectok='.getSecurityToken()),
+ $pre.(($inner)?$inner:$lang['btn_logout']).$suf,
+ 'class="action logout" rel="nofollow"',1);
+ }else{
+ $out .= tpl_link(wl($ID,'do=login&amp;sectok='.getSecurityToken()),
+ $pre.(($inner)?$inner:$lang['btn_login']).$suf,
+ 'class="action login" rel="nofollow"',1);
+ }
+ }
+ break;
+ case 'admin':
+ if($INFO['ismanager']){
+ $out .= tpl_link(wl($ID,'do=admin'),
+ $pre.(($inner)?$inner:$lang['btn_admin']).$suf,
+ 'class="action admin" rel="nofollow"',1);
+ }
+ break;
+ case 'revert':
+ if($INFO['ismanager'] && $REV && $INFO['writable'] && actionOK('revert')){
+ $out .= tpl_link(wl($ID,array('do' => 'revert', 'rev' => $REV, 'sectok' => getSecurityToken())),
+ $pre.(($inner)?$inner:$lang['btn_revert']).$suf,
+ 'class="action revert" rel="nofollow"',1);
+ }
+ break;
+ case 'subscribe':
+ case 'subscription':
+ if($conf['useacl'] && $auth && $ACT == 'show' && $conf['subscribers'] == 1){
+ if($_SERVER['REMOTE_USER']){
+ if($INFO['subscribed']) {
+ if(actionOK('unsubscribe'))
+ $out .= tpl_link(wl($ID,'do=unsubscribe'),
+ $pre.(($inner)?$inner:$lang['btn_unsubscribe']).$suf,
+ 'class="action unsubscribe" rel="nofollow"',1);
+ } else {
+ if(actionOK('subscribe'))
+ $out .= tpl_link(wl($ID,'do=subscribe'),
+ $pre.(($inner)?$inner:$lang['btn_subscribe']).$suf,
+ 'class="action subscribe" rel="nofollow"',1);
+ }
+ }
+ }
+ if($type == 'subscribe') break;
+ // else: fall through for backward compatibility
+ case 'subscribens':
+ if($conf['useacl'] && $auth && $ACT == 'show' && $conf['subscribers'] == 1){
+ if($_SERVER['REMOTE_USER']){
+ if($INFO['subscribedns']) {
+ if(actionOK('unsubscribens'))
+ $out .= tpl_link(wl($ID,'do=unsubscribens'),
+ $pre.(($inner)?$inner:$lang['btn_unsubscribens']).$suf,
+ 'class="action unsubscribens" rel="nofollow"',1);
+ } else {
+ if(actionOK('subscribens'))
+ $out .= tpl_link(wl($ID,'do=subscribens'),
+ $pre.(($inner)?$inner:$lang['btn_subscribens']).$suf,
+ 'class="action subscribens" rel="nofollow"',1);
+ }
+ }
+ }
+ break;
+ case 'backlink':
+ if(actionOK('backlink'))
+ $out .= tpl_link(wl($ID,'do=backlink'),
+ $pre.(($inner)?$inner:$lang['btn_backlink']).$suf,
+ 'class="action backlink" rel="nofollow"',1);
+ break;
+ case 'profile':
+ if($conf['useacl'] && $auth && $_SERVER['REMOTE_USER'] &&
+ $auth->canDo('Profile') && ($ACT!='profile')){
+ $out .= tpl_link(wl($ID,'do=profile'),
+ $pre.(($inner)?$inner:$lang['btn_profile']).$suf,
+ 'class="action profile" rel="nofollow"',1);
+ }
+ break;
+ default:
+ $out .= '[unknown link type]';
+ break;
+ }
+ if ($return) return $out;
+ print $out;
+ return $out ? true : false;
+}
+
+/**
+ * Wrapper around tpl_button() and tpl_actionlink()
+ *
+ * @author Anika Henke <anika@selfthinker.org>
+ */
+function tpl_action($type,$link=0,$wrapper=false,$return=false,$pre='',$suf='',$inner='') {
+ $out = '';
+ if ($link) $out .= tpl_actionlink($type,$pre,$suf,$inner,1);
+ else $out .= tpl_button($type,1);
+ if ($out && $wrapper) $out = "<$wrapper>$out</$wrapper>";
+
+ if ($return) return $out;
+ print $out;
+ return $out ? true : false;
+}
+
+/**
+ * Print the search form
+ *
+ * If the first parameter is given a div with the ID 'qsearch_out' will
+ * be added which instructs the ajax pagequicksearch to kick in and place
+ * its output into this div. The second parameter controls the propritary
+ * attribute autocomplete. If set to false this attribute will be set with an
+ * value of "off" to instruct the browser to disable it's own built in
+ * autocompletion feature (MSIE and Firefox)
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function tpl_searchform($ajax=true,$autocomplete=true){
+ global $lang;
+ global $ACT;
+ global $QUERY;
+
+ // don't print the search form if search action has been disabled
+ if (!actionOk('search')) return false;
+
+ print '<form action="'.wl().'" accept-charset="utf-8" class="search" id="dw__search"><div class="no">';
+ print '<input type="hidden" name="do" value="search" />';
+ print '<input type="text" ';
+ if($ACT == 'search') print 'value="'.htmlspecialchars($QUERY).'" ';
+ if(!$autocomplete) print 'autocomplete="off" ';
+ print 'id="qsearch__in" accesskey="f" name="id" class="edit" title="[F]" />';
+ print '<input type="submit" value="'.$lang['btn_search'].'" class="button" title="'.$lang['btn_search'].'" />';
+ if($ajax) print '<div id="qsearch__out" class="ajax_qsearch JSpopup"></div>';
+ print '</div></form>';
+ return true;
+}
+
+/**
+ * Print the breadcrumbs trace
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function tpl_breadcrumbs($sep='&raquo;'){
+ global $lang;
+ global $conf;
+
+ //check if enabled
+ if(!$conf['breadcrumbs']) return false;
+
+ $crumbs = breadcrumbs(); //setup crumb trace
+
+ //reverse crumborder in right-to-left mode, add RLM character to fix heb/eng display mixups
+ if($lang['direction'] == 'rtl') {
+ $crumbs = array_reverse($crumbs,true);
+ $crumbs_sep = ' &#8207;<span class="bcsep">'.$sep.'</span>&#8207; ';
+ } else {
+ $crumbs_sep = ' <span class="bcsep">'.$sep.'</span> ';
+ }
+
+ //render crumbs, highlight the last one
+ print '<span class="bchead">'.$lang['breadcrumb'].':</span>';
+ $last = count($crumbs);
+ $i = 0;
+ foreach ($crumbs as $id => $name){
+ $i++;
+ echo $crumbs_sep;
+ if ($i == $last) print '<span class="curid">';
+ tpl_link(wl($id),hsc($name),'class="breadcrumbs" title="'.$id.'"');
+ if ($i == $last) print '</span>';
+ }
+ return true;
+}
+
+/**
+ * Hierarchical breadcrumbs
+ *
+ * This code was suggested as replacement for the usual breadcrumbs.
+ * It only makes sense with a deep site structure.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Nigel McNie <oracle.shinoda@gmail.com>
+ * @author Sean Coates <sean@caedmon.net>
+ * @author <fredrik@averpil.com>
+ * @todo May behave strangely in RTL languages
+ */
+function tpl_youarehere($sep=' &raquo; '){
+ global $conf;
+ global $ID;
+ global $lang;
+
+ // check if enabled
+ if(!$conf['youarehere']) return false;
+
+ $parts = explode(':', $ID);
+ $count = count($parts);
+
+ if($GLOBALS['ACT'] == 'search')
+ {
+ $parts = array($conf['start']);
+ $count = 1;
+ }
+
+ echo '<span class="bchead">'.$lang['youarehere'].': </span>';
+
+ // always print the startpage
+ $title = useHeading('navigation') ? p_get_first_heading($conf['start']) : $conf['start'];
+ if(!$title) $title = $conf['start'];
+ tpl_link(wl($conf['start']),hsc($title),'title="'.$conf['start'].'"');
+
+ // print intermediate namespace links
+ $part = '';
+ for($i=0; $i<$count - 1; $i++){
+ $part .= $parts[$i].':';
+ $page = $part;
+ resolve_pageid('',$page,$exists);
+ if ($page == $conf['start']) continue; // Skip startpage
+
+ // output
+ echo $sep;
+ if($exists){
+ $title = useHeading('navigation') ? p_get_first_heading($page) : $parts[$i];
+ tpl_link(wl($page),hsc($title),'title="'.$page.'"');
+ }else{
+ tpl_link(wl($page),$parts[$i],'title="'.$page.'" class="wikilink2" rel="nofollow"');
+ }
+ }
+
+ // print current page, skipping start page, skipping for namespace index
+ if(isset($page) && $page==$part.$parts[$i]) return;
+ $page = $part.$parts[$i];
+ if($page == $conf['start']) return;
+ echo $sep;
+ if(page_exists($page)){
+ $title = useHeading('navigation') ? p_get_first_heading($page) : $parts[$i];
+ tpl_link(wl($page),hsc($title),'title="'.$page.'"');
+ }else{
+ tpl_link(wl($page),$parts[$i],'title="'.$page.'" class="wikilink2" rel="nofollow"');
+ }
+ return true;
+}
+
+/**
+ * Print info if the user is logged in
+ * and show full name in that case
+ *
+ * Could be enhanced with a profile link in future?
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function tpl_userinfo(){
+ global $lang;
+ global $INFO;
+ if(isset($_SERVER['REMOTE_USER'])){
+ print $lang['loggedinas'].': '.$INFO['userinfo']['name'].' ('.$_SERVER['REMOTE_USER'].')';
+ return true;
+ }
+ return false;
+}
+
+/**
+ * Print some info about the current page
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function tpl_pageinfo($ret=false){
+ global $conf;
+ global $lang;
+ global $INFO;
+ global $ID;
+
+ // return if we are not allowed to view the page
+ if (!auth_quickaclcheck($ID)) { return false; }
+
+ // prepare date and path
+ $fn = $INFO['filepath'];
+ if(!$conf['fullpath']){
+ if($INFO['rev']){
+ $fn = str_replace(fullpath($conf['olddir']).'/','',$fn);
+ }else{
+ $fn = str_replace(fullpath($conf['datadir']).'/','',$fn);
+ }
+ }
+ $fn = utf8_decodeFN($fn);
+ $date = dformat($INFO['lastmod']);
+
+ // print it
+ if($INFO['exists']){
+ $out = '';
+ $out .= $fn;
+ $out .= ' &middot; ';
+ $out .= $lang['lastmod'];
+ $out .= ': ';
+ $out .= $date;
+ if($INFO['editor']){
+ $out .= ' '.$lang['by'].' ';
+ $out .= editorinfo($INFO['editor']);
+ }else{
+ $out .= ' ('.$lang['external_edit'].')';
+ }
+ if($INFO['locked']){
+ $out .= ' &middot; ';
+ $out .= $lang['lockedby'];
+ $out .= ': ';
+ $out .= editorinfo($INFO['locked']);
+ }
+ if($ret){
+ return $out;
+ }else{
+ echo $out;
+ return true;
+ }
+ }
+ return false;
+}
+
+/**
+ * Prints or returns the name of the given page (current one if none given).
+ *
+ * If useheading is enabled this will use the first headline else
+ * the given ID is used.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function tpl_pagetitle($id=null, $ret=false){
+ global $conf;
+ if(is_null($id)){
+ global $ID;
+ $id = $ID;
+ }
+
+ $name = $id;
+ if (useHeading('navigation')) {
+ $title = p_get_first_heading($id);
+ if ($title) $name = $title;
+ }
+
+ if ($ret) {
+ return hsc($name);
+ } else {
+ print hsc($name);
+ return true;
+ }
+}
+
+/**
+ * Returns the requested EXIF/IPTC tag from the current image
+ *
+ * If $tags is an array all given tags are tried until a
+ * value is found. If no value is found $alt is returned.
+ *
+ * Which texts are known is defined in the functions _exifTagNames
+ * and _iptcTagNames() in inc/jpeg.php (You need to prepend IPTC
+ * to the names of the latter one)
+ *
+ * Only allowed in: detail.php
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function tpl_img_getTag($tags,$alt='',$src=null){
+ // Init Exif Reader
+ global $SRC;
+
+ if(is_null($src)) $src = $SRC;
+
+ static $meta = null;
+ if(is_null($meta)) $meta = new JpegMeta($src);
+ if($meta === false) return $alt;
+ $info = $meta->getField($tags);
+ if($info == false) return $alt;
+ return $info;
+}
+
+/**
+ * Prints the image with a link to the full sized version
+ *
+ * Only allowed in: detail.php
+ */
+function tpl_img($maxwidth=0,$maxheight=0){
+ global $IMG;
+ $w = tpl_img_getTag('File.Width');
+ $h = tpl_img_getTag('File.Height');
+
+ //resize to given max values
+ $ratio = 1;
+ if($w >= $h){
+ if($maxwidth && $w >= $maxwidth){
+ $ratio = $maxwidth/$w;
+ }elseif($maxheight && $h > $maxheight){
+ $ratio = $maxheight/$h;
+ }
+ }else{
+ if($maxheight && $h >= $maxheight){
+ $ratio = $maxheight/$h;
+ }elseif($maxwidth && $w > $maxwidth){
+ $ratio = $maxwidth/$w;
+ }
+ }
+ if($ratio){
+ $w = floor($ratio*$w);
+ $h = floor($ratio*$h);
+ }
+
+ //prepare URLs
+ $url=ml($IMG,array('cache'=>$_REQUEST['cache']));
+ $src=ml($IMG,array('cache'=>$_REQUEST['cache'],'w'=>$w,'h'=>$h));
+
+ //prepare attributes
+ $alt=tpl_img_getTag('Simple.Title');
+ $p = array();
+ if($w) $p['width'] = $w;
+ if($h) $p['height'] = $h;
+ $p['class'] = 'img_detail';
+ if($alt){
+ $p['alt'] = $alt;
+ $p['title'] = $alt;
+ }else{
+ $p['alt'] = '';
+ }
+ $p = buildAttributes($p);
+
+ print '<a href="'.$url.'">';
+ print '<img src="'.$src.'" '.$p.'/>';
+ print '</a>';
+ return true;
+}
+
+/**
+ * This function inserts a 1x1 pixel gif which in reality
+ * is the indexer function.
+ *
+ * Should be called somewhere at the very end of the main.php
+ * template
+ */
+function tpl_indexerWebBug(){
+ global $ID;
+ global $INFO;
+ if(!$INFO['exists']) return false;
+
+ if(isHiddenPage($ID)) return false; //no need to index hidden pages
+
+ $p = array();
+ $p['src'] = DOKU_BASE.'lib/exe/indexer.php?id='.rawurlencode($ID).
+ '&'.time();
+ $p['width'] = 1;
+ $p['height'] = 1;
+ $p['alt'] = '';
+ $att = buildAttributes($p);
+ print "<img $att />";
+ return true;
+}
+
+// configuration methods
+/**
+ * tpl_getConf($id)
+ *
+ * use this function to access template configuration variables
+ */
+function tpl_getConf($id){
+ global $conf;
+ global $tpl_configloaded;
+
+ $tpl = $conf['template'];
+
+ if (!$tpl_configloaded){
+ $tconf = tpl_loadConfig();
+ if ($tconf !== false){
+ foreach ($tconf as $key => $value){
+ if (isset($conf['tpl'][$tpl][$key])) continue;
+ $conf['tpl'][$tpl][$key] = $value;
+ }
+ $tpl_configloaded = true;
+ }
+ }
+
+ return $conf['tpl'][$tpl][$id];
+}
+
+/**
+ * tpl_loadConfig()
+ * reads all template configuration variables
+ * this function is automatically called by tpl_getConf()
+ */
+function tpl_loadConfig(){
+
+ $file = DOKU_TPLINC.'/conf/default.php';
+ $conf = array();
+
+ if (!@file_exists($file)) return false;
+
+ // load default config file
+ include($file);
+
+ return $conf;
+}
+
+/**
+ * prints the "main content" in the mediamanger popup
+ *
+ * Depending on the user's actions this may be a list of
+ * files in a namespace, the meta editing dialog or
+ * a message of referencing pages
+ *
+ * Only allowed in mediamanager.php
+ *
+ * @triggers MEDIAMANAGER_CONTENT_OUTPUT
+ * @param bool $fromajax - set true when calling this function via ajax
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function tpl_mediaContent($fromajax=false){
+ global $IMG;
+ global $AUTH;
+ global $INUSE;
+ global $NS;
+ global $JUMPTO;
+
+ if(is_array($_REQUEST['do'])){
+ $do = array_shift(array_keys($_REQUEST['do']));
+ }else{
+ $do = $_REQUEST['do'];
+ }
+ if(in_array($do,array('save','cancel'))) $do = '';
+
+ if(!$do){
+ if($_REQUEST['edit']){
+ $do = 'metaform';
+ }elseif(is_array($INUSE)){
+ $do = 'filesinuse';
+ }else{
+ $do = 'filelist';
+ }
+ }
+
+ // output the content pane, wrapped in an event.
+ if(!$fromajax) ptln('<div id="media__content">');
+ $data = array( 'do' => $do);
+ $evt = new Doku_Event('MEDIAMANAGER_CONTENT_OUTPUT', $data);
+ if ($evt->advise_before()) {
+ $do = $data['do'];
+ if($do == 'metaform'){
+ media_metaform($IMG,$AUTH);
+ }elseif($do == 'filesinuse'){
+ media_filesinuse($INUSE,$IMG);
+ }elseif($do == 'filelist'){
+ media_filelist($NS,$AUTH,$JUMPTO);
+ }elseif($do == 'searchlist'){
+ media_searchlist($_REQUEST['q'],$NS,$AUTH);
+ }else{
+ msg('Unknown action '.hsc($do),-1);
+ }
+ }
+ $evt->advise_after();
+ unset($evt);
+ if(!$fromajax) ptln('</div>');
+
+}
+
+/**
+ * prints the namespace tree in the mediamanger popup
+ *
+ * Only allowed in mediamanager.php
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function tpl_mediaTree(){
+ global $NS;
+
+ ptln('<div id="media__tree">');
+ media_nstree($NS);
+ ptln('</div>');
+}
+
+
+/**
+ * Print a dropdown menu with all DokuWiki actions
+ *
+ * Note: this will not use any pretty URLs
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function tpl_actiondropdown($empty='',$button='&gt;'){
+ global $ID;
+ global $INFO;
+ global $REV;
+ global $ACT;
+ global $conf;
+ global $lang;
+ global $auth;
+
+
+ echo '<form method="post" accept-charset="utf-8">'; #FIXME action
+ echo '<input type="hidden" name="id" value="'.$ID.'" />';
+ if($REV) echo '<input type="hidden" name="rev" value="'.$REV.'" />';
+ echo '<input type="hidden" name="sectok" value="'.getSecurityToken().'" />';
+
+ echo '<select name="do" id="action__selector" class="edit">';
+ echo '<option value="">'.$empty.'</option>';
+
+ echo '<optgroup label=" &mdash; ">';
+ // 'edit' - most complicated type, we need to decide on current action
+ if($ACT == 'show' || $ACT == 'search'){
+ if($INFO['writable']){
+ if(!empty($INFO['draft'])) {
+ echo '<option value="edit">'.$lang['btn_draft'].'</option>';
+ } else {
+ if($INFO['exists']){
+ echo '<option value="edit">'.$lang['btn_edit'].'</option>';
+ }else{
+ echo '<option value="edit">'.$lang['btn_create'].'</option>';
+ }
+ }
+ }else if(actionOK('source')) { //pseudo action
+ echo '<option value="edit">'.$lang['btn_source'].'</option>';
+ }
+ }else{
+ echo '<option value="show">'.$lang['btn_show'].'</option>';
+ }
+
+ echo '<option value="revisions">'.$lang['btn_revs'].'</option>';
+ if($INFO['ismanager'] && $REV && $INFO['writable'] && actionOK('revert')){
+ echo '<option value="revert">'.$lang['btn_revert'].'</option>';
+ }
+ echo '<option value="backlink">'.$lang['btn_backlink'].'</option>';
+ echo '</optgroup>';
+
+ echo '<optgroup label=" &mdash; ">';
+ echo '<option value="recent">'.$lang['btn_recent'].'</option>';
+ echo '<option value="index">'.$lang['btn_index'].'</option>';
+ echo '</optgroup>';
+
+ echo '<optgroup label=" &mdash; ">';
+ if($conf['useacl'] && $auth){
+ if($_SERVER['REMOTE_USER']){
+ echo '<option value="logout">'.$lang['btn_logout'].'</option>';
+ }else{
+ echo '<option value="login">'.$lang['btn_login'].'</option>';
+ }
+ }
+
+ if($conf['useacl'] && $auth && $_SERVER['REMOTE_USER'] &&
+ $auth->canDo('Profile') && ($ACT!='profile')){
+ echo '<option value="profile">'.$lang['btn_profile'].'</option>';
+ }
+
+ if($conf['useacl'] && $auth && $ACT == 'show' && $conf['subscribers'] == 1){
+ if($_SERVER['REMOTE_USER']){
+ if($INFO['subscribed']) {
+ echo '<option value="unsubscribe">'.$lang['btn_unsubscribe'].'</option>';
+ } else {
+ echo '<option value="subscribe">'.$lang['btn_subscribe'].'</option>';
+ }
+ }
+ }
+
+ if($conf['useacl'] && $auth && $ACT == 'show' && $conf['subscribers'] == 1){
+ if($_SERVER['REMOTE_USER']){
+ if($INFO['subscribedns']) {
+ echo '<option value="unsubscribens">'.$lang['btn_unsubscribens'].'</option>';
+ } else {
+ echo '<option value="subscribens">'.$lang['btn_subscribens'].'</option>';
+ }
+ }
+ }
+
+ if($INFO['ismanager']){
+ echo '<option value="admin">'.$lang['btn_admin'].'</option>';
+ }
+ echo '</optgroup>';
+
+ echo '</select>';
+ echo '<input type="submit" value="'.$button.'" id="action__selectorbtn" />';
+ echo '</form>';
+}
+
+/**
+ * Print a informational line about the used license
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @param string $img - print image? (|button|badge)
+ * @param bool $return - when true don't print, but return HTML
+ */
+function tpl_license($img='badge',$imgonly=false,$return=false){
+ global $license;
+ global $conf;
+ global $lang;
+ if(!$conf['license']) return '';
+ if(!is_array($license[$conf['license']])) return '';
+ $lic = $license[$conf['license']];
+
+ $out = '<div class="license">';
+ if($img){
+ $src = license_img($img);
+ if($src){
+ $out .= '<a href="'.$lic['url'].'" rel="license"';
+ if($conf['target']['external']) $out .= ' target="'.$conf['target']['external'].'"';
+ $out .= '><img src="'.DOKU_BASE.$src.'" class="medialeft lic'.$img.'" alt="'.$lic['name'].'" /></a> ';
+ }
+ }
+ if(!$imgonly) {
+ $out .= $lang['license'];
+ $out .= '<a href="'.$lic['url'].'" rel="license" class="urlextern"';
+ if(isset($conf['target']['external'])) $out .= ' target="'.$conf['target']['external'].'"';
+ $out .= '>'.$lic['name'].'</a>';
+ }
+ $out .= '</div>';
+
+ if($return) return $out;
+ echo $out;
+}
+
+
+/**
+ * Includes the rendered XHTML of a given page
+ *
+ * This function is useful to populate sidebars or similar features in a
+ * template
+ */
+function tpl_include_page($pageid,$print=true){
+ global $ID;
+ $oldid = $ID;
+ $html = p_wiki_xhtml($pageid,'',false);
+ $ID = $oldid;
+
+ if(!$print) return $html;
+ echo $html;
+}
+
+//Setup VIM: ex: et ts=4 enc=utf-8 :
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/toolbar.php b/mod/dokuwiki/vendors/dokuwiki/inc/toolbar.php
new file mode 100644
index 000000000..9140970d1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/toolbar.php
@@ -0,0 +1,241 @@
+<?php
+/**
+ * Editing toolbar functions
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+
+if(!defined('DOKU_INC')) die('meh.');
+require_once(DOKU_INC.'inc/JSON.php');
+
+
+/**
+ * Prepares and prints an JavaScript array with all toolbar buttons
+ *
+ * @emits TOOLBAR_DEFINE
+ * @param string $varname Name of the JS variable to fill
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function toolbar_JSdefines($varname){
+ global $ID;
+ global $conf;
+ global $lang;
+
+ $menu = array();
+
+ $evt = new Doku_Event('TOOLBAR_DEFINE', $menu);
+ if ($evt->advise_before()){
+
+ // build button array
+ $menu = array_merge($menu, array(
+ array(
+ 'type' => 'format',
+ 'title' => $lang['qb_bold'],
+ 'icon' => 'bold.png',
+ 'key' => 'b',
+ 'open' => '**',
+ 'close' => '**',
+ ),
+ array(
+ 'type' => 'format',
+ 'title' => $lang['qb_italic'],
+ 'icon' => 'italic.png',
+ 'key' => 'i',
+ 'open' => '//',
+ 'close' => '//',
+ ),
+ array(
+ 'type' => 'format',
+ 'title' => $lang['qb_underl'],
+ 'icon' => 'underline.png',
+ 'key' => 'u',
+ 'open' => '__',
+ 'close' => '__',
+ ),
+ array(
+ 'type' => 'format',
+ 'title' => $lang['qb_code'],
+ 'icon' => 'mono.png',
+ 'key' => 'c',
+ 'open' => "''",
+ 'close' => "''",
+ ),
+ array(
+ 'type' => 'format',
+ 'title' => $lang['qb_strike'],
+ 'icon' => 'strike.png',
+ 'key' => 'd',
+ 'open' => '<del>',
+ 'close' => '</del>',
+ ),
+
+ array(
+ 'type' => 'autohead',
+ 'title' => $lang['qb_hequal'],
+ 'icon' => 'hequal.png',
+ 'key' => '8',
+ 'text' => $lang['qb_h'],
+ 'mod' => 0
+ ),
+ array(
+ 'type' => 'autohead',
+ 'title' => $lang['qb_hminus'],
+ 'icon' => 'hminus.png',
+ 'key' => '9',
+ 'text' => $lang['qb_h'],
+ 'mod' => 1
+ ),
+ array(
+ 'type' => 'autohead',
+ 'title' => $lang['qb_hplus'],
+ 'icon' => 'hplus.png',
+ 'key' => '0',
+ 'text' => $lang['qb_h'],
+ 'mod' => -1
+ ),
+
+ array(
+ 'type' => 'picker',
+ 'title' => $lang['qb_hs'],
+ 'icon' => 'h.png',
+ 'class' => 'pk_hl',
+ 'list' => array(
+ array(
+ 'type' => 'format',
+ 'title' => $lang['qb_h1'],
+ 'icon' => 'h1.png',
+ 'key' => '1',
+ 'open' => '====== ',
+ 'close' => ' ======\n',
+ ),
+ array(
+ 'type' => 'format',
+ 'title' => $lang['qb_h2'],
+ 'icon' => 'h2.png',
+ 'key' => '2',
+ 'open' => '===== ',
+ 'close' => ' =====\n',
+ ),
+ array(
+ 'type' => 'format',
+ 'title' => $lang['qb_h3'],
+ 'icon' => 'h3.png',
+ 'key' => '3',
+ 'open' => '==== ',
+ 'close' => ' ====\n',
+ ),
+ array(
+ 'type' => 'format',
+ 'title' => $lang['qb_h4'],
+ 'icon' => 'h4.png',
+ 'key' => '4',
+ 'open' => '=== ',
+ 'close' => ' ===\n',
+ ),
+ array(
+ 'type' => 'format',
+ 'title' => $lang['qb_h5'],
+ 'icon' => 'h5.png',
+ 'key' => '5',
+ 'open' => '== ',
+ 'close' => ' ==\n',
+ ),
+ )
+ ),
+
+ array(
+ 'type' => 'linkwiz',
+ 'title' => $lang['qb_link'],
+ 'icon' => 'link.png',
+ 'key' => 'l',
+ 'open' => '[[',
+ 'close' => ']]',
+ ),
+ array(
+ 'type' => 'format',
+ 'title' => $lang['qb_extlink'],
+ 'icon' => 'linkextern.png',
+ 'open' => '[[',
+ 'close' => ']]',
+ 'sample' => 'http://example.com|'.$lang['qb_extlink'],
+ ),
+ array(
+ 'type' => 'formatln',
+ 'title' => $lang['qb_ol'],
+ 'icon' => 'ol.png',
+ 'open' => ' - ',
+ 'close' => '',
+ 'key' => '-',
+ ),
+ array(
+ 'type' => 'formatln',
+ 'title' => $lang['qb_ul'],
+ 'icon' => 'ul.png',
+ 'open' => ' * ',
+ 'close' => '',
+ 'key' => '.',
+ ),
+ array(
+ 'type' => 'insert',
+ 'title' => $lang['qb_hr'],
+ 'icon' => 'hr.png',
+ 'insert' => '\n----\n',
+ ),
+ array(
+ 'type' => 'mediapopup',
+ 'title' => $lang['qb_media'],
+ 'icon' => 'image.png',
+ 'url' => 'lib/exe/mediamanager.php?ns=',
+ 'name' => 'mediaselect',
+ 'options'=> 'width=750,height=500,left=20,top=20,scrollbars=yes,resizable=yes',
+ ),
+ array(
+ 'type' => 'picker',
+ 'title' => $lang['qb_smileys'],
+ 'icon' => 'smiley.png',
+ 'list' => getSmileys(),
+ 'icobase'=> 'smileys',
+ ),
+ array(
+ 'type' => 'picker',
+ 'title' => $lang['qb_chars'],
+ 'icon' => 'chars.png',
+ 'list' => explode(' ','脌 脿 脕 谩 脗 芒 脙 茫 脛 盲 菎 菐 膫 膬 脜 氓 膧 膩 膭 膮 脝 忙 膯 膰 脟 莽 膶 膷 膱 膲 膴 膵 脨 膽 冒 膸 膹 脠 猫 脡 茅 脢 锚 脣 毛 臍 臎 膾 膿 臇 臈 臉 臋 蘑 模 臏 臐 臑 臒 臓 摹 膜 磨 脤 矛 脥 铆 脦 卯 脧 茂 菑 菒 莫 墨 陌 谋 漠 寞 拇 牡 亩 姆 墓 暮 幕 募 慕 木 艁 艂 目 艀 艃 艅 脩 帽 艆 艈 艊 艌 脪 貌 脫 贸 脭 么 脮 玫 脰 枚 菓 菕 艑 艒 艕 艖 艗 艙 脴 酶 艛 艜 艝 艞 艠 艡 艢 艣 艦 艧 艩 拧 艤 艥 泞 牛 扭 钮 脵 霉 脷 煤 脹 没 脺 眉 菗 菙 努 怒 弄 奴 女 暖 菛 菢 菤 菧 挪 懦 虐 疟 糯 诺 脻 媒 鸥 每 哦 欧 殴 藕 沤 啪 呕 偶 脼 镁 脽 摩 魔 驴 隆 垄 拢 陇 楼 鈧 娄 搂 陋 卢 炉 掳 卤 梅 鈥 录 陆 戮 鹿 虏 鲁 碌 露 鈥 鈥 路 鈥 潞 鈭 鈭 鈭 茝 蓹 鈭 鈭 鈭 鈭 鈭 鈭 鈭 鈥 鈭 鈭 鈭 鈭 鈭 鈭 鈭 鈭 鈭 鈭 鈭 鈭 鈭 鈮 鈮 鈮 鈮 鈮 鈮 鈯 鈯 鈯 鈯 鈯 鈯 鈯 鈯 鈰 鈼 鈩 鈩 鈩 鈩 鈾 鈾 鈾 鈾 伪 尾 螕 纬 螖 未 蔚 味 畏 螛 胃 喂 魏 螞 位 渭 螢 尉 螤 蟺 蟻 危 蟽 韦 蟿 蠀 桅 蠁 蠂 唯 蠄 惟 蠅 鈽 鈽 鈽 鈽 鈽 鈽 鈽 鈽 鈽 鈽 鈽 鉁 鉁 脳 鈥 鈥 鈥 鈥 鈥 鈥 芦 禄 鈥 鈥 鈥 鈥 鈥 鈫 鈫 鈫 鈫 鈫 鈬 鈬 鈬 鈬 鈬 漏 鈩 庐 鈥 鈥 [ ] { } ~ ( ) % 搂 $ # | @'),
+ ),
+ array(
+ 'type' => 'signature',
+ 'title' => $lang['qb_sig'],
+ 'icon' => 'sig.png',
+ 'key' => 'y',
+ ),
+ ));
+ } // end event TOOLBAR_DEFINE default action
+ $evt->advise_after();
+ unset($evt);
+
+ // use JSON to build the JavaScript array
+ $json = new JSON();
+ print "var $varname = ".$json->encode($menu).";\n";
+}
+
+/**
+ * prepares the signature string as configured in the config
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function toolbar_signature(){
+ global $conf;
+ global $INFO;
+
+ $sig = $conf['signature'];
+ $sig = strftime($sig);
+ $sig = str_replace('@USER@',$_SERVER['REMOTE_USER'],$sig);
+ $sig = str_replace('@NAME@',$INFO['userinfo']['name'],$sig);
+ $sig = str_replace('@MAIL@',$INFO['userinfo']['mail'],$sig);
+ $sig = str_replace('@DATE@',dformat(),$sig);
+ $sig = str_replace('\\\\n','\\n',addslashes($sig));
+ return $sig;
+}
+
+//Setup VIM: ex: et ts=4 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/inc/utf8.php b/mod/dokuwiki/vendors/dokuwiki/inc/utf8.php
new file mode 100644
index 000000000..b078540d2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/inc/utf8.php
@@ -0,0 +1,1657 @@
+<?php
+/**
+ * UTF8 helper functions
+ *
+ * @license LGPL (http://www.gnu.org/copyleft/lesser.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+
+/**
+ * check for mb_string support
+ */
+if(!defined('UTF8_MBSTRING')){
+ if(function_exists('mb_substr') && !defined('UTF8_NOMBSTRING')){
+ define('UTF8_MBSTRING',1);
+ }else{
+ define('UTF8_MBSTRING',0);
+ }
+}
+
+if(UTF8_MBSTRING){ mb_internal_encoding('UTF-8'); }
+
+if(!function_exists('utf8_encodeFN')){
+ /**
+ * URL-Encode a filename to allow unicodecharacters
+ *
+ * Slashes are not encoded
+ *
+ * When the second parameter is true the string will
+ * be encoded only if non ASCII characters are detected -
+ * This makes it safe to run it multiple times on the
+ * same string (default is true)
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @see urlencode
+ */
+ function utf8_encodeFN($file,$safe=true){
+ if($safe && preg_match('#^[a-zA-Z0-9/_\-.%]+$#',$file)){
+ return $file;
+ }
+ $file = urlencode($file);
+ $file = str_replace('%2F','/',$file);
+ return $file;
+ }
+}
+
+if(!function_exists('utf8_decodeFN')){
+ /**
+ * URL-Decode a filename
+ *
+ * This is just a wrapper around urldecode
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @see urldecode
+ */
+ function utf8_decodeFN($file){
+ $file = urldecode($file);
+ return $file;
+ }
+}
+
+if(!function_exists('utf8_isASCII')){
+ /**
+ * Checks if a string contains 7bit ASCII only
+ *
+ * @author Andreas Haerter <netzmeister@andreas-haerter.de>
+ */
+ function utf8_isASCII($str){
+ return (preg_match('/(?:[^\x00-\x7F])/', $str) !== 1);
+ }
+}
+
+if(!function_exists('utf8_strip')){
+ /**
+ * Strips all highbyte chars
+ *
+ * Returns a pure ASCII7 string
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function utf8_strip($str){
+ $ascii = '';
+ $len = strlen($str);
+ for($i=0; $i<$len; $i++){
+ if(ord($str{$i}) <128){
+ $ascii .= $str{$i};
+ }
+ }
+ return $ascii;
+ }
+}
+
+if(!function_exists('utf8_check')){
+ /**
+ * Tries to detect if a string is in Unicode encoding
+ *
+ * @author <bmorel@ssi.fr>
+ * @link http://www.php.net/manual/en/function.utf8-encode.php
+ */
+ function utf8_check($Str) {
+ $len = strlen($Str);
+ for ($i=0; $i<$len; $i++) {
+ $b = ord($Str[$i]);
+ if ($b < 0x80) continue; # 0bbbbbbb
+ elseif (($b & 0xE0) == 0xC0) $n=1; # 110bbbbb
+ elseif (($b & 0xF0) == 0xE0) $n=2; # 1110bbbb
+ elseif (($b & 0xF8) == 0xF0) $n=3; # 11110bbb
+ elseif (($b & 0xFC) == 0xF8) $n=4; # 111110bb
+ elseif (($b & 0xFE) == 0xFC) $n=5; # 1111110b
+ else return false; # Does not match any model
+
+ for ($j=0; $j<$n; $j++) { # n bytes matching 10bbbbbb follow ?
+ if ((++$i == $len) || ((ord($Str[$i]) & 0xC0) != 0x80))
+ return false;
+ }
+ }
+ return true;
+ }
+}
+
+if(!function_exists('utf8_strlen')){
+ /**
+ * Unicode aware replacement for strlen()
+ *
+ * utf8_decode() converts characters that are not in ISO-8859-1
+ * to '?', which, for the purpose of counting, is alright - It's
+ * even faster than mb_strlen.
+ *
+ * @author <chernyshevsky at hotmail dot com>
+ * @see strlen()
+ * @see utf8_decode()
+ */
+ function utf8_strlen($string){
+ return strlen(utf8_decode($string));
+ }
+}
+
+if(!function_exists('utf8_substr')){
+ /**
+ * UTF-8 aware alternative to substr
+ *
+ * Return part of a string given character offset (and optionally length)
+ *
+ * @author Harry Fuecks <hfuecks@gmail.com>
+ * @author Chris Smith <chris@jalakai.co.uk>
+ * @param string
+ * @param integer number of UTF-8 characters offset (from left)
+ * @param integer (optional) length in UTF-8 characters from offset
+ * @return mixed string or false if failure
+ */
+ function utf8_substr($str, $offset, $length = null) {
+ if(UTF8_MBSTRING){
+ if( $length === null ){
+ return mb_substr($str, $offset);
+ }else{
+ return mb_substr($str, $offset, $length);
+ }
+ }
+
+ /*
+ * Notes:
+ *
+ * no mb string support, so we'll use pcre regex's with 'u' flag
+ * pcre only supports repetitions of less than 65536, in order to accept up to MAXINT values for
+ * offset and length, we'll repeat a group of 65535 characters when needed (ok, up to MAXINT-65536)
+ *
+ * substr documentation states false can be returned in some cases (e.g. offset > string length)
+ * mb_substr never returns false, it will return an empty string instead.
+ *
+ * calculating the number of characters in the string is a relatively expensive operation, so
+ * we only carry it out when necessary. It isn't necessary for +ve offsets and no specified length
+ */
+
+ // cast parameters to appropriate types to avoid multiple notices/warnings
+ $str = (string)$str; // generates E_NOTICE for PHP4 objects, but not PHP5 objects
+ $offset = (int)$offset;
+ if (!is_null($length)) $length = (int)$length;
+
+ // handle trivial cases
+ if ($length === 0) return '';
+ if ($offset < 0 && $length < 0 && $length < $offset) return '';
+
+ $offset_pattern = '';
+ $length_pattern = '';
+
+ // normalise -ve offsets (we could use a tail anchored pattern, but they are horribly slow!)
+ if ($offset < 0) {
+ $strlen = strlen(utf8_decode($str)); // see notes
+ $offset = $strlen + $offset;
+ if ($offset < 0) $offset = 0;
+ }
+
+ // establish a pattern for offset, a non-captured group equal in length to offset
+ if ($offset > 0) {
+ $Ox = (int)($offset/65535);
+ $Oy = $offset%65535;
+
+ if ($Ox) $offset_pattern = '(?:.{65535}){'.$Ox.'}';
+ $offset_pattern = '^(?:'.$offset_pattern.'.{'.$Oy.'})';
+ } else {
+ $offset_pattern = '^'; // offset == 0; just anchor the pattern
+ }
+
+ // establish a pattern for length
+ if (is_null($length)) {
+ $length_pattern = '(.*)$'; // the rest of the string
+ } else {
+
+ if (!isset($strlen)) $strlen = strlen(utf8_decode($str)); // see notes
+ if ($offset > $strlen) return ''; // another trivial case
+
+ if ($length > 0) {
+
+ $length = min($strlen-$offset, $length); // reduce any length that would go passed the end of the string
+
+ $Lx = (int)($length/65535);
+ $Ly = $length%65535;
+
+ // +ve length requires ... a captured group of length characters
+ if ($Lx) $length_pattern = '(?:.{65535}){'.$Lx.'}';
+ $length_pattern = '('.$length_pattern.'.{'.$Ly.'})';
+
+ } else if ($length < 0) {
+
+ if ($length < ($offset - $strlen)) return '';
+
+ $Lx = (int)((-$length)/65535);
+ $Ly = (-$length)%65535;
+
+ // -ve length requires ... capture everything except a group of -length characters
+ // anchored at the tail-end of the string
+ if ($Lx) $length_pattern = '(?:.{65535}){'.$Lx.'}';
+ $length_pattern = '(.*)(?:'.$length_pattern.'.{'.$Ly.'})$';
+ }
+ }
+
+ if (!preg_match('#'.$offset_pattern.$length_pattern.'#us',$str,$match)) return '';
+ return $match[1];
+ }
+}
+
+if(!function_exists('utf8_substr_replace')){
+ /**
+ * Unicode aware replacement for substr_replace()
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @see substr_replace()
+ */
+ function utf8_substr_replace($string, $replacement, $start , $length=0 ){
+ $ret = '';
+ if($start>0) $ret .= utf8_substr($string, 0, $start);
+ $ret .= $replacement;
+ $ret .= utf8_substr($string, $start+$length);
+ return $ret;
+ }
+}
+
+if(!function_exists('utf8_ltrim')){
+ /**
+ * Unicode aware replacement for ltrim()
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @see ltrim()
+ * @return string
+ */
+ function utf8_ltrim($str,$charlist=''){
+ if($charlist == '') return ltrim($str);
+
+ //quote charlist for use in a characterclass
+ $charlist = preg_replace('!([\\\\\\-\\]\\[/])!','\\\${1}',$charlist);
+
+ return preg_replace('/^['.$charlist.']+/u','',$str);
+ }
+}
+
+if(!function_exists('utf8_rtrim')){
+ /**
+ * Unicode aware replacement for rtrim()
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @see rtrim()
+ * @return string
+ */
+ function utf8_rtrim($str,$charlist=''){
+ if($charlist == '') return rtrim($str);
+
+ //quote charlist for use in a characterclass
+ $charlist = preg_replace('!([\\\\\\-\\]\\[/])!','\\\${1}',$charlist);
+
+ return preg_replace('/['.$charlist.']+$/u','',$str);
+ }
+}
+
+if(!function_exists('utf8_trim')){
+ /**
+ * Unicode aware replacement for trim()
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @see trim()
+ * @return string
+ */
+ function utf8_trim($str,$charlist='') {
+ if($charlist == '') return trim($str);
+
+ return utf8_ltrim(utf8_rtrim($str,$charlist),$charlist);
+ }
+}
+
+if(!function_exists('utf8_strtolower')){
+ /**
+ * This is a unicode aware replacement for strtolower()
+ *
+ * Uses mb_string extension if available
+ *
+ * @author Leo Feyer <leo@typolight.org>
+ * @see strtolower()
+ * @see utf8_strtoupper()
+ */
+ function utf8_strtolower($string){
+ if(UTF8_MBSTRING) return mb_strtolower($string,'utf-8');
+
+ global $UTF8_UPPER_TO_LOWER;
+ return strtr($string,$UTF8_UPPER_TO_LOWER);
+ }
+}
+
+if(!function_exists('utf8_strtoupper')){
+ /**
+ * This is a unicode aware replacement for strtoupper()
+ *
+ * Uses mb_string extension if available
+ *
+ * @author Leo Feyer <leo@typolight.org>
+ * @see strtoupper()
+ * @see utf8_strtoupper()
+ */
+ function utf8_strtoupper($string){
+ if(UTF8_MBSTRING) return mb_strtoupper($string,'utf-8');
+
+ global $UTF8_LOWER_TO_UPPER;
+ return strtr($string,$UTF8_LOWER_TO_UPPER);
+ }
+}
+
+if(!function_exists('utf8_ucfirst')){
+ /**
+ * UTF-8 aware alternative to ucfirst
+ * Make a string's first character uppercase
+ *
+ * @author Harry Fuecks
+ * @param string
+ * @return string with first character as upper case (if applicable)
+ */
+ function utf8_ucfirst($str){
+ switch ( utf8_strlen($str) ) {
+ case 0:
+ return '';
+ case 1:
+ return utf8_strtoupper($str);
+ default:
+ preg_match('/^(.{1})(.*)$/us', $str, $matches);
+ return utf8_strtoupper($matches[1]).$matches[2];
+ }
+ }
+}
+
+if(!function_exists('utf8_ucwords')){
+ /**
+ * UTF-8 aware alternative to ucwords
+ * Uppercase the first character of each word in a string
+ *
+ * @author Harry Fuecks
+ * @param string
+ * @return string with first char of each word uppercase
+ * @see http://www.php.net/ucwords
+ */
+ function utf8_ucwords($str) {
+ // Note: [\x0c\x09\x0b\x0a\x0d\x20] matches;
+ // form feeds, horizontal tabs, vertical tabs, linefeeds and carriage returns
+ // This corresponds to the definition of a "word" defined at http://www.php.net/ucwords
+ $pattern = '/(^|([\x0c\x09\x0b\x0a\x0d\x20]+))([^\x0c\x09\x0b\x0a\x0d\x20]{1})[^\x0c\x09\x0b\x0a\x0d\x20]*/u';
+
+ return preg_replace_callback($pattern, 'utf8_ucwords_callback',$str);
+ }
+
+ /**
+ * Callback function for preg_replace_callback call in utf8_ucwords
+ * You don't need to call this yourself
+ *
+ * @author Harry Fuecks
+ * @param array of matches corresponding to a single word
+ * @return string with first char of the word in uppercase
+ * @see utf8_ucwords
+ * @see utf8_strtoupper
+ */
+ function utf8_ucwords_callback($matches) {
+ $leadingws = $matches[2];
+ $ucfirst = utf8_strtoupper($matches[3]);
+ $ucword = utf8_substr_replace(ltrim($matches[0]),$ucfirst,0,1);
+ return $leadingws . $ucword;
+ }
+}
+
+if(!function_exists('utf8_deaccent')){
+ /**
+ * Replace accented UTF-8 characters by unaccented ASCII-7 equivalents
+ *
+ * Use the optional parameter to just deaccent lower ($case = -1) or upper ($case = 1)
+ * letters. Default is to deaccent both cases ($case = 0)
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function utf8_deaccent($string,$case=0){
+ if($case <= 0){
+ global $UTF8_LOWER_ACCENTS;
+ $string = strtr($string,$UTF8_LOWER_ACCENTS);
+ }
+ if($case >= 0){
+ global $UTF8_UPPER_ACCENTS;
+ $string = strtr($string,$UTF8_UPPER_ACCENTS);
+ }
+ return $string;
+ }
+}
+
+if(!function_exists('utf8_romanize')){
+ /**
+ * Romanize a non-latin string
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function utf8_romanize($string){
+ if(utf8_isASCII($string)) return $string; //nothing to do
+
+ global $UTF8_ROMANIZATION;
+ return strtr($string,$UTF8_ROMANIZATION);
+ }
+}
+
+if(!function_exists('utf8_stripspecials')){
+ /**
+ * Removes special characters (nonalphanumeric) from a UTF-8 string
+ *
+ * This function adds the controlchars 0x00 to 0x19 to the array of
+ * stripped chars (they are not included in $UTF8_SPECIAL_CHARS)
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @param string $string The UTF8 string to strip of special chars
+ * @param string $repl Replace special with this string
+ * @param string $additional Additional chars to strip (used in regexp char class)
+ */
+ function utf8_stripspecials($string,$repl='',$additional=''){
+ global $UTF8_SPECIAL_CHARS;
+ global $UTF8_SPECIAL_CHARS2;
+
+ static $specials = null;
+ if(is_null($specials)){
+ #$specials = preg_quote(unicode_to_utf8($UTF8_SPECIAL_CHARS), '/');
+ $specials = preg_quote($UTF8_SPECIAL_CHARS2, '/');
+ }
+
+ return preg_replace('/['.$additional.'\x00-\x19'.$specials.']/u',$repl,$string);
+ }
+}
+
+if(!function_exists('utf8_strpos')){
+ /**
+ * This is an Unicode aware replacement for strpos
+ *
+ * @author Leo Feyer <leo@typolight.org>
+ * @see strpos()
+ * @param string
+ * @param string
+ * @param integer
+ * @return integer
+ */
+ function utf8_strpos($haystack, $needle, $offset=0){
+ $comp = 0;
+ $length = null;
+
+ while (is_null($length) || $length < $offset) {
+ $pos = strpos($haystack, $needle, $offset + $comp);
+
+ if ($pos === false)
+ return false;
+
+ $length = utf8_strlen(substr($haystack, 0, $pos));
+
+ if ($length < $offset)
+ $comp = $pos - $length;
+ }
+
+ return $length;
+ }
+}
+
+if(!function_exists('utf8_tohtml')){
+ /**
+ * Encodes UTF-8 characters to HTML entities
+ *
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ * @author <vpribish at shopping dot com>
+ * @link http://www.php.net/manual/en/function.utf8-decode.php
+ */
+ function utf8_tohtml ($str) {
+ $ret = '';
+ foreach (utf8_to_unicode($str) as $cp) {
+ if ($cp < 0x80)
+ $ret .= chr($cp);
+ elseif ($cp < 0x100)
+ $ret .= "&#$cp;";
+ else
+ $ret .= '&#x'.dechex($cp).';';
+ }
+ return $ret;
+ }
+}
+
+if(!function_exists('utf8_unhtml')){
+ /**
+ * Decodes HTML entities to UTF-8 characters
+ *
+ * Convert any &#..; entity to a codepoint,
+ * The entities flag defaults to only decoding numeric entities.
+ * Pass HTML_ENTITIES and named entities, including &amp; &lt; etc.
+ * are handled as well. Avoids the problem that would occur if you
+ * had to decode "&amp;#38;&#38;amp;#38;"
+ *
+ * unhtmlspecialchars(utf8_unhtml($s)) -> "&#38;&#38;"
+ * utf8_unhtml(unhtmlspecialchars($s)) -> "&&amp#38;"
+ * what it should be -> "&#38;&amp#38;"
+ *
+ * @author Tom N Harris <tnharris@whoopdedo.org>
+ * @param string $str UTF-8 encoded string
+ * @param boolean $entities Flag controlling decoding of named entities.
+ * @return UTF-8 encoded string with numeric (and named) entities replaced.
+ */
+ function utf8_unhtml($str, $entities=null) {
+ static $decoder = null;
+ if (is_null($decoder))
+ $decoder = new utf8_entity_decoder();
+ if (is_null($entities))
+ return preg_replace_callback('/(&#([Xx])?([0-9A-Za-z]+);)/m',
+ 'utf8_decode_numeric', $str);
+ else
+ return preg_replace_callback('/&(#)?([Xx])?([0-9A-Za-z]+);/m',
+ array(&$decoder, 'decode'), $str);
+ }
+}
+
+if(!function_exists('utf8_decode_numeric')){
+ function utf8_decode_numeric($ent) {
+ switch ($ent[2]) {
+ case 'X':
+ case 'x':
+ $cp = hexdec($ent[3]);
+ break;
+ default:
+ $cp = intval($ent[3]);
+ break;
+ }
+ return unicode_to_utf8(array($cp));
+ }
+}
+
+if(!class_exists('utf8_entity_decoder')){
+ class utf8_entity_decoder {
+ var $table;
+ function utf8_entity_decoder() {
+ $table = get_html_translation_table(HTML_ENTITIES);
+ $table = array_flip($table);
+ $this->table = array_map(array(&$this,'makeutf8'), $table);
+ }
+ function makeutf8($c) {
+ return unicode_to_utf8(array(ord($c)));
+ }
+ function decode($ent) {
+ if ($ent[1] == '#') {
+ return utf8_decode_numeric($ent);
+ } elseif (array_key_exists($ent[0],$this->table)) {
+ return $this->table[$ent[0]];
+ } else {
+ return $ent[0];
+ }
+ }
+ }
+}
+
+if(!function_exists('utf8_to_unicode')){
+ /**
+ * Takes an UTF-8 string and returns an array of ints representing the
+ * Unicode characters. Astral planes are supported ie. the ints in the
+ * output can be > 0xFFFF. Occurrances of the BOM are ignored. Surrogates
+ * are not allowed.
+ *
+ * If $strict is set to true the function returns false if the input
+ * string isn't a valid UTF-8 octet sequence and raises a PHP error at
+ * level E_USER_WARNING
+ *
+ * Note: this function has been modified slightly in this library to
+ * trigger errors on encountering bad bytes
+ *
+ * @author <hsivonen@iki.fi>
+ * @author Harry Fuecks <hfuecks@gmail.com>
+ * @param string UTF-8 encoded string
+ * @param boolean Check for invalid sequences?
+ * @return mixed array of unicode code points or false if UTF-8 invalid
+ * @see unicode_to_utf8
+ * @link http://hsivonen.iki.fi/php-utf8/
+ * @link http://sourceforge.net/projects/phputf8/
+ */
+ function utf8_to_unicode($str,$strict=false) {
+ $mState = 0; // cached expected number of octets after the current octet
+ // until the beginning of the next UTF8 character sequence
+ $mUcs4 = 0; // cached Unicode character
+ $mBytes = 1; // cached expected number of octets in the current sequence
+
+ $out = array();
+
+ $len = strlen($str);
+
+ for($i = 0; $i < $len; $i++) {
+
+ $in = ord($str{$i});
+
+ if ( $mState == 0) {
+
+ // When mState is zero we expect either a US-ASCII character or a
+ // multi-octet sequence.
+ if (0 == (0x80 & ($in))) {
+ // US-ASCII, pass straight through.
+ $out[] = $in;
+ $mBytes = 1;
+
+ } else if (0xC0 == (0xE0 & ($in))) {
+ // First octet of 2 octet sequence
+ $mUcs4 = ($in);
+ $mUcs4 = ($mUcs4 & 0x1F) << 6;
+ $mState = 1;
+ $mBytes = 2;
+
+ } else if (0xE0 == (0xF0 & ($in))) {
+ // First octet of 3 octet sequence
+ $mUcs4 = ($in);
+ $mUcs4 = ($mUcs4 & 0x0F) << 12;
+ $mState = 2;
+ $mBytes = 3;
+
+ } else if (0xF0 == (0xF8 & ($in))) {
+ // First octet of 4 octet sequence
+ $mUcs4 = ($in);
+ $mUcs4 = ($mUcs4 & 0x07) << 18;
+ $mState = 3;
+ $mBytes = 4;
+
+ } else if (0xF8 == (0xFC & ($in))) {
+ /* First octet of 5 octet sequence.
+ *
+ * This is illegal because the encoded codepoint must be either
+ * (a) not the shortest form or
+ * (b) outside the Unicode range of 0-0x10FFFF.
+ * Rather than trying to resynchronize, we will carry on until the end
+ * of the sequence and let the later error handling code catch it.
+ */
+ $mUcs4 = ($in);
+ $mUcs4 = ($mUcs4 & 0x03) << 24;
+ $mState = 4;
+ $mBytes = 5;
+
+ } else if (0xFC == (0xFE & ($in))) {
+ // First octet of 6 octet sequence, see comments for 5 octet sequence.
+ $mUcs4 = ($in);
+ $mUcs4 = ($mUcs4 & 1) << 30;
+ $mState = 5;
+ $mBytes = 6;
+
+ } elseif($strict) {
+ /* Current octet is neither in the US-ASCII range nor a legal first
+ * octet of a multi-octet sequence.
+ */
+ trigger_error(
+ 'utf8_to_unicode: Illegal sequence identifier '.
+ 'in UTF-8 at byte '.$i,
+ E_USER_WARNING
+ );
+ return false;
+
+ }
+
+ } else {
+
+ // When mState is non-zero, we expect a continuation of the multi-octet
+ // sequence
+ if (0x80 == (0xC0 & ($in))) {
+
+ // Legal continuation.
+ $shift = ($mState - 1) * 6;
+ $tmp = $in;
+ $tmp = ($tmp & 0x0000003F) << $shift;
+ $mUcs4 |= $tmp;
+
+ /**
+ * End of the multi-octet sequence. mUcs4 now contains the final
+ * Unicode codepoint to be output
+ */
+ if (0 == --$mState) {
+
+ /*
+ * Check for illegal sequences and codepoints.
+ */
+ // From Unicode 3.1, non-shortest form is illegal
+ if (((2 == $mBytes) && ($mUcs4 < 0x0080)) ||
+ ((3 == $mBytes) && ($mUcs4 < 0x0800)) ||
+ ((4 == $mBytes) && ($mUcs4 < 0x10000)) ||
+ (4 < $mBytes) ||
+ // From Unicode 3.2, surrogate characters are illegal
+ (($mUcs4 & 0xFFFFF800) == 0xD800) ||
+ // Codepoints outside the Unicode range are illegal
+ ($mUcs4 > 0x10FFFF)) {
+
+ if($strict){
+ trigger_error(
+ 'utf8_to_unicode: Illegal sequence or codepoint '.
+ 'in UTF-8 at byte '.$i,
+ E_USER_WARNING
+ );
+
+ return false;
+ }
+
+ }
+
+ if (0xFEFF != $mUcs4) {
+ // BOM is legal but we don't want to output it
+ $out[] = $mUcs4;
+ }
+
+ //initialize UTF8 cache
+ $mState = 0;
+ $mUcs4 = 0;
+ $mBytes = 1;
+ }
+
+ } elseif($strict) {
+ /**
+ *((0xC0 & (*in) != 0x80) && (mState != 0))
+ * Incomplete multi-octet sequence.
+ */
+ trigger_error(
+ 'utf8_to_unicode: Incomplete multi-octet '.
+ ' sequence in UTF-8 at byte '.$i,
+ E_USER_WARNING
+ );
+
+ return false;
+ }
+ }
+ }
+ return $out;
+ }
+}
+
+if(!function_exists('unicode_to_utf8')){
+ /**
+ * Takes an array of ints representing the Unicode characters and returns
+ * a UTF-8 string. Astral planes are supported ie. the ints in the
+ * input can be > 0xFFFF. Occurrances of the BOM are ignored. Surrogates
+ * are not allowed.
+ *
+ * If $strict is set to true the function returns false if the input
+ * array contains ints that represent surrogates or are outside the
+ * Unicode range and raises a PHP error at level E_USER_WARNING
+ *
+ * Note: this function has been modified slightly in this library to use
+ * output buffering to concatenate the UTF-8 string (faster) as well as
+ * reference the array by it's keys
+ *
+ * @param array of unicode code points representing a string
+ * @param boolean Check for invalid sequences?
+ * @return mixed UTF-8 string or false if array contains invalid code points
+ * @author <hsivonen@iki.fi>
+ * @author Harry Fuecks <hfuecks@gmail.com>
+ * @see utf8_to_unicode
+ * @link http://hsivonen.iki.fi/php-utf8/
+ * @link http://sourceforge.net/projects/phputf8/
+ */
+ function unicode_to_utf8($arr,$strict=false) {
+ if (!is_array($arr)) return '';
+ ob_start();
+
+ foreach (array_keys($arr) as $k) {
+
+ if ( ($arr[$k] >= 0) && ($arr[$k] <= 0x007f) ) {
+ # ASCII range (including control chars)
+
+ echo chr($arr[$k]);
+
+ } else if ($arr[$k] <= 0x07ff) {
+ # 2 byte sequence
+
+ echo chr(0xc0 | ($arr[$k] >> 6));
+ echo chr(0x80 | ($arr[$k] & 0x003f));
+
+ } else if($arr[$k] == 0xFEFF) {
+ # Byte order mark (skip)
+
+ // nop -- zap the BOM
+
+ } else if ($arr[$k] >= 0xD800 && $arr[$k] <= 0xDFFF) {
+ # Test for illegal surrogates
+
+ // found a surrogate
+ if($strict){
+ trigger_error(
+ 'unicode_to_utf8: Illegal surrogate '.
+ 'at index: '.$k.', value: '.$arr[$k],
+ E_USER_WARNING
+ );
+ return false;
+ }
+
+ } else if ($arr[$k] <= 0xffff) {
+ # 3 byte sequence
+
+ echo chr(0xe0 | ($arr[$k] >> 12));
+ echo chr(0x80 | (($arr[$k] >> 6) & 0x003f));
+ echo chr(0x80 | ($arr[$k] & 0x003f));
+
+ } else if ($arr[$k] <= 0x10ffff) {
+ # 4 byte sequence
+
+ echo chr(0xf0 | ($arr[$k] >> 18));
+ echo chr(0x80 | (($arr[$k] >> 12) & 0x3f));
+ echo chr(0x80 | (($arr[$k] >> 6) & 0x3f));
+ echo chr(0x80 | ($arr[$k] & 0x3f));
+
+ } elseif($strict) {
+
+ trigger_error(
+ 'unicode_to_utf8: Codepoint out of Unicode range '.
+ 'at index: '.$k.', value: '.$arr[$k],
+ E_USER_WARNING
+ );
+
+ // out of range
+ return false;
+ }
+ }
+
+ $result = ob_get_contents();
+ ob_end_clean();
+ return $result;
+ }
+}
+
+if(!function_exists('utf8_to_utf16be')){
+ /**
+ * UTF-8 to UTF-16BE conversion.
+ *
+ * Maybe really UCS-2 without mb_string due to utf8_to_unicode limits
+ */
+ function utf8_to_utf16be(&$str, $bom = false) {
+ $out = $bom ? "\xFE\xFF" : '';
+ if(UTF8_MBSTRING) return $out.mb_convert_encoding($str,'UTF-16BE','UTF-8');
+
+ $uni = utf8_to_unicode($str);
+ foreach($uni as $cp){
+ $out .= pack('n',$cp);
+ }
+ return $out;
+ }
+}
+
+if(!function_exists('utf16be_to_utf8')){
+ /**
+ * UTF-8 to UTF-16BE conversion.
+ *
+ * Maybe really UCS-2 without mb_string due to utf8_to_unicode limits
+ */
+ function utf16be_to_utf8(&$str) {
+ $uni = unpack('n*',$str);
+ return unicode_to_utf8($uni);
+ }
+}
+
+if(!function_exists('utf8_bad_replace')){
+ /**
+ * Replace bad bytes with an alternative character
+ *
+ * ASCII character is recommended for replacement char
+ *
+ * PCRE Pattern to locate bad bytes in a UTF-8 string
+ * Comes from W3 FAQ: Multilingual Forms
+ * Note: modified to include full ASCII range including control chars
+ *
+ * @author Harry Fuecks <hfuecks@gmail.com>
+ * @see http://www.w3.org/International/questions/qa-forms-utf-8
+ * @param string to search
+ * @param string to replace bad bytes with (defaults to '?') - use ASCII
+ * @return string
+ */
+ function utf8_bad_replace($str, $replace = '') {
+ $UTF8_BAD =
+ '([\x00-\x7F]'. # ASCII (including control chars)
+ '|[\xC2-\xDF][\x80-\xBF]'. # non-overlong 2-byte
+ '|\xE0[\xA0-\xBF][\x80-\xBF]'. # excluding overlongs
+ '|[\xE1-\xEC\xEE\xEF][\x80-\xBF]{2}'. # straight 3-byte
+ '|\xED[\x80-\x9F][\x80-\xBF]'. # excluding surrogates
+ '|\xF0[\x90-\xBF][\x80-\xBF]{2}'. # planes 1-3
+ '|[\xF1-\xF3][\x80-\xBF]{3}'. # planes 4-15
+ '|\xF4[\x80-\x8F][\x80-\xBF]{2}'. # plane 16
+ '|(.{1}))'; # invalid byte
+ ob_start();
+ while (preg_match('/'.$UTF8_BAD.'/S', $str, $matches)) {
+ if ( !isset($matches[2])) {
+ echo $matches[0];
+ } else {
+ echo $replace;
+ }
+ $str = substr($str,strlen($matches[0]));
+ }
+ $result = ob_get_contents();
+ ob_end_clean();
+ return $result;
+ }
+}
+
+if(!function_exists('utf8_correctIdx')){
+ /**
+ * adjust a byte index into a utf8 string to a utf8 character boundary
+ *
+ * @param $str string utf8 character string
+ * @param $i int byte index into $str
+ * @param $next bool direction to search for boundary,
+ * false = up (current character)
+ * true = down (next character)
+ *
+ * @return int byte index into $str now pointing to a utf8 character boundary
+ *
+ * @author chris smith <chris@jalakai.co.uk>
+ */
+ function utf8_correctIdx(&$str,$i,$next=false) {
+
+ if ($i <= 0) return 0;
+
+ $limit = strlen($str);
+ if ($i>=$limit) return $limit;
+
+ if ($next) {
+ while (($i<$limit) && ((ord($str[$i]) & 0xC0) == 0x80)) $i++;
+ } else {
+ while ($i && ((ord($str[$i]) & 0xC0) == 0x80)) $i--;
+ }
+
+ return $i;
+ }
+}
+
+// only needed if no mb_string available
+if(!UTF8_MBSTRING){
+ /**
+ * UTF-8 Case lookup table
+ *
+ * This lookuptable defines the upper case letters to their correspponding
+ * lower case letter in UTF-8
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ global $UTF8_LOWER_TO_UPPER;
+ if(empty($UTF8_LOWER_TO_UPPER)) $UTF8_LOWER_TO_UPPER = array(
+ "锝"=>"锛","锝"=>"锛","锝"=>"锛","锝"=>"锛","锝"=>"锛","锝"=>"锛","锝"=>"锛","锝"=>"锛","锝"=>"锛","锝"=>"锛",
+ "锝"=>"锛","锝"=>"锛","锝"=>"锛","锝"=>"锛","锝"=>"锛","锝"=>"锛","锝"=>"锛","锝"=>"锛","锝"=>"锛","锝"=>"锛",
+ "锝"=>"锛","锝"=>"锛","锝"=>"锛","锝"=>"锛","锝"=>"锛","锝"=>"锛","峥"=>"峥","峥"=>"峥","峥"=>"峥","峥"=>"峥",
+ "峥"=>"峥","峥"=>"峥","峋"=>"螜","峋"=>"峋","峋"=>"峋","峋"=>"峋","峋"=>"峋","峋"=>"峋","峋"=>"峋","峋"=>"峋",
+ "峋"=>"峋","峋"=>"峋","峋"=>"峋","峋"=>"峋","峋"=>"峋","峋"=>"峋","峋"=>"峋","峋"=>"峋","峋"=>"峋","峋"=>"峋",
+ "峋"=>"峋","峋"=>"峋","峋"=>"峋","峋"=>"峋","峋"=>"峋","峋"=>"峋","峋"=>"峋","峋"=>"峋","峋"=>"峋","峤"=>"峥",
+ "峤"=>"峥","峤"=>"峥","峤"=>"峥","峤"=>"峥","峤"=>"峥","峤"=>"峥","峤"=>"峥","峤"=>"峥","峤"=>"峥","峤"=>"峥",
+ "峤"=>"峥","峤"=>"峋","峤"=>"峋","峤"=>"峤","峤"=>"峤","峤"=>"峤","峤"=>"峤","峤"=>"峤","峤"=>"峤","峤"=>"峤",
+ "峤"=>"峤","峤"=>"峤","峤"=>"峤","峤"=>"峤","峤"=>"峤","峤"=>"峤","峤"=>"峤","峤"=>"峤","峤"=>"峤","峤"=>"峤",
+ "峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒",
+ "峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒",
+ "峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒","峄"=>"峄",
+ "峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄",
+ "峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄",
+ "峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄","岷"=>"岷","岷"=>"岷",
+ "岷"=>"岷","岷"=>"岷","岷"=>"岷","岷"=>"岷","岷"=>"岷","岷"=>"岷","岷"=>"岷","岷"=>"岷","岷"=>"岷","岷"=>"岷",
+ "岷"=>"岷","岷"=>"岷","岷"=>"岷","岷"=>"岷","岷"=>"峁","岷"=>"岷","岷"=>"岷","岷"=>"岷","岷"=>"岷","岷"=>"岷",
+ "岷"=>"岷","岷"=>"岷","岷"=>"岷","岷"=>"岷","岷"=>"岷","岷"=>"岷","峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁",
+ "峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁",
+ "峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁",
+ "峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁","岣"=>"岣","岣"=>"岣",
+ "岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣",
+ "岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣",
+ "岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣",
+ "謫"=>"諙","謪"=>"諘","謩"=>"諗","謨"=>"論","謧"=>"諕","謥"=>"諔","謤"=>"諓","湛"=>"諒","站"=>"諑","战"=>"諐",
+ "占"=>"諏","栈"=>"諎","蘸"=>"諍","展"=>"諌","崭"=>"請","辗"=>"諊","斩"=>"諉","盏"=>"諈","沾"=>"談","粘"=>"諆",
+ "詹"=>"諅","毡"=>"諄","瞻"=>"諃","寨"=>"钥","债"=>"跃","窄"=>"越","宅"=>"约","斋"=>"曰","摘"=>"院","诈"=>"怨",
+ "炸"=>"愿","乍"=>"苑","咋"=>"远","榨"=>"缘","栅"=>"源","眨"=>"猿","闸"=>"圆","铡"=>"员","詮"=>"詭","詬"=>"詫",
+ "詪"=>"詩","詨"=>"詧","試"=>"詥","詤"=>"詣","詢"=>"詡","詠"=>"詟","庸"=>"痈","拥"=>"哟","映"=>"硬","颖"=>"影",
+ "盈"=>"赢","迎"=>"蝇","荧"=>"营","萤"=>"莹","缨"=>"应","鹰"=>"婴","樱"=>"英","印"=>"訝","訜"=>"訛","訚"=>"訙",
+ "記"=>"託","訖"=>"訕","訔"=>"訓","訒"=>"訑","訐"=>"訏","討"=>"訍","訋"=>"訊","訉"=>"計","訆"=>"訅","訄"=>"訃",
+ "訂"=>"訁","觿"=>"觾","觽"=>"觼","铱"=>"揖","医"=>"壹","一"=>"液","夜"=>"腋","曳"=>"叶","业"=>"掖","页"=>"也",
+ "冶"=>"野","爷"=>"耶","噎"=>"椰","耀"=>"要","药"=>"舀","咬"=>"姚","谣"=>"窑","遥"=>"尧","摇"=>"覡","覠"=>"覟",
+ "覞"=>"覝","覜"=>"覛","覚"=>"覙","覘"=>"覗","視"=>"覕","覔"=>"覓","覒"=>"覑","覐"=>"規","覎"=>"覍","覌"=>"見",
+ "襾"=>"襽","芽"=>"丫","呀"=>"鸭","鸦"=>"押","压"=>"迅","逊"=>"讯","训"=>"汛","殉"=>"巡","驯"=>"寻","询"=>"旬",
+ "循"=>"熏","勋"=>"血","雪"=>"穴","学"=>"薛","靴"=>"绚","眩"=>"癣","选"=>"褷","褵"=>"袕","褳"=>"袔","褲"=>"袓",
+ "褱"=>"袑","褯"=>"袐","褮"=>"袏","褭"=>"袎","褬"=>"袌","褩"=>"袊","褨"=>"袉","褧"=>"袇","褦"=>"袆","褤"=>"袃",
+ "褣"=>"袀","褢"=>"衼","褠"=>"衻","褟"=>"携","褞"=>"挟","褝"=>"协","褜"=>"鞋","褘"=>"蝎","褗"=>"歇","褖"=>"些",
+ "褕"=>"楔","褔"=>"效","褑"=>"笑","褏"=>"啸","褎"=>"肖","褍"=>"校","褌"=>"孝","褋"=>"小","褉"=>"袪","锌"=>"袩",
+ "芯"=>"袨","薪"=>"袧","屑"=>"袦","谢"=>"袥","泻"=>"袣","泄"=>"袡","懈"=>"袠","蟹"=>"袟","卸"=>"袞","械"=>"袝",
+ "写"=>"袛","谐"=>"袚","胁"=>"袙","斜"=>"袘","邪"=>"袗","系"=>"螘","喜"=>"危","媳"=>"巍","习"=>"螝","席"=>"袭",
+ "檄"=>"犀","汐"=>"溪","烯"=>"熄","惜"=>"夕","膝"=>"悉","希"=>"息","稀"=>"蠣","蠠"=>"蠟","蠞"=>"蠝","蠜"=>"蠚",
+ "蠙"=>"蠘","蠔"=>"螤","蠒"=>"桅","蠎"=>"螛","蠍"=>"螔","蠋"=>"螐","蠉"=>"螏","蠈"=>"螌","蠇"=>"潍","蠆"=>"为",
+ "蠅"=>"惟","蠄"=>"唯","蠂"=>"围","蠁"=>"桅","蠀"=>"违","蟿"=>"韦","蟽"=>"危","蟼"=>"危","蟻"=>"巍","蟺"=>"螤",
+ "慰"=>"螣","尉"=>"螢","谓"=>"螡","渭"=>"螠","位"=>"螞","魏"=>"螝","喂"=>"螜","胃"=>"螛","畏"=>"螚","味"=>"螙",
+ "蔚"=>"螘","未"=>"螖","纬"=>"螕","尾"=>"螔","伪"=>"螒","委"=>"螉","萎"=>"螇","苇"=>"螆","维"=>"螁","蕭"=>"品",
+ "蕥"=>"撇","蕣"=>"票","蕡"=>"飘","蕛"=>"譬","蕗"=>"痞","傻"=>"茻","刹"=>"茲","莎"=>"茰","嫂"=>"茤","扫"=>"茥",
+ "桑"=>"茢","蓻"=>"茞","蓹"=>"茝","蓷"=>"茒","蓶"=>"茐","蓴"=>"茊","蓳"=>"苼","瘸"=>"炔","缺"=>"劝","券"=>"犬",
+ "拳"=>"痊","全"=>"泉","醛"=>"权","颧"=>"圈","去"=>"趣","龋"=>"娶","葻"=>"葹","葷"=>"葴","葲"=>"葰","葯"=>"葮",
+ "葪"=>"葨","葧"=>"葦","葥"=>"葤","葢"=>"葠","葟"=>"葞","葝"=>"葘","葖"=>"葕","葔"=>"葓","葒"=>"葐","葏"=>"葎",
+ "葍"=>"葌","葋"=>"葊","强"=>"蔷","墙"=>"羌","腔"=>"呛","枪"=>"歉","堑"=>"谴","浅"=>"遣","钳"=>"钱","黔"=>"乾",
+ "谦"=>"仟","签"=>"迁","千"=>"铅","钎"=>"扦","牵"=>"洽","恰"=>"菭","菬"=>"菫","菨"=>"茙","菧"=>"菦","菤"=>"菣",
+ "菢"=>"菞","菛"=>"菚","菙"=>"菗","菕"=>"菓","菒"=>"菑","菐"=>"菎","菍"=>"菋","菈"=>"菆","菃"=>"菂","瓶"=>"欠",
+ "平"=>"萍","乒"=>"聘","贫"=>"频","拼"=>"瞥","瓢"=>"漂","骗"=>"片","屁"=>"僻","匹"=>"皮","疲"=>"脾","啤"=>"茽",
+ "茷"=>"葼","茩"=>"茦","茣"=>"嵌","茠"=>"茟","茖"=>"茓","茍"=>"茋","茀"=>"苿","苾"=>"苽","趴"=>"S","啪"=>"沤",
+ "偶"=>"呕","藕"=>"殴","欧"=>"哦","诺"=>"糯","懦"=>"挪","疟"=>"虐","暖"=>"女","怒"=>"努","奴"=>"弄","农"=>"浓",
+ "脓"=>"纽","钮"=>"扭","牛"=>"泞","拧"=>"艩","艧"=>"艦","艥"=>"艤","艣"=>"艢","艡"=>"艠","艞"=>"艝","艜"=>"艛",
+ "艙"=>"艗","艖"=>"艕","艔"=>"艓","艒"=>"艑","艐"=>"艎","艌"=>"艊","艈"=>"艆","艅"=>"艃","艂"=>"艁","艀"=>"目",
+ "木"=>"慕","募"=>"幕","暮"=>"墓","姆"=>"亩","牡"=>"拇","某"=>"牟","谋"=>"I","寞"=>"漠","沫"=>"默","墨"=>"莫",
+ "末"=>"抹","魔"=>"摩","磨"=>"膜","模"=>"蘑","摹"=>"臓","臒"=>"臑","臐"=>"臏","臎"=>"臍","臋"=>"臉","臈"=>"臇",
+ "臅"=>"臄","膿"=>"膾","膽"=>"膼","膹"=>"膸","膷"=>"膶","膵"=>"膴","膲"=>"膱","膰"=>"膯","膮"=>"膭","膬"=>"膫",
+ "膩"=>"膧","每"=>"鸥","镁"=>"脼","媒"=>"脻","眉"=>"脺","没"=>"脹","煤"=>"脷","霉"=>"脵","酶"=>"脴","枚"=>"脰",
+ "玫"=>"脮","么"=>"脭","贸"=>"脫","貌"=>"脪","帽"=>"脩","冒"=>"脨","茂"=>"脧","卯"=>"脦","铆"=>"脥","矛"=>"脤",
+ "毛"=>"脣","锚"=>"脢","茅"=>"脡","猫"=>"脠","莽"=>"脟","忙"=>"脝","氓"=>"脜","盲"=>"脛","茫"=>"脙","芒"=>"脗",
+ "谩"=>"脕","脿"=>"脌","碌"=>"螠","z"=>"Z","y"=>"Y","x"=>"X","w"=>"W","v"=>"V","u"=>"U","t"=>"T",
+ "s"=>"S","r"=>"R","q"=>"Q","p"=>"P","o"=>"O","n"=>"N","m"=>"M","l"=>"L","k"=>"K","j"=>"J",
+ "i"=>"I","h"=>"H","g"=>"G","f"=>"F","e"=>"E","d"=>"D","c"=>"C","b"=>"B","a"=>"A"
+ );
+
+ /**
+ * UTF-8 Case lookup table
+ *
+ * This lookuptable defines the lower case letters to their correspponding
+ * upper case letter in UTF-8
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ global $UTF8_UPPER_TO_LOWER;
+ if(empty($UTF8_UPPER_TO_LOWER)) $UTF8_UPPER_TO_LOWER = array (
+ "锛"=>"锝","锛"=>"锝","锛"=>"锝","锛"=>"锝","锛"=>"锝","锛"=>"锝","锛"=>"锝","锛"=>"锝","锛"=>"锝","锛"=>"锝",
+ "锛"=>"锝","锛"=>"锝","锛"=>"锝","锛"=>"锝","锛"=>"锝","锛"=>"锝","锛"=>"锝","锛"=>"锝","锛"=>"锝","锛"=>"锝",
+ "锛"=>"锝","锛"=>"锝","锛"=>"锝","锛"=>"锝","锛"=>"锝","锛"=>"锝","峥"=>"峥","峥"=>"峥","峥"=>"峥","峥"=>"峥",
+ "峥"=>"峥","峥"=>"峥","螜"=>"峋","峋"=>"峋","峋"=>"峋","峋"=>"峋","峋"=>"峋","峋"=>"峋","峋"=>"峋","峋"=>"峋",
+ "峋"=>"峋","峋"=>"峋","峋"=>"峋","峋"=>"峋","峋"=>"峋","峋"=>"峋","峋"=>"峋","峋"=>"峋","峋"=>"峋","峋"=>"峋",
+ "峋"=>"峋","峋"=>"峋","峋"=>"峋","峋"=>"峋","峋"=>"峋","峋"=>"峋","峋"=>"峋","峋"=>"峋","峋"=>"峋","峥"=>"峤",
+ "峥"=>"峤","峥"=>"峤","峥"=>"峤","峥"=>"峤","峥"=>"峤","峥"=>"峤","峥"=>"峤","峥"=>"峤","峥"=>"峤","峥"=>"峤",
+ "峥"=>"峤","峋"=>"峤","峋"=>"峤","峤"=>"峤","峤"=>"峤","峤"=>"峤","峤"=>"峤","峤"=>"峤","峤"=>"峤","峤"=>"峤",
+ "峤"=>"峤","峤"=>"峤","峤"=>"峤","峤"=>"峤","峤"=>"峤","峤"=>"峤","峤"=>"峤","峤"=>"峤","峤"=>"峤","峤"=>"峤",
+ "峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒",
+ "峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒",
+ "峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒","峒"=>"峒","峄"=>"峄",
+ "峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄",
+ "峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄",
+ "峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄","峄"=>"峄","岷"=>"岷","岷"=>"岷",
+ "岷"=>"岷","岷"=>"岷","岷"=>"岷","岷"=>"岷","岷"=>"岷","岷"=>"岷","岷"=>"岷","岷"=>"岷","岷"=>"岷","岷"=>"岷",
+ "岷"=>"岷","岷"=>"岷","岷"=>"岷","岷"=>"岷","峁"=>"岷","岷"=>"岷","岷"=>"岷","岷"=>"岷","岷"=>"岷","岷"=>"岷",
+ "岷"=>"岷","岷"=>"岷","岷"=>"岷","岷"=>"岷","岷"=>"岷","岷"=>"岷","峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁",
+ "峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁",
+ "峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁",
+ "峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁","峁"=>"峁","岣"=>"岣","岣"=>"岣",
+ "岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣",
+ "岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣",
+ "岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣","岣"=>"岣",
+ "諙"=>"謫","諘"=>"謪","諗"=>"謩","論"=>"謨","諕"=>"謧","諔"=>"謥","諓"=>"謤","諒"=>"湛","諑"=>"站","諐"=>"战",
+ "諏"=>"占","諎"=>"栈","諍"=>"蘸","諌"=>"展","請"=>"崭","諊"=>"辗","諉"=>"斩","諈"=>"盏","談"=>"沾","諆"=>"粘",
+ "諅"=>"詹","諄"=>"毡","諃"=>"瞻","钥"=>"寨","跃"=>"债","越"=>"窄","约"=>"宅","曰"=>"斋","院"=>"摘","怨"=>"诈",
+ "愿"=>"炸","苑"=>"乍","远"=>"咋","缘"=>"榨","源"=>"栅","猿"=>"眨","圆"=>"闸","员"=>"铡","詭"=>"詮","詫"=>"詬",
+ "詩"=>"詪","詧"=>"詨","詥"=>"試","詣"=>"詤","詡"=>"詢","詟"=>"詠","痈"=>"庸","哟"=>"拥","硬"=>"映","影"=>"颖",
+ "赢"=>"盈","蝇"=>"迎","营"=>"荧","莹"=>"萤","应"=>"缨","婴"=>"鹰","英"=>"樱","訝"=>"印","訛"=>"訜","訙"=>"訚",
+ "託"=>"記","訕"=>"訖","訓"=>"訔","訑"=>"訒","訏"=>"訐","訍"=>"討","訊"=>"訋","計"=>"訉","訅"=>"訆","訃"=>"訄",
+ "訁"=>"訂","觾"=>"觿","觼"=>"觽","揖"=>"铱","壹"=>"医","液"=>"一","腋"=>"夜","叶"=>"曳","掖"=>"业","也"=>"页",
+ "野"=>"冶","耶"=>"爷","椰"=>"噎","要"=>"耀","舀"=>"药","姚"=>"咬","窑"=>"谣","尧"=>"遥","覡"=>"摇","覟"=>"覠",
+ "覝"=>"覞","覛"=>"覜","覙"=>"覚","覗"=>"覘","覕"=>"視","覓"=>"覔","覑"=>"覒","規"=>"覐","覍"=>"覎","見"=>"覌",
+ "襽"=>"襾","丫"=>"芽","鸭"=>"呀","押"=>"鸦","迅"=>"压","讯"=>"逊","汛"=>"训","巡"=>"殉","寻"=>"驯","旬"=>"询",
+ "熏"=>"循","血"=>"勋","穴"=>"雪","薛"=>"学","绚"=>"靴","癣"=>"眩","褷"=>"选","袕"=>"褵","袔"=>"褳","袓"=>"褲",
+ "袑"=>"褱","袐"=>"褯","袏"=>"褮","袎"=>"褭","袌"=>"褬","袊"=>"褩","袉"=>"褨","袇"=>"褧","袆"=>"褦","袃"=>"褤",
+ "袀"=>"褣","衼"=>"褢","衻"=>"褠","携"=>"褟","挟"=>"褞","协"=>"褝","鞋"=>"褜","蝎"=>"褘","歇"=>"褗","些"=>"褖",
+ "楔"=>"褕","效"=>"褔","笑"=>"褑","啸"=>"褏","肖"=>"褎","校"=>"褍","孝"=>"褌","小"=>"褋","袪"=>"褉","袩"=>"锌",
+ "袨"=>"芯","袧"=>"薪","袦"=>"屑","袥"=>"谢","袣"=>"泻","袡"=>"泄","袠"=>"懈","袟"=>"蟹","袞"=>"卸","袝"=>"械",
+ "袛"=>"写","袚"=>"谐","袙"=>"胁","袘"=>"斜","袗"=>"邪","螘"=>"系","危"=>"喜","巍"=>"媳","螝"=>"习","袭"=>"席",
+ "犀"=>"檄","溪"=>"汐","熄"=>"烯","夕"=>"惜","悉"=>"膝","息"=>"希","蠣"=>"稀","蠟"=>"蠠","蠝"=>"蠞","蠚"=>"蠜",
+ "蠘"=>"蠙","螤"=>"蠔","桅"=>"蠒","螛"=>"蠎","螔"=>"蠍","螐"=>"蠋","螏"=>"蠉","螌"=>"蠈","潍"=>"蠇","为"=>"蠆",
+ "惟"=>"蠅","唯"=>"蠄","围"=>"蠂","桅"=>"蠁","违"=>"蠀","韦"=>"蟿","危"=>"蟽","危"=>"蟼","巍"=>"蟻","螤"=>"蟺",
+ "螣"=>"慰","螢"=>"尉","螡"=>"谓","螠"=>"渭","螞"=>"位","螝"=>"魏","螜"=>"喂","螛"=>"胃","螚"=>"畏","螙"=>"味",
+ "螘"=>"蔚","螖"=>"未","螕"=>"纬","螔"=>"尾","螒"=>"伪","螉"=>"委","螇"=>"萎","螆"=>"苇","螁"=>"维","品"=>"蕭",
+ "撇"=>"蕥","票"=>"蕣","飘"=>"蕡","譬"=>"蕛","痞"=>"蕗","茻"=>"傻","茲"=>"刹","茰"=>"莎","茤"=>"嫂","茥"=>"扫",
+ "茢"=>"桑","茞"=>"蓻","茝"=>"蓹","茒"=>"蓷","茐"=>"蓶","茊"=>"蓴","苼"=>"蓳","炔"=>"瘸","劝"=>"缺","犬"=>"券",
+ "痊"=>"拳","泉"=>"全","权"=>"醛","圈"=>"颧","趣"=>"去","娶"=>"龋","葹"=>"葻","葴"=>"葷","葰"=>"葲","葮"=>"葯",
+ "葨"=>"葪","葦"=>"葧","葤"=>"葥","葠"=>"葢","葞"=>"葟","葘"=>"葝","葕"=>"葖","葓"=>"葔","葐"=>"葒","葎"=>"葏",
+ "葌"=>"葍","葊"=>"葋","蔷"=>"强","羌"=>"墙","呛"=>"腔","歉"=>"枪","谴"=>"堑","遣"=>"浅","钱"=>"钳","乾"=>"黔",
+ "仟"=>"谦","迁"=>"签","铅"=>"千","扦"=>"钎","洽"=>"牵","菭"=>"恰","菫"=>"菬","茙"=>"菨","菦"=>"菧","菣"=>"菤",
+ "菞"=>"菢","菚"=>"菛","菗"=>"菙","菓"=>"菕","菑"=>"菒","菎"=>"菐","菋"=>"菍","菆"=>"菈","菂"=>"菃","欠"=>"瓶",
+ "萍"=>"平","聘"=>"乒","频"=>"贫","瞥"=>"拼","漂"=>"瓢","片"=>"骗","僻"=>"屁","皮"=>"匹","脾"=>"疲","茽"=>"啤",
+ "葼"=>"茷","茦"=>"茩","嵌"=>"茣","茟"=>"茠","茓"=>"茖","茋"=>"茍","苿"=>"茀","苽"=>"苾","S"=>"趴","沤"=>"啪",
+ "呕"=>"偶","殴"=>"藕","哦"=>"欧","糯"=>"诺","挪"=>"懦","虐"=>"疟","女"=>"暖","努"=>"怒","弄"=>"奴","浓"=>"农",
+ "纽"=>"脓","扭"=>"钮","泞"=>"牛","艩"=>"拧","艦"=>"艧","艤"=>"艥","艢"=>"艣","艠"=>"艡","艝"=>"艞","艛"=>"艜",
+ "艗"=>"艙","艕"=>"艖","艓"=>"艔","艑"=>"艒","艎"=>"艐","艊"=>"艌","艆"=>"艈","艃"=>"艅","艁"=>"艂","目"=>"艀",
+ "慕"=>"木","幕"=>"募","墓"=>"暮","亩"=>"姆","拇"=>"牡","牟"=>"某","I"=>"谋","漠"=>"寞","默"=>"沫","莫"=>"墨",
+ "抹"=>"末","摩"=>"魔","膜"=>"磨","蘑"=>"模","臓"=>"摹","臑"=>"臒","臏"=>"臐","臍"=>"臎","臉"=>"臋","臇"=>"臈",
+ "臄"=>"臅","膾"=>"膿","膼"=>"膽","膸"=>"膹","膶"=>"膷","膴"=>"膵","膱"=>"膲","膯"=>"膰","膭"=>"膮","膫"=>"膬",
+ "膧"=>"膩","鸥"=>"每","脼"=>"镁","脻"=>"媒","脺"=>"眉","脹"=>"没","脷"=>"煤","脵"=>"霉","脴"=>"酶","脰"=>"枚",
+ "脮"=>"玫","脭"=>"么","脫"=>"贸","脪"=>"貌","脩"=>"帽","脨"=>"冒","脧"=>"茂","脦"=>"卯","脥"=>"铆","脤"=>"矛",
+ "脣"=>"毛","脢"=>"锚","脡"=>"茅","脠"=>"猫","脟"=>"莽","脝"=>"忙","脜"=>"氓","脛"=>"盲","脙"=>"茫","脗"=>"芒",
+ "脕"=>"谩","脌"=>"脿","螠"=>"碌","Z"=>"z","Y"=>"y","X"=>"x","W"=>"w","V"=>"v","U"=>"u","T"=>"t",
+ "S"=>"s","R"=>"r","Q"=>"q","P"=>"p","O"=>"o","N"=>"n","M"=>"m","L"=>"l","K"=>"k","J"=>"j",
+ "I"=>"i","H"=>"h","G"=>"g","F"=>"f","E"=>"e","D"=>"d","C"=>"c","B"=>"b","A"=>"a"
+ );
+}; // end of case lookup tables
+
+/**
+ * UTF-8 lookup table for lower case accented letters
+ *
+ * This lookuptable defines replacements for accented characters from the ASCII-7
+ * range. This are lower case letters only.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @see utf8_deaccent()
+ */
+global $UTF8_LOWER_ACCENTS;
+if(empty($UTF8_LOWER_ACCENTS)) $UTF8_LOWER_ACCENTS = array(
+ '脿' => 'a', '么' => 'o', '膹' => 'd', '岣' => 'f', '毛' => 'e', '拧' => 's', '啤' => 'o',
+ '脽' => 'ss', '膬' => 'a', '艡' => 'r', '葲' => 't', '艌' => 'n', '膩' => 'a', '姆' => 'k',
+ '艥' => 's', '峄' => 'y', '艈' => 'n', '暮' => 'l', '魔' => 'h', '峁' => 'p', '贸' => 'o',
+ '煤' => 'u', '臎' => 'e', '茅' => 'e', '莽' => 'c', '岷' => 'w', '膵' => 'c', '玫' => 'o',
+ '峁' => 's', '酶' => 'o', '模' => 'g', '脓' => 't', '葯' => 's', '臈' => 'e', '膲' => 'c',
+ '艣' => 's', '卯' => 'i', '疟' => 'u', '膰' => 'c', '臋' => 'e', '诺' => 'w', '峁' => 't',
+ '奴' => 'u', '膷' => 'c', '枚' => 'oe', '猫' => 'e', '欧' => 'y', '膮' => 'a', '艂' => 'l',
+ '懦' => 'u', '暖' => 'u', '艧' => 's', '臒' => 'g', '募' => 'l', '茠' => 'f', '啪' => 'z',
+ '岷' => 'w', '岣' => 'b', '氓' => 'a', '矛' => 'i', '茂' => 'i', '岣' => 'd', '钮' => 't',
+ '艞' => 'r', '盲' => 'ae', '铆' => 'i', '艜' => 'r', '锚' => 'e', '眉' => 'ue', '貌' => 'o',
+ '膿' => 'e', '帽' => 'n', '艅' => 'n', '磨' => 'h', '臐' => 'g', '膽' => 'd', '牡' => 'j',
+ '每' => 'y', '农' => 'u', '怒' => 'u', '瓢' => 'u', '牛' => 't', '媒' => 'y', '艖' => 'o',
+ '芒' => 'a', '木' => 'l', '岷' => 'w', '偶' => 'z', '墨' => 'i', '茫' => 'a', '摹' => 'g',
+ '峁' => 'm', '艒' => 'o', '末' => 'i', '霉' => 'u', '寞' => 'i', '藕' => 'z', '谩' => 'a',
+ '没' => 'u', '镁' => 'th', '冒' => 'dh', '忙' => 'ae', '碌' => 'u', '臅' => 'e',
+);
+
+/**
+ * UTF-8 lookup table for upper case accented letters
+ *
+ * This lookuptable defines replacements for accented characters from the ASCII-7
+ * range. This are upper case letters only.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @see utf8_deaccent()
+ */
+global $UTF8_UPPER_ACCENTS;
+if(empty($UTF8_UPPER_ACCENTS)) $UTF8_UPPER_ACCENTS = array(
+ '脌' => 'A', '脭' => 'O', '膸' => 'D', '岣' => 'F', '脣' => 'E', '艩' => 'S', '茽' => 'O',
+ '膫' => 'A', '艠' => 'R', '葰' => 'T', '艊' => 'N', '膧' => 'A', '亩' => 'K',
+ '艤' => 'S', '峄' => 'Y', '艆' => 'N', '墓' => 'L', '摩' => 'H', '峁' => 'P', '脫' => 'O',
+ '脷' => 'U', '臍' => 'E', '脡' => 'E', '脟' => 'C', '岷' => 'W', '膴' => 'C', '脮' => 'O',
+ '峁' => 'S', '脴' => 'O', '蘑' => 'G', '纽' => 'T', '葮' => 'S', '臇' => 'E', '膱' => 'C',
+ '艢' => 'S', '脦' => 'I', '虐' => 'U', '膯' => 'C', '臉' => 'E', '糯' => 'W', '峁' => 'T',
+ '弄' => 'U', '膶' => 'C', '脰' => 'Oe', '脠' => 'E', '哦' => 'Y', '膭' => 'A', '艁' => 'L',
+ '挪' => 'U', '女' => 'U', '艦' => 'S', '臑' => 'G', '幕' => 'L', '茟' => 'F', '沤' => 'Z',
+ '岷' => 'W', '岣' => 'B', '脜' => 'A', '脤' => 'I', '脧' => 'I', '岣' => 'D', '扭' => 'T',
+ '艝' => 'R', '脛' => 'Ae', '脥' => 'I', '艛' => 'R', '脢' => 'E', '脺' => 'Ue', '脪' => 'O',
+ '膾' => 'E', '脩' => 'N', '艃' => 'N', '膜' => 'H', '臏' => 'G', '膼' => 'D', '拇' => 'J',
+ '鸥' => 'Y', '浓' => 'U', '努' => 'U', '漂' => 'U', '泞' => 'T', '脻' => 'Y', '艕' => 'O',
+ '脗' => 'A', '慕' => 'L', '岷' => 'W', '呕' => 'Z', '莫' => 'I', '脙' => 'A', '臓' => 'G',
+ '峁' => 'M', '艑' => 'O', '抹' => 'I', '脵' => 'U', '漠' => 'I', '殴' => 'Z', '脕' => 'A',
+ '脹' => 'U', '脼' => 'Th', '脨' => 'Dh', '脝' => 'Ae', '臄' => 'E',
+);
+
+/**
+ * UTF-8 array of common special characters
+ *
+ * This array should contain all special characters (not a letter or digit)
+ * defined in the various local charsets - it's not a complete list of non-alphanum
+ * characters in UTF-8. It's not perfect but should match most cases of special
+ * chars.
+ *
+ * The controlchars 0x00 to 0x19 are _not_ included in this array. The space 0x20 is!
+ * These chars are _not_ in the array either: _ (0x5f), : 0x3a, . 0x2e, - 0x2d, * 0x2a
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @see utf8_stripspecials()
+ */
+global $UTF8_SPECIAL_CHARS;
+if(empty($UTF8_SPECIAL_CHARS)) $UTF8_SPECIAL_CHARS = array(
+ 0x001a, 0x001b, 0x001c, 0x001d, 0x001e, 0x001f, 0x0020, 0x0021, 0x0022, 0x0023,
+ 0x0024, 0x0025, 0x0026, 0x0027, 0x0028, 0x0029, 0x002b, 0x002c,
+ 0x002f, 0x003b, 0x003c, 0x003d, 0x003e, 0x003f, 0x0040, 0x005b,
+ 0x005c, 0x005d, 0x005e, 0x0060, 0x007b, 0x007c, 0x007d, 0x007e,
+ 0x007f, 0x0080, 0x0081, 0x0082, 0x0083, 0x0084, 0x0085, 0x0086, 0x0087, 0x0088,
+ 0x0089, 0x008a, 0x008b, 0x008c, 0x008d, 0x008e, 0x008f, 0x0090, 0x0091, 0x0092,
+ 0x0093, 0x0094, 0x0095, 0x0096, 0x0097, 0x0098, 0x0099, 0x009a, 0x009b, 0x009c,
+ 0x009d, 0x009e, 0x009f, 0x00a0, 0x00a1, 0x00a2, 0x00a3, 0x00a4, 0x00a5, 0x00a6,
+ 0x00a7, 0x00a8, 0x00a9, 0x00aa, 0x00ab, 0x00ac, 0x00ad, 0x00ae, 0x00af, 0x00b0,
+ 0x00b1, 0x00b2, 0x00b3, 0x00b4, 0x00b5, 0x00b6, 0x00b7, 0x00b8, 0x00b9, 0x00ba,
+ 0x00bb, 0x00bc, 0x00bd, 0x00be, 0x00bf, 0x00d7, 0x00f7, 0x02c7, 0x02d8, 0x02d9,
+ 0x02da, 0x02db, 0x02dc, 0x02dd, 0x0300, 0x0301, 0x0303, 0x0309, 0x0323, 0x0384,
+ 0x0385, 0x0387, 0x03c6, 0x03d1, 0x03d2, 0x03d5, 0x03d6, 0x05b0, 0x05b1,
+ 0x05b2, 0x05b3, 0x05b4, 0x05b5, 0x05b6, 0x05b7, 0x05b8, 0x05b9, 0x05bb, 0x05bc,
+ 0x05bd, 0x05be, 0x05bf, 0x05c0, 0x05c1, 0x05c2, 0x05c3, 0x05f3, 0x05f4, 0x060c,
+ 0x061b, 0x061f, 0x0640, 0x064b, 0x064c, 0x064d, 0x064e, 0x064f, 0x0650, 0x0651,
+ 0x0652, 0x066a, 0x0e3f, 0x200c, 0x200d, 0x200e, 0x200f, 0x2013, 0x2014, 0x2015,
+ 0x2017, 0x2018, 0x2019, 0x201a, 0x201c, 0x201d, 0x201e, 0x2020, 0x2021, 0x2022,
+ 0x2026, 0x2030, 0x2032, 0x2033, 0x2039, 0x203a, 0x2044, 0x20a7, 0x20aa, 0x20ab,
+ 0x20ac, 0x2116, 0x2118, 0x2122, 0x2126, 0x2135, 0x2190, 0x2191, 0x2192, 0x2193,
+ 0x2194, 0x2195, 0x21b5, 0x21d0, 0x21d1, 0x21d2, 0x21d3, 0x21d4, 0x2200, 0x2202,
+ 0x2203, 0x2205, 0x2206, 0x2207, 0x2208, 0x2209, 0x220b, 0x220f, 0x2211, 0x2212,
+ 0x2215, 0x2217, 0x2219, 0x221a, 0x221d, 0x221e, 0x2220, 0x2227, 0x2228, 0x2229,
+ 0x222a, 0x222b, 0x2234, 0x223c, 0x2245, 0x2248, 0x2260, 0x2261, 0x2264, 0x2265,
+ 0x2282, 0x2283, 0x2284, 0x2286, 0x2287, 0x2295, 0x2297, 0x22a5, 0x22c5, 0x2310,
+ 0x2320, 0x2321, 0x2329, 0x232a, 0x2469, 0x2500, 0x2502, 0x250c, 0x2510, 0x2514,
+ 0x2518, 0x251c, 0x2524, 0x252c, 0x2534, 0x253c, 0x2550, 0x2551, 0x2552, 0x2553,
+ 0x2554, 0x2555, 0x2556, 0x2557, 0x2558, 0x2559, 0x255a, 0x255b, 0x255c, 0x255d,
+ 0x255e, 0x255f, 0x2560, 0x2561, 0x2562, 0x2563, 0x2564, 0x2565, 0x2566, 0x2567,
+ 0x2568, 0x2569, 0x256a, 0x256b, 0x256c, 0x2580, 0x2584, 0x2588, 0x258c, 0x2590,
+ 0x2591, 0x2592, 0x2593, 0x25a0, 0x25b2, 0x25bc, 0x25c6, 0x25ca, 0x25cf, 0x25d7,
+ 0x2605, 0x260e, 0x261b, 0x261e, 0x2660, 0x2663, 0x2665, 0x2666, 0x2701, 0x2702,
+ 0x2703, 0x2704, 0x2706, 0x2707, 0x2708, 0x2709, 0x270c, 0x270d, 0x270e, 0x270f,
+ 0x2710, 0x2711, 0x2712, 0x2713, 0x2714, 0x2715, 0x2716, 0x2717, 0x2718, 0x2719,
+ 0x271a, 0x271b, 0x271c, 0x271d, 0x271e, 0x271f, 0x2720, 0x2721, 0x2722, 0x2723,
+ 0x2724, 0x2725, 0x2726, 0x2727, 0x2729, 0x272a, 0x272b, 0x272c, 0x272d, 0x272e,
+ 0x272f, 0x2730, 0x2731, 0x2732, 0x2733, 0x2734, 0x2735, 0x2736, 0x2737, 0x2738,
+ 0x2739, 0x273a, 0x273b, 0x273c, 0x273d, 0x273e, 0x273f, 0x2740, 0x2741, 0x2742,
+ 0x2743, 0x2744, 0x2745, 0x2746, 0x2747, 0x2748, 0x2749, 0x274a, 0x274b, 0x274d,
+ 0x274f, 0x2750, 0x2751, 0x2752, 0x2756, 0x2758, 0x2759, 0x275a, 0x275b, 0x275c,
+ 0x275d, 0x275e, 0x2761, 0x2762, 0x2763, 0x2764, 0x2765, 0x2766, 0x2767, 0x277f,
+ 0x2789, 0x2793, 0x2794, 0x2798, 0x2799, 0x279a, 0x279b, 0x279c, 0x279d, 0x279e,
+ 0x279f, 0x27a0, 0x27a1, 0x27a2, 0x27a3, 0x27a4, 0x27a5, 0x27a6, 0x27a7, 0x27a8,
+ 0x27a9, 0x27aa, 0x27ab, 0x27ac, 0x27ad, 0x27ae, 0x27af, 0x27b1, 0x27b2, 0x27b3,
+ 0x27b4, 0x27b5, 0x27b6, 0x27b7, 0x27b8, 0x27b9, 0x27ba, 0x27bb, 0x27bc, 0x27bd,
+ 0x27be, 0x3000, 0x3001, 0x3002, 0x3003, 0x3008, 0x3009, 0x300a, 0x300b, 0x300c,
+ 0x300d, 0x300e, 0x300f, 0x3010, 0x3011, 0x3012, 0x3014, 0x3015, 0x3016, 0x3017,
+ 0x3018, 0x3019, 0x301a, 0x301b, 0x3036,
+ 0xf6d9, 0xf6da, 0xf6db, 0xf8d7, 0xf8d8, 0xf8d9, 0xf8da, 0xf8db, 0xf8dc,
+ 0xf8dd, 0xf8de, 0xf8df, 0xf8e0, 0xf8e1, 0xf8e2, 0xf8e3, 0xf8e4, 0xf8e5, 0xf8e6,
+ 0xf8e7, 0xf8e8, 0xf8e9, 0xf8ea, 0xf8eb, 0xf8ec, 0xf8ed, 0xf8ee, 0xf8ef, 0xf8f0,
+ 0xf8f1, 0xf8f2, 0xf8f3, 0xf8f4, 0xf8f5, 0xf8f6, 0xf8f7, 0xf8f8, 0xf8f9, 0xf8fa,
+ 0xf8fb, 0xf8fc, 0xf8fd, 0xf8fe, 0xfe7c, 0xfe7d,
+ 0xff01, 0xff02, 0xff03, 0xff04, 0xff05, 0xff06, 0xff07, 0xff08, 0xff09,
+ 0xff09, 0xff0a, 0xff0b, 0xff0c, 0xff0d, 0xff0e, 0xff0f, 0xff1a, 0xff1b, 0xff1c,
+ 0xff1d, 0xff1e, 0xff1f, 0xff20, 0xff3b, 0xff3c, 0xff3d, 0xff3e, 0xff40, 0xff5b,
+ 0xff5c, 0xff5d, 0xff5e, 0xff5f, 0xff60, 0xff61, 0xff62, 0xff63, 0xff64, 0xff65,
+ 0xffe0, 0xffe1, 0xffe2, 0xffe3, 0xffe4, 0xffe5, 0xffe6, 0xffe8, 0xffe9, 0xffea,
+ 0xffeb, 0xffec, 0xffed, 0xffee,
+ 0x01d6fc, 0x01d6fd, 0x01d6fe, 0x01d6ff, 0x01d700, 0x01d701, 0x01d702, 0x01d703,
+ 0x01d704, 0x01d705, 0x01d706, 0x01d707, 0x01d708, 0x01d709, 0x01d70a, 0x01d70b,
+ 0x01d70c, 0x01d70d, 0x01d70e, 0x01d70f, 0x01d710, 0x01d711, 0x01d712, 0x01d713,
+ 0x01d714, 0x01d715, 0x01d716, 0x01d717, 0x01d718, 0x01d719, 0x01d71a, 0x01d71b,
+ 0xc2a0, 0xe28087, 0xe280af, 0xe281a0, 0xefbbbf,
+);
+
+// utf8 version of above data
+global $UTF8_SPECIAL_CHARS2;
+if(empty($UTF8_SPECIAL_CHARS2)) $UTF8_SPECIAL_CHARS2 =
+ "\x1A".' !"#$%&\'()+,/;<=>?@[\]^`{|}~聙聛聜聝聞聟聠聡聢聣聤聥聦聧聨聫聬聭聮聯聰聲锟'.
+ '锟铰椔樎櫬毬浡溌澛灺 隆垄拢陇楼娄搂篓漏陋芦卢颅庐炉掳卤虏鲁麓碌露路赂鹿潞禄录陆锟'.
+ '锟铰棵椕匪囁標櫵毸浰溗澨虂虄虊蹋蝿螀螄蠔职直植殖执值侄址指止只旨纸志挚锟'.
+ '锟阶佔傋冏匙簇屫涁熧賸賹賺賻購賽賾賿侏喔库屸嶁庘忊撯斺曗椻樷欌氣溾濓拷'.
+ '锟斤拷鈥犫♀⑩︹扳测斥光衡亜鈧р偑鈧偓鈩栤剺鈩⑩劍鈩碘啇鈫戔啋鈫撯啍鈫曗喌'.
+ '鈬愨噾鈬掆嚀鈬斺垁鈭傗垉鈭呪垎鈭団垐鈭夆垕鈭忊垜鈭掆垥鈭椻垯鈭氣垵鈭炩垹鈭р埁锟'.
+ '锟解埅鈭埓鈭尖墔鈮堚墵鈮♀墹鈮モ妭鈯冣妱鈯嗏妵鈯曗姉鈯モ媴鈱愨尃鈱♀尒鈱懇鈹锟'.
+ '锟斤拷鈹屸攼鈹斺敇鈹溾敜鈹敶鈹尖晲鈺戔晵鈺撯晹鈺曗晼鈺椻晿鈺欌暁鈺涒暅鈺濃暈鈺熲暊'.
+ '鈺♀暍鈺b暏鈺モ暒鈺р暔鈺┾暘鈺暚鈻鈻勨枅鈻屸枑鈻戔枓鈻撯枲鈻测柤鈼嗏棅鈼忥拷'.
+ '锟解槄鈽庘槢鈽炩櫊鈾b櫏鈾︹渷鉁傗渻鉁勨渾鉁団湀鉁夆湆鉁嶁湈鉁忊湊鉁戔湌鉁撯湐鉁曪拷'.
+ '锟斤拷鉁椻湗鉁欌湚鉁涒湝鉁濃湠鉁熲湢鉁♀湤鉁b湦鉁モ湨鉁р湬鉁湯鉁湱鉁湳鉁扳湵'.
+ '鉁测湷鉁粹湹鉁垛湻鉁糕湽鉁衡溁鉁尖溄鉁锯溈鉂鉂佲潅鉂冣潉鉂呪潌鉂団潏鉂夆潑鉂嬶拷'.
+ '锟解潖鉂愨潙鉂掆潠鉂樷潤鉂氣潧鉂溾潩鉂炩潯鉂⑩潱鉂も潵鉂︹潷鉂库瀴鉃撯灁鉃樷灆鉃氾拷'.
+ '锟斤拷鉃溾灊鉃炩灍鉃犫灐鉃⑩灒鉃も灔鉃︹灖鉃ㄢ灘鉃灚鉃灜鉃灟鉃扁灢鉃斥灤鉃碘灦'.
+ '鉃封灨鉃光灪鉃烩灱鉃解灳'.
+ '銆銆併傘冦堛夈娿嬨屻嶃庛忋愩戙掋斻曘栥椼樸欍氥涖'.
+ '餂欙洑餂涳铮橈铮氾铮滐铮烇铮狅!铮#铮わ%锟'.
+ '锟斤'铮)铮+铮-铮/铮帮1铮诧3铮达5铮讹7铮革9铮猴;铮硷=铮撅辜锕'.
+ '锛侊紓锛冿紕锛咃紗锛囷紙锛夛紛锛嬶紝锛嶏紟锛忥細锛涳紲锛濓紴锛燂紶锛伙技锛斤季锝锝涳綔锝濓綖'.
+ '锝燂綘锝★舰锝o饯锝ワ繝锟★竣锟o郡锟ワ喀锟咯锟揩锟凯锟'.
+ '饾浖饾浗饾浘饾浛饾渶饾渷饾渹饾渻饾渼饾渽饾渾饾渿饾湀饾湁饾湂饾湅饾湆饾湇饾湈饾湉饾湊饾湋饾湌饾湏饾湐饾湑饾湒饾湕饾湗饾湙饾湚饾湜'.
+ ' 鈥団仩锘';
+
+/**
+ * Romanization lookup table
+ *
+ * This lookup tables provides a way to transform strings written in a language
+ * different from the ones based upon latin letters into plain ASCII.
+ *
+ * Please note: this is not a scientific transliteration table. It only works
+ * oneway from nonlatin to ASCII and it works by simple character replacement
+ * only. Specialities of each language are not supported.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Vitaly Blokhin <vitinfo@vitn.com>
+ * @link http://www.uconv.com/translit.htm
+ * @author Bisqwit <bisqwit@iki.fi>
+ * @link http://kanjidict.stc.cx/hiragana.php?src=2
+ * @link http://www.translatum.gr/converter/greek-transliteration.htm
+ * @link http://en.wikipedia.org/wiki/Royal_Thai_General_System_of_Transcription
+ * @link http://www.btranslations.com/resources/romanization/korean.asp
+ * @author Arthit Suriyawongkul <arthit@gmail.com>
+ * @author Denis Scheither <amorphis@uni-bremen.de>
+ */
+global $UTF8_ROMANIZATION;
+if(empty($UTF8_ROMANIZATION)) $UTF8_ROMANIZATION = array(
+ // scandinavian - differs from what we do in deaccent
+ '氓'=>'a','脜'=>'A','盲'=>'a','脛'=>'A','枚'=>'o','脰'=>'O',
+
+ //russian cyrillic
+ '邪'=>'a','袗'=>'A','斜'=>'b','袘'=>'B','胁'=>'v','袙'=>'V','谐'=>'g','袚'=>'G',
+ '写'=>'d','袛'=>'D','械'=>'e','袝'=>'E','褢'=>'jo','衼'=>'Jo','卸'=>'zh','袞'=>'Zh',
+ '蟹'=>'z','袟'=>'Z','懈'=>'i','袠'=>'I','泄'=>'j','袡'=>'J','泻'=>'k','袣'=>'K',
+ '谢'=>'l','袥'=>'L','屑'=>'m','袦'=>'M','薪'=>'n','袧'=>'N','芯'=>'o','袨'=>'O',
+ '锌'=>'p','袩'=>'P','褉'=>'r','袪'=>'R','褋'=>'s','小'=>'S','褌'=>'t','孝'=>'T',
+ '褍'=>'u','校'=>'U','褎'=>'f','肖'=>'F','褏'=>'x','啸'=>'X','褑'=>'c','笑'=>'C',
+ '褔'=>'ch','效'=>'Ch','褕'=>'sh','楔'=>'Sh','褖'=>'sch','些'=>'Sch','褗'=>'',
+ '歇'=>'','褘'=>'y','蝎'=>'Y','褜'=>'','鞋'=>'','褝'=>'eh','协'=>'Eh','褞'=>'ju',
+ '挟'=>'Ju','褟'=>'ja','携'=>'Ja',
+ // Ukrainian cyrillic
+ '覑'=>'Gh','覒'=>'gh','袆'=>'Je','褦'=>'je','袉'=>'I','褨'=>'i','袊'=>'Ji','褩'=>'ji',
+ // Georgian
+ '醿'=>'a','醿'=>'b','醿'=>'g','醿'=>'d','醿'=>'e','醿'=>'v','醿'=>'z','醿'=>'th',
+ '醿'=>'i','醿'=>'p','醿'=>'l','醿'=>'m','醿'=>'n','醿'=>'o','醿'=>'p','醿'=>'zh',
+ '醿'=>'r','醿'=>'s','醿'=>'t','醿'=>'u','醿'=>'ph','醿'=>'kh','醿'=>'gh','醿'=>'q',
+ '醿'=>'sh','醿'=>'ch','醿'=>'c','醿'=>'dh','醿'=>'w','醿'=>'j','醿'=>'x','醿'=>'jh',
+ '醿'=>'xh',
+ //Sanskrit
+ '啶'=>'a','啶'=>'ah','啶'=>'i','啶'=>'ih','啶'=>'u','啶'=>'uh','啶'=>'ry',
+ '啷'=>'ryh','啶'=>'ly','啷'=>'lyh','啶'=>'e','啶'=>'ay','啶'=>'o','啶'=>'aw',
+ '啶呧'=>'amh','啶呧'=>'aq','啶'=>'k','啶'=>'kh','啶'=>'g','啶'=>'gh','啶'=>'nh',
+ '啶'=>'c','啶'=>'ch','啶'=>'j','啶'=>'jh','啶'=>'ny','啶'=>'tq','啶'=>'tqh',
+ '啶'=>'dq','啶'=>'dqh','啶'=>'nq','啶'=>'t','啶'=>'th','啶'=>'d','啶'=>'dh',
+ '啶'=>'n','啶'=>'p','啶'=>'ph','啶'=>'b','啶'=>'bh','啶'=>'m','啶'=>'z','啶'=>'r',
+ '啶'=>'l','啶'=>'v','啶'=>'sh','啶'=>'sqh','啶'=>'s','啶'=>'x',
+ //Hebrew
+ '讗'=>'a', '讘'=>'b','讙'=>'g','讚'=>'d','讛'=>'h','讜'=>'v','讝'=>'z','讞'=>'kh','讟'=>'th',
+ '讬'=>'y','讱'=>'h','讻'=>'k','诇'=>'l','诐'=>'m','诪'=>'m','谉'=>'n','谞'=>'n',
+ '住'=>'s','注'=>'ah','祝'=>'f','驻'=>'p','抓'=>'c','爪'=>'c','拽'=>'q','专'=>'r',
+ '砖'=>'sh','转'=>'t',
+ //Arabic
+ '丕'=>'a','亘'=>'b','鬲'=>'t','孬'=>'th','噩'=>'g','丨'=>'xh','禺'=>'x','丿'=>'d',
+ '匕'=>'dh','乇'=>'r','夭'=>'z','爻'=>'s','卮'=>'sh','氐'=>'s\'','囟'=>'d\'',
+ '胤'=>'t\'','馗'=>'z\'','毓'=>'y','睾'=>'gh','賮'=>'f','賯'=>'q','賰'=>'k',
+ '賱'=>'l','賲'=>'m','賳'=>'n','賴'=>'x\'','賵'=>'u','賷'=>'i',
+
+ // Japanese characters (last update: 2008-05-09)
+
+ // Japanese hiragana
+
+ // 3 character syllables, 銇 doubles the consonant after
+ '銇c仭銈'=>'ccha','銇c仭銇'=>'cche','銇c仭銈'=>'ccho','銇c仭銈'=>'cchu',
+ '銇c伋銈'=>'bbya','銇c伋銇'=>'bbye','銇c伋銇'=>'bbyi','銇c伋銈'=>'bbyo','銇c伋銈'=>'bbyu',
+ '銇c伌銈'=>'ppya','銇c伌銇'=>'ppye','銇c伌銇'=>'ppyi','銇c伌銈'=>'ppyo','銇c伌銈'=>'ppyu',
+ '銇c仭銈'=>'ccha','銇c仭銇'=>'cche','銇c仭'=>'cchi','銇c仭銈'=>'ccho','銇c仭銈'=>'cchu',
+ // '銇c伈銈'=>'hya','銇c伈銇'=>'hye','銇c伈銇'=>'hyi','銇c伈銈'=>'hyo','銇c伈銈'=>'hyu',
+ '銇c亶銈'=>'kkya','銇c亶銇'=>'kkye','銇c亶銇'=>'kkyi','銇c亶銈'=>'kkyo','銇c亶銈'=>'kkyu',
+ '銇c亷銈'=>'ggya','銇c亷銇'=>'ggye','銇c亷銇'=>'ggyi','銇c亷銈'=>'ggyo','銇c亷銈'=>'ggyu',
+ '銇c伩銈'=>'mmya','銇c伩銇'=>'mmye','銇c伩銇'=>'mmyi','銇c伩銈'=>'mmyo','銇c伩銈'=>'mmyu',
+ '銇c伀銈'=>'nnya','銇c伀銇'=>'nnye','銇c伀銇'=>'nnyi','銇c伀銈'=>'nnyo','銇c伀銈'=>'nnyu',
+ '銇c倞銈'=>'rrya','銇c倞銇'=>'rrye','銇c倞銇'=>'rryi','銇c倞銈'=>'rryo','銇c倞銈'=>'rryu',
+ '銇c仐銈'=>'ssha','銇c仐銇'=>'sshe','銇c仐'=>'sshi','銇c仐銈'=>'ssho','銇c仐銈'=>'sshu',
+
+ // seperate hiragana 'n' ('n' + 'i' != 'ni', normally we would write "kon'nichi wa" but the apostrophe would be converted to _ anyway)
+ '銈撱亗'=>'n_a','銈撱亪'=>'n_e','銈撱亜'=>'n_i','銈撱亰'=>'n_o','銈撱亞'=>'n_u',
+ '銈撱倓'=>'n_ya','銈撱倛'=>'n_yo','銈撱倖'=>'n_yu',
+
+ // 2 character syllables - normal
+ '銇点亖'=>'fa','銇点亣'=>'fe','銇点亙'=>'fi','銇点亯'=>'fo',
+ '銇°們'=>'cha','銇°亣'=>'che','銇'=>'chi','銇°倗'=>'cho','銇°倕'=>'chu',
+ '銇层們'=>'hya','銇层亣'=>'hye','銇层亙'=>'hyi','銇层倗'=>'hyo','銇层倕'=>'hyu',
+ '銇炽們'=>'bya','銇炽亣'=>'bye','銇炽亙'=>'byi','銇炽倗'=>'byo','銇炽倕'=>'byu',
+ '銇淬們'=>'pya','銇淬亣'=>'pye','銇淬亙'=>'pyi','銇淬倗'=>'pyo','銇淬倕'=>'pyu',
+ '銇嶃們'=>'kya','銇嶃亣'=>'kye','銇嶃亙'=>'kyi','銇嶃倗'=>'kyo','銇嶃倕'=>'kyu',
+ '銇庛們'=>'gya','銇庛亣'=>'gye','銇庛亙'=>'gyi','銇庛倗'=>'gyo','銇庛倕'=>'gyu',
+ '銇裤們'=>'mya','銇裤亣'=>'mye','銇裤亙'=>'myi','銇裤倗'=>'myo','銇裤倕'=>'myu',
+ '銇們'=>'nya','銇亣'=>'nye','銇亙'=>'nyi','銇倗'=>'nyo','銇倕'=>'nyu',
+ '銈娿們'=>'rya','銈娿亣'=>'rye','銈娿亙'=>'ryi','銈娿倗'=>'ryo','銈娿倕'=>'ryu',
+ '銇椼們'=>'sha','銇椼亣'=>'she','銇'=>'shi','銇椼倗'=>'sho','銇椼倕'=>'shu',
+ '銇樸們'=>'ja','銇樸亣'=>'je','銇樸倗'=>'jo','銇樸倕'=>'ju',
+ '銇嗐亣'=>'we','銇嗐亙'=>'wi',
+ '銇勩亣'=>'ye',
+
+ // 2 character syllables, 銇 doubles the consonant after
+ '銇c伆'=>'bba','銇c伖'=>'bbe','銇c伋'=>'bbi','銇c伡'=>'bbo','銇c伓'=>'bbu',
+ '銇c伇'=>'ppa','銇c伜'=>'ppe','銇c伌'=>'ppi','銇c伣'=>'ppo','銇c伔'=>'ppu',
+ '銇c仧'=>'tta','銇c仸'=>'tte','銇c仭'=>'cchi','銇c仺'=>'tto','銇c仱'=>'ttsu',
+ '銇c仩'=>'dda','銇c仹'=>'dde','銇c仮'=>'ddi','銇c仼'=>'ddo','銇c仴'=>'ddu',
+ '銇c亴'=>'gga','銇c亽'=>'gge','銇c亷'=>'ggi','銇c仈'=>'ggo','銇c亹'=>'ggu',
+ '銇c亱'=>'kka','銇c亼'=>'kke','銇c亶'=>'kki','銇c亾'=>'kko','銇c亸'=>'kku',
+ '銇c伨'=>'mma','銇c倎'=>'mme','銇c伩'=>'mmi','銇c倐'=>'mmo','銇c個'=>'mmu',
+ '銇c仾'=>'nna','銇c伃'=>'nne','銇c伀'=>'nni','銇c伄'=>'nno','銇c伂'=>'nnu',
+ '銇c倝'=>'rra','銇c倢'=>'rre','銇c倞'=>'rri','銇c倣'=>'rro','銇c倠'=>'rru',
+ '銇c仌'=>'ssa','銇c仜'=>'sse','銇c仐'=>'sshi','銇c仢'=>'sso','銇c仚'=>'ssu',
+ '銇c仏'=>'zza','銇c仠'=>'zze','銇c仒'=>'jji','銇c仦'=>'zzo','銇c仛'=>'zzu',
+
+ // 1 character syllabels
+ '銇'=>'a','銇'=>'e','銇'=>'i','銇'=>'o','銇'=>'u','銈'=>'n',
+ '銇'=>'ha','銇'=>'he','銇'=>'hi','銇'=>'ho','銇'=>'fu',
+ '銇'=>'ba','銇'=>'be','銇'=>'bi','銇'=>'bo','銇'=>'bu',
+ '銇'=>'pa','銇'=>'pe','銇'=>'pi','銇'=>'po','銇'=>'pu',
+ '銇'=>'ta','銇'=>'te','銇'=>'chi','銇'=>'to','銇'=>'tsu',
+ '銇'=>'da','銇'=>'de','銇'=>'di','銇'=>'do','銇'=>'du',
+ '銇'=>'ga','銇'=>'ge','銇'=>'gi','銇'=>'go','銇'=>'gu',
+ '銇'=>'ka','銇'=>'ke','銇'=>'ki','銇'=>'ko','銇'=>'ku',
+ '銇'=>'ma','銈'=>'me','銇'=>'mi','銈'=>'mo','銈'=>'mu',
+ '銇'=>'na','銇'=>'ne','銇'=>'ni','銇'=>'no','銇'=>'nu',
+ '銈'=>'ra','銈'=>'re','銈'=>'ri','銈'=>'ro','銈'=>'ru',
+ '銇'=>'sa','銇'=>'se','銇'=>'shi','銇'=>'so','銇'=>'su',
+ '銈'=>'wa','銈'=>'wo',
+ '銇'=>'za','銇'=>'ze','銇'=>'ji','銇'=>'zo','銇'=>'zu',
+ '銈'=>'ya','銈'=>'yo','銈'=>'yu',
+ // old characters
+ '銈'=>'we','銈'=>'wi',
+
+ // convert what's left (probably only kicks in when something's missing above)
+ // '銇'=>'a','銇'=>'e','銇'=>'i','銇'=>'o','銇'=>'u',
+ // '銈'=>'ya','銈'=>'yo','銈'=>'yu',
+
+ // never seen one of those (disabled for the moment)
+ // '銉淬亖'=>'va','銉淬亣'=>'ve','銉淬亙'=>'vi','銉淬亯'=>'vo','銉'=>'vu',
+ // '銇с們'=>'dha','銇с亣'=>'dhe','銇с亙'=>'dhi','銇с倗'=>'dho','銇с倕'=>'dhu',
+ // '銇┿亖'=>'dwa','銇┿亣'=>'dwe','銇┿亙'=>'dwi','銇┿亯'=>'dwo','銇┿亝'=>'dwu',
+ // '銇€們'=>'dya','銇€亣'=>'dye','銇€亙'=>'dyi','銇€倗'=>'dyo','銇€倕'=>'dyu',
+ // '銇点亖'=>'fwa','銇点亣'=>'fwe','銇点亙'=>'fwi','銇点亯'=>'fwo','銇点亝'=>'fwu',
+ // '銇点們'=>'fya','銇点亣'=>'fye','銇点亙'=>'fyi','銇点倗'=>'fyo','銇点倕'=>'fyu',
+ // '銇欍亖'=>'swa','銇欍亣'=>'swe','銇欍亙'=>'swi','銇欍亯'=>'swo','銇欍亝'=>'swu',
+ // '銇︺們'=>'tha','銇︺亣'=>'the','銇︺亙'=>'thi','銇︺倗'=>'tho','銇︺倕'=>'thu',
+ // '銇ゃ們'=>'tsa','銇ゃ亣'=>'tse','銇ゃ亙'=>'tsi','銇ゃ倗'=>'tso','銇'=>'tsu',
+ // '銇ㄣ亖'=>'twa','銇ㄣ亣'=>'twe','銇ㄣ亙'=>'twi','銇ㄣ亯'=>'two','銇ㄣ亝'=>'twu',
+ // '銉淬們'=>'vya','銉淬亣'=>'vye','銉淬亙'=>'vyi','銉淬倗'=>'vyo','銉淬倕'=>'vyu',
+ // '銇嗐亖'=>'wha','銇嗐亣'=>'whe','銇嗐亙'=>'whi','銇嗐亯'=>'who','銇嗐亝'=>'whu',
+ // '銇樸們'=>'zha','銇樸亣'=>'zhe','銇樸亙'=>'zhi','銇樸倗'=>'zho','銇樸倕'=>'zhu',
+ // '銇樸們'=>'zya','銇樸亣'=>'zye','銇樸亙'=>'zyi','銇樸倗'=>'zyo','銇樸倕'=>'zyu',
+
+ // 'spare' characters from other romanization systems
+ // '銇'=>'da','銇'=>'de','銇'=>'di','銇'=>'do','銇'=>'du',
+ // '銈'=>'la','銈'=>'le','銈'=>'li','銈'=>'lo','銈'=>'lu',
+ // '銇'=>'sa','銇'=>'se','銇'=>'si','銇'=>'so','銇'=>'su',
+ // '銇°們'=>'cya','銇°亣'=>'cye','銇°亙'=>'cyi','銇°倗'=>'cyo','銇°倕'=>'cyu',
+ //'銇樸們'=>'jya','銇樸亣'=>'jye','銇樸亙'=>'jyi','銇樸倗'=>'jyo','銇樸倕'=>'jyu',
+ //'銈娿們'=>'lya','銈娿亣'=>'lye','銈娿亙'=>'lyi','銈娿倗'=>'lyo','銈娿倕'=>'lyu',
+ //'銇椼們'=>'sya','銇椼亣'=>'sye','銇椼亙'=>'syi','銇椼倗'=>'syo','銇椼倕'=>'syu',
+ //'銇°們'=>'tya','銇°亣'=>'tye','銇°亙'=>'tyi','銇°倗'=>'tyo','銇°倕'=>'tyu',
+ //'銇'=>'ci',,銇'=>'yi','銇'=>'dzi',
+ //'銇c仒銈'=>'jja','銇c仒銇'=>'jje','銇c仒'=>'jji','銇c仒銈'=>'jjo','銇c仒銈'=>'jju',
+
+
+ // Japanese katakana
+
+ // 4 character syllables: 銉 doubles the consonant after, 銉 doubles the vowel before (usualy written with macron, but we don't want that in our URLs)
+ '銉冦儞銉c兗'=>'bbyaa','銉冦儞銈с兗'=>'bbyee','銉冦儞銈c兗'=>'bbyii','銉冦儞銉с兗'=>'bbyoo','銉冦儞銉ャ兗'=>'bbyuu',
+ '銉冦償銉c兗'=>'ppyaa','銉冦償銈с兗'=>'ppyee','銉冦償銈c兗'=>'ppyii','銉冦償銉с兗'=>'ppyoo','銉冦償銉ャ兗'=>'ppyuu',
+ '銉冦偔銉c兗'=>'kkyaa','銉冦偔銈с兗'=>'kkyee','銉冦偔銈c兗'=>'kkyii','銉冦偔銉с兗'=>'kkyoo','銉冦偔銉ャ兗'=>'kkyuu',
+ '銉冦偖銉c兗'=>'ggyaa','銉冦偖銈с兗'=>'ggyee','銉冦偖銈c兗'=>'ggyii','銉冦偖銉с兗'=>'ggyoo','銉冦偖銉ャ兗'=>'ggyuu',
+ '銉冦儫銉c兗'=>'mmyaa','銉冦儫銈с兗'=>'mmyee','銉冦儫銈c兗'=>'mmyii','銉冦儫銉с兗'=>'mmyoo','銉冦儫銉ャ兗'=>'mmyuu',
+ '銉冦儖銉c兗'=>'nnyaa','銉冦儖銈с兗'=>'nnyee','銉冦儖銈c兗'=>'nnyii','銉冦儖銉с兗'=>'nnyoo','銉冦儖銉ャ兗'=>'nnyuu',
+ '銉冦儶銉c兗'=>'rryaa','銉冦儶銈с兗'=>'rryee','銉冦儶銈c兗'=>'rryii','銉冦儶銉с兗'=>'rryoo','銉冦儶銉ャ兗'=>'rryuu',
+ '銉冦偡銉c兗'=>'sshaa','銉冦偡銈с兗'=>'sshee','銉冦偡銉'=>'sshii','銉冦偡銉с兗'=>'sshoo','銉冦偡銉ャ兗'=>'sshuu',
+ '銉冦儊銉c兗'=>'cchaa','銉冦儊銈с兗'=>'cchee','銉冦儊銉'=>'cchii','銉冦儊銉с兗'=>'cchoo','銉冦儊銉ャ兗'=>'cchuu',
+ '銉冦儐銈c兗'=>'ttii',
+ '銉冦儌銈c兗'=>'ddii',
+
+ // 3 character syllables - doubled vowels
+ '銉曘偂銉'=>'faa','銉曘偋銉'=>'fee','銉曘偅銉'=>'fii','銉曘偐銉'=>'foo',
+ '銉曘儯銉'=>'fyaa','銉曘偋銉'=>'fyee','銉曘偅銉'=>'fyii','銉曘儳銉'=>'fyoo','銉曘儱銉'=>'fyuu',
+ '銉掋儯銉'=>'hyaa','銉掋偋銉'=>'hyee','銉掋偅銉'=>'hyii','銉掋儳銉'=>'hyoo','銉掋儱銉'=>'hyuu',
+ '銉撱儯銉'=>'byaa','銉撱偋銉'=>'byee','銉撱偅銉'=>'byii','銉撱儳銉'=>'byoo','銉撱儱銉'=>'byuu',
+ '銉斻儯銉'=>'pyaa','銉斻偋銉'=>'pyee','銉斻偅銉'=>'pyii','銉斻儳銉'=>'pyoo','銉斻儱銉'=>'pyuu',
+ '銈儯銉'=>'kyaa','銈偋銉'=>'kyee','銈偅銉'=>'kyii','銈儳銉'=>'kyoo','銈儱銉'=>'kyuu',
+ '銈儯銉'=>'gyaa','銈偋銉'=>'gyee','銈偅銉'=>'gyii','銈儳銉'=>'gyoo','銈儱銉'=>'gyuu',
+ '銉熴儯銉'=>'myaa','銉熴偋銉'=>'myee','銉熴偅銉'=>'myii','銉熴儳銉'=>'myoo','銉熴儱銉'=>'myuu',
+ '銉嬨儯銉'=>'nyaa','銉嬨偋銉'=>'nyee','銉嬨偅銉'=>'nyii','銉嬨儳銉'=>'nyoo','銉嬨儱銉'=>'nyuu',
+ '銉儯銉'=>'ryaa','銉偋銉'=>'ryee','銉偅銉'=>'ryii','銉儳銉'=>'ryoo','銉儱銉'=>'ryuu',
+ '銈枫儯銉'=>'shaa','銈枫偋銉'=>'shee','銈枫兗'=>'shii','銈枫儳銉'=>'shoo','銈枫儱銉'=>'shuu',
+ '銈搞儯銉'=>'jaa','銈搞偋銉'=>'jee','銈搞兗'=>'jii','銈搞儳銉'=>'joo','銈搞儱銉'=>'juu',
+ '銈广偂銉'=>'swaa','銈广偋銉'=>'swee','銈广偅銉'=>'swii','銈广偐銉'=>'swoo','銈广偉銉'=>'swuu',
+ '銉囥偂銉'=>'daa','銉囥偋銉'=>'dee','銉囥偅銉'=>'dii','銉囥偐銉'=>'doo','銉囥偉銉'=>'duu',
+ '銉併儯銉'=>'chaa','銉併偋銉'=>'chee','銉併兗'=>'chii','銉併儳銉'=>'choo','銉併儱銉'=>'chuu',
+ '銉傘儯銉'=>'dyaa','銉傘偋銉'=>'dyee','銉傘偅銉'=>'dyii','銉傘儳銉'=>'dyoo','銉傘儱銉'=>'dyuu',
+ '銉勩儯銉'=>'tsaa','銉勩偋銉'=>'tsee','銉勩偅銉'=>'tsii','銉勩儳銉'=>'tsoo','銉勩兗'=>'tsuu',
+ '銉堛偂銉'=>'twaa','銉堛偋銉'=>'twee','銉堛偅銉'=>'twii','銉堛偐銉'=>'twoo','銉堛偉銉'=>'twuu',
+ '銉夈偂銉'=>'dwaa','銉夈偋銉'=>'dwee','銉夈偅銉'=>'dwii','銉夈偐銉'=>'dwoo','銉夈偉銉'=>'dwuu',
+ '銈︺偂銉'=>'whaa','銈︺偋銉'=>'whee','銈︺偅銉'=>'whii','銈︺偐銉'=>'whoo','銈︺偉銉'=>'whuu',
+ '銉淬儯銉'=>'vyaa','銉淬偋銉'=>'vyee','銉淬偅銉'=>'vyii','銉淬儳銉'=>'vyoo','銉淬儱銉'=>'vyuu',
+ '銉淬偂銉'=>'vaa','銉淬偋銉'=>'vee','銉淬偅銉'=>'vii','銉淬偐銉'=>'voo','銉淬兗'=>'vuu',
+ '銈︺偋銉'=>'wee','銈︺偅銉'=>'wii',
+ '銈ゃ偋銉'=>'yee',
+ '銉嗐偅銉'=>'tii',
+ '銉傘偅銉'=>'dii',
+
+ // 3 character syllables - doubled consonants
+ '銉冦儞銉'=>'bbya','銉冦儞銈'=>'bbye','銉冦儞銈'=>'bbyi','銉冦儞銉'=>'bbyo','銉冦儞銉'=>'bbyu',
+ '銉冦償銉'=>'ppya','銉冦償銈'=>'ppye','銉冦償銈'=>'ppyi','銉冦償銉'=>'ppyo','銉冦償銉'=>'ppyu',
+ '銉冦偔銉'=>'kkya','銉冦偔銈'=>'kkye','銉冦偔銈'=>'kkyi','銉冦偔銉'=>'kkyo','銉冦偔銉'=>'kkyu',
+ '銉冦偖銉'=>'ggya','銉冦偖銈'=>'ggye','銉冦偖銈'=>'ggyi','銉冦偖銉'=>'ggyo','銉冦偖銉'=>'ggyu',
+ '銉冦儫銉'=>'mmya','銉冦儫銈'=>'mmye','銉冦儫銈'=>'mmyi','銉冦儫銉'=>'mmyo','銉冦儫銉'=>'mmyu',
+ '銉冦儖銉'=>'nnya','銉冦儖銈'=>'nnye','銉冦儖銈'=>'nnyi','銉冦儖銉'=>'nnyo','銉冦儖銉'=>'nnyu',
+ '銉冦儶銉'=>'rrya','銉冦儶銈'=>'rrye','銉冦儶銈'=>'rryi','銉冦儶銉'=>'rryo','銉冦儶銉'=>'rryu',
+ '銉冦偡銉'=>'ssha','銉冦偡銈'=>'sshe','銉冦偡'=>'sshi','銉冦偡銉'=>'ssho','銉冦偡銉'=>'sshu',
+ '銉冦儊銉'=>'ccha','銉冦儊銈'=>'cche','銉冦儊'=>'cchi','銉冦儊銉'=>'ccho','銉冦儊銉'=>'cchu',
+ '銉冦儐銈'=>'tti',
+ '銉冦儌銈'=>'ddi',
+
+ // 3 character syllables - doubled vowel and consonants
+ '銉冦儛銉'=>'bbaa','銉冦儥銉'=>'bbee','銉冦儞銉'=>'bbii','銉冦儨銉'=>'bboo','銉冦儢銉'=>'bbuu',
+ '銉冦儜銉'=>'ppaa','銉冦儦銉'=>'ppee','銉冦償銉'=>'ppii','銉冦儩銉'=>'ppoo','銉冦儣銉'=>'ppuu',
+ '銉冦偙銉'=>'kkee','銉冦偔銉'=>'kkii','銉冦偝銉'=>'kkoo','銉冦偗銉'=>'kkuu','銉冦偒銉'=>'kkaa',
+ '銉冦偓銉'=>'ggaa','銉冦偛銉'=>'ggee','銉冦偖銉'=>'ggii','銉冦偞銉'=>'ggoo','銉冦偘銉'=>'gguu',
+ '銉冦優銉'=>'maa','銉冦儭銉'=>'mee','銉冦儫銉'=>'mii','銉冦儮銉'=>'moo','銉冦儬銉'=>'muu',
+ '銉冦儕銉'=>'nnaa','銉冦儘銉'=>'nnee','銉冦儖銉'=>'nnii','銉冦儙銉'=>'nnoo','銉冦儗銉'=>'nnuu',
+ '銉冦儵銉'=>'rraa','銉冦儸銉'=>'rree','銉冦儶銉'=>'rrii','銉冦儹銉'=>'rroo','銉冦儷銉'=>'rruu',
+ '銉冦偟銉'=>'ssaa','銉冦偦銉'=>'ssee','銉冦偡銉'=>'sshii','銉冦偨銉'=>'ssoo','銉冦偣銉'=>'ssuu',
+ '銉冦偠銉'=>'zzaa','銉冦偧銉'=>'zzee','銉冦偢銉'=>'jjii','銉冦偩銉'=>'zzoo','銉冦偤銉'=>'zzuu',
+ '銉冦偪銉'=>'ttaa','銉冦儐銉'=>'ttee','銉冦儊銉'=>'chii','銉冦儓銉'=>'ttoo','銉冦儎銉'=>'ttsuu',
+ '銉冦儉銉'=>'ddaa','銉冦儑銉'=>'ddee','銉冦儌銉'=>'ddii','銉冦儔銉'=>'ddoo','銉冦儏銉'=>'dduu',
+
+ // 2 character syllables - normal
+ '銉曘偂'=>'fa','銉曘偋'=>'fe','銉曘偅'=>'fi','銉曘偐'=>'fo','銉曘偉'=>'fu',
+ // '銉曘儯'=>'fya','銉曘偋'=>'fye','銉曘偅'=>'fyi','銉曘儳'=>'fyo','銉曘儱'=>'fyu',
+ '銉曘儯'=>'fa','銉曘偋'=>'fe','銉曘偅'=>'fi','銉曘儳'=>'fo','銉曘儱'=>'fu',
+ '銉掋儯'=>'hya','銉掋偋'=>'hye','銉掋偅'=>'hyi','銉掋儳'=>'hyo','銉掋儱'=>'hyu',
+ '銉撱儯'=>'bya','銉撱偋'=>'bye','銉撱偅'=>'byi','銉撱儳'=>'byo','銉撱儱'=>'byu',
+ '銉斻儯'=>'pya','銉斻偋'=>'pye','銉斻偅'=>'pyi','銉斻儳'=>'pyo','銉斻儱'=>'pyu',
+ '銈儯'=>'kya','銈偋'=>'kye','銈偅'=>'kyi','銈儳'=>'kyo','銈儱'=>'kyu',
+ '銈儯'=>'gya','銈偋'=>'gye','銈偅'=>'gyi','銈儳'=>'gyo','銈儱'=>'gyu',
+ '銉熴儯'=>'mya','銉熴偋'=>'mye','銉熴偅'=>'myi','銉熴儳'=>'myo','銉熴儱'=>'myu',
+ '銉嬨儯'=>'nya','銉嬨偋'=>'nye','銉嬨偅'=>'nyi','銉嬨儳'=>'nyo','銉嬨儱'=>'nyu',
+ '銉儯'=>'rya','銉偋'=>'rye','銉偅'=>'ryi','銉儳'=>'ryo','銉儱'=>'ryu',
+ '銈枫儯'=>'sha','銈枫偋'=>'she','銈枫儳'=>'sho','銈枫儱'=>'shu',
+ '銈搞儯'=>'ja','銈搞偋'=>'je','銈搞儳'=>'jo','銈搞儱'=>'ju',
+ '銈广偂'=>'swa','銈广偋'=>'swe','銈广偅'=>'swi','銈广偐'=>'swo','銈广偉'=>'swu',
+ '銉囥偂'=>'da','銉囥偋'=>'de','銉囥偅'=>'di','銉囥偐'=>'do','銉囥偉'=>'du',
+ '銉併儯'=>'cha','銉併偋'=>'che','銉'=>'chi','銉併儳'=>'cho','銉併儱'=>'chu',
+ // '銉傘儯'=>'dya','銉傘偋'=>'dye','銉傘偅'=>'dyi','銉傘儳'=>'dyo','銉傘儱'=>'dyu',
+ '銉勩儯'=>'tsa','銉勩偋'=>'tse','銉勩偅'=>'tsi','銉勩儳'=>'tso','銉'=>'tsu',
+ '銉堛偂'=>'twa','銉堛偋'=>'twe','銉堛偅'=>'twi','銉堛偐'=>'two','銉堛偉'=>'twu',
+ '銉夈偂'=>'dwa','銉夈偋'=>'dwe','銉夈偅'=>'dwi','銉夈偐'=>'dwo','銉夈偉'=>'dwu',
+ '銈︺偂'=>'wha','銈︺偋'=>'whe','銈︺偅'=>'whi','銈︺偐'=>'who','銈︺偉'=>'whu',
+ '銉淬儯'=>'vya','銉淬偋'=>'vye','銉淬偅'=>'vyi','銉淬儳'=>'vyo','銉淬儱'=>'vyu',
+ '銉淬偂'=>'va','銉淬偋'=>'ve','銉淬偅'=>'vi','銉淬偐'=>'vo','銉'=>'vu',
+ '銈︺偋'=>'we','銈︺偅'=>'wi',
+ '銈ゃ偋'=>'ye',
+ '銉嗐偅'=>'ti',
+ '銉傘偅'=>'di',
+
+ // 2 character syllables - doubled vocal
+ '銈€兗'=>'aa','銈ㄣ兗'=>'ee','銈ゃ兗'=>'ii','銈兗'=>'oo','銈︺兗'=>'uu',
+ '銉銉'=>'daa','銉囥兗'=>'dee','銉傘兗'=>'dii','銉夈兗'=>'doo','銉呫兗'=>'duu',
+ '銉忋兗'=>'haa','銉樸兗'=>'hee','銉掋兗'=>'hii','銉涖兗'=>'hoo','銉曘兗'=>'fuu',
+ '銉愩兗'=>'baa','銉欍兗'=>'bee','銉撱兗'=>'bii','銉溿兗'=>'boo','銉栥兗'=>'buu',
+ '銉戙兗'=>'paa','銉氥兗'=>'pee','銉斻兗'=>'pii','銉濄兗'=>'poo','銉椼兗'=>'puu',
+ '銈便兗'=>'kee','銈兗'=>'kii','銈炽兗'=>'koo','銈兗'=>'kuu','銈兗'=>'kaa',
+ '銈兗'=>'gaa','銈层兗'=>'gee','銈兗'=>'gii','銈淬兗'=>'goo','銈般兗'=>'guu',
+ '銉炪兗'=>'maa','銉°兗'=>'mee','銉熴兗'=>'mii','銉€兗'=>'moo','銉犮兗'=>'muu',
+ '銉娿兗'=>'naa','銉嶃兗'=>'nee','銉嬨兗'=>'nii','銉庛兗'=>'noo','銉屻兗'=>'nuu',
+ '銉┿兗'=>'raa','銉兗'=>'ree','銉兗'=>'rii','銉兗'=>'roo','銉兗'=>'ruu',
+ '銈点兗'=>'saa','銈汇兗'=>'see','銈枫兗'=>'shii','銈姐兗'=>'soo','銈广兗'=>'suu',
+ '銈躲兗'=>'zaa','銈笺兗'=>'zee','銈搞兗'=>'jii','銈俱兗'=>'zoo','銈恒兗'=>'zuu',
+ '銈裤兗'=>'taa','銉嗐兗'=>'tee','銉併兗'=>'chii','銉堛兗'=>'too','銉勩兗'=>'tsuu',
+ '銉兗'=>'waa','銉层兗'=>'woo',
+ '銉ゃ兗'=>'yaa','銉ㄣ兗'=>'yoo','銉︺兗'=>'yuu',
+ '銉点兗'=>'kaa','銉躲兗'=>'kee',
+ // old characters
+ '銉便兗'=>'wee','銉般兗'=>'wii',
+
+ // seperate katakana 'n'
+ '銉炽偄'=>'n_a','銉炽偍'=>'n_e','銉炽偆'=>'n_i','銉炽偑'=>'n_o','銉炽偊'=>'n_u',
+ '銉炽儰'=>'n_ya','銉炽儴'=>'n_yo','銉炽儲'=>'n_yu',
+
+ // 2 character syllables - doubled consonants
+ '銉冦儛'=>'bba','銉冦儥'=>'bbe','銉冦儞'=>'bbi','銉冦儨'=>'bbo','銉冦儢'=>'bbu',
+ '銉冦儜'=>'ppa','銉冦儦'=>'ppe','銉冦償'=>'ppi','銉冦儩'=>'ppo','銉冦儣'=>'ppu',
+ '銉冦偙'=>'kke','銉冦偔'=>'kki','銉冦偝'=>'kko','銉冦偗'=>'kku','銉冦偒'=>'kka',
+ '銉冦偓'=>'gga','銉冦偛'=>'gge','銉冦偖'=>'ggi','銉冦偞'=>'ggo','銉冦偘'=>'ggu',
+ '銉冦優'=>'ma','銉冦儭'=>'me','銉冦儫'=>'mi','銉冦儮'=>'mo','銉冦儬'=>'mu',
+ '銉冦儕'=>'nna','銉冦儘'=>'nne','銉冦儖'=>'nni','銉冦儙'=>'nno','銉冦儗'=>'nnu',
+ '銉冦儵'=>'rra','銉冦儸'=>'rre','銉冦儶'=>'rri','銉冦儹'=>'rro','銉冦儷'=>'rru',
+ '銉冦偟'=>'ssa','銉冦偦'=>'sse','銉冦偡'=>'sshi','銉冦偨'=>'sso','銉冦偣'=>'ssu',
+ '銉冦偠'=>'zza','銉冦偧'=>'zze','銉冦偢'=>'jji','銉冦偩'=>'zzo','銉冦偤'=>'zzu',
+ '銉冦偪'=>'tta','銉冦儐'=>'tte','銉冦儊'=>'cchi','銉冦儓'=>'tto','銉冦儎'=>'ttsu',
+ '銉冦儉'=>'dda','銉冦儑'=>'dde','銉冦儌'=>'ddi','銉冦儔'=>'ddo','銉冦儏'=>'ddu',
+
+ // 1 character syllables
+ '銈'=>'a','銈'=>'e','銈'=>'i','銈'=>'o','銈'=>'u','銉'=>'n',
+ '銉'=>'ha','銉'=>'he','銉'=>'hi','銉'=>'ho','銉'=>'fu',
+ '銉'=>'ba','銉'=>'be','銉'=>'bi','銉'=>'bo','銉'=>'bu',
+ '銉'=>'pa','銉'=>'pe','銉'=>'pi','銉'=>'po','銉'=>'pu',
+ '銈'=>'ke','銈'=>'ki','銈'=>'ko','銈'=>'ku','銈'=>'ka',
+ '銈'=>'ga','銈'=>'ge','銈'=>'gi','銈'=>'go','銈'=>'gu',
+ '銉'=>'ma','銉'=>'me','銉'=>'mi','銉'=>'mo','銉'=>'mu',
+ '銉'=>'na','銉'=>'ne','銉'=>'ni','銉'=>'no','銉'=>'nu',
+ '銉'=>'ra','銉'=>'re','銉'=>'ri','銉'=>'ro','銉'=>'ru',
+ '銈'=>'sa','銈'=>'se','銈'=>'shi','銈'=>'so','銈'=>'su',
+ '銈'=>'za','銈'=>'ze','銈'=>'ji','銈'=>'zo','銈'=>'zu',
+ '銈'=>'ta','銉'=>'te','銉'=>'chi','銉'=>'to','銉'=>'tsu',
+ '銉'=>'da','銉'=>'de','銉'=>'di','銉'=>'do','銉'=>'du',
+ '銉'=>'wa','銉'=>'wo',
+ '銉'=>'ya','銉'=>'yo','銉'=>'yu',
+ '銉'=>'ka','銉'=>'ke',
+ // old characters
+ '銉'=>'we','銉'=>'wi',
+
+ // convert what's left (probably only kicks in when something's missing above)
+ '銈'=>'a','銈'=>'e','銈'=>'i','銈'=>'o','銈'=>'u',
+ '銉'=>'ya','銉'=>'yo','銉'=>'yu',
+
+ // special characters
+ '銉'=>'_','銆'=>'_',
+ '銉'=>'_', // when used with hiragana (seldom), this character would not be converted otherwise
+
+ // '銉'=>'la','銉'=>'le','銉'=>'li','銉'=>'lo','銉'=>'lu',
+ // '銉併儯'=>'cya','銉併偋'=>'cye','銉併偅'=>'cyi','銉併儳'=>'cyo','銉併儱'=>'cyu',
+ //'銉囥儯'=>'dha','銉囥偋'=>'dhe','銉囥偅'=>'dhi','銉囥儳'=>'dho','銉囥儱'=>'dhu',
+ // '銉儯'=>'lya','銉偋'=>'lye','銉偅'=>'lyi','銉儳'=>'lyo','銉儱'=>'lyu',
+ // '銉嗐儯'=>'tha','銉嗐偋'=>'the','銉嗐偅'=>'thi','銉嗐儳'=>'tho','銉嗐儱'=>'thu',
+ //'銉曘偂'=>'fwa','銉曘偋'=>'fwe','銉曘偅'=>'fwi','銉曘偐'=>'fwo','銉曘偉'=>'fwu',
+ //'銉併儯'=>'tya','銉併偋'=>'tye','銉併偅'=>'tyi','銉併儳'=>'tyo','銉併儱'=>'tyu',
+ // '銈搞儯'=>'jya','銈搞偋'=>'jye','銈搞偅'=>'jyi','銈搞儳'=>'jyo','銈搞儱'=>'jyu',
+ // '銈搞儯'=>'zha','銈搞偋'=>'zhe','銈搞偅'=>'zhi','銈搞儳'=>'zho','銈搞儱'=>'zhu',
+ //'銈搞儯'=>'zya','銈搞偋'=>'zye','銈搞偅'=>'zyi','銈搞儳'=>'zyo','銈搞儱'=>'zyu',
+ //'銈枫儯'=>'sya','銈枫偋'=>'sye','銈枫偅'=>'syi','銈枫儳'=>'syo','銈枫儱'=>'syu',
+ //'銈'=>'ci','銉'=>'hu',銈'=>'si','銉'=>'ti','銉'=>'tu','銈'=>'yi','銉'=>'dzi',
+
+ // "Greeklish"
+ '螕'=>'G','螖'=>'E','螛'=>'Th','螞'=>'L','螢'=>'X','螤'=>'P','危'=>'S','桅'=>'F','唯'=>'Ps',
+ '纬'=>'g','未'=>'e','胃'=>'th','位'=>'l','尉'=>'x','蟺'=>'p','蟽'=>'s','蠁'=>'f','蠄'=>'ps',
+
+ // Thai
+ '喔'=>'k','喔'=>'kh','喔'=>'kh','喔'=>'kh','喔'=>'kh','喔'=>'kh','喔'=>'ng','喔'=>'ch',
+ '喔'=>'ch','喔'=>'ch','喔'=>'s','喔'=>'ch','喔'=>'y','喔'=>'d','喔'=>'t','喔'=>'th',
+ '喔'=>'d','喔'=>'th','喔'=>'n','喔'=>'d','喔'=>'t','喔'=>'th','喔'=>'th','喔'=>'th',
+ '喔'=>'n','喔'=>'b','喔'=>'p','喔'=>'ph','喔'=>'f','喔'=>'ph','喔'=>'f','喔'=>'ph',
+ '喔'=>'m','喔'=>'y','喔'=>'r','喔'=>'rue','喔む箙'=>'rue','喔'=>'l','喔'=>'lue',
+ '喔︵箙'=>'lue','喔'=>'w','喔'=>'s','喔'=>'s','喔'=>'s','喔'=>'h','喔'=>'l','喔'=>'h',
+ '喔'=>'a','喔'=>'a','喔`福'=>'a','喔'=>'a','喙'=>'a','喔'=>'am','喙嵿覆'=>'am',
+ '喔'=>'i','喔'=>'i','喔'=>'ue','喔'=>'ue','喔'=>'u','喔'=>'u',
+ '喙'=>'e','喙'=>'ae','喙'=>'o','喔'=>'o',
+ '喔掂涪喔'=>'ia','喔掂涪'=>'ia','喔粪腑喔'=>'uea','喔粪腑'=>'uea','喔编抚喔'=>'ua','喔编抚'=>'ua',
+ '喙'=>'ai','喙'=>'ai','喔编涪'=>'ai','喔侧涪'=>'ai','喔侧抚'=>'ao',
+ '喔膏涪'=>'ui','喔涪'=>'oi','喔粪腑喔'=>'ueai','喔о涪'=>'uai',
+ '喔脆抚'=>'io','喙囙抚'=>'eo','喔掂涪喔'=>'iao',
+ '喙'=>'','喙'=>'','喙'=>'','喙'=>'','喙'=>'',
+ '喙'=>'','喙'=>'','喙'=>'','喔'=>'',
+ '喙'=>'2','喙'=>'o','喔'=>'-','喙'=>'-','喙'=>'-',
+ '喙'=>'0','喙'=>'1','喙'=>'2','喙'=>'3','喙'=>'4',
+ '喙'=>'5','喙'=>'6','喙'=>'7','喙'=>'8','喙'=>'9',
+
+ // Korean
+ '銊'=>'k','銋'=>'kh','銊'=>'kk','銊'=>'t','銋'=>'th','銊'=>'tt','銋'=>'p',
+ '銋'=>'ph','銋'=>'pp','銋'=>'c','銋'=>'ch','銋'=>'cc','銋'=>'s','銋'=>'ss',
+ '銋'=>'h','銋'=>'ng','銊'=>'n','銊'=>'l','銋'=>'m', '銋'=>'a','銋'=>'e','銋'=>'o',
+ '銋'=>'wu','銋'=>'u','銋'=>'i','銋'=>'ay','銋'=>'ey','銋'=>'oy','銋'=>'wa','銋'=>'we',
+ '銋'=>'wi','銋'=>'way','銋'=>'wey','銋'=>'uy','銋'=>'ya','銋'=>'ye','銋'=>'oy',
+ '銋'=>'yu','銋'=>'yay','銋'=>'yey',
+);
+
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/index.php b/mod/dokuwiki/vendors/dokuwiki/index.php
new file mode 100644
index 000000000..ad0807727
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/index.php
@@ -0,0 +1,8 @@
+<?php
+/**
+ * Forwarder to doku.php
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+header("Location: doku.php");
diff --git a/mod/dokuwiki/vendors/dokuwiki/install.php b/mod/dokuwiki/vendors/dokuwiki/install.php
new file mode 100644
index 000000000..414d3e99e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/install.php
@@ -0,0 +1,516 @@
+<?php
+/**
+ * Dokuwiki installation assistance
+ *
+ * @author Chris Smith <chris@jalakai.co.uk>
+ */
+
+if(!defined('DOKU_INC')) define('DOKU_INC',dirname(__FILE__).'/');
+if(!defined('DOKU_CONF')) define('DOKU_CONF',DOKU_INC.'conf/');
+if(!defined('DOKU_LOCAL')) define('DOKU_LOCAL',DOKU_INC.'conf/');
+
+// check for error reporting override or set error reporting to sane values
+if (!defined('DOKU_E_LEVEL')) { error_reporting(E_ALL ^ E_NOTICE); }
+else { error_reporting(DOKU_E_LEVEL); }
+
+// kill magic quotes
+if (get_magic_quotes_gpc() && !defined('MAGIC_QUOTES_STRIPPED')) {
+ if (!empty($_GET)) remove_magic_quotes($_GET);
+ if (!empty($_POST)) remove_magic_quotes($_POST);
+ if (!empty($_COOKIE)) remove_magic_quotes($_COOKIE);
+ if (!empty($_REQUEST)) remove_magic_quotes($_REQUEST);
+ @ini_set('magic_quotes_gpc', 0);
+ define('MAGIC_QUOTES_STRIPPED',1);
+}
+@set_magic_quotes_runtime(0);
+@ini_set('magic_quotes_sybase',0);
+
+// language strings
+require_once(DOKU_INC.'inc/lang/en/lang.php');
+$LC = preg_replace('/[^a-z\-]+/','',$_REQUEST['l']);
+if(!$LC) $LC = 'en';
+if($LC && $LC != 'en' ) {
+ require_once(DOKU_INC.'inc/lang/'.$LC.'/lang.php');
+}
+
+// initialise variables ...
+$error = array();
+
+$dokuwiki_hash = array(
+ '2005-09-22' => 'e33223e957b0b0a130d0520db08f8fb7',
+ '2006-03-05' => '51295727f79ab9af309a2fd9e0b61acc',
+ '2006-03-09' => '51295727f79ab9af309a2fd9e0b61acc',
+ '2006-11-06' => 'b3a8af76845977c2000d85d6990dd72b',
+ '2007-05-24' => 'd80f2740c84c4a6a791fd3c7a353536f',
+ '2007-06-26' => 'b3ca19c7a654823144119980be73cd77',
+ '2008-05-04' => '1e5c42eac3219d9e21927c39e3240aad',
+ '2009-02-14' => 'ec8c04210732a14fdfce0f7f6eead865',
+ '2009-12-25' => '993c4b2b385643efe5abf8e7010e11f4',
+);
+
+
+
+// begin output
+header('Content-Type: text/html; charset=utf-8');
+?>
+<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN"
+ "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
+<html xmlns="http://www.w3.org/1999/xhtml" xml:lang="<?php echo $LC?>"
+ lang="<?php echo $LC?>" dir="<?php echo $lang['direction']?>">
+<head>
+ <meta http-equiv="Content-Type" content="text/html; charset=utf-8" />
+ <title><?php echo $lang['i_installer']?></title>
+ <style type="text/css">
+ body { width: 90%; margin: 0 auto; font: 84% Verdana, Helvetica, Arial, sans-serif; }
+ img { border: none }
+ br.cl { clear:both; }
+ code { font-size: 110%; color: #800000; }
+ fieldset { border: none }
+ label { display: block; margin-top: 0.5em; }
+ select.text, input.text { width: 30em; margin: 0 0.5em; }
+ </style>
+ <script type="text/javascript" language="javascript">
+ function acltoggle(){
+ var cb = document.getElementById('acl');
+ var fs = document.getElementById('acldep');
+ if(!cb || !fs) return;
+ if(cb.checked){
+ fs.style.display = '';
+ }else{
+ fs.style.display = 'none';
+ }
+ }
+ window.onload = function(){
+ acltoggle();
+ var cb = document.getElementById('acl');
+ if(cb) cb.onchange = acltoggle;
+ };
+ </script>
+</head>
+<body style="">
+ <h1 style="float:left">
+ <img src="lib/exe/fetch.php?media=wiki:dokuwiki-128.png&w=64"
+ style="vertical-align: middle;" alt="" />
+ <?php echo $lang['i_installer']?>
+ </h1>
+ <div style="float:right; margin: 1em;">
+ <?php langsel()?>
+ </div>
+ <br class="cl" />
+
+ <div style="float: right; width: 34%;">
+ <?php
+ if(@file_exists(DOKU_INC.'inc/lang/'.$LC.'/install.html')){
+ include(DOKU_INC.'inc/lang/'.$LC.'/install.html');
+ }else{
+ print "<div lang=\"en\" dir=\"ltr\">\n";
+ include(DOKU_INC.'inc/lang/en/install.html');
+ print "</div>\n";
+ }
+ ?>
+ </div>
+
+ <div style="float: left; width: 58%;">
+ <?php
+ if(! (check_functions() && check_permissions()) ){
+ echo '<p>'.$lang['i_problems'].'</p>';
+ print_errors();
+ print_retry();
+ }elseif(!check_configs()){
+ echo '<p>'.$lang['i_modified'].'</p>';
+ print_errors();
+ }elseif($_REQUEST['submit']){
+ if(!check_data($_REQUEST['d'])){
+ print_errors();
+ print_form($_REQUEST['d']);
+ }elseif(!store_data($_REQUEST['d'])){
+ echo '<p>'.$lang['i_failure'].'</p>';
+ print_errors();
+ }else{
+ echo '<p>'.$lang['i_success'].'</p>';
+ }
+ }else{
+ print_form($_REQUEST['d']);
+ }
+ ?>
+ </div>
+
+<div style="clear: both">
+ <a href="http://dokuwiki.org/"><img src="lib/tpl/default/images/button-dw.png" alt="driven by DokuWiki" /></a>
+ <a href="http://www.php.net"><img src="lib/tpl/default/images/button-php.gif" alt="powered by PHP" /></a>
+</div>
+</body>
+</html>
+<?php
+
+/**
+ * Print the input form
+ */
+function print_form($d){
+ global $lang;
+ global $LC;
+
+ if(!is_array($d)) $d = array();
+ $d = array_map('htmlspecialchars',$d);
+
+ if(!isset($d['acl'])) $d['acl']=1;
+
+ ?>
+ <form action="" method="post">
+ <input type="hidden" name="l" value="<?php echo $LC ?>" />
+ <fieldset>
+ <label for="title"><?php echo $lang['i_wikiname']?>
+ <input type="text" name="d[title]" id="title" value="<?php echo $d['title'] ?>" style="width: 20em;" />
+ </label>
+
+ <fieldset style="margin-top: 1em;">
+ <label for="acl">
+ <input type="checkbox" name="d[acl]" id="acl" <?php echo(($d['acl'] ? 'checked="checked"' : ''));?> />
+ <?php echo $lang['i_enableacl']?></label>
+
+ <fieldset id="acldep">
+ <label for="superuser"><?php echo $lang['i_superuser']?></label>
+ <input class="text" type="text" name="d[superuser]" id="superuser" value="<?php echo $d['superuser'] ?>" />
+
+ <label for="fullname"><?php echo $lang['fullname']?></label>
+ <input class="text" type="text" name="d[fullname]" id="fullname" value="<?php echo $d['fullname'] ?>" />
+
+ <label for="email"><?php echo $lang['email']?></label>
+ <input class="text" type="text" name="d[email]" id="email" value="<?php echo $d['email'] ?>" />
+
+ <label for="password"><?php echo $lang['pass']?></label>
+ <input class="text" type="password" name="d[password]" id="password" />
+
+ <label for="confirm"><?php echo $lang['passchk']?></label>
+ <input class="text" type="password" name="d[confirm]" id="confirm" />
+
+ <label for="policy"><?php echo $lang['i_policy']?></label>
+ <select class="text" name="d[policy]" id="policy">
+ <option value="0" <?php echo ($d['policy'] == 0)?'selected="selected"':'' ?>><?php echo $lang['i_pol0']?></option>
+ <option value="1" <?php echo ($d['policy'] == 1)?'selected="selected"':'' ?>><?php echo $lang['i_pol1']?></option>
+ <option value="2" <?php echo ($d['policy'] == 2)?'selected="selected"':'' ?>><?php echo $lang['i_pol2']?></option>
+ </select>
+ </fieldset>
+ </fieldset>
+
+ </fieldset>
+ <fieldset id="process">
+ <input class="button" type="submit" name="submit" value="<?php echo $lang['btn_save']?>" />
+ </fieldset>
+ </form>
+ <?php
+}
+
+function print_retry() {
+ global $lang;
+ global $LC;
+?>
+ <form action="" method="get">
+ <fieldset>
+ <input type="hidden" name="l" value="<?php echo $LC ?>" />
+ <input class="button" type="submit" value="<?php echo $lang['i_retry'];?>" />
+ </fieldset>
+ </form>
+<?php
+}
+
+/**
+ * Check validity of data
+ *
+ * @author Andreas Gohr
+ */
+function check_data(&$d){
+ global $lang;
+ global $error;
+
+ //autolowercase the username
+ $d['superuser'] = strtolower($d['superuser']);
+
+ $ok = true;
+
+ // check input
+ if(empty($d['title'])){
+ $error[] = sprintf($lang['i_badval'],$lang['i_wikiname']);
+ $ok = false;
+ }
+ if($d['acl']){
+ if(!preg_match('/^[a-z1-9_]+$/',$d['superuser'])){
+ $error[] = sprintf($lang['i_badval'],$lang['i_superuser']);
+ $ok = false;
+ }
+ if(empty($d['password'])){
+ $error[] = sprintf($lang['i_badval'],$lang['pass']);
+ $ok = false;
+ }
+ if($d['confirm'] != $d['password']){
+ $error[] = sprintf($lang['i_badval'],$lang['passchk']);
+ $ok = false;
+ }
+ if(empty($d['fullname']) || strstr($d['fullname'],':')){
+ $error[] = sprintf($lang['i_badval'],$lang['fullname']);
+ $ok = false;
+ }
+ if(empty($d['email']) || strstr($d['email'],':') || !strstr($d['email'],'@')){
+ $error[] = sprintf($lang['i_badval'],$lang['email']);
+ $ok = false;
+ }
+ }
+ return $ok;
+}
+
+/**
+ * Writes the data to the config files
+ *
+ * @author Chris Smith <chris@jalakai.co.uk>
+ */
+function store_data($d){
+ global $LC;
+ $ok = true;
+ $d['policy'] = (int) $d['policy'];
+
+ // create local.php
+ $now = date('r');
+ $output = <<<EOT
+<?php
+/**
+ * Dokuwiki's Main Configuration File - Local Settings
+ * Auto-generated by install script
+ * Date: $now
+ */
+
+EOT;
+ $output .= '$conf[\'title\'] = \''.addslashes($d['title'])."';\n";
+ $output .= '$conf[\'lang\'] = \''.addslashes($LC)."';\n";
+ if($d['acl']){
+ $output .= '$conf[\'useacl\'] = 1'.";\n";
+ $output .= "\$conf['superuser'] = '@admin';\n";
+ }
+ $ok = $ok && fileWrite(DOKU_LOCAL.'local.php',$output);
+
+ if ($d['acl']) {
+ // create users.auth.php
+ // --- user:MD5password:Real Name:email:groups,comma,seperated
+ $output = join(":",array($d['superuser'], md5($d['password']), $d['fullname'], $d['email'], 'admin,user'));
+ $output = @file_get_contents(DOKU_CONF.'users.auth.php.dist')."\n$output\n";
+ $ok = $ok && fileWrite(DOKU_LOCAL.'users.auth.php', $output);
+
+ // create acl.auth.php
+ $output = <<<EOT
+# acl.auth.php
+# <?php exit()?>
+# Don't modify the lines above
+#
+# Access Control Lists
+#
+# Auto-generated by install script
+# Date: $now
+
+EOT;
+ if($d['policy'] == 2){
+ $output .= "* @ALL 0\n";
+ $output .= "* @user 8\n";
+ }elseif($d['policy'] == 1){
+ $output .= "* @ALL 1\n";
+ $output .= "* @user 8\n";
+ }else{
+ $output .= "* @ALL 8\n";
+ }
+ $ok = $ok && fileWrite(DOKU_LOCAL.'acl.auth.php', $output);
+ }
+ return $ok;
+}
+
+/**
+ * Write the given content to a file
+ *
+ * @author Chris Smith <chris@jalakai.co.uk>
+ */
+function fileWrite($filename, $data) {
+ global $error;
+ global $lang;
+
+ if (($fp = @fopen($filename, 'wb')) === false) {
+ $filename = str_replace($_SERVER['DOCUMENT_ROOT'],'{DOCUMENT_ROOT}/', $filename);
+ $error[] = sprintf($lang['i_writeerr'],$filename);
+ return false;
+ }
+
+ if (!empty($data)) { fwrite($fp, $data); }
+ fclose($fp);
+ return true;
+}
+
+
+/**
+ * check installation dependent local config files and tests for a known
+ * unmodified main config file
+ *
+ * @author Chris Smith <chris@jalakai.co.uk>
+ */
+function check_configs(){
+ global $error;
+ global $lang;
+ global $dokuwiki_hash;
+
+ $ok = true;
+
+ $config_files = array(
+ 'local' => DOKU_LOCAL.'local.php',
+ 'users' => DOKU_LOCAL.'users.auth.php',
+ 'auth' => DOKU_LOCAL.'acl.auth.php'
+ );
+
+
+ // main dokuwiki config file (conf/dokuwiki.php) must not have been modified
+ $installation_hash = md5(preg_replace("/(\015\012)|(\015)/","\012",
+ @file_get_contents(DOKU_CONF.'dokuwiki.php')));
+ if (!in_array($installation_hash, $dokuwiki_hash)) {
+ $error[] = sprintf($lang['i_badhash'],$installation_hash);
+ $ok = false;
+ }
+
+ // configs shouldn't exist
+ foreach ($config_files as $file) {
+ if (@file_exists($file)) {
+ $file = str_replace($_SERVER['DOCUMENT_ROOT'],'{DOCUMENT_ROOT}/', $file);
+ $error[] = sprintf($lang['i_confexists'],$file);
+ $ok = false;
+ }
+ }
+ return $ok;
+}
+
+
+/**
+ * Check other installation dir/file permission requirements
+ *
+ * @author Chris Smith <chris@jalakai.co.uk>
+ */
+function check_permissions(){
+ global $error;
+ global $lang;
+
+ $dirs = array(
+ 'conf' => DOKU_LOCAL,
+ 'data' => DOKU_INC.'data',
+ 'pages' => DOKU_INC.'data/pages',
+ 'attic' => DOKU_INC.'data/attic',
+ 'media' => DOKU_INC.'data/media',
+ 'meta' => DOKU_INC.'data/meta',
+ 'cache' => DOKU_INC.'data/cache',
+ 'locks' => DOKU_INC.'data/locks',
+ 'index' => DOKU_INC.'data/index',
+ 'tmp' => DOKU_INC.'data/tmp'
+ );
+
+ $ok = true;
+ foreach($dirs as $dir){
+ if(!@file_exists("$dir/.") || !@is_writable($dir)){
+ $dir = str_replace($_SERVER['DOCUMENT_ROOT'],'{DOCUMENT_ROOT}', $dir);
+ $error[] = sprintf($lang['i_permfail'],$dir);
+ $ok = false;
+ }
+ }
+ return $ok;
+}
+
+/**
+ * Check the availability of functions used in DokuWiki and the PHP version
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function check_functions(){
+ global $error;
+ global $lang;
+ $ok = true;
+
+ if(version_compare(phpversion(),'5.1.2','<')){
+ $error[] = sprintf($lang['i_phpver'],phpversion(),'5.1.2');
+ $ok = false;
+ }
+
+ $funcs = explode(' ','addslashes basename call_user_func chmod copy fgets '.
+ 'file file_exists fseek flush filesize ftell fopen '.
+ 'glob header ignore_user_abort ini_get mail mkdir '.
+ 'ob_start opendir parse_ini_file readfile realpath '.
+ 'rename rmdir serialize session_start unlink usleep '.
+ 'preg_replace file_get_contents htmlspecialchars_decode');
+
+ if (!function_exists('mb_substr')) {
+ $funcs[] = 'utf8_encode';
+ $funcs[] = 'utf8_decode';
+ }
+
+ foreach($funcs as $func){
+ if(!function_exists($func)){
+ $error[] = sprintf($lang['i_funcna'],$func);
+ $ok = false;
+ }
+ }
+ return $ok;
+}
+
+/**
+ * Print language selection
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function langsel(){
+ global $lang;
+ global $LC;
+
+ $dir = DOKU_INC.'inc/lang';
+ $dh = opendir($dir);
+ if(!$dh) return;
+
+ $langs = array();
+ while (($file = readdir($dh)) !== false) {
+ if(preg_match('/^[\._]/',$file)) continue;
+ if(is_dir($dir.'/'.$file) && @file_exists($dir.'/'.$file.'/lang.php')){
+ $langs[] = $file;
+ }
+ }
+ closedir($dh);
+ sort($langs);
+
+ echo '<form action="">';
+ echo $lang['i_chooselang'];
+ echo ': <select name="l" onchange="submit()">';
+ foreach($langs as $l){
+ $sel = ($l == $LC) ? 'selected="selected"' : '';
+ echo '<option value="'.$l.'" '.$sel.'>'.$l.'</option>';
+ }
+ echo '</select> ';
+ echo '<input type="submit" value="'.$lang['btn_update'].'" />';
+ echo '</form>';
+}
+
+/**
+ * Print global error array
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function print_errors(){
+ global $error;
+ echo '<ul>';
+ foreach ($error as $err){
+ echo "<li>$err</li>";
+ }
+ echo '</ul>';
+}
+
+/**
+ * remove magic quotes recursivly
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function remove_magic_quotes(&$array) {
+ foreach (array_keys($array) as $key) {
+ if (is_array($array[$key])) {
+ remove_magic_quotes($array[$key]);
+ }else {
+ $array[$key] = stripslashes($array[$key]);
+ }
+ }
+}
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/_fla/MultipleUpload.as b/mod/dokuwiki/vendors/dokuwiki/lib/_fla/MultipleUpload.as
new file mode 100644
index 000000000..c5e7799f9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/_fla/MultipleUpload.as
@@ -0,0 +1,329 @@
+锘/**
+ * Flash Multi Upload
+ *
+ * Based on a example from Alastair Dawson
+ *
+ * @link http://blog.vixiom.com/2006/09/08/multiple-file-upload-with-flash-and-ruby-on-rails/
+ * @author Alastair Dawson
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+
+// delegate
+import mx.utils.Delegate;
+// ui components
+import mx.controls.DataGrid;
+import mx.controls.gridclasses.DataGridColumn
+import mx.controls.Button;
+import mx.controls.TextInput;
+import mx.controls.CheckBox;
+import mx.controls.Label;
+// file reference
+import flash.net.FileReferenceList;
+import flash.net.FileReference;
+
+class MultipleUpload {
+
+ private var fileRef:FileReferenceList;
+ private var fileRefListener:Object;
+ private var list:Array;
+ private var dp:Array;
+
+ private var files_dg:DataGrid;
+ private var browse_btn:Button;
+ private var upload_btn:Button;
+ private var ns_input:TextInput;
+ private var ns_label:Label;
+ private var overwrite_cb:CheckBox;
+
+ private var url:String;
+ private var upurl:String;
+ private var current:Number;
+ private var done:Number;
+ private var lasterror:String;
+
+ /**
+ * Constructor.
+ *
+ * Initializes the needed objects and stage objects
+ */
+ public function MultipleUpload(fdg:DataGrid, bb:Button, ub:Button, nsi:TextInput, nsl:Label, ob:CheckBox) {
+ // references for objects on the stage
+ files_dg = fdg;
+ browse_btn = bb;
+ upload_btn = ub;
+ ns_input = nsi;
+ ns_label = nsl;
+ overwrite_cb = ob;
+
+ // file list references & listener
+ fileRef = new FileReferenceList();
+ fileRefListener = new Object();
+ fileRef.addListener(fileRefListener);
+
+ // setup
+ iniUI();
+ inifileRefListener();
+ }
+
+ /**
+ * Initializes the User Interface
+ *
+ * Uses flashvars to access possibly localized names
+ */
+ private function iniUI() {
+ // register button handlers
+ browse_btn.onRelease = Delegate.create(this, this.browse);
+ upload_btn.onRelease = Delegate.create(this, this.upload);
+
+ // columns for dataGrid
+ var col:DataGridColumn;
+ col = new DataGridColumn('name');
+ col.headerText = ( _root.L_gridname ? _root.L_gridname : 'Filename' );
+ col.sortable = false;
+ files_dg.addColumn(col);
+ col = new DataGridColumn('size');
+ col.headerText = ( _root.L_gridsize ? _root.L_gridsize : 'Size' );
+ col.sortable = false;
+ files_dg.addColumn(col);
+ col = new DataGridColumn('status');
+ col.headerText = ( _root.L_gridstat ? _root.L_gridstat : 'Status' );
+ col.sortable = false;
+ files_dg.addColumn(col);
+
+ // label translations
+ if(_root.L_overwrite) overwrite_cb.label = _root.L_overwrite;
+ if(_root.L_browse) browse_btn.label = _root.L_browse;
+ if(_root.L_upload) upload_btn.label = _root.L_upload;
+ if(_root.L_namespace) ns_label.text = _root.L_namespace;
+
+ // prefill input field
+ if(_root.O_ns) ns_input.text = _root.O_ns;
+
+ // disable buttons
+ upload_btn.enabled = false;
+ if(!_root.O_overwrite) overwrite_cb.visible = false;
+
+ // initalize the data provider list
+ dp = new Array();
+ list = new Array();
+ files_dg.spaceColumnsEqually();
+ }
+
+ /**
+ * Open files selection dialog
+ *
+ * Adds the allowed file types
+ */
+ private function browse() {
+ if(_root.O_extensions){
+ var exts:Array = _root.O_extensions.split('|');
+ var filter:Object = new Object();
+ filter.description = (_root.L_filetypes ? _root.L_filetypes : 'Allowed filetypes');
+ filter.extension = '';
+ for(var i:Number = 0; i<exts.length; i++){
+ filter.extension += '*.'+exts[i]+';';
+ }
+ filter.extension = filter.extension.substr(0,filter.extension.length-1);
+ var apply:Array = new Array();
+ apply.push(filter);
+ fileRef.browse(apply);
+ }else{
+ fileRef.browse();
+ }
+ }
+
+ /**
+ * Initiates the upload process
+ */
+ private function upload() {
+ // prepare backend URL
+ this.url = _root.O_backend; // from flashvars
+ this.url += '&ns='+escape(ns_input.text);
+
+ // prepare upload url
+ this.upurl = this.url;
+ this.upurl += '&sectok='+escape(_root.O_sectok);
+ this.upurl += '&authtok='+escape(_root.O_authtok);
+ if(overwrite_cb.selected) this.upurl += '&ow=1';
+
+ // disable buttons
+ upload_btn.enabled = false;
+ browse_btn.enabled = false;
+ ns_input.enabled = false;
+ overwrite_cb.enabled = false;
+
+ // init states
+ this.current = -1;
+ this.done = 0;
+ this.lasterror = '';
+
+ // start process detached
+ _global.setTimeout(this,'uploadNext',100);
+ nextFrame();
+ }
+
+ /**
+ * Uploads the next file in the list
+ */
+ private function uploadNext(){
+ this.current++;
+ if(this.current >= this.list.length){
+ return this.uploadDone();
+ }
+
+ var file = this.list[this.current];
+
+ if(_root.O_maxsize && (file.size > _root.O_maxsize)){
+ this.lasterror = (_root.L_toobig ? _root.L_toobig : 'too big');
+ _global.setTimeout(this,'uploadNext',100);
+ nextFrame();
+ }else{
+ file.addListener(fileRefListener);
+ file.upload(upurl);
+ // continues in the handlers
+ }
+ }
+
+ /**
+ * Redirect to the namespace and set a success/error message
+ *
+ * Called when all files in the list where processed
+ */
+ private function uploadDone(){
+ var info = (_root.L_info ? _root.L_info : 'files uploaded');
+ if(this.done == this.list.length){
+ this.url += '&msg1='+escape(this.done+'/'+this.list.length+' '+info);
+ }else{
+ var lasterr = (_root.L_lasterr ? _root.L_lasterr : 'Last error:');
+ this.url += '&err='+escape(this.done+'/'+this.list.length+' '+info+' '+lasterr+' '+this.lasterror);
+ }
+
+ // when done redirect
+ getURL(this.url,'_self');
+ }
+
+ /**
+ * Set the status of a given file in the data grid
+ */
+ private function setStatus(file,msg){
+ for(var i:Number = 0; i < list.length; i++) {
+ if (list[i].name == file.name) {
+ files_dg.editField(i, 'status', msg);
+ nextFrame();
+ return;
+ }
+ }
+ }
+
+ /**
+ * Initialize the file reference listener
+ */
+ private function inifileRefListener() {
+ fileRefListener.onSelect = Delegate.create(this, this.onSelect);
+ fileRefListener.onCancel = Delegate.create(this, this.onCancel);
+ fileRefListener.onOpen = Delegate.create(this, this.onOpen);
+ fileRefListener.onProgress = Delegate.create(this, this.onProgress);
+ fileRefListener.onComplete = Delegate.create(this, this.onComplete);
+ fileRefListener.onHTTPError = Delegate.create(this, this.onHTTPError);
+ fileRefListener.onIOError = Delegate.create(this, this.onIOError);
+ fileRefListener.onSecurityError = Delegate.create(this, this.onSecurityError);
+ }
+
+ /**
+ * Handle file selection
+ *
+ * Files are added as in a list of references and beautified into the data grid dataprovider array
+ *
+ * Multiple browses will add to the list
+ */
+ private function onSelect(fileRefList:FileReferenceList) {
+ var sel = fileRefList.fileList;
+ for(var i:Number = 0; i < sel.length; i++) {
+ // check size
+ var stat:String;
+ if(_root.O_maxsize && sel[i].size > _root.O_maxsize){
+ stat = (_root.L_toobig ? _root.L_toobig : 'too big');
+ }else{
+ stat = (_root.L_ready ? _root.L_ready : 'ready for upload');
+ }
+ // add to grid
+ dp.push({name:sel[i].name, size:Math.round(sel[i].size / 1000) + " kb", status:stat});
+ // add to reference list
+ list.push(sel[i]);
+ }
+ // update dataGrid
+ files_dg.dataProvider = dp;
+ files_dg.spaceColumnsEqually();
+
+ if(list.length > 0) upload_btn.enabled = true;
+ }
+
+ /**
+ * Does nothing
+ */
+ private function onCancel() {
+ }
+
+ /**
+ * Does nothing
+ */
+ private function onOpen(file:FileReference) {
+ }
+
+ /**
+ * Set the upload progress
+ */
+ private function onProgress(file:FileReference, bytesLoaded:Number, bytesTotal:Number) {
+ var percentDone = Math.round((bytesLoaded / bytesTotal) * 100);
+ var msg:String = 'uploading @PCT@%';
+ if(_root.L_progress) msg = _root.L_progress;
+ msg = msg.split('@PCT@').join(percentDone);
+ this.setStatus(file,msg);
+ }
+
+ /**
+ * Handle upload completion
+ */
+ private function onComplete(file:FileReference) {
+ this.setStatus(file,(_root.L_done ? _root.L_done : 'complete'));
+ this.done++;
+ uploadNext();
+ }
+
+ /**
+ * Handle upload errors
+ */
+ private function onHTTPError(file:FileReference, httpError:Number) {
+ var error;
+ if(httpError == 400){
+ error = (_root.L_fail ? _root.L_fail : 'failed');
+ }else if(httpError == 401){
+ error = (_root.L_authfail ? _root.L_authfail : 'auth failed');
+ }else{
+ error = "HTTP Error " + httpError
+ }
+ this.setStatus(file,error);
+ this.lasterror = error;
+ uploadNext();
+ }
+
+ /**
+ * Handle IO errors
+ */
+ private function onIOError(file:FileReference) {
+ this.setStatus(file,"IO Error");
+ this.lasterror = "IO Error";
+ uploadNext();
+ }
+
+ /**
+ * Handle Security errors
+ */
+ private function onSecurityError(file:FileReference, errorString:String) {
+ this.setStatus(file,"SecurityError: " + errorString);
+ this.lasterror = "SecurityError: " + errorString;
+ uploadNext();
+ }
+
+
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/_fla/README b/mod/dokuwiki/vendors/dokuwiki/lib/_fla/README
new file mode 100644
index 000000000..6ecc72ac1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/_fla/README
@@ -0,0 +1,4 @@
+This directory contains the sources for the Flash Multiuploader. Its based on
+a example from Alastair Dawson given at
+http://blog.vixiom.com/2006/09/08/multiple-file-upload-with-flash-and-ruby-on-rails/
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/_fla/index.html b/mod/dokuwiki/vendors/dokuwiki/lib/_fla/index.html
new file mode 100644
index 000000000..d614603ac
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/_fla/index.html
@@ -0,0 +1,12 @@
+<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Strict//EN"
+ "http://www.w3.org/TR/xhtml1/DTD/xhtml1-strict.dtd">
+<html xmlns="http://www.w3.org/1999/xhtml">
+<head>
+<meta http-equiv="refresh" content="0; URL=../../" />
+<meta name="robots" content="noindex" />
+<title>nothing here...</title>
+</head>
+<body>
+<!-- this is just here to prevent directory browsing -->
+</body>
+</html>
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/_fla/multipleUpload.fla b/mod/dokuwiki/vendors/dokuwiki/lib/_fla/multipleUpload.fla
new file mode 100644
index 000000000..220616960
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/_fla/multipleUpload.fla
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/exe/ajax.php b/mod/dokuwiki/vendors/dokuwiki/lib/exe/ajax.php
new file mode 100644
index 000000000..4618abd71
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/exe/ajax.php
@@ -0,0 +1,370 @@
+<?php
+/**
+ * DokuWiki AJAX call handler
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+
+//fix for Opera XMLHttpRequests
+if(!count($_POST) && $HTTP_RAW_POST_DATA){
+ parse_str($HTTP_RAW_POST_DATA, $_POST);
+}
+
+if(!defined('DOKU_INC')) define('DOKU_INC',dirname(__FILE__).'/../../');
+require_once(DOKU_INC.'inc/init.php');
+require_once(DOKU_INC.'inc/common.php');
+require_once(DOKU_INC.'inc/pageutils.php');
+require_once(DOKU_INC.'inc/auth.php');
+//close sesseion
+session_write_close();
+
+header('Content-Type: text/html; charset=utf-8');
+
+
+//call the requested function
+if(isset($_POST['call']))
+ $call = $_POST['call'];
+else if(isset($_GET['call']))
+ $call = $_GET['call'];
+else
+ exit;
+
+$callfn = 'ajax_'.$call;
+
+if(function_exists($callfn)){
+ $callfn();
+}else{
+ $evt = new Doku_Event('AJAX_CALL_UNKNOWN', $call);
+ if ($evt->advise_before()) {
+ print "AJAX call '".htmlspecialchars($call)."' unknown!\n";
+ exit;
+ }
+ $evt->advise_after();
+ unset($evt);
+}
+
+/**
+ * Searches for matching pagenames
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function ajax_qsearch(){
+ global $conf;
+ global $lang;
+
+ $query = cleanID($_POST['q']);
+ if(empty($query)) $query = cleanID($_GET['q']);
+ if(empty($query)) return;
+
+ require_once(DOKU_INC.'inc/html.php');
+ require_once(DOKU_INC.'inc/fulltext.php');
+
+ $data = array();
+ $data = ft_pageLookup($query);
+
+ if(!count($data)) return;
+
+ print '<strong>'.$lang['quickhits'].'</strong>';
+ print '<ul>';
+ foreach($data as $id){
+ print '<li>';
+ $ns = getNS($id);
+ if($ns){
+ $name = shorten(noNS($id), ' ('.$ns.')',30);
+ }else{
+ $name = $id;
+ }
+ print html_wikilink(':'.$id,$name);
+ print '</li>';
+ }
+ print '</ul>';
+}
+
+/**
+ * Support OpenSearch suggestions
+ *
+ * @link http://www.opensearch.org/Specifications/OpenSearch/Extensions/Suggestions/1.0
+ * @author Mike Frysinger <vapier@gentoo.org>
+ */
+function ajax_suggestions() {
+ global $conf;
+ global $lang;
+
+ $query = cleanID($_POST['q']);
+ if(empty($query)) $query = cleanID($_GET['q']);
+ if(empty($query)) return;
+
+ require_once(DOKU_INC.'inc/html.php');
+ require_once(DOKU_INC.'inc/fulltext.php');
+ require_once(DOKU_INC.'inc/JSON.php');
+
+ $data = array();
+ $data = ft_pageLookup($query);
+ if(!count($data)) return;
+
+ // limit results to 15 hits
+ $data = array_slice($data, 0, 15);
+ $data = array_map('trim',$data);
+ $data = array_map('noNS',$data);
+ $data = array_unique($data);
+ sort($data);
+
+ /* now construct a json */
+ $suggestions = array(
+ $query, // the original query
+ $data, // some suggestions
+ array(), // no description
+ array() // no urls
+ );
+ $json = new JSON();
+
+ header('Content-Type: application/x-suggestions+json');
+ print $json->encode($suggestions);
+}
+
+/**
+ * Refresh a page lock and save draft
+ *
+ * Andreas Gohr <andi@splitbrain.org>
+ */
+function ajax_lock(){
+ global $conf;
+ global $lang;
+ $id = cleanID($_POST['id']);
+ if(empty($id)) return;
+
+ if(!checklock($id)){
+ lock($id);
+ echo 1;
+ }
+
+ if($conf['usedraft'] && $_POST['wikitext']){
+ $client = $_SERVER['REMOTE_USER'];
+ if(!$client) $client = clientIP(true);
+
+ $draft = array('id' => $id,
+ 'prefix' => $_POST['prefix'],
+ 'text' => $_POST['wikitext'],
+ 'suffix' => $_POST['suffix'],
+ 'date' => $_POST['date'],
+ 'client' => $client,
+ );
+ $cname = getCacheName($draft['client'].$id,'.draft');
+ if(io_saveFile($cname,serialize($draft))){
+ echo $lang['draftdate'].' '.dformat();
+ }
+ }
+
+}
+
+/**
+ * Delete a draft
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function ajax_draftdel(){
+ $id = cleanID($_POST['id']);
+ if(empty($id)) return;
+
+ $client = $_SERVER['REMOTE_USER'];
+ if(!$client) $client = clientIP(true);
+
+ $cname = getCacheName($client.$id,'.draft');
+ @unlink($cname);
+}
+
+/**
+ * Return subnamespaces for the Mediamanager
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function ajax_medians(){
+ global $conf;
+ require_once(DOKU_INC.'inc/search.php');
+ require_once(DOKU_INC.'inc/media.php');
+
+ // wanted namespace
+ $ns = cleanID($_POST['ns']);
+ $dir = utf8_encodeFN(str_replace(':','/',$ns));
+
+ $lvl = count(explode(':',$ns));
+
+ $data = array();
+ search($data,$conf['mediadir'],'search_index',array('nofiles' => true),$dir);
+ foreach($data as $item){
+ $item['level'] = $lvl+1;
+ echo media_nstree_li($item);
+ echo media_nstree_item($item);
+ echo '</li>';
+ }
+}
+
+/**
+ * Return list of files for the Mediamanager
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function ajax_medialist(){
+ global $conf;
+ global $NS;
+ require_once(DOKU_INC.'inc/media.php');
+ require_once(DOKU_INC.'inc/template.php');
+
+ $NS = $_POST['ns'];
+ tpl_mediaContent(true);
+}
+
+/**
+ * Return list of search result for the Mediamanager
+ *
+ * @author Tobias Sarnowski <sarnowski@cosmocode.de>
+ */
+function ajax_mediasearchlist(){
+ global $conf;
+ require_once(DOKU_INC.'inc/media.php');
+
+ media_searchlist($_POST['ns']);
+}
+
+/**
+ * Return sub index for index view
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function ajax_index(){
+ global $conf;
+ require_once(DOKU_INC.'inc/search.php');
+ require_once(DOKU_INC.'inc/html.php');
+
+ // wanted namespace
+ $ns = cleanID($_POST['idx']);
+ $dir = utf8_encodeFN(str_replace(':','/',$ns));
+
+ $lvl = count(explode(':',$ns));
+
+ $data = array();
+ search($data,$conf['datadir'],'search_index',array('ns' => $ns),$dir);
+ foreach($data as $item){
+ $item['level'] = $lvl+1;
+ echo html_li_index($item);
+ echo '<div class="li">';
+ echo html_list_index($item);
+ echo '</div>';
+ echo '</li>';
+ }
+}
+
+/**
+ * List matching namespaces and pages for the link wizard
+ *
+ * @author Andreas Gohr <gohr@cosmocode.de>
+ */
+function ajax_linkwiz(){
+ global $conf;
+ global $lang;
+ require_once(DOKU_INC.'inc/html.php');
+
+ $q = ltrim($_POST['q'],':');
+ $id = noNS($q);
+ $ns = getNS($q);
+
+ $ns = cleanID($ns);
+ $id = cleanID($id);
+
+ $nsd = utf8_encodeFN(str_replace(':','/',$ns));
+ $idd = utf8_encodeFN(str_replace(':','/',$id));
+
+ $data = array();
+ if($q && !$ns){
+
+ // use index to lookup matching pages
+ require_once(DOKU_INC.'inc/fulltext.php');
+ require_once(DOKU_INC.'inc/parserutils.php');
+ $pages = array();
+ $pages = ft_pageLookup($id,false);
+
+ // result contains matches in pages and namespaces
+ // we now extract the matching namespaces to show
+ // them seperately
+ $dirs = array();
+ $count = count($pages);
+ for($i=0; $i<$count; $i++){
+ if(strpos(noNS($pages[$i]),$id) === false){
+ // match was in the namespace
+ $dirs[getNS($pages[$i])] = 1; // assoc array avoids dupes
+ }else{
+ // it is a matching page, add it to the result
+ $data[] = array(
+ 'id' => $pages[$i],
+ 'title' => p_get_first_heading($pages[$i],false),
+ 'type' => 'f',
+ );
+ }
+ unset($pages[$i]);
+ }
+ foreach($dirs as $dir => $junk){
+ $data[] = array(
+ 'id' => $dir,
+ 'type' => 'd',
+ );
+ }
+
+ }else{
+
+ require_once(DOKU_INC.'inc/search.php');
+ $opts = array(
+ 'depth' => 1,
+ 'listfiles' => true,
+ 'listdirs' => true,
+ 'pagesonly' => true,
+ 'firsthead' => true,
+ );
+ if($id) $opts['filematch'] = '^.*\/'.$id;
+ if($id) $opts['dirmatch'] = '^.*\/'.$id;
+ search($data,$conf['datadir'],'search_universal',$opts,$nsd);
+
+ // add back to upper
+ if($ns){
+ array_unshift($data,array(
+ 'id' => getNS($ns),
+ 'type' => 'u',
+ ));
+ }
+ }
+
+ // fixme sort results in a useful way ?
+
+ if(!count($data)){
+ echo $lang['nothingfound'];
+ exit;
+ }
+
+ // output the found data
+ $even = 1;
+ foreach($data as $item){
+ $even *= -1; //zebra
+
+ if(($item['type'] == 'd' || $item['type'] == 'u') && $item['id']) $item['id'] .= ':';
+ $link = wl($item['id']);
+
+ echo '<div class="'.(($even > 0)?'even':'odd').' type_'.$item['type'].'">';
+
+
+ if($item['type'] == 'u'){
+ $name = $lang['upperns'];
+ }else{
+ $name = htmlspecialchars($item['id']);
+ }
+
+ echo '<a href="'.$link.'" title="'.htmlspecialchars($item['id']).'" class="wikilink1">'.$name.'</a>';
+
+ if($item['title']){
+ echo '<span>'.htmlspecialchars($item['title']).'</span>';
+ }
+ echo '</div>';
+ }
+
+}
+
+//Setup VIM: ex: et ts=2 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/exe/css.php b/mod/dokuwiki/vendors/dokuwiki/lib/exe/css.php
new file mode 100644
index 000000000..2cb383de0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/exe/css.php
@@ -0,0 +1,330 @@
+<?php
+/**
+ * DokuWiki StyleSheet creator
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+
+if(!defined('DOKU_INC')) define('DOKU_INC',dirname(__FILE__).'/../../');
+if(!defined('NOSESSION')) define('NOSESSION',true); // we do not use a session or authentication here (better caching)
+if(!defined('DOKU_DISABLE_GZIP_OUTPUT')) define('DOKU_DISABLE_GZIP_OUTPUT',1); // we gzip ourself here
+require_once(DOKU_INC.'inc/init.php');
+require_once(DOKU_INC.'inc/pageutils.php');
+require_once(DOKU_INC.'inc/httputils.php');
+require_once(DOKU_INC.'inc/io.php');
+require_once(DOKU_INC.'inc/confutils.php');
+
+// Main (don't run when UNIT test)
+if(!defined('SIMPLE_TEST')){
+ header('Content-Type: text/css; charset=utf-8');
+ css_out();
+}
+
+
+// ---------------------- functions ------------------------------
+
+/**
+ * Output all needed Styles
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function css_out(){
+ global $conf;
+ global $lang;
+ $style = '';
+ if (isset($_REQUEST['s']) &&
+ in_array($_REQUEST['s'], array('all', 'print', 'feed'))) {
+ $style = $_REQUEST['s'];
+ }
+
+ $tpl = trim(preg_replace('/[^\w-]+/','',$_REQUEST['t']));
+ if($tpl){
+ $tplinc = DOKU_INC.'lib/tpl/'.$tpl.'/';
+ $tpldir = DOKU_BASE.'lib/tpl/'.$tpl.'/';
+ }else{
+ $tplinc = DOKU_TPLINC;
+ $tpldir = DOKU_TPL;
+ }
+
+ // The generated script depends on some dynamic options
+ $cache = getCacheName('styles'.$_SERVER['HTTP_HOST'].$_SERVER['SERVER_PORT'].DOKU_BASE.$tplinc.$style,'.css');
+
+ // load template styles
+ $tplstyles = array();
+ if(@file_exists($tplinc.'style.ini')){
+ $ini = parse_ini_file($tplinc.'style.ini',true);
+ foreach($ini['stylesheets'] as $file => $mode){
+ $tplstyles[$mode][$tplinc.$file] = $tpldir;
+ }
+ }
+
+ // Array of needed files and their web locations, the latter ones
+ // are needed to fix relative paths in the stylesheets
+ $files = array();
+ //if (isset($tplstyles['all'])) $files = array_merge($files, $tplstyles['all']);
+ if(!empty($style)){
+ $files[DOKU_INC.'lib/styles/'.$style.'.css'] = DOKU_MEDIA.'lib/styles/';
+ // load plugin, template, user styles
+ $files = array_merge($files, css_pluginstyles($style));
+ if (isset($tplstyles[$style])) $files = array_merge($files, $tplstyles[$style]);
+ $files[DOKU_CONF.'user'.$style.'.css'] = DOKU_MEDIA;
+ }else{
+ $files[DOKU_INC.'lib/styles/style.css'] = DOKU_MEDIA.'lib/styles/';
+ // load plugin, template, user styles
+ $files = array_merge($files, css_pluginstyles('screen'));
+ if (isset($tplstyles['screen'])) $files = array_merge($files, $tplstyles['screen']);
+ if($lang['direction'] == 'rtl'){
+ if (isset($tplstyles['rtl'])) $files = array_merge($files, $tplstyles['rtl']);
+ }
+ $files[DOKU_CONF.'userstyle.css'] = DOKU_BASE;
+ }
+
+ // check cache age & handle conditional request
+ header('Cache-Control: public, max-age=3600');
+ header('Pragma: public');
+ if(css_cacheok($cache,array_keys($files),$tplinc)){
+ http_conditionalRequest(filemtime($cache));
+ if($conf['allowdebug']) header("X-CacheUsed: $cache");
+
+ // finally send output
+ if ($conf['gzip_output'] && http_gzip_valid($cache)) {
+ header('Vary: Accept-Encoding');
+ header('Content-Encoding: gzip');
+ readfile($cache.".gz");
+ } else {
+ if (!http_sendfile($cache)) readfile($cache);
+ }
+
+ return;
+ } else {
+ http_conditionalRequest(time());
+ }
+
+ // start output buffering and build the stylesheet
+ ob_start();
+
+ // print the default classes for interwiki links and file downloads
+ css_interwiki();
+ css_filetypes();
+
+ // load files
+ foreach($files as $file => $location){
+ print css_loadfile($file, $location);
+ }
+
+ // end output buffering and get contents
+ $css = ob_get_contents();
+ ob_end_clean();
+
+ // apply style replacements
+ $css = css_applystyle($css,$tplinc);
+
+ // compress whitespace and comments
+ if($conf['compress']){
+ $css = css_compress($css);
+ }
+
+ // save cache file
+ io_saveFile($cache,$css);
+ if(function_exists('gzopen')) io_saveFile("$cache.gz",$css);
+
+ // finally send output
+ if ($conf['gzip_output']) {
+ header('Vary: Accept-Encoding');
+ header('Content-Encoding: gzip');
+ print gzencode($css,9,FORCE_GZIP);
+ } else {
+ print $css;
+ }
+}
+
+/**
+ * Checks if a CSS Cache file still is valid
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function css_cacheok($cache,$files,$tplinc){
+ global $config_cascade;
+
+ if(isset($_REQUEST['purge'])) return false; //support purge request
+
+ $ctime = @filemtime($cache);
+ if(!$ctime) return false; //There is no cache
+
+ // some additional files to check
+ $files = array_merge($files, getConfigFiles('main'));
+ $files[] = $tplinc.'style.ini';
+ $files[] = __FILE__;
+
+ // now walk the files
+ foreach($files as $file){
+ if(@filemtime($file) > $ctime){
+ return false;
+ }
+ }
+ return true;
+}
+
+/**
+ * Does placeholder replacements in the style according to
+ * the ones defined in a templates style.ini file
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function css_applystyle($css,$tplinc){
+ if(@file_exists($tplinc.'style.ini')){
+ $ini = parse_ini_file($tplinc.'style.ini',true);
+ $css = strtr($css,$ini['replacements']);
+ }
+ return $css;
+}
+
+/**
+ * Prints classes for interwikilinks
+ *
+ * Interwiki links have two classes: 'interwiki' and 'iw_$name>' where
+ * $name is the identifier given in the config. All Interwiki links get
+ * an default style with a default icon. If a special icon is available
+ * for an interwiki URL it is set in it's own class. Both classes can be
+ * overwritten in the template or userstyles.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function css_interwiki(){
+
+ // default style
+ echo 'a.interwiki {';
+ echo ' background: transparent url('.DOKU_MEDIA.'lib/images/interwiki.png) 0px 1px no-repeat;';
+ echo ' padding-left: 16px;';
+ echo '}';
+
+ // additional styles when icon available
+ $iwlinks = getInterwiki();
+ foreach(array_keys($iwlinks) as $iw){
+ $class = preg_replace('/[^_\-a-z0-9]+/i','_',$iw);
+ if(@file_exists(DOKU_INC.'lib/images/interwiki/'.$iw.'.png')){
+ echo "a.iw_$class {";
+ echo ' background-image: url('.DOKU_MEDIA.'lib/images/interwiki/'.$iw.'.png)';
+ echo '}';
+ }elseif(@file_exists(DOKU_INC.'lib/images/interwiki/'.$iw.'.gif')){
+ echo "a.iw_$class {";
+ echo ' background-image: url('.DOKU_MEDIA.'lib/images/interwiki/'.$iw.'.gif)';
+ echo '}';
+ }
+ }
+}
+
+/**
+ * Prints classes for file download links
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function css_filetypes(){
+
+ // default style
+ echo 'a.mediafile {';
+ echo ' background: transparent url('.DOKU_MEDIA.'lib/images/fileicons/file.png) 0px 1px no-repeat;';
+ echo ' padding-left: 18px;';
+ echo ' padding-bottom: 1px;';
+ echo '}';
+
+ // additional styles when icon available
+ // scan directory for all icons
+ $exts = array();
+ if($dh = opendir(DOKU_INC.'lib/images/fileicons')){
+ while(false !== ($file = readdir($dh))){
+ if(preg_match('/([_\-a-z0-9]+(?:\.[_\-a-z0-9]+)*?)\.(png|gif)/i',$file,$match)){
+ $ext = strtolower($match[1]);
+ $type = '.'.strtolower($match[2]);
+ if($ext!='file' && (!isset($exts[$ext]) || $type=='.png')){
+ $exts[$ext] = $type;
+ }
+ }
+ }
+ closedir($dh);
+ }
+ foreach($exts as $ext=>$type){
+ $class = preg_replace('/[^_\-a-z0-9]+/','_',$ext);
+ echo "a.mf_$class {";
+ echo ' background-image: url('.DOKU_MEDIA.'lib/images/fileicons/'.$ext.$type.')';
+ echo '}';
+ }
+}
+
+/**
+ * Loads a given file and fixes relative URLs with the
+ * given location prefix
+ */
+function css_loadfile($file,$location=''){
+ if(!@file_exists($file)) return '';
+ $css = io_readFile($file);
+ if(!$location) return $css;
+
+ $css = preg_replace('#(url\([ \'"]*)((?!/|http://|https://| |\'|"))#','\\1'.$location.'\\3',$css);
+ return $css;
+}
+
+
+/**
+ * Returns a list of possible Plugin Styles (no existance check here)
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function css_pluginstyles($mode='screen'){
+ global $lang;
+ $list = array();
+ $plugins = plugin_list();
+ foreach ($plugins as $p){
+ if($mode == 'all'){
+ $list[DOKU_PLUGIN."$p/all.css"] = DOKU_BASE."lib/plugins/$p/";
+ }elseif($mode == 'print'){
+ $list[DOKU_PLUGIN."$p/print.css"] = DOKU_BASE."lib/plugins/$p/";
+ }elseif($mode == 'feed'){
+ $list[DOKU_PLUGIN."$p/feed.css"] = DOKU_BASE."lib/plugins/$p/";
+ }else{
+ $list[DOKU_PLUGIN."$p/style.css"] = DOKU_BASE."lib/plugins/$p/";
+ $list[DOKU_PLUGIN."$p/screen.css"] = DOKU_BASE."lib/plugins/$p/";
+ }
+ if($lang['direction'] == 'rtl'){
+ $list[DOKU_PLUGIN."$p/rtl.css"] = DOKU_BASE."lib/plugins/$p/";
+ }
+ }
+ return $list;
+}
+
+/**
+ * Very simple CSS optimizer
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function css_compress($css){
+ //strip comments through a callback
+ $css = preg_replace_callback('#(/\*)(.*?)(\*/)#s','css_comment_cb',$css);
+
+ //strip (incorrect but common) one line comments
+ $css = preg_replace('/(?<!:)\/\/.*$/m','',$css);
+
+ // strip whitespaces
+ $css = preg_replace('![\r\n\t ]+!',' ',$css);
+ $css = preg_replace('/ ?([:;,{}\/]) ?/','\\1',$css);
+
+ // shorten colors
+ $css = preg_replace("/#([0-9a-fA-F]{1})\\1([0-9a-fA-F]{1})\\2([0-9a-fA-F]{1})\\3/", "#\\1\\2\\3",$css);
+
+ return $css;
+}
+
+/**
+ * Callback for css_compress()
+ *
+ * Keeps short comments (< 5 chars) to maintain typical browser hacks
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function css_comment_cb($matches){
+ if(strlen($matches[2]) > 4) return '';
+ return $matches[0];
+}
+
+//Setup VIM: ex: et ts=4 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/exe/detail.php b/mod/dokuwiki/vendors/dokuwiki/lib/exe/detail.php
new file mode 100644
index 000000000..f30e039d4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/exe/detail.php
@@ -0,0 +1,58 @@
+<?php
+ if(!defined('DOKU_INC')) define('DOKU_INC',dirname(__FILE__).'/../../');
+ define('DOKU_MEDIADETAIL',1);
+ require_once(DOKU_INC.'inc/init.php');
+ require_once(DOKU_INC.'inc/common.php');
+ require_once(DOKU_INC.'inc/lang/en/lang.php');
+ require_once(DOKU_INC.'inc/lang/'.$conf['lang'].'/lang.php');
+ require_once(DOKU_INC.'inc/JpegMeta.php');
+ require_once(DOKU_INC.'inc/html.php');
+ require_once(DOKU_INC.'inc/template.php');
+ require_once(DOKU_INC.'inc/auth.php');
+ //close session
+ session_write_close();
+
+ $IMG = getID('media');
+ $ID = cleanID($_REQUEST['id']);
+
+ if($conf['allowdebug'] && $_REQUEST['debug']){
+ print '<pre>';
+ foreach(explode(' ','basedir userewrite baseurl useslash') as $x){
+ print '$'."conf['$x'] = '".$conf[$x]."';\n";
+ }
+ foreach(explode(' ','DOCUMENT_ROOT HTTP_HOST SCRIPT_FILENAME PHP_SELF '.
+ 'REQUEST_URI SCRIPT_NAME PATH_INFO PATH_TRANSLATED') as $x){
+ print '$'."_SERVER['$x'] = '".$_SERVER[$x]."';\n";
+ }
+ print "getID('media'): ".getID('media')."\n";
+ print "getID('media',false): ".getID('media',false)."\n";
+ print '</pre>';
+ }
+
+ $ERROR = false;
+ // check image permissions
+ $AUTH = auth_quickaclcheck($IMG);
+ if($AUTH >= AUTH_READ){
+ // check if image exists
+ $SRC = mediaFN($IMG);
+ if(!@file_exists($SRC)){
+ //doesn't exist!
+
+ }
+ }else{
+ // no auth
+ $ERROR = p_locale_xhtml('denied');
+ }
+
+ /*if(!$ERROR){
+ // load EXIF/IPTC/image details
+ $INFO = array();
+ $INFO['std']['']
+ imagesize
+ }*/
+
+
+ //start output and load template
+ header('Content-Type: text/html; charset=utf-8');
+ include(template('detail.php'));
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/exe/fetch.php b/mod/dokuwiki/vendors/dokuwiki/lib/exe/fetch.php
new file mode 100644
index 000000000..11877ef36
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/exe/fetch.php
@@ -0,0 +1,173 @@
+<?php
+/**
+ * DokuWiki media passthrough file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+
+ if(!defined('DOKU_INC')) define('DOKU_INC',dirname(__FILE__).'/../../');
+ define('DOKU_DISABLE_GZIP_OUTPUT', 1);
+ require_once(DOKU_INC.'inc/init.php');
+ require_once(DOKU_INC.'inc/common.php');
+ require_once(DOKU_INC.'inc/media.php');
+ require_once(DOKU_INC.'inc/pageutils.php');
+ require_once(DOKU_INC.'inc/httputils.php');
+ require_once(DOKU_INC.'inc/confutils.php');
+ require_once(DOKU_INC.'inc/auth.php');
+
+ //close sesseion
+ session_write_close();
+
+ $mimetypes = getMimeTypes();
+
+ //get input
+ $MEDIA = stripctl(getID('media',false)); // no cleaning except control chars - maybe external
+ $CACHE = calc_cache($_REQUEST['cache']);
+ $WIDTH = (int) $_REQUEST['w'];
+ $HEIGHT = (int) $_REQUEST['h'];
+ list($EXT,$MIME,$DL) = mimetype($MEDIA,false);
+ if($EXT === false){
+ $EXT = 'unknown';
+ $MIME = 'application/octet-stream';
+ $DL = true;
+ }
+
+ //media to local file
+ if(preg_match('#^(https?)://#i',$MEDIA)){
+ //check hash
+ if(substr(md5(auth_cookiesalt().$MEDIA),0,6) != $_REQUEST['hash']){
+ header("HTTP/1.0 412 Precondition Failed");
+ print 'Precondition Failed';
+ exit;
+ }
+ //handle external images
+ if(strncmp($MIME,'image/',6) == 0) $FILE = media_get_from_URL($MEDIA,$EXT,$CACHE);
+ if(!$FILE){
+ //download failed - redirect to original URL
+ header('Location: '.$MEDIA);
+ exit;
+ }
+ }else{
+ $MEDIA = cleanID($MEDIA);
+ if(empty($MEDIA)){
+ header("HTTP/1.0 400 Bad Request");
+ print 'Bad request';
+ exit;
+ }
+
+ //check permissions (namespace only)
+ if(auth_quickaclcheck(getNS($MEDIA).':X') < AUTH_READ){
+ header("HTTP/1.0 401 Unauthorized");
+ //fixme add some image for imagefiles
+ print 'Unauthorized';
+ exit;
+ }
+ $FILE = mediaFN($MEDIA);
+ }
+
+ //check file existance
+ if(!@file_exists($FILE)){
+ header("HTTP/1.0 404 Not Found");
+ //FIXME add some default broken image
+ print 'Not Found';
+ exit;
+ }
+
+ $ORIG = $FILE;
+
+ //handle image resizing/cropping
+ if((substr($MIME,0,5) == 'image') && $WIDTH){
+ if($HEIGHT){
+ $FILE = media_crop_image($FILE,$EXT,$WIDTH,$HEIGHT);
+ }else{
+ $FILE = media_resize_image($FILE,$EXT,$WIDTH,$HEIGHT);
+ }
+ }
+
+ // finally send the file to the client
+ $data = array('file' => $FILE,
+ 'mime' => $MIME,
+ 'download' => $DL,
+ 'cache' => $CACHE,
+ 'orig' => $ORIG,
+ 'ext' => $EXT,
+ 'width' => $WIDTH,
+ 'height' => $HEIGHT);
+
+ $evt = new Doku_Event('MEDIA_SENDFILE', $data);
+ if ($evt->advise_before()) {
+ sendFile($data['file'],$data['mime'],$data['download'],$data['cache']);
+ }
+
+/* ------------------------------------------------------------------------ */
+
+/**
+ * Set headers and send the file to the client
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Ben Coburn <btcoburn@silicodon.net>
+ */
+function sendFile($file,$mime,$dl,$cache){
+ global $conf;
+ $fmtime = @filemtime($file);
+ // send headers
+ header("Content-Type: $mime");
+ // smart http caching headers
+ if ($cache==-1) {
+ // cache
+ // cachetime or one hour
+ header('Expires: '.gmdate("D, d M Y H:i:s", time()+max($conf['cachetime'], 3600)).' GMT');
+ header('Cache-Control: public, proxy-revalidate, no-transform, max-age='.max($conf['cachetime'], 3600));
+ header('Pragma: public');
+ } else if ($cache>0) {
+ // recache
+ // remaining cachetime + 10 seconds so the newly recached media is used
+ header('Expires: '.gmdate("D, d M Y H:i:s", $fmtime+$conf['cachetime']+10).' GMT');
+ header('Cache-Control: public, proxy-revalidate, no-transform, max-age='.max($fmtime-time()+$conf['cachetime']+10, 0));
+ header('Pragma: public');
+ } else if ($cache==0) {
+ // nocache
+ header('Cache-Control: must-revalidate, no-transform, post-check=0, pre-check=0');
+ header('Pragma: public');
+ }
+ //send important headers first, script stops here if '304 Not Modified' response
+ http_conditionalRequest($fmtime);
+
+
+ //download or display?
+ if($dl){
+ header('Content-Disposition: attachment; filename="'.basename($file).'";');
+ }else{
+ header('Content-Disposition: inline; filename="'.basename($file).'";');
+ }
+
+ //use x-sendfile header to pass the delivery to compatible webservers
+ if (http_sendfile($file)) exit;
+
+ // send file contents
+ $fp = @fopen($file,"rb");
+ if($fp){
+ http_rangeRequest($fp,filesize($file),$mime);
+ }else{
+ header("HTTP/1.0 500 Internal Server Error");
+ print "Could not read $file - bad permissions?";
+ }
+}
+
+/**
+ * Returns the wanted cachetime in seconds
+ *
+ * Resolves named constants
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function calc_cache($cache){
+ global $conf;
+
+ if(strtolower($cache) == 'nocache') return 0; //never cache
+ if(strtolower($cache) == 'recache') return $conf['cachetime']; //use standard cache
+ return -1; //cache endless
+}
+
+//Setup VIM: ex: et ts=2 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/exe/index.html b/mod/dokuwiki/vendors/dokuwiki/lib/exe/index.html
new file mode 100644
index 000000000..d614603ac
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/exe/index.html
@@ -0,0 +1,12 @@
+<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Strict//EN"
+ "http://www.w3.org/TR/xhtml1/DTD/xhtml1-strict.dtd">
+<html xmlns="http://www.w3.org/1999/xhtml">
+<head>
+<meta http-equiv="refresh" content="0; URL=../../" />
+<meta name="robots" content="noindex" />
+<title>nothing here...</title>
+</head>
+<body>
+<!-- this is just here to prevent directory browsing -->
+</body>
+</html>
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/exe/indexer.php b/mod/dokuwiki/vendors/dokuwiki/lib/exe/indexer.php
new file mode 100644
index 000000000..872f6b5be
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/exe/indexer.php
@@ -0,0 +1,375 @@
+<?php
+/**
+ * DokuWiki indexer
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+if(!defined('DOKU_INC')) define('DOKU_INC',dirname(__FILE__).'/../../');
+define('DOKU_DISABLE_GZIP_OUTPUT',1);
+require_once(DOKU_INC.'inc/init.php');
+require_once(DOKU_INC.'inc/auth.php');
+require_once(DOKU_INC.'inc/events.php');
+session_write_close(); //close session
+if(!defined('NL')) define('NL',"\n");
+global $ID;
+// Version tag used to force rebuild on upgrade
+define('INDEXER_VERSION', 2);
+
+// keep running after browser closes connection
+@ignore_user_abort(true);
+
+// check if user abort worked, if yes send output early
+$defer = !@ignore_user_abort() || $conf['broken_iua'];
+if(!$defer){
+ sendGIF(); // send gif
+}
+
+$ID = cleanID($_REQUEST['id']);
+
+// Catch any possible output (e.g. errors)
+if(!isset($_REQUEST['debug'])) ob_start();
+
+// run one of the jobs
+$tmp = array(); // No event data
+$evt = new Doku_Event('INDEXER_TASKS_RUN', $tmp);
+if ($evt->advise_before()) {
+ runIndexer() or
+ metaUpdate() or
+ runSitemapper() or
+ runTrimRecentChanges() or
+ runTrimRecentChanges(true) or
+ $evt->advise_after();
+}
+if($defer) sendGIF();
+
+if(!isset($_REQUEST['debug'])) ob_end_clean();
+exit;
+
+// --------------------------------------------------------------------
+
+/**
+ * Trims the recent changes cache (or imports the old changelog) as needed.
+ *
+ * @param media_changes If the media changelog shall be trimmed instead of
+ * the page changelog
+ *
+ * @author Ben Coburn <btcoburn@silicodon.net>
+ */
+function runTrimRecentChanges($media_changes = false) {
+ global $conf;
+
+ $fn = ($media_changes ? $conf['media_changelog'] : $conf['changelog']);
+
+ // Trim the Recent Changes
+ // Trims the recent changes cache to the last $conf['changes_days'] recent
+ // changes or $conf['recent'] items, which ever is larger.
+ // The trimming is only done once a day.
+ if (@file_exists($fn) &&
+ (@filemtime($fn.'.trimmed')+86400)<time() &&
+ !@file_exists($fn.'_tmp')) {
+ @touch($fn.'.trimmed');
+ io_lock($fn);
+ $lines = file($fn);
+ if (count($lines)<=$conf['recent']) {
+ // nothing to trim
+ io_unlock($fn);
+ return false;
+ }
+
+ io_saveFile($fn.'_tmp', ''); // presave tmp as 2nd lock
+ $trim_time = time() - $conf['recent_days']*86400;
+ $out_lines = array();
+
+ for ($i=0; $i<count($lines); $i++) {
+ $log = parseChangelogLine($lines[$i]);
+ if ($log === false) continue; // discard junk
+ if ($log['date'] < $trim_time) {
+ $old_lines[$log['date'].".$i"] = $lines[$i]; // keep old lines for now (append .$i to prevent key collisions)
+ } else {
+ $out_lines[$log['date'].".$i"] = $lines[$i]; // definitely keep these lines
+ }
+ }
+
+ if (count($lines)==count($out_lines)) {
+ // nothing to trim
+ @unlink($fn.'_tmp');
+ io_unlock($fn);
+ return false;
+ }
+
+ // sort the final result, it shouldn't be necessary,
+ // however the extra robustness in making the changelog cache self-correcting is worth it
+ ksort($out_lines);
+ $extra = $conf['recent'] - count($out_lines); // do we need extra lines do bring us up to minimum
+ if ($extra > 0) {
+ ksort($old_lines);
+ $out_lines = array_merge(array_slice($old_lines,-$extra),$out_lines);
+ }
+
+ // save trimmed changelog
+ io_saveFile($fn.'_tmp', implode('', $out_lines));
+ @unlink($fn);
+ if (!rename($fn.'_tmp', $fn)) {
+ // rename failed so try another way...
+ io_unlock($fn);
+ io_saveFile($fn, implode('', $out_lines));
+ @unlink($fn.'_tmp');
+ } else {
+ io_unlock($fn);
+ }
+ return true;
+ }
+
+ // nothing done
+ return false;
+}
+
+/**
+ * Runs the indexer for the current page
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function runIndexer(){
+ global $ID;
+ global $conf;
+ print "runIndexer(): started".NL;
+
+ // Move index files (if needed)
+ // Uses the importoldindex plugin to upgrade the index automatically.
+ // FIXME: Remove this from runIndexer when it is no longer needed.
+ if (@file_exists($conf['cachedir'].'/page.idx') &&
+ (!@file_exists($conf['indexdir'].'/page.idx') ||
+ !filesize($conf['indexdir'].'/page.idx')) &&
+ !@file_exists($conf['indexdir'].'/index_importing')) {
+ echo "trigger TEMPORARY_INDEX_UPGRADE_EVENT\n";
+ $tmp = array(); // no event data
+ trigger_event('TEMPORARY_INDEX_UPGRADE_EVENT', $tmp);
+ }
+
+ if(!$ID) return false;
+
+ // check if indexing needed
+ $idxtag = metaFN($ID,'.indexed');
+ if(@file_exists($idxtag)){
+ if(io_readFile($idxtag) >= INDEXER_VERSION){
+ $last = @filemtime($idxtag);
+ if($last > @filemtime(wikiFN($ID))){
+ print "runIndexer(): index for $ID up to date".NL;
+ return false;
+ }
+ }
+ }
+
+ // try to aquire a lock
+ $lock = $conf['lockdir'].'/_indexer.lock';
+ while(!@mkdir($lock,$conf['dmode'])){
+ usleep(50);
+ if(time()-@filemtime($lock) > 60*5){
+ // looks like a stale lock - remove it
+ @rmdir($lock);
+ print "runIndexer(): stale lock removed".NL;
+ }else{
+ print "runIndexer(): indexer locked".NL;
+ return false;
+ }
+ }
+ if($conf['dperm']) chmod($lock, $conf['dperm']);
+
+ require_once(DOKU_INC.'inc/indexer.php');
+
+ // upgrade to version 2
+ if (!@file_exists($conf['indexdir'].'/pageword.idx'))
+ idx_upgradePageWords();
+
+ // do the work
+ idx_addPage($ID);
+
+ // we're finished - save and free lock
+ io_saveFile(metaFN($ID,'.indexed'),INDEXER_VERSION);
+ @rmdir($lock);
+ print "runIndexer(): finished".NL;
+ return true;
+}
+
+/**
+ * Will render the metadata for the page if not exists yet
+ *
+ * This makes sure pages which are created from outside DokuWiki will
+ * gain their data when viewed for the first time.
+ */
+function metaUpdate(){
+ global $ID;
+ print "metaUpdate(): started".NL;
+
+ if(!$ID) return false;
+ $file = metaFN($ID, '.meta');
+ echo "meta file: $file".NL;
+
+ // rendering needed?
+ if (@file_exists($file)) return false;
+ if (!@file_exists(wikiFN($ID))) return false;
+
+ require_once(DOKU_INC.'inc/common.php');
+ require_once(DOKU_INC.'inc/parserutils.php');
+ global $conf;
+
+
+ // gather some additional info from changelog
+ $info = io_grep($conf['changelog'],
+ '/^(\d+)\t(\d+\.\d+\.\d+\.\d+)\t'.preg_quote($ID,'/').'\t([^\t]+)\t([^\t\n]+)/',
+ 0,true);
+
+ $meta = array();
+ if(!empty($info)){
+ $meta['date']['created'] = $info[0][1];
+ foreach($info as $item){
+ if($item[4] != '*'){
+ $meta['date']['modified'] = $item[1];
+ if($item[3]){
+ $meta['contributor'][$item[3]] = $item[3];
+ }
+ }
+ }
+ }
+
+ $meta = p_render_metadata($ID, $meta);
+ io_saveFile($file, serialize($meta));
+
+ echo "metaUpdate(): finished".NL;
+ return true;
+}
+
+/**
+ * Builds a Google Sitemap of all public pages known to the indexer
+ *
+ * The map is placed in the root directory named sitemap.xml.gz - This
+ * file needs to be writable!
+ *
+ * @author Andreas Gohr
+ * @link https://www.google.com/webmasters/sitemaps/docs/en/about.html
+ */
+function runSitemapper(){
+ global $conf;
+ print "runSitemapper(): started".NL;
+ if(!$conf['sitemap']) return false;
+
+ if($conf['compression'] == 'bz2' || $conf['compression'] == 'gz'){
+ $sitemap = 'sitemap.xml.gz';
+ }else{
+ $sitemap = 'sitemap.xml';
+ }
+ print "runSitemapper(): using $sitemap".NL;
+
+ if(@file_exists(DOKU_INC.$sitemap)){
+ if(!is_writable(DOKU_INC.$sitemap)) return false;
+ }else{
+ if(!is_writable(DOKU_INC)) return false;
+ }
+
+ if(@filesize(DOKU_INC.$sitemap) &&
+ @filemtime(DOKU_INC.$sitemap) > (time()-($conf['sitemap']*60*60*24))){
+ print 'runSitemapper(): Sitemap up to date'.NL;
+ return false;
+ }
+
+ $pages = file($conf['indexdir'].'/page.idx');
+ print 'runSitemapper(): creating sitemap using '.count($pages).' pages'.NL;
+
+ // build the sitemap
+ ob_start();
+ print '<?xml version="1.0" encoding="UTF-8"?>'.NL;
+ print '<urlset xmlns="http://www.sitemaps.org/schemas/sitemap/0.9">'.NL;
+ foreach($pages as $id){
+ $id = trim($id);
+ $file = wikiFN($id);
+
+ //skip hidden, non existing and restricted files
+ if(isHiddenPage($id)) continue;
+ $date = @filemtime($file);
+ if(!$date) continue;
+ if(auth_aclcheck($id,'','') < AUTH_READ) continue;
+
+ print ' <url>'.NL;
+ print ' <loc>'.wl($id,'',true).'</loc>'.NL;
+ print ' <lastmod>'.date_iso8601($date).'</lastmod>'.NL;
+ print ' </url>'.NL;
+ }
+ print '</urlset>'.NL;
+ $data = ob_get_contents();
+ ob_end_clean();
+
+ //save the new sitemap
+ io_saveFile(DOKU_INC.$sitemap,$data);
+
+ //ping search engines...
+ $http = new DokuHTTPClient();
+ $http->timeout = 8;
+
+ //ping google
+ print 'runSitemapper(): pinging google'.NL;
+ $url = 'http://www.google.com/webmasters/sitemaps/ping?sitemap=';
+ $url .= urlencode(DOKU_URL.$sitemap);
+ $resp = $http->get($url);
+ if($http->error) print 'runSitemapper(): '.$http->error.NL;
+ print 'runSitemapper(): '.preg_replace('/[\n\r]/',' ',strip_tags($resp)).NL;
+
+ //ping yahoo
+ print 'runSitemapper(): pinging yahoo'.NL;
+ $url = 'http://search.yahooapis.com/SiteExplorerService/V1/updateNotification?appid=dokuwiki&url=';
+ $url .= urlencode(DOKU_URL.$sitemap);
+ $resp = $http->get($url);
+ if($http->error) print 'runSitemapper(): '.$http->error.NL;
+ print 'runSitemapper(): '.preg_replace('/[\n\r]/',' ',strip_tags($resp)).NL;
+
+ //ping microsoft
+ print 'runSitemapper(): pinging microsoft'.NL;
+ $url = 'http://www.bing.com/webmaster/ping.aspx?siteMap=';
+ $url .= urlencode(DOKU_URL.$sitemap);
+ $resp = $http->get($url);
+ if($http->error) print 'runSitemapper(): '.$http->error.NL;
+ print 'runSitemapper(): '.preg_replace('/[\n\r]/',' ',strip_tags($resp)).NL;
+
+ print 'runSitemapper(): finished'.NL;
+ return true;
+}
+
+/**
+ * Formats a timestamp as ISO 8601 date
+ *
+ * @author <ungu at terong dot com>
+ * @link http://www.php.net/manual/en/function.date.php#54072
+ */
+function date_iso8601($int_date) {
+ //$int_date: current date in UNIX timestamp
+ $date_mod = date('Y-m-d\TH:i:s', $int_date);
+ $pre_timezone = date('O', $int_date);
+ $time_zone = substr($pre_timezone, 0, 3).":".substr($pre_timezone, 3, 2);
+ $date_mod .= $time_zone;
+ return $date_mod;
+}
+
+/**
+ * Just send a 1x1 pixel blank gif to the browser
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Harry Fuecks <fuecks@gmail.com>
+ */
+function sendGIF(){
+ if(isset($_REQUEST['debug'])){
+ header('Content-Type: text/plain');
+ return;
+ }
+ $img = base64_decode('R0lGODlhAQABAIAAAAAAAP///yH5BAEAAAEALAAAAAABAAEAAAIBTAA7');
+ header('Content-Type: image/gif');
+ header('Content-Length: '.strlen($img));
+ header('Connection: Close');
+ print $img;
+ flush();
+ // Browser should drop connection after this
+ // Thinks it's got the whole image
+}
+
+//Setup VIM: ex: et ts=4 enc=utf-8 :
+// No trailing PHP closing tag - no output please!
+// See Note at http://www.php.net/manual/en/language.basic-syntax.instruction-separation.php
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/exe/js.php b/mod/dokuwiki/vendors/dokuwiki/lib/exe/js.php
new file mode 100644
index 000000000..cb1016231
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/exe/js.php
@@ -0,0 +1,397 @@
+<?php
+/**
+ * DokuWiki JavaScript creator
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+
+if(!defined('DOKU_INC')) define('DOKU_INC',dirname(__FILE__).'/../../');
+if(!defined('NOSESSION')) define('NOSESSION',true); // we do not use a session or authentication here (better caching)
+if(!defined('NL')) define('NL',"\n");
+if(!defined('DOKU_DISABLE_GZIP_OUTPUT')) define('DOKU_DISABLE_GZIP_OUTPUT',1); // we gzip ourself here
+require_once(DOKU_INC.'inc/init.php');
+require_once(DOKU_INC.'inc/pageutils.php');
+require_once(DOKU_INC.'inc/httputils.php');
+require_once(DOKU_INC.'inc/io.php');
+require_once(DOKU_INC.'inc/JSON.php');
+
+// Main (don't run when UNIT test)
+if(!defined('SIMPLE_TEST')){
+ header('Content-Type: text/javascript; charset=utf-8');
+ js_out();
+}
+
+
+// ---------------------- functions ------------------------------
+
+/**
+ * Output all needed JavaScript
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function js_out(){
+ global $conf;
+ global $lang;
+
+ // The generated script depends on some dynamic options
+ $cache = getCacheName('scripts'.$_SERVER['HTTP_HOST'].$_SERVER['SERVER_PORT'],'.js');
+
+ // array of core files
+ $files = array(
+ DOKU_INC.'lib/scripts/helpers.js',
+ DOKU_INC.'lib/scripts/events.js',
+ DOKU_INC.'lib/scripts/cookie.js',
+ DOKU_INC.'lib/scripts/script.js',
+ DOKU_INC.'lib/scripts/tw-sack.js',
+ DOKU_INC.'lib/scripts/ajax.js',
+ DOKU_INC.'lib/scripts/index.js',
+ DOKU_INC.'lib/scripts/drag.js',
+ DOKU_INC.'lib/scripts/textselection.js',
+ DOKU_INC.'lib/scripts/toolbar.js',
+ DOKU_INC.'lib/scripts/edit.js',
+ DOKU_INC.'lib/scripts/linkwiz.js',
+ DOKU_INC.'lib/scripts/media.js',
+ DOKU_TPLINC.'script.js',
+ );
+
+ // add possible plugin scripts and userscript
+ $files = array_merge($files,js_pluginscripts());
+ $files[] = DOKU_CONF.'userscript.js';
+
+ // check cache age & handle conditional request
+ header('Cache-Control: public, max-age=3600');
+ header('Pragma: public');
+ if(js_cacheok($cache,$files)){
+ http_conditionalRequest(filemtime($cache));
+ if($conf['allowdebug']) header("X-CacheUsed: $cache");
+
+ // finally send output
+ if ($conf['gzip_output'] && http_gzip_valid($cache)) {
+ header('Vary: Accept-Encoding');
+ header('Content-Encoding: gzip');
+ readfile($cache.".gz");
+ } else {
+ if (!http_sendfile($cache)) readfile($cache);
+ }
+ return;
+ } else {
+ http_conditionalRequest(time());
+ }
+
+ // start output buffering and build the script
+ ob_start();
+
+ // add some global variables
+ print "var DOKU_BASE = '".DOKU_BASE."';";
+ print "var DOKU_MEDIA = '".DOKU_MEDIA."';";
+ print "var DOKU_TPL = '".DOKU_TPL."';";
+ print "var DOKU_UHN = ".((int) useHeading('navigation')).";";
+ print "var DOKU_UHC = ".((int) useHeading('content')).";";
+
+ // load JS specific translations
+ $json = new JSON();
+ $lang['js']['plugins'] = js_pluginstrings();
+ echo 'LANG = '.$json->encode($lang['js']).";\n";
+
+ // load toolbar
+ require_once(DOKU_INC.'inc/toolbar.php');
+ toolbar_JSdefines('toolbar');
+
+ // load files
+ foreach($files as $file){
+ echo "\n\n/* XXXXXXXXXX begin of ".str_replace(DOKU_INC, '', $file) ." XXXXXXXXXX */\n\n";
+ js_load($file);
+ echo "\n\n/* XXXXXXXXXX end of " . str_replace(DOKU_INC, '', $file) . " XXXXXXXXXX */\n\n";
+ }
+
+
+ // init stuff
+ js_runonstart("ajax_qsearch.init('qsearch__in','qsearch__out')");
+ js_runonstart("addEvent(document,'click',closePopups)");
+ js_runonstart('addTocToggle()');
+ js_runonstart("initSizeCtl('size__ctl','wiki__text')");
+ js_runonstart("initToolbar('tool__bar','wiki__text',toolbar)");
+ js_runonstart("initChangeCheck('".js_escape($lang['notsavedyet'])."')");
+ js_runonstart("locktimer.init(".($conf['locktime'] - 60).",'".js_escape($lang['willexpire'])."',".$conf['usedraft'].")");
+ js_runonstart('scrollToMarker()');
+ js_runonstart('focusMarker()');
+
+ // end output buffering and get contents
+ $js = ob_get_contents();
+ ob_end_clean();
+
+ // compress whitespace and comments
+ if($conf['compress']){
+ $js = js_compress($js);
+ }
+
+ $js .= "\n"; // https://bugzilla.mozilla.org/show_bug.cgi?id=316033
+
+ // save cache file
+ io_saveFile($cache,$js);
+ if(function_exists('gzopen')) io_saveFile("$cache.gz",$js);
+
+ // finally send output
+ if ($conf['gzip_output']) {
+ header('Vary: Accept-Encoding');
+ header('Content-Encoding: gzip');
+ print gzencode($js,9,FORCE_GZIP);
+ } else {
+ print $js;
+ }
+}
+
+/**
+ * Load the given file, handle include calls and print it
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function js_load($file){
+ if(!@file_exists($file)) return;
+ static $loaded = array();
+
+ $data = io_readFile($file);
+ while(preg_match('#/\*\s*DOKUWIKI:include(_once)?\s+([\w\./]+)\s*\*/#',$data,$match)){
+ $ifile = $match[2];
+
+ // is it a include_once?
+ if($match[1]){
+ $base = basename($ifile);
+ if($loaded[$base]) continue;
+ $loaded[$base] = true;
+ }
+
+ if($ifile{0} != '/') $ifile = dirname($file).'/'.$ifile;
+
+ if(@file_exists($ifile)){
+ $idata = io_readFile($ifile);
+ }else{
+ $idata = '';
+ }
+ $data = str_replace($match[0],$idata,$data);
+ }
+ echo $data;
+}
+
+/**
+ * Checks if a JavaScript Cache file still is valid
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function js_cacheok($cache,$files){
+ if(isset($_REQUEST['purge'])) return false; //support purge request
+
+ $ctime = @filemtime($cache);
+ if(!$ctime) return false; //There is no cache
+
+ // some additional files to check
+ $files = array_merge($files, getConfigFiles('main'));
+ $files[] = DOKU_CONF.'userscript.js';
+ $files[] = __FILE__;
+
+ // now walk the files
+ foreach($files as $file){
+ if(@filemtime($file) > $ctime){
+ return false;
+ }
+ }
+ return true;
+}
+
+/**
+ * Returns a list of possible Plugin Scripts (no existance check here)
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function js_pluginscripts(){
+ $list = array();
+ $plugins = plugin_list();
+ foreach ($plugins as $p){
+ $list[] = DOKU_PLUGIN."$p/script.js";
+ }
+ return $list;
+}
+
+/**
+ * Return an two-dimensional array with strings from the language file of each plugin.
+ *
+ * - $lang['js'] must be an array.
+ * - Nothing is returned for plugins without an entry for $lang['js']
+ *
+ * @author Gabriel Birke <birke@d-scribe.de>
+ */
+function js_pluginstrings()
+{
+ global $conf;
+ $pluginstrings = array();
+ $plugins = plugin_list();
+ foreach ($plugins as $p){
+ if (isset($lang)) unset($lang);
+ if (@file_exists(DOKU_PLUGIN."$p/lang/en/lang.php")) {
+ include DOKU_PLUGIN."$p/lang/en/lang.php";
+ }
+ if (isset($conf['lang']) && $conf['lang']!='en' && @file_exists(DOKU_PLUGIN."$p/lang/".$conf['lang']."/lang.php")) {
+ include DOKU_PLUGIN."$p/lang/".$conf['lang']."/lang.php";
+ }
+ if (isset($lang['js'])) {
+ $pluginstrings[$p] = $lang['js'];
+ }
+ }
+ return $pluginstrings;
+}
+
+/**
+ * Escapes a String to be embedded in a JavaScript call, keeps \n
+ * as newline
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function js_escape($string){
+ return str_replace('\\\\n','\\n',addslashes($string));
+}
+
+/**
+ * Adds the given JavaScript code to the window.onload() event
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+function js_runonstart($func){
+ echo "addInitEvent(function(){ $func; });".NL;
+}
+
+/**
+ * Strip comments and whitespaces from given JavaScript Code
+ *
+ * This is a port of Nick Galbreath's python tool jsstrip.py which is
+ * released under BSD license. See link for original code.
+ *
+ * @author Nick Galbreath <nickg@modp.com>
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @link http://code.google.com/p/jsstrip/
+ */
+function js_compress($s){
+ $s = ltrim($s); // strip all initial whitespace
+ $s .= "\n";
+ $i = 0; // char index for input string
+ $j = 0; // char forward index for input string
+ $line = 0; // line number of file (close to it anyways)
+ $slen = strlen($s); // size of input string
+ $lch = ''; // last char added
+ $result = ''; // we store the final result here
+
+ // items that don't need spaces next to them
+ $chars = "^&|!+\-*\/%=\?:;,{}()<>% \t\n\r'\"[]";
+
+ $regex_starters = array("(", "=", "[", "," , ":");
+
+ $whitespaces_chars = array(" ", "\t", "\n", "\r", "\0", "\x0B");
+
+ while($i < $slen){
+ // skip all "boring" characters. This is either
+ // reserved word (e.g. "for", "else", "if") or a
+ // variable/object/method (e.g. "foo.color")
+ while ($i < $slen && (strpos($chars,$s[$i]) === false) ){
+ $result .= $s{$i};
+ $i = $i + 1;
+ }
+
+ $ch = $s{$i};
+ // multiline comments (keeping IE conditionals)
+ if($ch == '/' && $s{$i+1} == '*' && $s{$i+2} != '@'){
+ $endC = strpos($s,'*/',$i+2);
+ if($endC === false) trigger_error('Found invalid /*..*/ comment', E_USER_ERROR);
+ $i = $endC + 2;
+ continue;
+ }
+
+ // singleline
+ if($ch == '/' && $s{$i+1} == '/'){
+ $endC = strpos($s,"\n",$i+2);
+ if($endC === false) trigger_error('Invalid comment', E_USER_ERROR);
+ $i = $endC;
+ continue;
+ }
+
+ // tricky. might be an RE
+ if($ch == '/'){
+ // rewind, skip white space
+ $j = 1;
+ while(in_array($s{$i-$j}, $whitespaces_chars)){
+ $j = $j + 1;
+ }
+ if( in_array($s{$i-$j}, $regex_starters) ){
+ // yes, this is an re
+ // now move forward and find the end of it
+ $j = 1;
+ while($s{$i+$j} != '/'){
+ while( ($s{$i+$j} != '\\') && ($s{$i+$j} != '/')){
+ $j = $j + 1;
+ }
+ if($s{$i+$j} == '\\') $j = $j + 2;
+ }
+ $result .= substr($s,$i,$j+1);
+ $i = $i + $j + 1;
+ continue;
+ }
+ }
+
+ // double quote strings
+ if($ch == '"'){
+ $j = 1;
+ while( $s{$i+$j} != '"' && ($i+$j < $slen)){
+ if( $s{$i+$j} == '\\' && ($s{$i+$j+1} == '"' || $s{$i+$j+1} == '\\') ){
+ $j += 2;
+ }else{
+ $j += 1;
+ }
+ }
+ $result .= substr($s,$i,$j+1);
+ $i = $i + $j + 1;
+ continue;
+ }
+
+ // single quote strings
+ if($ch == "'"){
+ $j = 1;
+ while( $s{$i+$j} != "'" && ($i+$j < $slen)){
+ if( $s{$i+$j} == '\\' && ($s{$i+$j+1} == "'" || $s{$i+$j+1} == '\\') ){
+ $j += 2;
+ }else{
+ $j += 1;
+ }
+ }
+ $result .= substr($s,$i,$j+1);
+ $i = $i + $j + 1;
+ continue;
+ }
+
+ // whitespaces
+ if( $ch == ' ' || $ch == "\r" || $ch == "\n" || $ch == "\t" ){
+ // leading spaces
+ if($i+1 < $slen && (strpos($chars,$s[$i+1]) !== false)){
+ $i = $i + 1;
+ continue;
+ }
+ // trailing spaces
+ // if this ch is space AND the last char processed
+ // is special, then skip the space
+ $lch = substr($result,-1);
+ if($lch && (strpos($chars,$lch) !== false)){
+ $i = $i + 1;
+ continue;
+ }
+ // else after all of this convert the "whitespace" to
+ // a single space. It will get appended below
+ $ch = ' ';
+ }
+
+ // other chars
+ $result .= $ch;
+ $i = $i + 1;
+ }
+
+ return trim($result);
+}
+
+//Setup VIM: ex: et ts=4 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/exe/mediamanager.php b/mod/dokuwiki/vendors/dokuwiki/lib/exe/mediamanager.php
new file mode 100644
index 000000000..f6e91b858
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/exe/mediamanager.php
@@ -0,0 +1,105 @@
+<?php
+ if(!defined('DOKU_INC')) define('DOKU_INC',dirname(__FILE__).'/../../');
+ define('DOKU_MEDIAMANAGER',1);
+
+ // for multi uploader:
+ @ini_set('session.use_only_cookies',0);
+
+ require_once(DOKU_INC.'inc/init.php');
+ require_once(DOKU_INC.'inc/lang/en/lang.php');
+ require_once(DOKU_INC.'inc/lang/'.$conf['lang'].'/lang.php');
+ require_once(DOKU_INC.'inc/media.php');
+ require_once(DOKU_INC.'inc/common.php');
+ require_once(DOKU_INC.'inc/search.php');
+ require_once(DOKU_INC.'inc/template.php');
+ require_once(DOKU_INC.'inc/auth.php');
+
+ trigger_event('MEDIAMANAGER_STARTED',$tmp=array());
+ session_write_close(); //close session
+
+ // handle passed message
+ if($_REQUEST['msg1']) msg(hsc($_REQUEST['msg1']),1);
+ if($_REQUEST['err']) msg(hsc($_REQUEST['err']),-1);
+
+
+ // get namespace to display (either direct or from deletion order)
+ if($_REQUEST['delete']){
+ $DEL = cleanID($_REQUEST['delete']);
+ $IMG = $DEL;
+ $NS = getNS($DEL);
+ }elseif($_REQUEST['edit']){
+ $IMG = cleanID($_REQUEST['edit']);
+ $NS = getNS($IMG);
+ }elseif($_REQUEST['img']){
+ $IMG = cleanID($_REQUEST['img']);
+ $NS = getNS($IMG);
+ }else{
+ $NS = $_REQUEST['ns'];
+ $NS = cleanID($NS);
+ }
+
+ // check auth
+ $AUTH = auth_quickaclcheck("$NS:*");
+
+ // create the given namespace (just for beautification)
+ if($AUTH >= AUTH_UPLOAD) { io_createNamespace("$NS:xxx", 'media'); }
+
+ // handle flash upload
+ if(isset($_FILES['Filedata'])){
+ $_FILES['upload'] =& $_FILES['Filedata'];
+ $JUMPTO = media_upload($NS,$AUTH);
+ if($JUMPTO == false){
+ header("HTTP/1.0 400 Bad Request");
+ echo 'Upload failed';
+ }
+ echo 'ok';
+ exit;
+ }
+
+ // give info on PHP catched upload errors
+ if($_FILES['upload']['error']){
+ switch($_FILES['upload']['error']){
+ case 1:
+ case 2:
+ msg(sprintf($lang['uploadsize'],
+ filesize_h(php_to_byte(ini_get('upload_max_filesize')))),-1);
+ break;
+ default:
+ msg($lang['uploadfail'].' ('.$_FILES['upload']['error'].')',-1);
+ }
+ unset($_FILES['upload']);
+ }
+
+ // handle upload
+ if($_FILES['upload']['tmp_name']){
+ $JUMPTO = media_upload($NS,$AUTH);
+ if($JUMPTO) $NS = getNS($JUMPTO);
+ }
+
+ // handle meta saving
+ if($IMG && $_REQUEST['do']['save']){
+ $JUMPTO = media_metasave($IMG,$AUTH,$_REQUEST['meta']);
+ }
+
+ // handle deletion
+ if($DEL) {
+ $INUSE = media_inuse($DEL);
+ if(!$INUSE) {
+ if(media_delete($DEL,$AUTH)) {
+ msg(sprintf($lang['deletesucc'],noNS($DEL)),1);
+ } else {
+ msg(sprintf($lang['deletefail'],noNS($DEL)),-1);
+ }
+ } else {
+ if(!$conf['refshow']) {
+ unset($INUSE);
+ msg(sprintf($lang['mediainuse'],noNS($DEL)),0);
+ }
+ }
+ }
+
+ // finished - start output
+ header('Content-Type: text/html; charset=utf-8');
+ include(template('mediamanager.php'));
+
+/* vim: set expandtab tabstop=4 shiftwidth=4 softtabstop=4: */
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/exe/multipleUpload.swf b/mod/dokuwiki/vendors/dokuwiki/lib/exe/multipleUpload.swf
new file mode 100644
index 000000000..888aab045
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/exe/multipleUpload.swf
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/exe/opensearch.php b/mod/dokuwiki/vendors/dokuwiki/lib/exe/opensearch.php
new file mode 100644
index 000000000..f16b4f681
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/exe/opensearch.php
@@ -0,0 +1,38 @@
+<?php
+/**
+ * DokuWiki OpenSearch creator
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @link http://www.opensearch.org/
+ * @author Mike Frysinger <vapier@gentoo.org>
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+
+if(!defined('DOKU_INC')) define('DOKU_INC',dirname(__FILE__).'/../../');
+if(!defined('NOSESSION')) define('NOSESSION',true); // we do not use a session or authentication here (better caching)
+if(!defined('NL')) define('NL',"\n");
+require_once(DOKU_INC.'inc/init.php');
+
+// try to be clever about the favicon location
+if(file_exists(DOKU_INC.'favicon.ico')){
+ $ico = DOKU_URL.'favicon.ico';
+}elseif(file_exists(DOKU_TPLINC.'images/favicon.ico')){
+ $ico = DOKU_URL.'lib/tpl/'.$conf['template'].'/images/favicon.ico';
+}elseif(file_exists(DOKU_TPLINC.'favicon.ico')){
+ $ico = DOKU_URL.'lib/tpl/'.$conf['template'].'/favicon.ico';
+}else{
+ $ico = DOKU_URL.'lib/tpl/default/images/favicon.ico';
+}
+
+// output
+header('Content-Type: application/opensearchdescription+xml; charset=utf-8');
+echo '<?xml version="1.0"?>'.NL;
+echo '<OpenSearchDescription xmlns="http://a9.com/-/spec/opensearch/1.1/">'.NL;
+echo ' <ShortName>'.htmlspecialchars($conf['title']).'</ShortName>'.NL;
+echo ' <Image width="16" height="16" type="image/x-icon">'.$ico.'</Image>'.NL;
+echo ' <Url type="text/html" template="'.DOKU_URL.DOKU_SCRIPT.'?do=search&amp;id={searchTerms}" />'.NL;
+echo ' <Url type="application/x-suggestions+json" template="'.
+ DOKU_URL.'lib/exe/ajax.php?call=suggestions&amp;q={searchTerms}" />'.NL;
+echo '</OpenSearchDescription>'.NL;
+
+//Setup VIM: ex: et ts=4 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/exe/xmlrpc.php b/mod/dokuwiki/vendors/dokuwiki/lib/exe/xmlrpc.php
new file mode 100644
index 000000000..d3913482f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/exe/xmlrpc.php
@@ -0,0 +1,921 @@
+<?php
+if(!defined('DOKU_INC')) define('DOKU_INC',dirname(__FILE__).'/../../');
+
+// fix when '<?xml' isn't on the very first line
+if(isset($HTTP_RAW_POST_DATA)) $HTTP_RAW_POST_DATA = trim($HTTP_RAW_POST_DATA);
+
+/**
+ * Increased whenever the API is changed
+ */
+define('DOKU_XMLRPC_API_VERSION',2);
+
+require_once(DOKU_INC.'inc/init.php');
+require_once(DOKU_INC.'inc/common.php');
+require_once(DOKU_INC.'inc/auth.php');
+session_write_close(); //close session
+
+if(!$conf['xmlrpc']) die('XML-RPC server not enabled.');
+
+require_once(DOKU_INC.'inc/IXR_Library.php');
+
+
+/**
+ * Contains needed wrapper functions and registers all available
+ * XMLRPC functions.
+ */
+class dokuwiki_xmlrpc_server extends IXR_IntrospectionServer {
+ var $methods = array();
+ var $public_methods = array();
+
+ /**
+ * Checks if the current user is allowed to execute non anonymous methods
+ */
+ function checkAuth(){
+ global $conf;
+ global $USERINFO;
+
+ if(!$conf['useacl']) return true; //no ACL - then no checks
+
+ $allowed = explode(',',$conf['xmlrpcuser']);
+ $allowed = array_map('trim', $allowed);
+ $allowed = array_unique($allowed);
+ $allowed = array_filter($allowed);
+
+ if(!count($allowed)) return true; //no restrictions
+
+ $user = $_SERVER['REMOTE_USER'];
+ $groups = (array) $USERINFO['grps'];
+
+ if(in_array($user,$allowed)) return true; //user explicitly mentioned
+
+ //check group memberships
+ foreach($groups as $group){
+ if(in_array('@'.$group,$allowed)) return true;
+ }
+
+ //still here? no access!
+ return false;
+ }
+
+ /**
+ * Adds a callback, extends parent method
+ *
+ * add another parameter to define if anonymous access to
+ * this method should be granted.
+ */
+ function addCallback($method, $callback, $args, $help, $public=false){
+ if($public) $this->public_methods[] = $method;
+ return parent::addCallback($method, $callback, $args, $help);
+ }
+
+ /**
+ * Execute a call, extends parent method
+ *
+ * Checks for authentication first
+ */
+ function call($methodname, $args){
+ if(!in_array($methodname,$this->public_methods) && !$this->checkAuth()){
+ return new IXR_Error(-32603, 'server error. not authorized to call method "'.$methodname.'".');
+ }
+ return parent::call($methodname, $args);
+ }
+
+ /**
+ * Constructor. Register methods and run Server
+ */
+ function dokuwiki_xmlrpc_server(){
+ $this->IXR_IntrospectionServer();
+
+ /* DokuWiki's own methods */
+ $this->addCallback(
+ 'dokuwiki.getXMLRPCAPIVersion',
+ 'this:getAPIVersion',
+ array('integer'),
+ 'Returns the XMLRPC API version.',
+ true
+ );
+
+ $this->addCallback(
+ 'dokuwiki.getVersion',
+ 'getVersion',
+ array('string'),
+ 'Returns the running DokuWiki version.',
+ true
+ );
+
+ $this->addCallback(
+ 'dokuwiki.login',
+ 'this:login',
+ array('integer','string','string'),
+ 'Tries to login with the given credentials and sets auth cookies.',
+ true
+ );
+
+ $this->addCallback(
+ 'dokuwiki.getPagelist',
+ 'this:readNamespace',
+ array('struct','string','struct'),
+ 'List all pages within the given namespace.'
+ );
+
+ $this->addCallback(
+ 'dokuwiki.getTime',
+ 'time',
+ array('int'),
+ 'Return the current time at the wiki server.'
+ );
+
+ $this->addCallback(
+ 'dokuwiki.setLocks',
+ 'this:setLocks',
+ array('struct','struct'),
+ 'Lock or unlock pages.'
+ );
+
+ /* Wiki API v2 http://www.jspwiki.org/wiki/WikiRPCInterface2 */
+ $this->addCallback(
+ 'wiki.getRPCVersionSupported',
+ 'this:wiki_RPCVersion',
+ array('int'),
+ 'Returns 2 with the supported RPC API version.',
+ true
+ );
+ $this->addCallback(
+ 'wiki.getPage',
+ 'this:rawPage',
+ array('string','string'),
+ 'Get the raw Wiki text of page, latest version.'
+ );
+ $this->addCallback(
+ 'wiki.getPageVersion',
+ 'this:rawPage',
+ array('string','string','int'),
+ 'Get the raw Wiki text of page.'
+ );
+ $this->addCallback(
+ 'wiki.getPageHTML',
+ 'this:htmlPage',
+ array('string','string'),
+ 'Return page in rendered HTML, latest version.'
+ );
+ $this->addCallback(
+ 'wiki.getPageHTMLVersion',
+ 'this:htmlPage',
+ array('string','string','int'),
+ 'Return page in rendered HTML.'
+ );
+ $this->addCallback(
+ 'wiki.getAllPages',
+ 'this:listPages',
+ array('struct'),
+ 'Returns a list of all pages. The result is an array of utf8 pagenames.'
+ );
+ $this->addCallback(
+ 'wiki.getAttachments',
+ 'this:listAttachments',
+ array('struct', 'string', 'struct'),
+ 'Returns a list of all media files.'
+ );
+ $this->addCallback(
+ 'wiki.getBackLinks',
+ 'this:listBackLinks',
+ array('struct','string'),
+ 'Returns the pages that link to this page.'
+ );
+ $this->addCallback(
+ 'wiki.getPageInfo',
+ 'this:pageInfo',
+ array('struct','string'),
+ 'Returns a struct with infos about the page.'
+ );
+ $this->addCallback(
+ 'wiki.getPageInfoVersion',
+ 'this:pageInfo',
+ array('struct','string','int'),
+ 'Returns a struct with infos about the page.'
+ );
+ $this->addCallback(
+ 'wiki.getPageVersions',
+ 'this:pageVersions',
+ array('struct','string','int'),
+ 'Returns the available revisions of the page.'
+ );
+ $this->addCallback(
+ 'wiki.putPage',
+ 'this:putPage',
+ array('int', 'string', 'string', 'struct'),
+ 'Saves a wiki page.'
+ );
+ $this->addCallback(
+ 'wiki.listLinks',
+ 'this:listLinks',
+ array('struct','string'),
+ 'Lists all links contained in a wiki page.'
+ );
+ $this->addCallback(
+ 'wiki.getRecentChanges',
+ 'this:getRecentChanges',
+ array('struct','int'),
+ 'Returns a struct about all recent changes since given timestamp.'
+ );
+ $this->addCallback(
+ 'wiki.getRecentMediaChanges',
+ 'this:getRecentMediaChanges',
+ array('struct','int'),
+ 'Returns a struct about all recent media changes since given timestamp.'
+ );
+ $this->addCallback(
+ 'wiki.aclCheck',
+ 'this:aclCheck',
+ array('int', 'string'),
+ 'Returns the permissions of a given wiki page.'
+ );
+ $this->addCallback(
+ 'wiki.putAttachment',
+ 'this:putAttachment',
+ array('struct', 'string', 'base64', 'struct'),
+ 'Upload a file to the wiki.'
+ );
+ $this->addCallback(
+ 'wiki.deleteAttachment',
+ 'this:deleteAttachment',
+ array('int', 'string'),
+ 'Delete a file from the wiki.'
+ );
+ $this->addCallback(
+ 'wiki.getAttachment',
+ 'this:getAttachment',
+ array('base64', 'string'),
+ 'Download a file from the wiki.'
+ );
+ $this->addCallback(
+ 'wiki.getAttachmentInfo',
+ 'this:getAttachmentInfo',
+ array('struct', 'string'),
+ 'Returns a struct with infos about the attachment.'
+ );
+
+ /**
+ * Trigger XMLRPC_CALLBACK_REGISTER, action plugins can use this event
+ * to extend the XMLRPC interface and register their own callbacks.
+ *
+ * Event data:
+ * The XMLRPC server object:
+ *
+ * $event->data->addCallback() - register a callback, the second
+ * paramter has to be of the form "plugin:<pluginname>:<plugin
+ * method>"
+ *
+ * $event->data->callbacks - an array which holds all awaylable
+ * callbacks
+ */
+ trigger_event('XMLRPC_CALLBACK_REGISTER', $this);
+
+ $this->serve();
+ }
+
+ /**
+ * Return a raw wiki page
+ */
+ function rawPage($id,$rev=''){
+ if(auth_quickaclcheck($id) < AUTH_READ){
+ return new IXR_Error(1, 'You are not allowed to read this page');
+ }
+ $text = rawWiki($id,$rev);
+ if(!$text) {
+ $data = array($id);
+ return trigger_event('HTML_PAGE_FROMTEMPLATE',$data,'pageTemplate',true);
+ } else {
+ return $text;
+ }
+ }
+
+ /**
+ * Return a media file encoded in base64
+ *
+ * @author Gina Haeussge <osd@foosel.net>
+ */
+ function getAttachment($id){
+ $id = cleanID($id);
+ if (auth_quickaclcheck(getNS($id).':*') < AUTH_READ)
+ return new IXR_Error(1, 'You are not allowed to read this file');
+
+ $file = mediaFN($id);
+ if (!@ file_exists($file))
+ return new IXR_Error(1, 'The requested file does not exist');
+
+ $data = io_readFile($file, false);
+ $base64 = base64_encode($data);
+ return $base64;
+ }
+
+ /**
+ * Return info about a media file
+ *
+ * @author Gina Haeussge <osd@foosel.net>
+ */
+ function getAttachmentInfo($id){
+ $id = cleanID($id);
+ $info = array(
+ 'lastModified' => 0,
+ 'size' => 0,
+ );
+
+ $file = mediaFN($id);
+ if ((auth_quickaclcheck(getNS($id).':*') >= AUTH_READ) && file_exists($file)){
+ $info['lastModified'] = new IXR_Date(filemtime($file));
+ $info['size'] = filesize($file);
+ }
+
+ return $info;
+ }
+
+ /**
+ * Return a wiki page rendered to html
+ */
+ function htmlPage($id,$rev=''){
+ if(auth_quickaclcheck($id) < AUTH_READ){
+ return new IXR_Error(1, 'You are not allowed to read this page');
+ }
+ return p_wiki_xhtml($id,$rev,false);
+ }
+
+ /**
+ * List all pages - we use the indexer list here
+ */
+ function listPages(){
+ global $conf;
+
+ $list = array();
+ $pages = file($conf['indexdir'] . '/page.idx');
+ $pages = array_filter($pages, 'isVisiblePage');
+
+ foreach(array_keys($pages) as $idx) {
+ if(page_exists($pages[$idx])) {
+ $perm = auth_quickaclcheck($pages[$idx]);
+ if($perm >= AUTH_READ) {
+ $page = array();
+ $page['id'] = trim($pages[$idx]);
+ $page['perms'] = $perm;
+ $page['size'] = @filesize(wikiFN($pages[$idx]));
+ $page['lastModified'] = new IXR_Date(@filemtime(wikiFN($pages[$idx])));
+ $list[] = $page;
+ }
+ }
+ }
+
+ return $list;
+ }
+
+ /**
+ * List all pages in the given namespace (and below)
+ */
+ function readNamespace($ns,$opts){
+ global $conf;
+
+ if(!is_array($opts)) $opts=array();
+
+ $ns = cleanID($ns);
+ $dir = utf8_encodeFN(str_replace(':', '/', $ns));
+ $data = array();
+ require_once(DOKU_INC.'inc/search.php');
+ $opts['skipacl'] = 0; // no ACL skipping for XMLRPC
+ search($data, $conf['datadir'], 'search_allpages', $opts, $dir);
+ return $data;
+ }
+
+ /**
+ * List all media files.
+ *
+ * Available options are 'recursive' for also including the subnamespaces
+ * in the listing, and 'pattern' for filtering the returned files against
+ * a regular expression matching their name.
+ *
+ * @author Gina Haeussge <osd@foosel.net>
+ */
+ function listAttachments($ns, $options = array()) {
+ global $conf;
+ global $lang;
+
+ $ns = cleanID($ns);
+
+ if (!is_array($options)) $options = array();
+ $options['skipacl'] = 0; // no ACL skipping for XMLRPC
+
+
+ if(auth_quickaclcheck($ns.':*') >= AUTH_READ) {
+ $dir = utf8_encodeFN(str_replace(':', '/', $ns));
+
+ $data = array();
+ require_once(DOKU_INC.'inc/search.php');
+ search($data, $conf['mediadir'], 'search_media', $options, $dir);
+ $len = count($data);
+ if(!$len) return array();
+
+ for($i=0; $i<$len; $i++) {
+ unset($data[$i]['meta']);
+ $data[$i]['lastModified'] = new IXR_Date($data[$i]['mtime']);
+ }
+ return $data;
+ } else {
+ return new IXR_Error(1, 'You are not allowed to list media files.');
+ }
+ }
+
+ /**
+ * Return a list of backlinks
+ */
+ function listBackLinks($id){
+ require_once(DOKU_INC.'inc/fulltext.php');
+ return ft_backlinks($id);
+ }
+
+ /**
+ * Return some basic data about a page
+ */
+ function pageInfo($id,$rev=''){
+ if(auth_quickaclcheck($id) < AUTH_READ){
+ return new IXR_Error(1, 'You are not allowed to read this page');
+ }
+ $file = wikiFN($id,$rev);
+ $time = @filemtime($file);
+ if(!$time){
+ return new IXR_Error(10, 'The requested page does not exist');
+ }
+
+ $info = getRevisionInfo($id, $time, 1024);
+
+ $data = array(
+ 'name' => $id,
+ 'lastModified' => new IXR_Date($time),
+ 'author' => (($info['user']) ? $info['user'] : $info['ip']),
+ 'version' => $time
+ );
+
+ return ($data);
+ }
+
+ /**
+ * Save a wiki page
+ *
+ * @author Michael Klier <chi@chimeric.de>
+ */
+ function putPage($id, $text, $params) {
+ global $TEXT;
+ global $lang;
+ global $conf;
+
+ $id = cleanID($id);
+ $TEXT = cleanText($text);
+ $sum = $params['sum'];
+ $minor = $params['minor'];
+
+ if(empty($id))
+ return new IXR_Error(1, 'Empty page ID');
+
+ if(!page_exists($id) && trim($TEXT) == '' ) {
+ return new IXR_ERROR(1, 'Refusing to write an empty new wiki page');
+ }
+
+ if(auth_quickaclcheck($id) < AUTH_EDIT)
+ return new IXR_Error(1, 'You are not allowed to edit this page');
+
+ // Check, if page is locked
+ if(checklock($id))
+ return new IXR_Error(1, 'The page is currently locked');
+
+ // SPAM check
+ if(checkwordblock())
+ return new IXR_Error(1, 'Positive wordblock check');
+
+ // autoset summary on new pages
+ if(!page_exists($id) && empty($sum)) {
+ $sum = $lang['created'];
+ }
+
+ // autoset summary on deleted pages
+ if(page_exists($id) && empty($TEXT) && empty($sum)) {
+ $sum = $lang['deleted'];
+ }
+
+ lock($id);
+
+ saveWikiText($id,$TEXT,$sum,$minor);
+
+ unlock($id);
+
+ // run the indexer if page wasn't indexed yet
+ if(!@file_exists(metaFN($id, '.indexed'))) {
+ // try to aquire a lock
+ $lock = $conf['lockdir'].'/_indexer.lock';
+ while(!@mkdir($lock,$conf['dmode'])){
+ usleep(50);
+ if(time()-@filemtime($lock) > 60*5){
+ // looks like a stale lock - remove it
+ @rmdir($lock);
+ }else{
+ return false;
+ }
+ }
+ if($conf['dperm']) chmod($lock, $conf['dperm']);
+
+ require_once(DOKU_INC.'inc/indexer.php');
+
+ // do the work
+ idx_addPage($id);
+
+ // we're finished - save and free lock
+ io_saveFile(metaFN($id,'.indexed'),INDEXER_VERSION);
+ @rmdir($lock);
+ }
+
+ return 0;
+ }
+
+ /**
+ * Uploads a file to the wiki.
+ *
+ * Michael Klier <chi@chimeric.de>
+ */
+ function putAttachment($id, $file, $params) {
+ global $conf;
+ global $lang;
+
+ $auth = auth_quickaclcheck(getNS($id).':*');
+ if($auth >= AUTH_UPLOAD) {
+ if(!isset($id)) {
+ return new IXR_ERROR(1, 'Filename not given.');
+ }
+
+ $ftmp = $conf['tmpdir'] . '/' . $id;
+
+ // save temporary file
+ @unlink($ftmp);
+ $buff = base64_decode($file);
+ io_saveFile($ftmp, $buff);
+
+ // get filename
+ list($iext, $imime,$dl) = mimetype($id);
+ $id = cleanID($id);
+ $fn = mediaFN($id);
+
+ // get filetype regexp
+ $types = array_keys(getMimeTypes());
+ $types = array_map(create_function('$q','return preg_quote($q,"/");'),$types);
+ $regex = join('|',$types);
+
+ // because a temp file was created already
+ if(preg_match('/\.('.$regex.')$/i',$fn)) {
+ //check for overwrite
+ $overwrite = @file_exists($fn);
+ if($overwrite && (!$params['ow'] || $auth < AUTH_DELETE)) {
+ return new IXR_ERROR(1, $lang['uploadexist'].'1');
+ }
+ // check for valid content
+ @require_once(DOKU_INC.'inc/media.php');
+ $ok = media_contentcheck($ftmp, $imime);
+ if($ok == -1) {
+ return new IXR_ERROR(1, sprintf($lang['uploadexist'].'2', ".$iext"));
+ } elseif($ok == -2) {
+ return new IXR_ERROR(1, $lang['uploadspam']);
+ } elseif($ok == -3) {
+ return new IXR_ERROR(1, $lang['uploadxss']);
+ }
+
+ // prepare event data
+ $data[0] = $ftmp;
+ $data[1] = $fn;
+ $data[2] = $id;
+ $data[3] = $imime;
+ $data[4] = $overwrite;
+
+ // trigger event
+ require_once(DOKU_INC.'inc/events.php');
+ return trigger_event('MEDIA_UPLOAD_FINISH', $data, array($this, '_media_upload_action'), true);
+
+ } else {
+ return new IXR_ERROR(1, $lang['uploadwrong']);
+ }
+ } else {
+ return new IXR_ERROR(1, "You don't have permissions to upload files.");
+ }
+ }
+
+ /**
+ * Deletes a file from the wiki.
+ *
+ * @author Gina Haeussge <osd@foosel.net>
+ */
+ function deleteAttachment($id){
+ $auth = auth_quickaclcheck(getNS($id).':*');
+ if($auth < AUTH_DELETE) return new IXR_ERROR(1, "You don't have permissions to delete files.");
+ global $conf;
+ global $lang;
+
+ // check for references if needed
+ $mediareferences = array();
+ if($conf['refcheck']){
+ require_once(DOKU_INC.'inc/fulltext.php');
+ $mediareferences = ft_mediause($id,$conf['refshow']);
+ }
+
+ if(!count($mediareferences)){
+ $file = mediaFN($id);
+ if(@unlink($file)){
+ require_once(DOKU_INC.'inc/changelog.php');
+ addMediaLogEntry(time(), $id, DOKU_CHANGE_TYPE_DELETE);
+ io_sweepNS($id,'mediadir');
+ return 0;
+ }
+ //something went wrong
+ return new IXR_ERROR(1, 'Could not delete file');
+ } else {
+ return new IXR_ERROR(1, 'File is still referenced');
+ }
+ }
+
+ /**
+ * Moves the temporary file to its final destination.
+ *
+ * Michael Klier <chi@chimeric.de>
+ */
+ function _media_upload_action($data) {
+ global $conf;
+
+ if(is_array($data) && count($data)===5) {
+ io_createNamespace($data[2], 'media');
+ if(rename($data[0], $data[1])) {
+ chmod($data[1], $conf['fmode']);
+ media_notify($data[2], $data[1], $data[3]);
+ // add a log entry to the media changelog
+ require_once(DOKU_INC.'inc/changelog.php');
+ if ($data[4]) {
+ addMediaLogEntry(time(), $data[2], DOKU_CHANGE_TYPE_EDIT);
+ } else {
+ addMediaLogEntry(time(), $data[2], DOKU_CHANGE_TYPE_CREATE);
+ }
+ return $data[2];
+ } else {
+ return new IXR_ERROR(1, 'Upload failed.');
+ }
+ } else {
+ return new IXR_ERROR(1, 'Upload failed.');
+ }
+ }
+
+ /**
+ * Returns the permissions of a given wiki page
+ */
+ function aclCheck($id) {
+ return auth_quickaclcheck($id);
+ }
+
+ /**
+ * Lists all links contained in a wiki page
+ *
+ * @author Michael Klier <chi@chimeric.de>
+ */
+ function listLinks($id) {
+ if(auth_quickaclcheck($id) < AUTH_READ){
+ return new IXR_Error(1, 'You are not allowed to read this page');
+ }
+ $links = array();
+
+ // resolve page instructions
+ $ins = p_cached_instructions(wikiFN(cleanID($id)));
+
+ // instantiate new Renderer - needed for interwiki links
+ include(DOKU_INC.'inc/parser/xhtml.php');
+ $Renderer = new Doku_Renderer_xhtml();
+ $Renderer->interwiki = getInterwiki();
+
+ // parse parse instructions
+ foreach($ins as $in) {
+ $link = array();
+ switch($in[0]) {
+ case 'internallink':
+ $link['type'] = 'local';
+ $link['page'] = $in[1][0];
+ $link['href'] = wl($in[1][0]);
+ array_push($links,$link);
+ break;
+ case 'externallink':
+ $link['type'] = 'extern';
+ $link['page'] = $in[1][0];
+ $link['href'] = $in[1][0];
+ array_push($links,$link);
+ break;
+ case 'interwikilink':
+ $url = $Renderer->_resolveInterWiki($in[1][2],$in[1][3]);
+ $link['type'] = 'extern';
+ $link['page'] = $url;
+ $link['href'] = $url;
+ array_push($links,$link);
+ break;
+ }
+ }
+
+ return ($links);
+ }
+
+ /**
+ * Returns a list of recent changes since give timestamp
+ *
+ * @author Michael Hamann <michael@content-space.de>
+ * @author Michael Klier <chi@chimeric.de>
+ */
+ function getRecentChanges($timestamp) {
+ if(strlen($timestamp) != 10)
+ return new IXR_Error(20, 'The provided value is not a valid timestamp');
+
+ require_once(DOKU_INC.'inc/changelog.php');
+ require_once(DOKU_INC.'inc/pageutils.php');
+
+ $recents = getRecentsSince($timestamp);
+
+ $changes = array();
+
+ foreach ($recents as $recent) {
+ $change = array();
+ $change['name'] = $recent['id'];
+ $change['lastModified'] = new IXR_Date($recent['date']);
+ $change['author'] = $recent['user'];
+ $change['version'] = $recent['date'];
+ $change['perms'] = $recent['perms'];
+ $change['size'] = @filesize(wikiFN($recent['id']));
+ array_push($changes, $change);
+ }
+
+ if (!empty($changes)) {
+ return $changes;
+ } else {
+ // in case we still have nothing at this point
+ return new IXR_Error(30, 'There are no changes in the specified timeframe');
+ }
+ }
+
+ /**
+ * Returns a list of recent media changes since give timestamp
+ *
+ * @author Michael Hamann <michael@content-space.de>
+ * @author Michael Klier <chi@chimeric.de>
+ */
+ function getRecentMediaChanges($timestamp) {
+ if(strlen($timestamp) != 10)
+ return new IXR_Error(20, 'The provided value is not a valid timestamp');
+
+ require_once(DOKU_INC.'inc/changelog.php');
+ require_once(DOKU_INC.'inc/pageutils.php');
+
+ $recents = getRecentsSince($timestamp, null, '', RECENTS_MEDIA_CHANGES);
+
+ $changes = array();
+
+ foreach ($recents as $recent) {
+ $change = array();
+ $change['name'] = $recent['id'];
+ $change['lastModified'] = new IXR_Date($recent['date']);
+ $change['author'] = $recent['user'];
+ $change['version'] = $recent['date'];
+ $change['perms'] = $recent['perms'];
+ $change['size'] = @filesize(mediaFN($recent['id']));
+ array_push($changes, $change);
+ }
+
+ if (!empty($changes)) {
+ return $changes;
+ } else {
+ // in case we still have nothing at this point
+ return new IXR_Error(30, 'There are no changes in the specified timeframe');
+ }
+ }
+
+ /**
+ * Returns a list of available revisions of a given wiki page
+ *
+ * @author Michael Klier <chi@chimeric.de>
+ */
+ function pageVersions($id, $first) {
+ global $conf;
+
+ $versions = array();
+
+ if(empty($id))
+ return new IXR_Error(1, 'Empty page ID');
+
+ require_once(DOKU_INC.'inc/changelog.php');
+
+ $revisions = getRevisions($id, $first, $conf['recent']+1);
+
+ if(count($revisions)==0 && $first!=0) {
+ $first=0;
+ $revisions = getRevisions($id, $first, $conf['recent']+1);
+ }
+
+ if(count($revisions)>0 && $first==0) {
+ array_unshift($revisions, ''); // include current revision
+ array_pop($revisions); // remove extra log entry
+ }
+
+ $hasNext = false;
+ if(count($revisions)>$conf['recent']) {
+ $hasNext = true;
+ array_pop($revisions); // remove extra log entry
+ }
+
+ if(!empty($revisions)) {
+ foreach($revisions as $rev) {
+ $file = wikiFN($id,$rev);
+ $time = @filemtime($file);
+ // we check if the page actually exists, if this is not the
+ // case this can lead to less pages being returned than
+ // specified via $conf['recent']
+ if($time){
+ $info = getRevisionInfo($id, $time, 1024);
+ if(!empty($info)) {
+ $data['user'] = $info['user'];
+ $data['ip'] = $info['ip'];
+ $data['type'] = $info['type'];
+ $data['sum'] = $info['sum'];
+ $data['modified'] = new IXR_Date($info['date']);
+ $data['version'] = $info['date'];
+ array_push($versions, $data);
+ }
+ }
+ }
+ return $versions;
+ } else {
+ return array();
+ }
+ }
+
+ /**
+ * The version of Wiki RPC API supported
+ */
+ function wiki_RPCVersion(){
+ return 2;
+ }
+
+
+ /**
+ * Locks or unlocks a given batch of pages
+ *
+ * Give an associative array with two keys: lock and unlock. Both should contain a
+ * list of pages to lock or unlock
+ *
+ * Returns an associative array with the keys locked, lockfail, unlocked and
+ * unlockfail, each containing lists of pages.
+ */
+ function setLocks($set){
+ $locked = array();
+ $lockfail = array();
+ $unlocked = array();
+ $unlockfail = array();
+
+ foreach((array) $set['lock'] as $id){
+ if(checklock($id)){
+ $lockfail[] = $id;
+ }else{
+ lock($id);
+ $locked[] = $id;
+ }
+ }
+
+ foreach((array) $set['unlock'] as $id){
+ if(unlock($id)){
+ $unlocked[] = $id;
+ }else{
+ $unlockfail[] = $id;
+ }
+ }
+
+ return array(
+ 'locked' => $locked,
+ 'lockfail' => $lockfail,
+ 'unlocked' => $unlocked,
+ 'unlockfail' => $unlockfail,
+ );
+ }
+
+ function getAPIVersion(){
+ return DOKU_XMLRPC_API_VERSION;
+ }
+
+ function login($user,$pass){
+ global $conf;
+ global $auth;
+ if(!$conf['useacl']) return 0;
+ if(!$auth) return 0;
+ if($auth->canDo('external')){
+ return $auth->trustExternal($user,$pass,false);
+ }else{
+ return auth_login($user,$pass,false,true);
+ }
+ }
+
+
+}
+
+$server = new dokuwiki_xmlrpc_server();
+
+// vim:ts=4:sw=4:et:enc=utf-8:
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/admin/README b/mod/dokuwiki/vendors/dokuwiki/lib/images/admin/README
new file mode 100644
index 000000000..90bab9578
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/admin/README
@@ -0,0 +1,2 @@
+These icons were taken from the nuvoX KDE icon theme and are GPL licensed
+See http://www.kde-look.org/content/show.php/nuvoX?content=38467
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/admin/acl.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/admin/acl.png
new file mode 100644
index 000000000..96fb4cd56
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/admin/acl.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/admin/config.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/admin/config.png
new file mode 100644
index 000000000..e4d376d85
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/admin/config.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/admin/plugin.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/admin/plugin.png
new file mode 100644
index 000000000..e2823bac7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/admin/plugin.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/admin/popularity.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/admin/popularity.png
new file mode 100644
index 000000000..4e22aaf0d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/admin/popularity.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/admin/revert.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/admin/revert.png
new file mode 100644
index 000000000..002d3a75b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/admin/revert.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/admin/usermanager.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/admin/usermanager.png
new file mode 100644
index 000000000..c5c8dc6d6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/admin/usermanager.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/arrow_down.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/arrow_down.gif
new file mode 100644
index 000000000..ff13b9585
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/arrow_down.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/arrow_up.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/arrow_up.gif
new file mode 100644
index 000000000..d491c18db
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/arrow_up.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/at.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/at.gif
new file mode 100644
index 000000000..8bdf40d54
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/at.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/blank.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/blank.gif
new file mode 100644
index 000000000..9935f8210
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/blank.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/close.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/close.png
new file mode 100644
index 000000000..e1b498c14
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/close.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/del.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/del.png
new file mode 100644
index 000000000..a3260d718
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/del.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/diff.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/diff.png
new file mode 100644
index 000000000..0b98d79ac
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/diff.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/edit.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/edit.gif
new file mode 100644
index 000000000..a2a23de7b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/edit.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/error.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/error.png
new file mode 100644
index 000000000..8a1ba4c66
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/error.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/bz2.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/bz2.png
new file mode 100644
index 000000000..d48cae038
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/bz2.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/c.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/c.png
new file mode 100644
index 000000000..9446afcb4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/c.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/conf.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/conf.png
new file mode 100644
index 000000000..ddffe6fd1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/conf.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/cpp.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/cpp.png
new file mode 100644
index 000000000..2dc51b16d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/cpp.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/cs.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/cs.png
new file mode 100644
index 000000000..d5db29ba5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/cs.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/css.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/css.png
new file mode 100644
index 000000000..89c1537fd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/css.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/deb.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/deb.png
new file mode 100644
index 000000000..9229d8783
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/deb.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/doc.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/doc.png
new file mode 100644
index 000000000..932567f8a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/doc.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/file.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/file.png
new file mode 100644
index 000000000..817014fa7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/file.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/gif.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/gif.png
new file mode 100644
index 000000000..b4c07a912
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/gif.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/gz.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/gz.png
new file mode 100644
index 000000000..2426bd169
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/gz.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/htm.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/htm.png
new file mode 100644
index 000000000..1a6812185
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/htm.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/html.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/html.png
new file mode 100644
index 000000000..672cbce42
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/html.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/index.php b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/index.php
new file mode 100644
index 000000000..c1e64fe2a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/index.php
@@ -0,0 +1,50 @@
+<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN"
+ "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
+<html xmlns="http://www.w3.org/1999/xhtml" xml:lang="en"
+ lang="en" dir="ltr">
+<head>
+ <title>filetype icons</title>
+
+ <style type="text/css">
+ body {
+ background-color: #ccc;
+ font-family: Arial;
+ }
+
+ .box {
+ width: 200px;
+ float:left;
+ padding: 0.5em;
+ margin: 0;
+ }
+
+ .white {
+ background-color: #fff;
+ }
+
+ .black {
+ background-color: #000;
+ }
+ </style>
+
+</head>
+<body>
+
+<div class="white box">
+<?php
+foreach (glob('*.png') as $img) {
+ echo '<img src="'.$img.'" alt="'.$img.'" title="'.$img.'" /> ';
+}
+?>
+</div>
+
+<div class="black box">
+<?php
+foreach (glob('*.png') as $img) {
+ echo '<img src="'.$img.'" alt="'.$img.'" title="'.$img.'" /> ';
+}
+?>
+</div>
+
+</body>
+</html>
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/java.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/java.png
new file mode 100644
index 000000000..c5f2fd09f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/java.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/jpeg.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/jpeg.png
new file mode 100644
index 000000000..aa4cc23a5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/jpeg.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/jpg.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/jpg.png
new file mode 100644
index 000000000..1fb6cc1fb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/jpg.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/js.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/js.png
new file mode 100644
index 000000000..0c314eb56
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/js.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/lua.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/lua.png
new file mode 100644
index 000000000..7c07d023f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/lua.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/odc.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/odc.png
new file mode 100644
index 000000000..47f65c84d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/odc.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/odf.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/odf.png
new file mode 100644
index 000000000..a2fbc5195
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/odf.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/odg.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/odg.png
new file mode 100644
index 000000000..434f18262
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/odg.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/odi.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/odi.png
new file mode 100644
index 000000000..74f6303d3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/odi.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/odp.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/odp.png
new file mode 100644
index 000000000..a5c77f845
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/odp.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/ods.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/ods.png
new file mode 100644
index 000000000..2ab1273f0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/ods.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/odt.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/odt.png
new file mode 100644
index 000000000..b0c21fc1f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/odt.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/pdf.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/pdf.png
new file mode 100644
index 000000000..638066dea
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/pdf.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/php.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/php.png
new file mode 100644
index 000000000..e735f875b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/php.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/pl.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/pl.png
new file mode 100644
index 000000000..6ac381cd7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/pl.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/png.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/png.png
new file mode 100644
index 000000000..f0b5b00ee
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/png.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/ppt.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/ppt.png
new file mode 100644
index 000000000..adaefc602
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/ppt.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/ps.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/ps.png
new file mode 100644
index 000000000..c51c763ab
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/ps.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/py.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/py.png
new file mode 100644
index 000000000..a21b8da49
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/py.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/rar.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/rar.png
new file mode 100644
index 000000000..a6af4d1ca
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/rar.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/rb.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/rb.png
new file mode 100644
index 000000000..45f448978
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/rb.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/rpm.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/rpm.png
new file mode 100644
index 000000000..22212eafa
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/rpm.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/rtf.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/rtf.png
new file mode 100644
index 000000000..d8bada5fe
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/rtf.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/swf.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/swf.png
new file mode 100644
index 000000000..0729ed020
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/swf.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/sxc.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/sxc.png
new file mode 100644
index 000000000..419c183c1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/sxc.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/sxd.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/sxd.png
new file mode 100644
index 000000000..5801bb23a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/sxd.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/sxi.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/sxi.png
new file mode 100644
index 000000000..2a94290d7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/sxi.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/sxw.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/sxw.png
new file mode 100644
index 000000000..6da97beb3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/sxw.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/tar.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/tar.png
new file mode 100644
index 000000000..5a2f717fc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/tar.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/tgz.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/tgz.png
new file mode 100644
index 000000000..141acf564
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/tgz.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/txt.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/txt.png
new file mode 100644
index 000000000..da20009c6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/txt.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/xls.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/xls.png
new file mode 100644
index 000000000..e8cd58dc0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/xls.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/xml.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/xml.png
new file mode 100644
index 000000000..eb4632397
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/xml.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/zip.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/zip.png
new file mode 100644
index 000000000..999ffbe80
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/fileicons/zip.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/history.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/history.png
new file mode 100644
index 000000000..ef9e311d3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/history.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/index.html b/mod/dokuwiki/vendors/dokuwiki/lib/images/index.html
new file mode 100644
index 000000000..d614603ac
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/index.html
@@ -0,0 +1,12 @@
+<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Strict//EN"
+ "http://www.w3.org/TR/xhtml1/DTD/xhtml1-strict.dtd">
+<html xmlns="http://www.w3.org/1999/xhtml">
+<head>
+<meta http-equiv="refresh" content="0; URL=../../" />
+<meta name="robots" content="noindex" />
+<title>nothing here...</title>
+</head>
+<body>
+<!-- this is just here to prevent directory browsing -->
+</body>
+</html>
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/info.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/info.png
new file mode 100644
index 000000000..a237c1782
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/info.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki.png
new file mode 100644
index 000000000..73d6f8d39
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/amazon.de.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/amazon.de.gif
new file mode 100644
index 000000000..f52c1c581
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/amazon.de.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/amazon.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/amazon.gif
new file mode 100644
index 000000000..f52c1c581
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/amazon.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/amazon.uk.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/amazon.uk.gif
new file mode 100644
index 000000000..f52c1c581
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/amazon.uk.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/coral.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/coral.gif
new file mode 100644
index 000000000..0f9f67587
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/coral.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/doku.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/doku.gif
new file mode 100644
index 000000000..7dc4248e8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/doku.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/dokubug.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/dokubug.gif
new file mode 100644
index 000000000..3432b8d57
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/dokubug.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/google.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/google.gif
new file mode 100644
index 000000000..fb39f6152
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/google.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/meatball.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/meatball.gif
new file mode 100644
index 000000000..7ac545436
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/meatball.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/phpfn.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/phpfn.gif
new file mode 100644
index 000000000..638d4c450
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/phpfn.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/sb.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/sb.gif
new file mode 100644
index 000000000..e272a29b7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/sb.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/wiki.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/wiki.gif
new file mode 100644
index 000000000..e8dc5d274
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/wiki.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/wp.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/wp.gif
new file mode 100644
index 000000000..ca853b8c9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/wp.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/wpde.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/wpde.gif
new file mode 100644
index 000000000..ca853b8c9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/wpde.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/wpes.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/wpes.gif
new file mode 100644
index 000000000..ca853b8c9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/wpes.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/wpfr.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/wpfr.gif
new file mode 100644
index 000000000..ca853b8c9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/wpfr.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/wpjp.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/wpjp.gif
new file mode 100644
index 000000000..ca853b8c9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/wpjp.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/wpmeta.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/wpmeta.gif
new file mode 100644
index 000000000..ca853b8c9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/wpmeta.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/wppl.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/wppl.gif
new file mode 100644
index 000000000..ca853b8c9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/interwiki/wppl.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/larger.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/larger.gif
new file mode 100644
index 000000000..e137c92fa
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/larger.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/license/badge/cc-by-nc-nd.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/license/badge/cc-by-nc-nd.png
new file mode 100644
index 000000000..49f272f82
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/license/badge/cc-by-nc-nd.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/license/badge/cc-by-nc-sa.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/license/badge/cc-by-nc-sa.png
new file mode 100644
index 000000000..0f2a0f107
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/license/badge/cc-by-nc-sa.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/license/badge/cc-by-nc.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/license/badge/cc-by-nc.png
new file mode 100644
index 000000000..5f9821470
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/license/badge/cc-by-nc.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/license/badge/cc-by-nd.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/license/badge/cc-by-nd.png
new file mode 100644
index 000000000..8f317035e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/license/badge/cc-by-nd.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/license/badge/cc-by-sa.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/license/badge/cc-by-sa.png
new file mode 100644
index 000000000..f0a944e0b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/license/badge/cc-by-sa.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/license/badge/cc-by.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/license/badge/cc-by.png
new file mode 100644
index 000000000..822491edb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/license/badge/cc-by.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/license/badge/cc.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/license/badge/cc.png
new file mode 100644
index 000000000..a66f4d1a0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/license/badge/cc.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/license/badge/gnufdl.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/license/badge/gnufdl.png
new file mode 100644
index 000000000..1371aba88
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/license/badge/gnufdl.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/license/badge/publicdomain.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/license/badge/publicdomain.png
new file mode 100644
index 000000000..cedc39c62
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/license/badge/publicdomain.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/license/button/cc-by-nc-nd.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/license/button/cc-by-nc-nd.png
new file mode 100644
index 000000000..b27ead2f6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/license/button/cc-by-nc-nd.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/license/button/cc-by-nc-sa.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/license/button/cc-by-nc-sa.png
new file mode 100644
index 000000000..1c54f994d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/license/button/cc-by-nc-sa.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/license/button/cc-by-nc.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/license/button/cc-by-nc.png
new file mode 100644
index 000000000..33c7b1fa4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/license/button/cc-by-nc.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/license/button/cc-by-nd.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/license/button/cc-by-nd.png
new file mode 100644
index 000000000..52073c043
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/license/button/cc-by-nd.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/license/button/cc-by-sa.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/license/button/cc-by-sa.png
new file mode 100644
index 000000000..0b1880f91
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/license/button/cc-by-sa.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/license/button/cc-by.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/license/button/cc-by.png
new file mode 100644
index 000000000..99d8efd35
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/license/button/cc-by.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/license/button/cc.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/license/button/cc.png
new file mode 100644
index 000000000..adfa085bd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/license/button/cc.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/license/button/gnufdl.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/license/button/gnufdl.png
new file mode 100644
index 000000000..cb815ac13
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/license/button/gnufdl.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/license/button/publicdomain.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/license/button/publicdomain.png
new file mode 100644
index 000000000..f78e73d02
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/license/button/publicdomain.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/list-minus.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/list-minus.gif
new file mode 100644
index 000000000..36902f159
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/list-minus.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/list-plus.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/list-plus.gif
new file mode 100644
index 000000000..adc3fac8a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/list-plus.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/loading.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/loading.gif
new file mode 100644
index 000000000..240bd88c5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/loading.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/magnifier.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/magnifier.png
new file mode 100644
index 000000000..cf3d97f75
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/magnifier.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/minus.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/minus.gif
new file mode 100644
index 000000000..499a2e2aa
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/minus.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/multiupload.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/multiupload.png
new file mode 100644
index 000000000..1e8efa063
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/multiupload.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/notify.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/notify.png
new file mode 100644
index 000000000..6e0015df4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/notify.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/ns.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/ns.png
new file mode 100644
index 000000000..da3c2a2d7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/ns.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/page.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/page.png
new file mode 100644
index 000000000..03ddd799f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/page.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/pencil.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/pencil.png
new file mode 100644
index 000000000..0bfecd50e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/pencil.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/plus.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/plus.gif
new file mode 100644
index 000000000..9306686d8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/plus.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/smaller.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/smaller.gif
new file mode 100644
index 000000000..66d3a51e7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/smaller.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/delete.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/delete.gif
new file mode 100644
index 000000000..d668348b9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/delete.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/fixme.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/fixme.gif
new file mode 100644
index 000000000..b66ea99d6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/fixme.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_arrow.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_arrow.gif
new file mode 100644
index 000000000..2880055cc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_arrow.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_biggrin.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_biggrin.gif
new file mode 100644
index 000000000..d3527723c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_biggrin.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_confused.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_confused.gif
new file mode 100644
index 000000000..0c49e0698
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_confused.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_cool.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_cool.gif
new file mode 100644
index 000000000..cead0306c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_cool.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_cry.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_cry.gif
new file mode 100644
index 000000000..7d54b1f99
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_cry.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_doubt.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_doubt.gif
new file mode 100644
index 000000000..fd7903b19
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_doubt.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_doubt2.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_doubt2.gif
new file mode 100644
index 000000000..eb4b70b66
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_doubt2.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_eek.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_eek.gif
new file mode 100644
index 000000000..5d3978106
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_eek.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_evil.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_evil.gif
new file mode 100644
index 000000000..ab1aa8e12
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_evil.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_exclaim.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_exclaim.gif
new file mode 100644
index 000000000..6e50e2eec
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_exclaim.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_frown.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_frown.gif
new file mode 100644
index 000000000..d2ac78c04
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_frown.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_fun.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_fun.gif
new file mode 100644
index 000000000..a8bb8a303
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_fun.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_idea.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_idea.gif
new file mode 100644
index 000000000..a40ae0d7e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_idea.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_kaddi.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_kaddi.gif
new file mode 100644
index 000000000..1410f7f1e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_kaddi.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_lol.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_lol.gif
new file mode 100644
index 000000000..374ba150f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_lol.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_mrgreen.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_mrgreen.gif
new file mode 100644
index 000000000..b54cd0f94
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_mrgreen.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_neutral.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_neutral.gif
new file mode 100644
index 000000000..4f311567e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_neutral.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_question.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_question.gif
new file mode 100644
index 000000000..9d072265b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_question.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_razz.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_razz.gif
new file mode 100644
index 000000000..29da2a2fc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_razz.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_redface.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_redface.gif
new file mode 100644
index 000000000..ad7628320
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_redface.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_rolleyes.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_rolleyes.gif
new file mode 100644
index 000000000..d7f5f2f4b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_rolleyes.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_sad.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_sad.gif
new file mode 100644
index 000000000..d2ac78c04
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_sad.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_silenced.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_silenced.gif
new file mode 100644
index 000000000..448399b2b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_silenced.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_smile.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_smile.gif
new file mode 100644
index 000000000..7b1f6d304
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_smile.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_smile2.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_smile2.gif
new file mode 100644
index 000000000..769639d32
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_smile2.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_surprised.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_surprised.gif
new file mode 100644
index 000000000..cb2142431
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_surprised.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_twisted.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_twisted.gif
new file mode 100644
index 000000000..502fe247e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_twisted.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_wink.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_wink.gif
new file mode 100644
index 000000000..d14828804
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/smileys/icon_wink.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/success.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/success.png
new file mode 100644
index 000000000..a5ae9f11b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/success.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/throbber.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/throbber.gif
new file mode 100644
index 000000000..d04bd3949
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/throbber.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/bold.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/bold.png
new file mode 100644
index 000000000..7ebe99ee9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/bold.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/chars.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/chars.png
new file mode 100644
index 000000000..3f3396aeb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/chars.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/h.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/h.png
new file mode 100644
index 000000000..aae052462
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/h.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/h1.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/h1.png
new file mode 100644
index 000000000..93dae935f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/h1.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/h2.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/h2.png
new file mode 100644
index 000000000..f0eee3bd0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/h2.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/h3.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/h3.png
new file mode 100644
index 000000000..8cfd4c077
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/h3.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/h4.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/h4.png
new file mode 100644
index 000000000..7b8f51a1b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/h4.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/h5.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/h5.png
new file mode 100644
index 000000000..44b00d9c8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/h5.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/hequal.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/hequal.png
new file mode 100644
index 000000000..8fc6b0d75
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/hequal.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/hminus.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/hminus.png
new file mode 100644
index 000000000..f9d67adcb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/hminus.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/hplus.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/hplus.png
new file mode 100644
index 000000000..66f3d5e33
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/hplus.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/hr.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/hr.png
new file mode 100644
index 000000000..f86a8ec94
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/hr.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/image.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/image.png
new file mode 100644
index 000000000..1aab5d7de
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/image.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/italic.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/italic.png
new file mode 100644
index 000000000..324e7c036
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/italic.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/link.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/link.png
new file mode 100644
index 000000000..41e52c6ab
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/linkextern.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/linkextern.png
new file mode 100644
index 000000000..75afd3dc2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/linkextern.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/mono.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/mono.png
new file mode 100644
index 000000000..178cec9f2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/mono.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/ol.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/ol.png
new file mode 100644
index 000000000..3162fa21d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/ol.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/sig.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/sig.png
new file mode 100644
index 000000000..ef997b7cd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/sig.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/smiley.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/smiley.png
new file mode 100644
index 000000000..e92845cb4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/smiley.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/strike.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/strike.png
new file mode 100644
index 000000000..203aacc2b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/strike.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/ul.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/ul.png
new file mode 100644
index 000000000..471171db4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/ul.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/underline.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/underline.png
new file mode 100644
index 000000000..bf9665a68
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/toolbar/underline.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/trash.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/trash.png
new file mode 100644
index 000000000..ebad933c8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/trash.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/up.png b/mod/dokuwiki/vendors/dokuwiki/lib/images/up.png
new file mode 100644
index 000000000..557d5e6a9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/up.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/images/wrap.gif b/mod/dokuwiki/vendors/dokuwiki/lib/images/wrap.gif
new file mode 100644
index 000000000..f2253e4a2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/images/wrap.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/index.html b/mod/dokuwiki/vendors/dokuwiki/lib/index.html
new file mode 100644
index 000000000..8cb33512e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/index.html
@@ -0,0 +1,12 @@
+<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Strict//EN"
+ "http://www.w3.org/TR/xhtml1/DTD/xhtml1-strict.dtd">
+<html xmlns="http://www.w3.org/1999/xhtml">
+<head>
+<meta http-equiv="refresh" content="0; URL=../" />
+<meta name="robots" content="noindex" />
+<title>nothing here...</title>
+</head>
+<body>
+<!-- this is just here to prevent directory browsing -->
+</body>
+</html>
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/admin.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/admin.php
new file mode 100644
index 000000000..34149ea05
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/admin.php
@@ -0,0 +1,809 @@
+<?php
+/**
+ * ACL administration functions
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Anika Henke <anika@selfthinker.org> (concepts)
+ * @author Frank Schubert <frank@schokilade.de> (old version)
+ */
+// must be run within Dokuwiki
+if(!defined('DOKU_INC')) die();
+
+if(!defined('DOKU_PLUGIN')) define('DOKU_PLUGIN',DOKU_INC.'lib/plugins/');
+require_once(DOKU_PLUGIN.'admin.php');
+
+/**
+ * All DokuWiki plugins to extend the admin function
+ * need to inherit from this class
+ */
+class admin_plugin_acl extends DokuWiki_Admin_Plugin {
+ var $acl = null;
+ var $ns = null;
+ var $who = '';
+ var $usersgroups = array();
+ var $specials = array();
+
+ /**
+ * return some info
+ */
+ function getInfo(){
+ return array(
+ 'author' => 'Andreas Gohr',
+ 'email' => 'andi@splitbrain.org',
+ 'date' => '2010-01-17',
+ 'name' => 'ACL Manager',
+ 'desc' => 'Manage Page Access Control Lists',
+ 'url' => 'http://dokuwiki.org/plugin:acl',
+ );
+ }
+
+ /**
+ * return prompt for admin menu
+ */
+ function getMenuText($language) {
+ return $this->getLang('admin_acl');
+ }
+
+ /**
+ * return sort order for position in admin menu
+ */
+ function getMenuSort() {
+ return 1;
+ }
+
+ /**
+ * handle user request
+ *
+ * Initializes internal vars and handles modifications
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function handle() {
+ global $AUTH_ACL;
+ global $ID;
+ global $auth;
+ // fresh 1:1 copy without replacements
+ $AUTH_ACL = $this->load_acl_config(false);
+ // namespace given?
+ if($_REQUEST['ns'] == '*'){
+ $this->ns = '*';
+ }else{
+ $this->ns = cleanID($_REQUEST['ns']);
+ }
+
+ // user or group choosen?
+ $who = trim($_REQUEST['acl_w']);
+ if($_REQUEST['acl_t'] == '__g__' && $who){
+ $this->who = '@'.ltrim($auth->cleanGroup($who),'@');
+ }elseif($_REQUEST['acl_t'] == '__u__' && $who){
+ $this->who = ltrim($auth->cleanUser($who),'@');
+ }elseif($_REQUEST['acl_t'] &&
+ $_REQUEST['acl_t'] != '__u__' &&
+ $_REQUEST['acl_t'] != '__g__'){
+ $this->who = $_REQUEST['acl_t'];
+ }elseif($who){
+ $this->who = $who;
+ }
+
+ // handle modifications
+ if(isset($_REQUEST['cmd']) && checkSecurityToken()){
+
+ //error_log("dokuwiki:acl:cms!!!");
+ // scope for modifications
+ if($this->ns){
+ if($this->ns == '*'){
+ $scope = '*';
+ }else{
+ $scope = $this->ns.':*';
+ }
+ }else{
+ $scope = $ID;
+ }
+
+ if(isset($_REQUEST['cmd']['save']) && $scope && $this->who && isset($_REQUEST['acl'])){
+ //error_log("dokuwiki:acl:save!!!");
+ // handle additions or single modifications
+ $this->_acl_del($scope, $this->who);
+ $this->_acl_add($scope, $this->who, (int) $_REQUEST['acl']);
+ }elseif(isset($_REQUEST['cmd']['del']) && $scope && $this->who){
+ //error_log("dokuwiki:acl:delete!!!");
+ // handle single deletions
+ $this->_acl_del($scope, $this->who);
+ }elseif(isset($_REQUEST['cmd']['update'])){
+ //error_log("dokuwiki:acl:update!!!");
+ // handle update of the whole file
+ foreach((array) $_REQUEST['del'] as $where => $names){
+ // remove all rules marked for deletion
+ foreach($names as $who) {
+ unset($_REQUEST['acl'][$where][$who]);
+ //error_log("dokuwiki:acl:where_who:".$where.":".$who);
+ }
+ }
+ // prepare lines
+ $lines = array();
+ // keep header
+ foreach($AUTH_ACL as $line){
+ if($line{0} == '#'){
+ $lines[] = $line."\n";
+ }else{
+ $lines[] = $line."\n";
+ }
+ }
+ // re-add all rules
+ foreach((array) $_REQUEST['acl'] as $where => $opt){
+ foreach($opt as $who => $perm){
+ //error_log("dokuwiki:acl:where_acl:".$where.":".$who);
+ $who = auth_nameencode($who,true);
+ $lines[] = "$where\t$who\t$perm\n";
+ }
+ }
+ // save it
+ $AUTH_ACL = $this->save_acl_config(join('',$lines));
+
+ }
+
+ $AUTH_ACL = $this->load_acl_config(false);
+ }
+
+ // initialize ACL array
+ $this->_init_acl_config();
+ }
+
+ /**
+ * ACL Output function
+ *
+ * print a table with all significant permissions for the
+ * current id
+ *
+ * @author Frank Schubert <frank@schokilade.de>
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function html() {
+ global $ID;
+
+ echo '<div id="acl_manager">'.NL;
+ echo '<h1>'.$this->getLang('admin_acl').'</h1>'.NL;
+ echo '<div class="level1">'.NL;
+
+ echo '<div id="acl__tree">'.NL;
+ $this->_html_explorer($_REQUEST['ns']);
+ echo '</div>'.NL;
+
+ echo '<div id="acl__detail">'.NL;
+ $this->_html_detail();
+ echo '</div>'.NL;
+ echo '</div>'.NL;
+
+ echo '<div class="clearer"></div>';
+ echo '<h2>'.$this->getLang('current').'</h2>'.NL;
+ echo '<div class="level2">'.NL;
+ $this->_html_table();
+ echo '</div>'.NL;
+
+ echo '<div class="footnotes"><div class="fn">'.NL;
+ echo '<sup><a id="fn__1" class="fn_bot" name="fn__1" href="#fnt__1">1)</a></sup>'.NL;
+ echo $this->getLang('p_include');
+ echo '</div></div>';
+
+ echo '</div>'.NL;
+ }
+
+ /**
+ * returns array with set options for building links
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function _get_opts($addopts=null){
+ global $ID;
+ $opts = array(
+ 'do'=>'admin',
+ 'dokupage'=>'acl',
+ );
+ if($this->ns) $opts['ns'] = $this->ns;
+ if($this->who) $opts['acl_w'] = $this->who;
+
+ if(is_null($addopts)) return $opts;
+ return array_merge($opts, $addopts);
+ }
+
+ /**
+ * Display a tree menu to select a page or namespace
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function _html_explorer(){
+ require_once(DOKU_INC.'inc/search.php');
+ global $conf;
+ global $ID;
+ global $lang;
+
+ $dir = $conf['datadir'];
+ $ns = $this->ns;
+ if(empty($ns)){
+ $ns = dirname(str_replace(':','/',$ID));
+ if($ns == '.') $ns ='';
+ }elseif($ns == '*'){
+ $ns ='';
+ }
+ $ns = utf8_encodeFN(str_replace(':','/',$ns));
+
+ $data = $this->_get_tree($ns);
+
+ // wrap a list with the root level around the other namespaces
+ $item = array( 'level' => 0, 'id' => '*', 'type' => 'd',
+ 'open' =>'true', 'label' => '['.$lang['mediaroot'].']');
+
+ echo '<ul class="acltree">';
+ echo $this->_html_li_acl($item);
+ echo '<div class="li">';
+ echo $this->_html_list_acl($item);
+ echo '</div>';
+ echo html_buildlist($data,'acl',
+ array($this,'_html_list_acl'),
+ array($this,'_html_li_acl'));
+ echo '</li>';
+ echo '</ul>';
+
+ }
+
+ /**
+ * get a combined list of media and page files
+ *
+ * @param string $folder an already converted filesystem folder of the current namespace
+ * @param string $limit limit the search to this folder
+ */
+ function _get_tree($folder,$limit=''){
+ global $conf;
+
+ // read tree structure from pages and media
+ $data = array();
+ search($data,$conf['datadir'],'search_index',array('ns' => $folder),$limit);
+ $media = array();
+ search($media,$conf['mediadir'],'search_index',array('ns' => $folder, 'nofiles' => true),$limit);
+ $data = array_merge($data,$media);
+ unset($media);
+
+ // combine by sorting and removing duplicates
+ usort($data,array($this,'_tree_sort'));
+ $count = count($data);
+ if($count>0) for($i=1; $i<$count; $i++){
+ if($data[$i]['type'] == 'f') break; // namespaces come first, we're done
+ if($data[$i-1]['id'] == $data[$i]['id']) unset($data[$i]);
+ }
+ return $data;
+ }
+
+ /**
+ * usort callback
+ *
+ * Sorts the combined trees of media and page files
+ */
+ function _tree_sort($a,$b){
+ if($a['type'] == 'd' && $b['type'] == 'f'){
+ return -1;
+ }elseif($a['type'] == 'f' && $b['type'] == 'd'){
+ return 1;
+ }else{
+ return strcmp($a['id'],$b['id']);
+ }
+ }
+
+ /**
+ * Display the current ACL for selected where/who combination with
+ * selectors and modification form
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function _html_detail(){
+ global $conf;
+ global $ID;
+
+ echo '<form action="'.wl().'" method="post" accept-charset="utf-8"><div class="no">'.NL;
+
+ echo '<div id="acl__user">';
+ echo $this->getLang('acl_perms').' ';
+ $inl = $this->_html_select();
+ echo '<input type="text" name="acl_w" class="edit" value="'.(($inl)?'':hsc(ltrim($this->who,'@'))).'" />'.NL;
+ echo '<input type="submit" value="'.$this->getLang('btn_select').'" class="button" />'.NL;
+ echo '</div>'.NL;
+
+ echo '<div id="acl__info">';
+ $this->_html_info();
+ echo '</div>';
+
+ echo '<input type="hidden" name="ns" value="'.hsc($this->ns).'" />'.NL;
+ echo '<input type="hidden" name="id" value="'.hsc($ID).'" />'.NL;
+ echo '<input type="hidden" name="do" value="admin" />'.NL;
+ echo '<input type="hidden" name="dokupage" value="acl" />'.NL;
+ echo '<input type="hidden" name="sectok" value="'.getSecurityToken().'" />'.NL;
+ echo '</div></form>'.NL;
+ }
+
+ /**
+ * Print infos and editor
+ */
+ function _html_info(){
+ global $ID;
+
+ if($this->who){
+ $current = $this->_get_exact_perm();
+
+ // explain current permissions
+ $this->_html_explain($current);
+ // load editor
+ $this->_html_acleditor($current);
+ }else{
+ echo '<p>';
+ if($this->ns){
+ printf($this->getLang('p_choose_ns'),hsc($this->ns));
+ }else{
+ printf($this->getLang('p_choose_id'),hsc($ID));
+ }
+ echo '</p>';
+
+ echo $this->locale_xhtml('help');
+ }
+ }
+
+ /**
+ * Display the ACL editor
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function _html_acleditor($current){
+ global $lang;
+
+ echo '<fieldset>';
+ if(is_null($current)){
+ echo '<legend>'.$this->getLang('acl_new').'</legend>';
+ }else{
+ echo '<legend>'.$this->getLang('acl_mod').'</legend>';
+ }
+
+
+ echo $this->_html_checkboxes($current,empty($this->ns),'acl');
+
+ if(is_null($current)){
+ echo '<input type="submit" name="cmd[save]" class="button" value="'.$lang['btn_save'].'" />'.NL;
+ }else{
+ echo '<input type="submit" name="cmd[save]" class="button" value="'.$lang['btn_update'].'" />'.NL;
+ echo '<input type="submit" name="cmd[del]" class="button" value="'.$lang['btn_delete'].'" />'.NL;
+ }
+
+ echo '</fieldset>';
+ }
+
+ /**
+ * Explain the currently set permissions in plain english/$lang
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function _html_explain($current){
+ global $ID;
+ global $auth;
+
+ $who = $this->who;
+ $ns = $this->ns;
+
+ // prepare where to check
+ if($ns){
+ if($ns == '*'){
+ $check='*';
+ }else{
+ $check=$ns.':*';
+ }
+ }else{
+ $check = $ID;
+ }
+
+ // prepare who to check
+ if($who{0} == '@'){
+ $user = '';
+ $groups = array(ltrim($who,'@'));
+ }else{
+ $user = auth_nameencode($who);
+ $info = $auth->getUserData($user);
+ if($info === false){
+ $groups = array();
+ }else{
+ $groups = $info['grps'];
+ }
+ }
+
+ // check the permissions
+ $perm = auth_aclcheck($check,$user,$groups);
+
+ // build array of named permissions
+ $names = array();
+ if($perm){
+ if($ns){
+ if($perm >= AUTH_DELETE) $names[] = $this->getLang('acl_perm16');
+ if($perm >= AUTH_UPLOAD) $names[] = $this->getLang('acl_perm8');
+ if($perm >= AUTH_CREATE) $names[] = $this->getLang('acl_perm4');
+ }
+ if($perm >= AUTH_EDIT) $names[] = $this->getLang('acl_perm2');
+ if($perm >= AUTH_READ) $names[] = $this->getLang('acl_perm1');
+ $names = array_reverse($names);
+ }else{
+ $names[] = $this->getLang('acl_perm0');
+ }
+
+ // print permission explanation
+ echo '<p>';
+ if($user){
+ if($ns){
+ printf($this->getLang('p_user_ns'),hsc($who),hsc($ns),join(', ',$names));
+ }else{
+ printf($this->getLang('p_user_id'),hsc($who),hsc($ID),join(', ',$names));
+ }
+ }else{
+ if($ns){
+ printf($this->getLang('p_group_ns'),hsc(ltrim($who,'@')),hsc($ns),join(', ',$names));
+ }else{
+ printf($this->getLang('p_group_id'),hsc(ltrim($who,'@')),hsc($ID),join(', ',$names));
+ }
+ }
+ echo '</p>';
+
+ // add note if admin
+ if($perm == AUTH_ADMIN){
+ echo '<p>'.$this->getLang('p_isadmin').'</p>';
+ }elseif(is_null($current)){
+ echo '<p>'.$this->getLang('p_inherited').'</p>';
+ }
+ }
+
+
+ /**
+ * Item formatter for the tree view
+ *
+ * User function for html_buildlist()
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function _html_list_acl($item){
+ global $ID;
+ $ret = '';
+ // what to display
+ if($item['label']){
+ $base = $item['label'];
+ }else{
+ $base = ':'.$item['id'];
+ $base = substr($base,strrpos($base,':')+1);
+ }
+
+ // highlight?
+ if( ($item['type']=='d' && $item['id'] == $this->ns) ||
+ ($item['type']!='d' && $item['id'] == $ID)) $cl = ' cur';
+
+ // namespace or page?
+ if($item['type']=='d'){
+ if($item['open']){
+ $img = DOKU_BASE.'lib/images/minus.gif';
+ $alt = '&minus;';
+ }else{
+ $img = DOKU_BASE.'lib/images/plus.gif';
+ $alt = '+';
+ }
+ $ret .= '<img src="'.$img.'" alt="'.$alt.'" />';
+ $ret .= '<a href="'.wl('',$this->_get_opts(array('ns'=>$item['id'],'sectok'=>getSecurityToken()))).'" class="idx_dir'.$cl.'">';
+ $ret .= $base;
+ $ret .= '</a>';
+ }else{
+ $ret .= '<a href="'.wl('',$this->_get_opts(array('id'=>$item['id'],'ns'=>'','sectok'=>getSecurityToken()))).'" class="wikilink1'.$cl.'">';
+ $ret .= noNS($item['id']);
+ $ret .= '</a>';
+ }
+ return $ret;
+ }
+
+
+ function _html_li_acl($item){
+ return '<li class="level'.$item['level'].'">';
+ }
+
+
+ /**
+ * Get current ACL settings as multidim array
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function _init_acl_config(){
+ global $AUTH_ACL;
+ global $conf;
+ $acl_config=array();
+ $usersgroups = array();
+
+ // get special users and groups
+ $this->specials[] = '@ALL';
+ $this->specials[] = '@'.$conf['defaultgroup'];
+ if($conf['manager'] != '!!not set!!'){
+ $this->specials = array_merge($this->specials,
+ array_map('trim',
+ explode(',',$conf['manager'])));
+ }
+ $this->specials = array_filter($this->specials);
+ $this->specials = array_unique($this->specials);
+ sort($this->specials);
+
+ foreach($AUTH_ACL as $line){
+ $line = trim(preg_replace('/#.*$/','',$line)); //ignore comments
+ if(!$line) continue;
+
+ $acl = preg_split('/\s+/',$line);
+ //0 is pagename, 1 is user, 2 is acl
+
+ $acl[1] = rawurldecode($acl[1]);
+ $acl_config[$acl[0]][$acl[1]] = $acl[2];
+
+ // store non-special users and groups for later selection dialog
+ $ug = $acl[1];
+ if(in_array($ug,$this->specials)) continue;
+ $usersgroups[] = $ug;
+ }
+
+ $usersgroups = array_unique($usersgroups);
+ sort($usersgroups);
+ ksort($acl_config);
+
+ $this->acl = $acl_config;
+ $this->usersgroups = $usersgroups;
+ }
+
+ /**
+ * Display all currently set permissions in a table
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function _html_table(){
+ global $lang;
+ global $ID;
+
+ echo '<form action="'.wl().'" method="post" accept-charset="utf-8"><div class="no">'.NL;
+ if($this->ns){
+ echo '<input type="hidden" name="ns" value="'.hsc($this->ns).'" />'.NL;
+ }else{
+ echo '<input type="hidden" name="id" value="'.hsc($ID).'" />'.NL;
+ }
+ echo '<input type="hidden" name="acl_w" value="'.hsc($this->who).'" />'.NL;
+ echo '<input type="hidden" name="do" value="admin" />'.NL;
+ echo '<input type="hidden" name="dokupage" value="acl" />'.NL;
+ echo '<input type="hidden" name="sectok" value="'.getSecurityToken().'" />'.NL;
+ echo '<table class="inline">';
+ echo '<tr>';
+ echo '<th>'.$this->getLang('where').'</th>';
+ echo '<th>'.$this->getLang('who').'</th>';
+ echo '<th>'.$this->getLang('perm').'<sup><a id="fnt__1" class="fn_top" name="fnt__1" href="#fn__1">1)</a></sup></th>';
+ echo '<th>'.$lang['btn_delete'].'</th>';
+ echo '</tr>';
+ foreach($this->acl as $where => $set){
+ foreach($set as $who => $perm){
+ echo '<tr>';
+ echo '<td>';
+ if(substr($where,-1) == '*'){
+ echo '<span class="aclns">'.hsc($where).'</span>';
+ $ispage = false;
+ }else{
+ echo '<span class="aclpage">'.hsc($where).'</span>';
+ $ispage = true;
+ }
+ echo '</td>';
+
+ echo '<td>';
+ if($who{0} == '@'){
+ echo '<span class="aclgroup">'.hsc($who).'</span>';
+ }else{
+ echo '<span class="acluser">'.hsc($who).'</span>';
+ }
+ echo '</td>';
+
+ echo '<td>';
+ echo $this->_html_checkboxes($perm,$ispage,'acl['.$where.']['.$who.']');
+ echo '</td>';
+
+ echo '<td align="center">';
+ echo '<input type="checkbox" name="del['.hsc($where).'][]" value="'.hsc($who).'" />';
+ echo '</td>';
+ echo '</tr>';
+ }
+ }
+
+ echo '<tr>';
+ echo '<th align="right" colspan="4">';
+ echo '<input type="submit" value="'.$lang['btn_update'].'" name="cmd[update]" class="button" />';
+ echo '</th>';
+ echo '</tr>';
+ echo '</table>';
+ echo '</div></form>'.NL;
+ }
+
+
+ /**
+ * Returns the permission which were set for exactly the given user/group
+ * and page/namespace. Returns null if no exact match is available
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function _get_exact_perm(){
+ global $ID;
+ if($this->ns){
+ if($this->ns == '*'){
+ $check = '*';
+ }else{
+ $check = $this->ns.':*';
+ }
+ }else{
+ $check = $ID;
+ }
+
+ if(isset($this->acl[$check][$this->who])){
+ return $this->acl[$check][$this->who];
+ }else{
+ return null;
+ }
+ }
+
+ function load_acl_config($full) {
+ global $auth;
+ if($auth->cando['getACL']) {
+ $acl = $auth->getACL();
+ } else {
+ $acl = file(DOKU_CONF.'acl.auth.php');
+ }
+ if ($full) return join("\n", $acl)."\n";
+ return $acl;
+ }
+ function save_acl_config($newconfig) {
+ global $auth;
+ if($auth->cando['getACL']) {
+ $auth->setACL($newconfig);
+ return true;
+ } else {
+ return io_saveFile(DOKU_CONF.'acl.auth.php', $newconfig);
+ }
+
+ }
+
+ /**
+ * adds new acl-entry to conf/acl.auth.php
+ *
+ * @author Frank Schubert <frank@schokilade.de>
+ */
+ function _acl_add($acl_scope, $acl_user, $acl_level){
+ $acl_config = $this->load_acl_config(true);
+ $acl_user = auth_nameencode($acl_user,true);
+
+ // max level for pagenames is edit
+ if(strpos($acl_scope,'*') === false) {
+ if($acl_level > AUTH_EDIT) $acl_level = AUTH_EDIT;
+ }
+
+
+ $new_acl = "$acl_scope\t$acl_user\t$acl_level\n";
+
+ $new_config = $acl_config.$new_acl;
+ return $this->save_acl_config($new_config);
+ }
+
+ /**
+ * remove acl-entry from conf/acl.auth.php
+ *
+ * @author Frank Schubert <frank@schokilade.de>
+ */
+ function _acl_del($acl_scope, $acl_user){
+ $acl_config = $this->load_acl_config(false);
+ $acl_user = auth_nameencode($acl_user,true);
+
+ $acl_pattern = '^'.preg_quote($acl_scope,'/').'\s+'.$acl_user.'\s+[0-8].*$';
+
+ // save all non!-matching
+ $new_config = preg_grep("/$acl_pattern/", $acl_config, PREG_GREP_INVERT);
+
+ return $this->save_acl_config(join("\n",$new_config)."\n");
+ }
+
+ /**
+ * print the permission radio boxes
+ *
+ * @author Frank Schubert <frank@schokilade.de>
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function _html_checkboxes($setperm,$ispage,$name){
+ global $lang;
+
+ static $label = 0; //number labels
+ $ret = '';
+
+ if($ispage && $setperm > AUTH_EDIT) $perm = AUTH_EDIT;
+
+ foreach(array(AUTH_NONE,AUTH_READ,AUTH_EDIT,AUTH_CREATE,AUTH_UPLOAD,AUTH_DELETE) as $perm){
+ $label += 1;
+
+ //general checkbox attributes
+ $atts = array( 'type' => 'radio',
+ 'id' => 'pbox'.$label,
+ 'name' => $name,
+ 'value' => $perm );
+ //dynamic attributes
+ if(!is_null($setperm) && $setperm == $perm) $atts['checked'] = 'checked';
+ if($ispage && $perm > AUTH_EDIT){
+ $atts['disabled'] = 'disabled';
+ $class = ' class="disabled"';
+ }else{
+ $class = '';
+ }
+
+ //build code
+ $ret .= '<label for="pbox'.$label.'" title="'.$this->getLang('acl_perm'.$perm).'"'.$class.'>';
+ $ret .= '<input '.html_attbuild($atts).' />&nbsp;';
+ $ret .= $this->getLang('acl_perm'.$perm);
+ $ret .= '</label>'.NL;
+ }
+ return $ret;
+ }
+
+ /**
+ * Print a user/group selector (reusing already used users and groups)
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function _html_select(){
+ global $conf;
+ $inlist = false;
+
+ if($this->who &&
+ !in_array($this->who,$this->usersgroups) &&
+ !in_array($this->who,$this->specials)){
+
+ if($this->who{0} == '@'){
+ $gsel = ' selected="selected"';
+ }else{
+ $usel = ' selected="selected"';
+ }
+ }else{
+ $usel = '';
+ $gsel = '';
+ $inlist = true;
+ }
+
+
+ echo '<select name="acl_t" class="edit">'.NL;
+ echo ' <option value="__g__" class="aclgroup"'.$gsel.'>'.$this->getLang('acl_group').':</option>'.NL;
+ echo ' <option value="__u__" class="acluser"'.$usel.'>'.$this->getLang('acl_user').':</option>'.NL;
+ echo ' <optgroup label="&nbsp;">'.NL;
+ foreach($this->specials as $ug){
+ if($ug == $this->who){
+ $sel = ' selected="selected"';
+ $inlist = true;
+ }else{
+ $sel = '';
+ }
+
+ if($ug{0} == '@'){
+ echo ' <option value="'.hsc($ug).'" class="aclgroup"'.$sel.'>'.hsc($ug).'</option>'.NL;
+ }else{
+ echo ' <option value="'.hsc($ug).'" class="acluser"'.$sel.'>'.hsc($ug).'</option>'.NL;
+ }
+ }
+ echo ' </optgroup>'.NL;
+ echo ' <optgroup label="&nbsp;">'.NL;
+ foreach($this->usersgroups as $ug){
+ if($ug == $this->who){
+ $sel = ' selected="selected"';
+ $inlist = true;
+ }else{
+ $sel = '';
+ }
+
+ if($ug{0} == '@'){
+ echo ' <option value="'.hsc($ug).'" class="aclgroup"'.$sel.'>'.hsc($ug).'</option>'.NL;
+ }else{
+ echo ' <option value="'.hsc($ug).'" class="acluser"'.$sel.'>'.hsc($ug).'</option>'.NL;
+ }
+ }
+ echo ' </optgroup>'.NL;
+ echo '</select>'.NL;
+ return $inlist;
+ }
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/ajax.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/ajax.php
new file mode 100644
index 000000000..d3e88d932
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/ajax.php
@@ -0,0 +1,62 @@
+<?php
+/**
+ * AJAX call handler for ACL plugin
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+
+//fix for Opera XMLHttpRequests
+if(!count($_POST) && $HTTP_RAW_POST_DATA){
+ parse_str($HTTP_RAW_POST_DATA, $_POST);
+}
+
+if(!defined('DOKU_INC')) define('DOKU_INC',dirname(__FILE__).'/../../../');
+require_once(DOKU_INC.'inc/init.php');
+require_once(DOKU_INC.'inc/common.php');
+require_once(DOKU_INC.'inc/pageutils.php');
+require_once(DOKU_INC.'inc/auth.php');
+//close session
+session_write_close();
+
+if(!auth_isadmin()) die('for admins only');
+if(!checkSecurityToken()) die('CRSF Attack');
+
+$ID = getID();
+
+require_once(DOKU_INC.'inc/pluginutils.php');
+require_once(DOKU_INC.'inc/html.php');
+$acl = plugin_load('admin','acl');
+$acl->handle();
+
+$ajax = $_REQUEST['ajax'];
+header('Content-Type: text/html; charset=utf-8');
+
+if($ajax == 'info'){
+ $acl->_html_info();
+}elseif($ajax == 'tree'){
+ require_once(DOKU_INC.'inc/search.php');
+ global $conf;
+ global $ID;
+
+ $dir = $conf['datadir'];
+ $ns = $_REQUEST['ns'];
+ if($ns == '*'){
+ $ns ='';
+ }
+ $ns = cleanID($ns);
+ $lvl = count(explode(':',$ns));
+ $ns = utf8_encodeFN(str_replace(':','/',$ns));
+
+ $data = $acl->_get_tree($ns,$ns);
+
+ foreach($data as $item){
+ $item['level'] = $lvl+1;
+ echo $acl->_html_li_acl($item);
+ echo '<div class="li">';
+ echo $acl->_html_list_acl($item);
+ echo '</div>';
+ echo '</li>';
+ }
+}
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/af/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/af/lang.php
new file mode 100644
index 000000000..04d9b0acf
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/af/lang.php
@@ -0,0 +1,10 @@
+<?php
+/**
+ * Afrikaans language file
+ *
+ */
+$lang['page'] = 'Bladsy';
+$lang['acl_perm0'] = 'Niks';
+$lang['acl_perm1'] = 'Lees';
+$lang['acl_perm2'] = 'Verander';
+$lang['acl_perm4'] = 'Maak';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ar/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ar/lang.php
new file mode 100644
index 000000000..ced250243
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ar/lang.php
@@ -0,0 +1,24 @@
+<?php
+/**
+ * Arabic language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Mostafa Hussein <mostafa@gmail.com>
+ * @author Yaman Hokan <always.smile.yh@hotmail.com>
+ */
+$lang['admin_acl'] = '廿丿丕乇丞 賯賵丕卅賲 丕賱鬲丨賰賲 亘丕賱丿禺賵賱';
+$lang['acl_group'] = '賲噩賲賵毓丞';
+$lang['acl_user'] = '賲爻鬲禺丿賲';
+$lang['acl_perms'] = '鬲乇禺賷氐 賱賭';
+$lang['page'] = '氐賮丨丞';
+$lang['namespace'] = '賮囟丕亍 丕賱鬲爻賲賷丞';
+$lang['btn_select'] = '丕禺鬲賷丕乇';
+$lang['who'] = '丕爻賲 丕賱賲爻鬲禺丿賲 / 丕賱賲噩賲賵毓丞';
+$lang['perm'] = '丕賱鬲氐丕乇賷丨';
+$lang['acl_perm0'] = '賱丕 賷賵噩丿';
+$lang['acl_perm1'] = '賯乇丕亍丞';
+$lang['acl_perm2'] = '鬲丨乇賷乇';
+$lang['acl_perm4'] = '廿賳卮丕亍';
+$lang['acl_perm8'] = '鬲丨賲賷賱';
+$lang['acl_perm16'] = '賲爻丨';
+$lang['acl_new'] = '兀囟賮 兀囟丕賮丞 噩丿賷丿丞';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/bg/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/bg/help.txt
new file mode 100644
index 000000000..23028cb35
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/bg/help.txt
@@ -0,0 +1,11 @@
+=== 袩芯屑芯褖 ===
+
+袧邪 褌邪蟹懈 褋褌褉邪薪懈褑邪 屑芯卸械褌械 写邪 写芯斜邪胁褟褌械 懈 锌褉械屑邪褏胁邪褌械 褉邪蟹褉械褕械薪懈褟 蟹邪 芯锌褉械写械谢褟薪械 薪邪 懈屑械薪邪 懈 褋褌褉邪薪懈褑懈 胁褗胁 袙邪褕械褌芯 Wiki.
+
+袥械胁懈褟褌 锌邪薪械谢 锌芯泻邪蟹胁邪 胁褋懈褔泻懈 薪邪谢懈褔薪懈 懈屑械薪邪 懈 褋褌褉邪薪懈褑懈.
+
+肖芯褉屑邪褌邪 锌芯-谐芯褉械 胁懈 锌芯蟹胁芯谢褟胁邪 写邪 胁懈写懈褌械 懈 锌褉芯屑械薪懈褌械 褉邪蟹褉械褕械薪懈褟褌邪 薪邪 懈蟹斜褉邪薪懈褟 锌芯褌褉械斜懈褌械谢 懈谢懈 谐褉褍锌邪.
+
+袙 褌邪斜谢懈褑邪褌邪 锌芯-写芯谢褍 褋邪 锌芯泻邪蟹邪薪懈 胁褋懈褔泻懈 邪泻褌褍邪谢薪懈 锌褉邪胁懈谢邪 蟹邪 泻芯薪褌褉芯谢 薪邪 写芯褋褌褗锌邪. 袦芯卸械褌械 写邪 褟 懈蟹锌芯谢蟹胁邪褌械 蟹邪 斜褗褉蟹芯 懈蟹褌褉懈胁邪薪械 懈谢懈 锌褉芯屑褟薪邪 薪邪 屑薪芯卸械褋褌胁芯 锌褉邪胁懈谢邪.
+
+效械褌械薪械 薪邪 [[doku>acl|ACL 写芯泻褍屑械薪褌邪褑懈褟褌邪]] 屑芯卸械 写邪 胁懈 锌芯屑芯谐薪械 写邪 褉邪蟹斜械褉械褌械 薪邪锌褗谢薪芯 泻邪泻 褉邪斜芯褌懈 泻芯薪褌褉芯谢邪 薪邪 写芯褋褌褗锌邪 胁 DokuWiki. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/bg/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/bg/lang.php
new file mode 100644
index 000000000..9facd4259
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/bg/lang.php
@@ -0,0 +1,36 @@
+<?php
+/**
+ * bulgarian language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Nikolay Vladimirov <nikolay@vladimiroff.com>
+ * @author Viktor Usunov <usun0v@mail.bg>
+ */
+$lang['admin_acl'] = '校锌褉邪胁谢械薪懈械 薪邪 褋锌懈褋褗褑懈褌械 蟹邪 写芯褋褌褗锌';
+$lang['acl_group'] = '袚褉褍锌邪';
+$lang['acl_user'] = '袩芯褌褉械斜懈褌械谢';
+$lang['acl_perms'] = '袩褉邪胁邪 蟹邪';
+$lang['page'] = '小褌褉邪薪懈褑邪';
+$lang['namespace'] = '袠屑械薪薪芯 锌褉芯褋褌褉邪薪褋褌胁芯';
+$lang['btn_select'] = '袠蟹斜械褉懈';
+$lang['p_user_id'] = '袩芯褌褉械斜懈褌械谢褟褌 <b class="acluser">%s</b> 懈屑邪 胁 屑芯屑械薪褌邪 褋谢械写薪懈褌械 锌褉邪胁邪 蟹邪 褋褌褉邪薪懈褑邪褌邪 <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_user_ns'] = '袩芯褌褉械斜懈褌械谢褟褌 <b class="acluser">%s</b> 懈屑邪 胁 屑芯屑械薪褌邪 褋谢械写薪懈褌械 锌褉邪胁邪 胁 懈屑械薪薪芯褌芯 锌褉芯褋褌褉邪薪褋褌胁芯 <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_group_id'] = '效谢械薪芯胁械 薪邪 谐褉褍锌邪褌邪 <b class="aclgroup">%s</b> 懈屑邪褌 胁 屑芯屑械薪褌邪 褋谢械写薪懈褌械 锌褉邪胁邪 蟹邪 褋褌褉邪薪懈褑邪褌邪 <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_group_ns'] = '效谢械薪芯胁械 薪邪 谐褉褍锌邪褌邪 <b class="aclgroup">%s</b> 懈屑邪褌 胁 屑芯屑械薪褌邪 褋谢械写薪懈褌械 锌褉邪胁邪 胁 懈屑械薪薪芯褌芯 锌褉芯褋褌褉邪薪褋褌胁芯 <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_choose_id'] = '袦芯谢褟 <b>胁褗胁械写械褌械 锌芯褌褉械斜懈褌械谢 懈谢懈 谐褉褍锌邪</b> 胁 锌芯谢械褌芯 谐芯褉械, 蟹邪 写邪 胁懈写懈褌械 懈谢懈 锌褉芯屑械薪懈褌械 锌褉邪胁邪褌邪 蟹邪 褋褌褉邪薪懈褑邪褌邪 <b class="aclpage">%s</b>.';
+$lang['p_choose_ns'] = '袦芯谢褟 <b>胁褗胁械写械褌械 锌芯褌褉械斜懈褌械谢 懈谢懈 谐褉褍锌邪</b> 胁 锌芯谢械褌芯 谐芯褉械, 蟹邪 写邪 胁懈写懈褌械 懈谢懈 锌褉芯屑械薪懈褌械 锌褉邪胁邪褌邪 蟹邪 懈屑械薪薪芯褌芯 锌褉芯褋褌褉邪薪褋褌胁芯 <b class="aclns">%s</b>.';
+$lang['p_inherited'] = '袟邪斜械谢械卸泻邪: 孝械蟹懈 褉邪蟹褉械褕械薪懈褟 薪械 褋邪 芯锌褉械写械谢械薪懈 懈蟹褉懈褔薪芯, 薪芯 褋邪 斜懈谢懈 薪邪褋谢械写械薪懈 芯褌 写褉褍谐懈 谐褉褍锌懈 懈谢懈 懈屑械薪薪懈 锌褉芯褋褌褉邪薪褋褌胁邪.';
+$lang['p_isadmin'] = '袟邪斜械谢械卸泻邪: 袠蟹斜褉邪薪懈褌械 谐褉褍锌邪 懈谢懈 锌芯褌褉械斜懈褌械谢 锌褉懈褌械卸邪胁邪褌 锌褗谢薪懈 锌褉邪胁邪, 褋锌芯褉械写 泻芯薪褎懈谐褍褉邪褑懈褟褌邪 懈屑.';
+$lang['p_include'] = '袙懈褋褕懈 褉邪蟹褉械褕械薪懈褟 胁泻谢褞褔胁邪褌 锌芯-薪懈褋褕懈 褌邪泻懈胁邪. 小褗蟹写邪胁邪薪械 懈谢懈 锌褉械屑邪褏胁邪薪械 薪邪 褉邪蟹褉械褕械薪懈褟 褋械 锌褉懈谢邪谐邪 褋邪屑芯 蟹邪 懈屑械薪薪懈 锌褉芯褋褌褉邪薪褋褌胁邪, 薪械 蟹邪 褋褌褉邪薪懈褑懈.';
+$lang['current'] = '孝械泻褍褖懈 ACL 锌褉邪胁邪';
+$lang['where'] = '小褌褉邪薪懈褑邪/袠屑械薪薪芯 锌芯褋褌褉邪薪褋褌胁芯';
+$lang['who'] = '袩芯褌褉械斜懈褌械谢/袚褉褍锌邪';
+$lang['perm'] = '袩褉邪胁邪';
+$lang['acl_perm0'] = '袧懈泻邪泻胁懈';
+$lang['acl_perm1'] = '效械褌械薪械';
+$lang['acl_perm2'] = '袪械写邪泻褌懈褉邪薪械';
+$lang['acl_perm4'] = '小褗蟹写邪胁邪薪械';
+$lang['acl_perm8'] = '袣邪褔胁邪薪械';
+$lang['acl_perm16'] = '袠蟹褌褉懈胁邪薪械';
+$lang['acl_new'] = '袛芯斜邪胁褟薪械 薪邪 薪芯胁芯';
+$lang['acl_mod'] = '袩褉芯屑褟薪邪 薪邪 胁锌懈褋胁邪薪械';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ca-valencia/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ca-valencia/help.txt
new file mode 100644
index 000000000..87450d2fa
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ca-valencia/help.txt
@@ -0,0 +1,15 @@
+=== Ajuda r脿pida: ===
+
+En esta p脿gina pot afegir i llevar permissos per a espais de noms i
+p脿gines del wiki.
+
+El panel esquerre mostra tots els espais de noms i p脿gines disponibles.
+
+El formulari de dalt permet vore i modificar els permissos de l'usuari
+o grup seleccionat.
+
+En la taula de baix es mostren totes les regles d'acc茅s actuals. Pot
+usar-la per a canviar o borrar r脿pidament v脿ries regles.
+
+Llegint la [[doku>acl|documentaci贸 oficial sobre ACL]] podr脿
+comprendre millor com funciona el control d'acc茅s en DokuWiki.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ca-valencia/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ca-valencia/lang.php
new file mode 100644
index 000000000..ef0ae92eb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ca-valencia/lang.php
@@ -0,0 +1,37 @@
+<?php
+/**
+ * valencian language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Bernat Arlandis i Ma帽贸 <berarma@ya.com>
+ * @author Bernat Arlandis <berarma@ya.com>
+ * @author Bernat Arlandis <berarma@llenguaitecnologia.com>
+ */
+$lang['admin_acl'] = 'Gestor de les llistes de control d\'acc茅s';
+$lang['acl_group'] = 'Grup';
+$lang['acl_user'] = 'Usuari';
+$lang['acl_perms'] = 'Permissos per a';
+$lang['page'] = 'P脿gina';
+$lang['namespace'] = 'Espai de noms';
+$lang['btn_select'] = 'Seleccionar';
+$lang['p_user_id'] = 'L\'usuari <b class="acluser">%s</b> t茅 actualment els seg眉ents permissos en la p脿gina <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_user_ns'] = 'L\'usuari <b class="acluser">%s</b> t茅 actualment els seg眉ents permissos en l\'espai de noms <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_group_id'] = 'Els membres del grup <b class="aclgroup">%s</b> tenen actualment els seg眉ents permissos en la p脿gina <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_group_ns'] = 'Els membres del grup <b class="aclgroup">%s</b> tenen actualment els seg眉ents permissos en l\'espai de noms <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_choose_id'] = 'Per favor, <b>introdu茂xca un usuari o grup</b> en el formulari de dalt per a vore o editar els per a la p脿gina <b class="aclpage">%s</b>.';
+$lang['p_choose_ns'] = 'Per favor, <b>introdu茂xca un usuari o grup</b> en el formulari de dalt per a vore o editar els permissos per a l\'espai de noms <b class="aclns">%s</b>.';
+$lang['p_inherited'] = 'Nota: estos permissos no s\'han indicat expl铆citament sino que s\'hereten d\'atres grups o d\'espais de noms antecessors.';
+$lang['p_isadmin'] = 'Nota: el grup o usuari seleccionat t茅 sempre tots els permissos perque est脿 configurat com a super-usuari.';
+$lang['p_include'] = 'Els permissos m茅s alts inclouen als m茅s baixos. Els permissos per a crear, enviar i borrar nom茅s valen per a espais de noms, p脿gines no.';
+$lang['current'] = 'Regles ACL actuals';
+$lang['where'] = 'P脿gina/espai de noms';
+$lang['who'] = 'Usuari/grup';
+$lang['perm'] = 'Permissos';
+$lang['acl_perm0'] = 'Cap';
+$lang['acl_perm1'] = 'Llegir';
+$lang['acl_perm2'] = 'Editar';
+$lang['acl_perm4'] = 'Crear';
+$lang['acl_perm8'] = 'Pujar';
+$lang['acl_perm16'] = 'Borrar';
+$lang['acl_new'] = 'Afegir entrada nova';
+$lang['acl_mod'] = 'Modificar entrada';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ca/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ca/help.txt
new file mode 100644
index 000000000..d9bcc126d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ca/help.txt
@@ -0,0 +1,11 @@
+=== Ajuda r脿pida ===
+
+En aquesta p脿gina podeu afegir i treure permisos per a espais i p脿gines del vostre wiki.
+
+La subfinestra de l'esquerra mostra tots els espais i p脿gines disponibles.
+
+El formulari de dalt us permet veure i modificar els permisos de l'usuari o grup que seleccioneu.
+
+En la taula de baix es mostren totes les regles de control d'acc茅s que hagin estat definides. Podeu utilitzar aquesta taula per suprimir o modificar r脿pidament totes les regles que vulgueu.
+
+Llegir la [[doku>acl|documentaci贸 oficial sobre ACL]] us pot ajudar a entendre del tot com funciona el control d'acc茅s en DokuWiki. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ca/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ca/lang.php
new file mode 100644
index 000000000..10f656062
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ca/lang.php
@@ -0,0 +1,38 @@
+<?php
+/**
+ * catalan language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Carles Bellver <carles.bellver@cent.uji.es>
+ * @author Carles Bellver <carles.bellver@gmail.com>
+ * @author carles.bellver@gmail.com
+ * @author carles.bellver@cent.uji.es
+ */
+$lang['admin_acl'] = 'Gesti贸 de la Llista de Control d\'Acc茅s';
+$lang['acl_group'] = 'Grup';
+$lang['acl_user'] = 'Usuari';
+$lang['acl_perms'] = 'Permisos per a';
+$lang['page'] = 'P脿gina';
+$lang['namespace'] = 'Espai';
+$lang['btn_select'] = 'Selecciona';
+$lang['p_user_id'] = 'L\'usuari <b class="acluser">%s</b> t茅 a hores d\'ara els permisos seg眉ents en la p脿gina <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_user_ns'] = 'L\'usuari <b class="acluser">%s</b> t茅 a hores d\'ara els permisos seg眉ents en l\'espai <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_group_id'] = 'Els membres del grup <b class="aclgroup">%s</b> tenen a hores d\'ara els permisos seg眉ents en la p脿gina <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_group_ns'] = 'Els membres del grup <b class="aclgroup">%s</b> tenen a hores d\'ara els permisos seg眉ents en l\'espai <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_choose_id'] = '<b>Introdu茂u un usuari o grup</b> en el formulari de dalt per veure o editar els seus permisos en la p脿gina <b class="aclpage">%s</b>.';
+$lang['p_choose_ns'] = '<b>Introdu茂u un usuari o grup</b> en el formulari de dalt per veure o editar els seus permisos en l\'espai <b class="aclns">%s</b>.';
+$lang['p_inherited'] = 'Nota: aquests permisos no s\'han definit expl铆citament, sin贸 que s贸n heretats d\'altres grups o d\'espais d\'ordre superior.';
+$lang['p_isadmin'] = 'Nota: l\'usuari o grup seleccionat t茅 sempre tots els permisos perqu猫 ha estat configurat com a superusuari.';
+$lang['p_include'] = 'Els permisos m茅s alts inclouen tots els permisos inferiors. Els permisos per a crear, penjar i suprimir nom茅s s\'apliquen als espais, no a p脿gines.';
+$lang['current'] = 'Regles ACL actuals';
+$lang['where'] = 'P脿gina/espai';
+$lang['who'] = 'Usuari/grup';
+$lang['perm'] = 'Permisos';
+$lang['acl_perm0'] = 'Cap';
+$lang['acl_perm1'] = 'Lectura';
+$lang['acl_perm2'] = 'Edici贸';
+$lang['acl_perm4'] = 'Creaci贸';
+$lang['acl_perm8'] = 'Penjar fitxers';
+$lang['acl_perm16'] = 'Suprimir';
+$lang['acl_new'] = 'Afegeix nova entrada';
+$lang['acl_mod'] = 'Modifica entrada';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/cs/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/cs/help.txt
new file mode 100644
index 000000000..1dbc88ad0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/cs/help.txt
@@ -0,0 +1,11 @@
+=== N谩pov臎da: ===
+
+Na t茅to str谩nce m暖啪ete p艡id谩vat a odeb铆rat opr谩vn臎n铆 na jmenn茅 prostory a str谩nky Va拧铆 wiki.
+
+Lev媒 panel zobrazuje v拧echny dostupn茅 jmenn茅 prostory a str谩nky.
+
+Formul谩艡 v媒拧e umo啪艌uje vid臎t a modifikovat opr谩vn臎n铆 vybran茅ho u啪ivatele nebo skupiny.
+
+V tabulce uveden茅 n铆啪e jsou zobrazeny v拧echny aktu谩ln铆 pravidla pro 艡铆zen铆 p艡铆stupu (opr谩vn臎n铆). Zde m暖啪ete rychle odeb铆rat a m臎nit v铆ce polo啪ek (opr谩vn臎n铆) najednou.
+
+Pro detailn臎j拧铆 n谩pov臎du si p艡e膷t臎te str谩nku [[doku>acl|ofici谩ln铆 dokumentaci ACL]], kter谩 V谩m m暖啪e pomoci pln臎 pochopit princip, jak 艡铆zen铆 p艡铆stupu na DokuWiki funguje.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/cs/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/cs/lang.php
new file mode 100644
index 000000000..899d30ced
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/cs/lang.php
@@ -0,0 +1,37 @@
+<?php
+/**
+ * Czech language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Bohumir Zamecnik <bohumir@zamecnik.org>
+ * @author Zbynek Krivka <zbynek.krivka@seznam.cz>
+ * @author tomas@valenta.cz
+ */
+$lang['admin_acl'] = 'Spr谩va p艡铆stupov媒ch pr谩v';
+$lang['acl_group'] = 'Skupina';
+$lang['acl_user'] = 'U啪ivatel';
+$lang['acl_perms'] = 'Pr谩va pro';
+$lang['page'] = 'Str谩nka';
+$lang['namespace'] = 'Jmenn媒 prostor';
+$lang['btn_select'] = 'Vybrat';
+$lang['p_user_id'] = 'U啪ivatel <b class="acluser">%s</b> m谩 nyn铆 na str谩nku <b class="aclpage">%s</b> n谩sleduj铆c铆 opr谩vn臎n铆: <i>%s</i>.';
+$lang['p_user_ns'] = 'U啪ivatel <b class="acluser">%s</b> m谩 nyn铆 na jmenn媒 prostor <b class="aclns">%s</b> n谩sleduj铆c铆 opr谩vn臎n铆: <i>%s</i>.';
+$lang['p_group_id'] = '膶lenov茅 skupiny <b class="aclgroup">%s</b> maj铆 nyn铆 na str谩nku <b class="aclpage">%s</b> n谩sleduj铆c铆 opr谩vn臎n铆: <i>%s</i>.';
+$lang['p_group_ns'] = '膶lenov茅 skupiny <b class="aclgroup">%s</b> maj铆 nyn铆 na jmenn媒 prostor <b class="aclns">%s</b> n谩sleduj铆c铆 opr谩vn臎n铆: <i>%s</i>.';
+$lang['p_choose_id'] = 'Pros铆m, <b>vlo啪te u啪ivatele nebo skupinu</b> ve form臎 uveden茅 v媒拧e, abyste mohli prohl铆啪et a editovat mno啪inu opr谩vn臎n铆 pro str谩nku <b class="aclpage">%s</b>.';
+$lang['p_choose_ns'] = 'Pros铆m, <b>vlo啪te u啪ivatele nebo skupinu</b> ve form臎 uveden茅 v媒拧e, abyste mohli prohl铆啪et a editovat mno啪inu opr谩vn臎n铆 pro jmenn媒 prostor <b class="aclns">%s</b>.';
+$lang['p_inherited'] = 'Pozn谩mka: Tato opr谩vn臎n铆 nebyla nastavena explicitn臎, ale jsou zd臎d臎na z jin茅 skupiny nebo z nad艡azen茅ho jmenn茅ho prostoru.';
+$lang['p_isadmin'] = 'Pozn谩mka: Vybran谩 skupina nebo u啪ivatel m谩 v啪dy pln谩 opr谩vn臎n铆, proto啪e je nastaven jako spr谩vce (superuser).';
+$lang['p_include'] = 'Vy拧拧铆 opr谩vn臎n铆 zahrnuj铆 ni啪拧铆 opr谩vn臎n铆. Vytvo艡it, Nahr谩t a Smazat se vztahuj铆 jen k jmenn媒m prostor暖, nikoliv ke str谩nk谩m.';
+$lang['current'] = 'Aktu谩ln铆 ACL pravidla';
+$lang['where'] = 'Str谩nka/Jmenn媒 prostor';
+$lang['who'] = 'U啪ivatel/Skupina';
+$lang['perm'] = 'Opr谩vn臎n铆';
+$lang['acl_perm0'] = '沤谩dn茅';
+$lang['acl_perm1'] = '膶ten铆';
+$lang['acl_perm2'] = '脷pravy';
+$lang['acl_perm4'] = 'Vytvo艡en铆';
+$lang['acl_perm8'] = 'Upload';
+$lang['acl_perm16'] = 'Maz谩n铆';
+$lang['acl_new'] = 'P艡idat novou polo啪ku';
+$lang['acl_mod'] = 'Editovat polo啪ku';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/da/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/da/help.txt
new file mode 100644
index 000000000..c8eedfc48
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/da/help.txt
@@ -0,0 +1,11 @@
+=== Vejledning ===
+
+P氓 denne side kan du tilf酶je og fjerne tilladelser for navnerum og sider i din wiki.
+
+Panelet i venstre side viser alle tilg忙ngelige navnerum og sider.
+
+I kassen for oven giver dig mulighed for at se og 忙ndre tilladelser for en bestemt bruger eller gruppe.
+
+Nedenst氓ende skema viser dig alle de satte regler for adgangskontrol. Du kan bruge den til hurtigt at slette eller 忙ndre nogle af dem.
+
+Ved at l忙se [[doku>acl|den officielle vejledning til ACL]] kan du opn氓 yderligere hj忙lp til at blive sat helt ind i, hvordan adgangskontrol virker i DokuWiki. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/da/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/da/lang.php
new file mode 100644
index 000000000..c95ff1582
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/da/lang.php
@@ -0,0 +1,42 @@
+<?php
+/**
+ * Danish language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author koeppe <koeppe@kazur.dk>
+ * @author Jon Bendtsen <bendtsen@diku.dk>
+ * @author Lars N忙sbye Christensen <larsnaesbye@stud.ku.dk>
+ * @author Kalle Sommer Nielsen <kalle@php.net>
+ * @author Esben Laursen <hyber@hyber.dk>
+ * @author Harith <haj@berlingske.dk>
+ * @author Daniel Ejsing-Duun <dokuwiki@zilvador.dk>
+ * @author Erik Bj酶rn Pedersen <erik.pedersen@shaw.ca>
+ */
+$lang['admin_acl'] = 'Rettighedsadministration';
+$lang['acl_group'] = 'Gruppe';
+$lang['acl_user'] = 'Bruger';
+$lang['acl_perms'] = 'Rettigheder for';
+$lang['page'] = 'Dokument';
+$lang['namespace'] = 'Navnerum';
+$lang['btn_select'] = 'V忙lg';
+$lang['p_user_id'] = 'Bruger <b class="acluser">%s</b> har f酶lgende adgang p氓 siden <b class="aclpage">%s</b>: <i>%s</i>';
+$lang['p_user_ns'] = 'Bruger <b class="acluser">%s</b> har forel酶big f酶lgende tilladelse i navnerummet <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_group_id'] = 'Medlemmerne af gruppen <b class="aclgroup">%s</b> har forel酶bigt de f酶lgende tilladelser p氓 siden <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_group_ns'] = 'Medlemmerne af gruppen <b class="aclgroup">%s</b> har forel酶bigt de f酶lgende tilladelser i navnerummet <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_choose_id'] = 'Venligst <b>udfyld en bruger eller gruppe</b> i ovenn忙vnte formular for at se eller redigere tilladelserne for denne side<b class="aclpage">%s</b>.';
+$lang['p_choose_ns'] = 'Venligst <b>udfyld en bruger eller gruppe</b> i ovenn忙vnte formular for at se eller redigere tilladelserne for navnerummet <b class="aclns">%s</b>.';
+$lang['p_inherited'] = 'Bem忙rk: Disse tilladelser var ikke lagt entydigt ind, men var arvet fra andre grupper eller h酶jere navnerum.';
+$lang['p_isadmin'] = 'Bem忙rk: Den valgte gruppe eller bruger har altid fuld adgang, fordi den er sat til at v忙re en supergruppe eller -bruger';
+$lang['p_include'] = 'H酶jere tilladelse inkluderer ogs氓 lavere. Tilladelser til at oprette, l忙gge filer op og slette g忙lder kun for navnerum, ikke sider.';
+$lang['current'] = 'Aktuelle ACL-regler';
+$lang['where'] = 'Side/navnerum';
+$lang['who'] = 'Bruger/gruppe';
+$lang['perm'] = 'Adgangsniveau';
+$lang['acl_perm0'] = 'Ingen';
+$lang['acl_perm1'] = 'L忙s';
+$lang['acl_perm2'] = 'Skriv';
+$lang['acl_perm4'] = 'Opret';
+$lang['acl_perm8'] = 'Overf酶re';
+$lang['acl_perm16'] = 'Slet';
+$lang['acl_new'] = 'Tilf酶j ny post';
+$lang['acl_mod'] = '脝ndre post';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/de-informal/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/de-informal/help.txt
new file mode 100644
index 000000000..d7930f8df
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/de-informal/help.txt
@@ -0,0 +1,11 @@
+=== Schnellhilfe ===
+
+Auf dieser Seite kannst Du Rechte f眉r Namensr盲ume und Seiten in deinem Wiki hinzuf眉gen oder entfernen.
+
+Der linke Bereich zeigt alle Namensr盲ume und Seiten.
+
+Das obere Formular zeigt die die Rechte der ausgew盲hlten Gruppe bzw. Benutzers.
+
+In der Tabelle unten werden alle momentan gesetzten Zugriffsregeln gezeigt. Hier kannst Du schnell mehrere Regeln l枚schen oder 盲ndern.
+
+Das Lesen von [[doku>acl|official documentation on ACL]] kann Dir helfen zu verstehen, wie die Zugriffskontrole in DokuWiki funktioniert.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/de-informal/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/de-informal/lang.php
new file mode 100644
index 000000000..56dedfc61
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/de-informal/lang.php
@@ -0,0 +1,35 @@
+<?php
+/**
+ * German (informal) language file
+ *
+ * @author Alexander Fischer <tbanus@os-forge.net>
+ * @author Juergen Schwarzer <jschwarzer@freenet.de>
+ */
+$lang['admin_acl'] = 'Zugriffskontrollsystem Management';
+$lang['acl_group'] = 'Gruppe';
+$lang['acl_user'] = 'Benutzer';
+$lang['acl_perms'] = 'Rechte f眉r';
+$lang['page'] = 'Seite';
+$lang['namespace'] = 'Namensraum';
+$lang['btn_select'] = 'Ausw盲hlen';
+$lang['p_user_id'] = 'Benutzer <b class="acluser">%s</b> hat im Moment folgende Rechte auf der Seite <b class="aclpage">%s</b>: <i>%s</i>';
+$lang['p_user_ns'] = 'Benutzer <b class="acluser">%s</b> hat momentan die folgenden Rechte im Namensraum<b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_group_id'] = 'Die Gruppenmitglieder <b class="aclgroup">%s</b> haben momentan die folgenden Rechte auf der Seite <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_group_ns'] = 'Die Mitglieder der Gruppe <b class="aclgroup">%s</b> haben gerade Zugriff in folgenden Namensr盲umen <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_choose_id'] = 'Bitte <b>gib einen Nutzer oder eine Gruppe</b> in das Formular ein, um die Berechtigungen der Seite<b class="aclpage">%s</b> anzusehen oder zu bearbeiten.';
+$lang['p_choose_ns'] = 'Bitte <b>gib einen Nutzer oder eine Gruppe</b> in das Formular ein, um die Berechtigungen des Namenraumes<b class="aclpage">%s</b> anzusehen oder zu bearbeiten.';
+$lang['p_inherited'] = 'Hinweis: Diese Rechte wuredn nicht explizit gesetzt sondern geerbt von anderen Grupen oder 眉bergeordneten Namensr盲umen.';
+$lang['p_isadmin'] = 'Hinweis: Die gew盲hlte Gruppe oder der Benutzer haben immer die vollen Rechte, weil sie als Superuser konfiguriert sind.';
+$lang['p_include'] = 'H枚here Rechte schlie脽en kleinere mit ein. Hochlade- und Loeschrechte sind nur fuer Namensr盲ume, nicht fuer Seiten.';
+$lang['current'] = 'Momentane Zugriffsregeln';
+$lang['where'] = 'Seite/Namensraum';
+$lang['who'] = 'Benutzer/Gruppe';
+$lang['perm'] = 'Rechte';
+$lang['acl_perm0'] = 'Keine';
+$lang['acl_perm1'] = 'Lesen';
+$lang['acl_perm2'] = 'Editieren';
+$lang['acl_perm4'] = 'Erstellen';
+$lang['acl_perm8'] = 'Hochladen';
+$lang['acl_perm16'] = 'L枚schen';
+$lang['acl_new'] = 'Neuen Eintrag zuf眉gen';
+$lang['acl_mod'] = 'Eintrag modifizieren';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/de/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/de/help.txt
new file mode 100644
index 000000000..783ae22e7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/de/help.txt
@@ -0,0 +1,11 @@
+=== Kurzhilfe ===
+
+Auf dieser Seite k枚nnen sie Zugriffsberechtigungen f眉r Seiten und Namensr盲ume festlegen und 盲ndern.
+
+Die Liste links zeigt alle verf眉gbaren Namensr盲ume und Seiten.
+
+Das Formular oben erlaubt Anzeige, 脛ndern und Hinzuf眉gen von Zugriffsregeln f眉r einen ausgew盲hlten Nutzer oder eine Gruppe.
+
+In der Tabelle unten werden alle bestehenden Regeln aufgef眉hrt und k枚nnen dort modifiziert oder gel枚scht werden.
+
+F眉r ein tiefergehendes Verst盲ndnis wie Zugriffsbeschr盲nkungen in DokuWiki funktionieren, sollten Sie die [[doku>acl|offizielle Dokumentation]] lesen. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/de/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/de/lang.php
new file mode 100644
index 000000000..022ea6683
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/de/lang.php
@@ -0,0 +1,47 @@
+<?php
+/**
+ * german language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Christof <gagi@fin.de>
+ * @author Anika Henke <anika@selfthinker.org>
+ * @author Esther Brunner <esther@kaffeehaus.ch>
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ * @author Michael Klier <chi@chimeric.de>
+ * @author Leo Moll <leo@yeasoft.com>
+ * @author Florian Anderiasch <fa@art-core.org>
+ * @author Robin Kluth <commi1993@gmail.com>
+ * @author Arne Pelka <mail@arnepelka.de>
+ * @author Dirk Einecke <dirk@dirkeinecke.de>
+ * @author Blitzi94@gmx.de
+ * @author Robert Bogenschneider <robog@GMX.de>
+ */
+$lang['admin_acl'] = 'Zugangsverwaltung';
+$lang['acl_group'] = 'Gruppe';
+$lang['acl_user'] = 'Benutzer';
+$lang['acl_perms'] = 'Berechtigungen f眉r';
+$lang['page'] = 'Seite';
+$lang['namespace'] = 'Namensraum';
+$lang['btn_select'] = 'Ausw盲hlen';
+$lang['p_user_id'] = 'Nutzer <b class="acluser">%s</b> hat momentan folgende Berechtigungen f眉r die Seite <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_user_ns'] = 'Nutzer <b class="acluser">%s</b> hat momentan folgende Berechtigungen im Namensraum <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_group_id'] = 'Mitglieder der Gruppe <b class="aclgroup">%s</b> haben momentan folgende Berechtigungen f眉r die Seite <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_group_ns'] = 'Mitglieder der Gruppe <b class="aclgroup">%s</b> haben momentan folgende Berechtigungen f眉r den Namensraum <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_choose_id'] = 'Bitte geben Sie in obigem Formular eine <b>einen Nutzer oder eine Gruppe</b> an, um die Berechtigungen f眉r die Seite <b class="aclpage">%s</b> zu sehen oder zu 盲ndern.';
+$lang['p_choose_ns'] = 'Bitte geben Sie in obigem Formular eine <b>einen Nutzer oder eine Gruppe</b> an, um die Berechtigungen f眉r den Namensraum <b class="aclns">%s</b> zu sehen oder zu 盲ndern.';
+$lang['p_inherited'] = 'Hinweis: Diese Berechtigungen wurden nicht explizit gesetzt sondern von anderen Gruppen oder h枚her liegenden Namensr盲umen geerbt.';
+$lang['p_isadmin'] = 'Hinweis: Die ausgew盲hlte Gruppe oder Nutzer haben immer alle Berechtigungen das sie als Superuser konfiguriert wurden.';
+$lang['p_include'] = 'H枚here Berechtigungen schlie脽en niedrigere mit ein. Anlegen, Hochladen und Entfernen gilt nur f眉r Namensr盲ume, nicht f眉r einzelne Seiten';
+$lang['current'] = 'Momentane Zugriffsregeln';
+$lang['where'] = 'Seite/Namensraum';
+$lang['who'] = 'Nutzer/Gruppe';
+$lang['perm'] = 'Berechtigungen';
+$lang['acl_perm0'] = 'Keine';
+$lang['acl_perm1'] = 'Lesen';
+$lang['acl_perm2'] = 'Bearbeiten';
+$lang['acl_perm4'] = 'Anlegen';
+$lang['acl_perm8'] = 'Hochladen';
+$lang['acl_perm16'] = 'Entfernen';
+$lang['acl_new'] = 'Eintrag hinzuf眉gen';
+$lang['acl_mod'] = 'Eintrag bearbeiten';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/el/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/el/help.txt
new file mode 100644
index 000000000..ea2f816c0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/el/help.txt
@@ -0,0 +1,10 @@
+=== 螕蟻萎纬慰蟻畏 螔慰萎胃蔚喂伪: ===
+
+危蟿畏 蟽蔚位委未伪 伪蠀蟿萎 渭蟺慰蟻蔚委蟿蔚 谓伪 蟺蟻慰蟽胃苇蟽蔚蟿蔚 魏伪喂 伪蠁伪喂蟻苇蟽蔚蟿蔚 未喂魏伪喂蠋渭伪蟿伪 蟺蟻蠈蟽尾伪蟽畏蟼 纬喂伪 蠁伪魏苇位慰蠀蟼 魏伪喂 蟽蔚位委未蔚蟼 蟽蟿慰 wiki 蟽伪蟼.
+
+韦慰 伪蟻喂蟽蟿蔚蟻蠈 蟺位伪委蟽喂慰 未蔚委蠂谓蔚喂 蠈位慰蠀蟼 蟿慰蠀蟼 未喂伪胃苇蟽喂渭慰蠀蟼 蠁伪魏苇位慰蠀蟼 魏伪喂 伪蟻蠂蔚委伪.
+
+螚 蟺伪蟻伪蟺维谓蠅 蠁蠈蟻渭伪 蔚蟺喂蟿蟻苇蟺蔚喂 谓伪 未蔚委蟿蔚 魏伪喂 谓伪 蟿蟻慰蟺慰蟺慰喂萎蟽蔚蟿蔚 蟿伪 未喂伪魏伪喂蠋渭伪蟿伪 渭委伪蟼 蔚蟺喂位蔚纬渭苇谓畏蟼 慰渭维未伪蟼 蠂蟻畏蟽蟿蠋谓 萎 蔚谓蠈蟼 蠂蟻萎蟽蟿畏.
+
+危蟿慰谓 蟺伪蟻伪魏维蟿蠅 蟺委谓伪魏伪 蔚渭蠁伪谓委味慰谓蟿伪喂 蠈位慰喂 慰喂 蟿蟻苇蠂慰谓蟿蔚蟼 魏伪谓蠈谓蔚蟼 蟺伪蟻伪蠂蠋蟻畏蟽畏蟼 未喂魏伪喂蠅渭维蟿蠅谓 蟺蟻蠈蟽尾伪蟽畏蟼. 螠蟺慰蟻蔚委蟿蔚 谓伪 蟿慰谓 蠂蟻畏蟽喂渭慰蟺慰喂萎蟽蔚蟿蔚 蠋蟽蟿蔚 谓伪 蟽尾萎蟽蔚蟿蔚 萎 谓伪 蟿蟻慰蟺慰蟺慰喂萎蟽蔚蟿蔚 纬蟻萎纬慰蟻伪 蟺慰位位伪蟺位慰蠉蟼 魏伪谓蠈谓蔚蟼.
+螖喂伪尾维味慰谓蟿伪蟼 蟿畏谓 [[doku>acl|蔚蟺委蟽畏渭畏 蟿蔚魏渭畏蟻委蠅蟽畏 纬喂伪 蟿喂蟼 螞委蟽蟿蔚蟼 螖喂魏伪喂蠅渭维蟿蠅谓 螤蟻蠈蟽尾伪蟽畏蟼 - ACL]] 委蟽蠅蟼 蟽伪蟼 尾慰畏胃萎蟽蔚喂 谓伪 魏伪蟿伪位维尾蔚蟿蔚 蟺位萎蟻蠅蟼 蟿慰 蟺蠅蟼 伪蠀蟿苇蟼 蔚蠁伪蟻渭蠈味慰谓蟿伪喂 蟽蟿畏谓 DokuWiki. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/el/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/el/lang.php
new file mode 100644
index 000000000..da8a72ab3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/el/lang.php
@@ -0,0 +1,43 @@
+<?php
+/**
+ * Greek language file
+ *
+ * Based on DokuWiki Version rc2007-05-24 english language file
+ * Original english language file contents included for reference
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Anika Henke <anika@selfthinker.org>
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ * @author Thanos Massias <tm@thriasio.gr>
+ * @author 螒胃伪谓维蟽喂慰蟼 螡蟿伪萎蟼 <homunculus@wana.gr>
+ * @author Konstantinos Koryllos <koryllos@gmail.com>
+ */
+$lang['admin_acl'] = '螖喂伪蠂蔚委蟻喂蟽畏 螖喂魏伪喂蠅渭维蟿蠅谓 螤蟻蠈蟽尾伪蟽畏蟼';
+$lang['acl_group'] = '螣渭维未伪';
+$lang['acl_user'] = '围蟻萎蟽蟿畏蟼';
+$lang['acl_perms'] = '螖喂魏伪喂蠋渭伪蟿伪 纬喂伪';
+$lang['page'] = '危蔚位委未伪';
+$lang['namespace'] = '桅维魏蔚位慰蟼';
+$lang['btn_select'] = '螘蟺喂位慰纬萎';
+$lang['p_user_id'] = '螣 蠂蟻萎蟽蟿畏蟼 <b class="acluser">%s</b> 苇蠂蔚喂 蟿伪 伪魏蠈位慰蠀胃伪 未喂魏伪喂蠋渭伪蟿伪 蟺蟻蠈蟽尾伪蟽畏蟼 蟽蟿畏谓 蟽蔚位委未伪 <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_user_ns'] = '螣 蠂蟻萎蟽蟿畏蟼 <b class="acluser">%s</b> 苇蠂蔚喂 蟿伪 伪魏蠈位慰蠀胃伪 未喂魏伪喂蠋渭伪蟿伪 蟺蟻蠈蟽尾伪蟽畏蟼 蟽蟿慰谓 蠁维魏蔚位慰 <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_group_id'] = '韦伪 渭苇位畏 蟿畏蟼 慰渭维未伪蟼 <b class="aclgroup">%s</b> 苇蠂慰蠀谓 蟿伪 伪魏蠈位慰蠀胃伪 未喂魏伪喂蠋渭伪蟿伪 蟺蟻蠈蟽尾伪蟽畏蟼 蟽蟿畏谓 蟽蔚位委未伪 <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_group_ns'] = '韦伪 渭苇位畏 蟿畏蟼 慰渭维未伪蟼 <b class="aclgroup">%s</b> 苇蠂慰蠀谓 蟿伪 伪魏蠈位慰蠀胃伪 未喂魏伪喂蠋渭伪蟿伪 蟺蟻蠈蟽尾伪蟽畏蟼 蟽蟿慰谓 蠁维魏蔚位慰 <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_choose_id'] = '螤伪蟻伪魏伪位蠋 <b>未蠋蟽蟿蔚 苇谓伪 蠈谓慰渭伪 蠂蟻萎蟽蟿畏 萎 慰渭维未伪蟼 蠂蟻畏蟽蟿蠋谓</b> 蟽蟿畏谓 蟺伪蟻伪蟺维谓蠅 渭慰蟻蠁萎 纬喂伪 谓伪 未蔚委蟿蔚 蟿伪 伪谓蟿委蟽蟿慰喂蠂伪 未喂魏伪喂蠋渭伪蟿伪 蟺蟻蠈蟽尾伪蟽畏蟼 纬喂伪 蟿畏谓 蟽蔚位委未伪 <b class="aclpage">%s</b>.';
+$lang['p_choose_ns'] = '螤伪蟻伪魏伪位蠋 <b>未蠋蟽蟿蔚 苇谓伪 蠈谓慰渭伪 蠂蟻萎蟽蟿畏 萎 慰渭维未伪蟼 蠂蟻畏蟽蟿蠋谓</b> 蟽蟿畏谓 蟺伪蟻伪蟺维谓蠅 渭慰蟻蠁萎 纬喂伪 谓伪 未蔚委蟿蔚 蟿伪 伪谓蟿委蟽蟿慰喂蠂伪 未喂魏伪喂蠋渭伪蟿伪 蟺蟻蠈蟽尾伪蟽畏蟼 纬喂伪 蟿慰谓 蠁维魏蔚位慰 <b class="aclns">%s</b>.';
+$lang['p_inherited'] = '危畏渭蔚委蠅蟽畏: 螒蠀蟿维 蟿伪 未喂伪魏伪喂蠋渭伪蟿伪 蠂蟻萎蟽畏蟼 未蔚谓 慰蟻委蟽蟿畏魏伪谓 维渭蔚蟽伪 伪位位维 魏位畏蟻慰谓慰渭萎胃畏魏伪谓 伪蟺蠈 维位位蔚蟼 慰渭维未蔚蟼 蠂蟻畏蟽蟿蠋谓 萎 蠁伪魏苇位慰蠀蟼 蟽蔚 蠀蠄畏位蠈蟿蔚蟻慰 蔚蟺委蟺蔚未慰.';
+$lang['p_isadmin'] = '危畏渭蔚委蠅蟽畏: 螚 蔚蟺喂位蔚纬渭苇谓畏 慰渭维未伪 蠂蟻畏蟽蟿蠋谓 萎 蠂蟻萎蟽蟿畏蟼 苇蠂蔚喂 蟺维谓蟿伪 蟺位萎蟻畏 未喂伪魏伪喂蠋渭伪蟿伪 蟺蟻蠈蟽尾伪蟽畏蟼 未喂蠈蟿喂 蔚委谓伪喂 未畏位蠅渭苇谓慰蟼 蟽伪谓 蠀蟺蔚蟻蠂蟻萎蟽蟿畏蟼 (superuser).';
+$lang['p_include'] = '韦伪 蠀蠄畏位蠈蟿蔚蟻伪 未喂魏伪喂蠋渭伪蟿伪 蟺蟻蠈蟽尾伪蟽畏蟼 蟺蔚蟻喂位伪渭尾维谓慰蠀谓 蟿伪 蠂伪渭畏位蠈蟿蔚蟻伪. 韦伪 未喂魏伪喂蠋渭伪蟿伪 纬喂伪 螖畏渭喂慰蠀蟻纬委伪, 桅蠈蟻蟿蠅蟽畏 魏伪喂 螖喂伪纬蟻伪蠁萎 伪蠁慰蟻慰蠉谓 渭蠈谓慰 蠁伪魏苇位慰蠀蟼 魏伪喂 蠈蠂喂 蟽蔚位委未蔚蟼. ';
+$lang['current'] = '韦蟻苇蠂慰谓蟿蔚蟼 魏伪谓蠈谓蔚蟼 螞委蟽蟿伪蟼 螖喂魏伪喂蠅渭维蟿蠅谓 螤蟻蠈蟽尾伪蟽畏蟼 - ACL';
+$lang['where'] = '危蔚位委未伪/桅维魏蔚位慰蟼';
+$lang['who'] = '围蟻萎蟽蟿畏蟼/螣渭维未伪 蠂蟻畏蟽蟿蠋谓';
+$lang['perm'] = '螖喂魏伪喂蠋渭伪蟿伪 蟺蟻蠈蟽尾伪蟽畏蟼';
+$lang['acl_perm0'] = '螝伪谓苇谓伪';
+$lang['acl_perm1'] = '螒谓维纬谓蠅蟽畏';
+$lang['acl_perm2'] = '韦蟻慰蟺慰蟺慰委畏蟽畏';
+$lang['acl_perm4'] = '螖畏渭喂慰蠀蟻纬委伪';
+$lang['acl_perm8'] = '桅蠈蟻蟿蠅蟽畏';
+$lang['acl_perm16'] = '螖喂伪纬蟻伪蠁萎';
+$lang['acl_new'] = '螤蟻慰蟽胃萎魏畏 谓苇伪蟼 蔚纬纬蟻伪蠁萎蟼';
+$lang['acl_mod'] = '韦蟻慰蟺慰蟺慰委畏蟽畏 蔚纬纬蟻伪蠁萎蟼';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/en/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/en/help.txt
new file mode 100644
index 000000000..2b80cc4c7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/en/help.txt
@@ -0,0 +1,12 @@
+=== Quick Help: ===
+
+On this page you can add and remove permissions for namespaces and pages in your wiki.
+
+The left pane displays all available namespaces and pages.
+
+The form above allows you to see and modify the permissions of a selected user or group.
+
+In the table below all currently set access control rules are shown. You can use it to quickly delete or change multiple rules.
+
+Reading the [[doku>acl|official documentation on ACL]] might help you to fully understand how access control works in DokuWiki.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/en/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/en/lang.php
new file mode 100644
index 000000000..38ff20c88
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/en/lang.php
@@ -0,0 +1,46 @@
+<?php
+/**
+ * english language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Anika Henke <anika@selfthinker.org>
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ */
+
+$lang['admin_acl'] = 'Access Control List Management';
+$lang['acl_group'] = 'Group';
+$lang['acl_user'] = 'User';
+$lang['acl_perms'] = 'Permissions for';
+$lang['page'] = 'Page';
+$lang['namespace'] = 'Namespace';
+
+$lang['btn_select'] = 'Select';
+
+$lang['p_user_id'] = 'User <b class="acluser">%s</b> currently has the following permissions on page <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_user_ns'] = 'User <b class="acluser">%s</b> currently has the following permissions in namespace <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_group_id'] = 'Members of group <b class="aclgroup">%s</b> currently have the following permissions on page <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_group_ns'] = 'Members of group <b class="aclgroup">%s</b> currently have the following permissions in namespace <b class="aclns">%s</b>: <i>%s</i>.';
+
+$lang['p_choose_id'] = 'Please <b>enter a user or group</b> in the form above to view or edit the permissions set for the page <b class="aclpage">%s</b>.';
+$lang['p_choose_ns'] = 'Please <b>enter a user or group</b> in the form above to view or edit the permissions set for the namespace <b class="aclns">%s</b>.';
+
+
+$lang['p_inherited'] = 'Note: Those permissions were not set explicitly but were inherited from other groups or higher namespaces.';
+$lang['p_isadmin'] = 'Note: The selected group or user has always full permissions because it is configured as superuser.';
+$lang['p_include'] = 'Higher permissions include lower ones. Create, Upload and Delete permissions only apply to namespaces, not pages.';
+
+$lang['current'] = 'Current ACL Rules';
+$lang['where'] = 'Page/Namespace';
+$lang['who'] = 'User/Group';
+$lang['perm'] = 'Permissions';
+
+$lang['acl_perm0'] = 'None';
+$lang['acl_perm1'] = 'Read';
+$lang['acl_perm2'] = 'Edit';
+$lang['acl_perm4'] = 'Create';
+$lang['acl_perm8'] = 'Upload';
+$lang['acl_perm16'] = 'Delete';
+$lang['acl_new'] = 'Add new Entry';
+$lang['acl_mod'] = 'Modify Entry';
+//Setup VIM: ex: et ts=2 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/eo/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/eo/help.txt
new file mode 100644
index 000000000..0c03b8605
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/eo/help.txt
@@ -0,0 +1,11 @@
+=== Helpeto: ===
+
+En tiu 膲i pa臐o vi povas aldoni kaj forigi rajtojn por nomspacoj kaj pa臐oj en via vikio.
+
+La maldekstra panelo montras 膲iujn disponeblajn nomspacojn kaj pa臐ojn.
+
+La suba agordilo permesas al vi rigardi kaj modifi la rajtojn de elektita uzanto a怒 grupo.
+
+En la suba tabelo 膲iuj aktuale difinitaj alirkontrolaj reguloj estas montritaj. Vi povas uzi 臐in por rapide forigi a怒 艥an臐i multoblajn regulojn.
+
+Legado de la [[doku>acl|oficiala dokumentaro pri ACL]] povus helpi vin bone kompreni kiel alirkontrolo funkcias en DokuWiki. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/eo/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/eo/lang.php
new file mode 100644
index 000000000..97a07c3c9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/eo/lang.php
@@ -0,0 +1,40 @@
+<?php
+/**
+ * Esperantolanguage file
+ *
+ * @author Felipe Castro <fefcas@uol.com.br>
+ * @author Felipo Kastro <fefcas@gmail.com>
+ * @author Felipe Castro <fefcas@gmail.com>
+ * @author Robert Bogenschneider <robog@gmx.de>
+ * @author Erik Pedersen <erik pedersen@shaw.ca>
+ * @author Erik Pedersen <erik.pedersen@shaw.ca>
+ * @author Robert Bogenschneider <robog@GMX.de>
+ */
+$lang['admin_acl'] = 'Administrado de Alirkontrola Listo (ACL)';
+$lang['acl_group'] = 'Grupo';
+$lang['acl_user'] = 'Uzanto';
+$lang['acl_perms'] = 'Rajtoj por';
+$lang['page'] = 'Pa臐o';
+$lang['namespace'] = 'Nomspaco';
+$lang['btn_select'] = 'Elekti';
+$lang['p_user_id'] = 'Uzanto <b class="acluser">%s</b> aktuale havas la jenajn rajtojn en la pa臐o <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_user_ns'] = 'Uzanto <b class="acluser">%s</b> aktuale havas la jenajn rajtojn en la nomspaco <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_group_id'] = 'Anoj de la grupo <b class="aclgroup">%s</b> aktuale havas la jenajn rajtojn en la pa臐o <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_group_ns'] = 'Anoj de la grupo <b class="aclgroup">%s</b> aktuale havas la jenajn rajtojn en la nomspaco <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_choose_id'] = 'Bonvole <b>enmeti uzanton a怒 grupon</b> en la suba agordilo por rigardi a怒 redakti la aron da rajtoj por la pa臐o <b class="aclpage">%s</b>.';
+$lang['p_choose_ns'] = 'Bonvole <b>enmeti uzanton a怒 grupon</b> en la suba agordilo por rigardi a怒 redakti la aron da rajtoj por la nomspaco <b class="aclns">%s</b>.';
+$lang['p_inherited'] = 'Rimarko: tiuj rajtoj ne estis rekte difinitaj, sed ili estis hereditaj el aliaj pli superaj grupoj a怒 nomspacoj.';
+$lang['p_isadmin'] = 'Rimarko: la elektita grupo a怒 uzanto 膲iam havas plenan rajtaron 膲ar 臐i estas difinita kiel superuzanto.';
+$lang['p_include'] = 'Plialtaj permesoj inkluzivas malpli altajn. La permesoj por Krei, Al艥uti kaj Forigi nur aplikeblas al nomspacoj, ne al pa臐oj.';
+$lang['current'] = 'Aktuala regularo ACL';
+$lang['where'] = 'Pa臐o/Nomspaco';
+$lang['who'] = 'Uzanto/Grupo';
+$lang['perm'] = 'Rajtoj';
+$lang['acl_perm0'] = 'Nenio';
+$lang['acl_perm1'] = 'Legi';
+$lang['acl_perm2'] = 'Redakti';
+$lang['acl_perm4'] = 'Krei';
+$lang['acl_perm8'] = 'Al艥uti';
+$lang['acl_perm16'] = 'Forigi';
+$lang['acl_new'] = 'Aldoni novan Enmeta牡on';
+$lang['acl_mod'] = 'Modifi Enmeta牡on';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/es/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/es/help.txt
new file mode 100644
index 000000000..c683477a8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/es/help.txt
@@ -0,0 +1,11 @@
+=== Ayuda r谩pida: ===
+
+En esta p谩gina puede agregar o retirar permisos para los espacios de nombres y p谩ginas en su wiki.
+
+El panel de la izquierda muiestra todos los espacios de nombres y p谩ginas
+
+El formulario inferior permite ver y modificar los permisos del usuario o grupo elegido.
+
+En la tabla anterior se muestran todas las reglas de control de acceso vigentes Puede usarla para borrar o cambiar varias reglas r谩pidamente.
+
+Consultar el [[doku>acl|official documentation on ACL]] puede ayudarle a entender completamente como el control de acceso trabaja en DokuWiki.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/es/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/es/lang.php
new file mode 100644
index 000000000..3a23c71da
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/es/lang.php
@@ -0,0 +1,48 @@
+<?php
+/**
+ * Spanish language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Miguel Pagano <miguel.pagano@gmail.com>
+ * @author Oscar M. Lage <r0sk10@gmail.com>
+ * @author Gabriel Castillo <gch@pumas.ii.unam.mx>
+ * @author oliver@samera.com.py
+ * @author Enrico Nicoletto <liverig@gmail.com>
+ * @author Manuel Meco <manuel.meco@gmail.com>
+ * @author VictorCastelan <victorcastelan@gmail.com>
+ * @author Jordan Mero hack.jord@gmail.com
+ * @author Felipe Martinez <metalmartinez@gmail.com>
+ * @author Javier Aranda <internet@javierav.com>
+ * @author Zerial <fernando@zerial.org>
+ * @author Marvin Ortega <maty1206@maryanlinux.com>
+ * @author Daniel Castro Alvarado <dancas2@gmail.com>
+ * @author Fernando J. G贸mez <fjgomez@gmail.com>
+ */
+$lang['admin_acl'] = 'Administraci贸n de lista de control de acceso';
+$lang['acl_group'] = 'Grupo';
+$lang['acl_user'] = 'Usuario';
+$lang['acl_perms'] = 'Permiso para';
+$lang['page'] = 'P谩gina';
+$lang['namespace'] = 'Espacio de nombres';
+$lang['btn_select'] = 'Seleccionar';
+$lang['p_user_id'] = 'El usuario <b class="acluser">%s</b> tiene los siguientes permisos sobre la p谩gina <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_user_ns'] = 'El usuario <b class="acluser">%s</b> tiene los siguientes permisos sobre el espacio de nombres <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_group_id'] = 'Los miembros del grupo <b class="aclgroup">%s</b> tienen actualmente los siguientes permisos sobre la p谩gina <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_group_ns'] = 'Los miembros del grupo <b class="aclgroup">%s</b> tienen actualmente los siguientes permisos sobre el espacio de nombres <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_choose_id'] = 'Por favor <b>proporcione un usuario o grupo</b>en el formulario arriba mostrado para ver o editar los permisos asignados sobre la p谩gina<b class="aclpage">%s</b>.';
+$lang['p_choose_ns'] = 'Por favor <b>proporcione un usuario o grupo</b>en el formulario arriba mostrado para ver o editar los permisos asignados sobre el espacio de nombres <b class="aclns">%s</b>.';
+$lang['p_inherited'] = 'Nota: Esos permisos no fueron establecidos expl铆citamente sino que fueron heredados desde otros grupos o espacios de nombres superiores';
+$lang['p_isadmin'] = 'Nota: El grupo o usuario seleccionado simepre tiene permisos totales debido a que se encuentra configurado como superusuario.';
+$lang['p_include'] = 'Los permisos superiores incluyen a los inferiores. Los permisos Crear, Cargar y Eliminar s贸lo se aplican a los espacios de nombres, no a las p谩ginas.';
+$lang['current'] = 'Reglas ACL vigentes';
+$lang['where'] = 'P谩gina/Espacio de nombres';
+$lang['who'] = 'Usuario/Grupo';
+$lang['perm'] = 'Permisos';
+$lang['acl_perm0'] = 'ninguno';
+$lang['acl_perm1'] = 'Leer';
+$lang['acl_perm2'] = 'Editar';
+$lang['acl_perm4'] = 'Crear';
+$lang['acl_perm8'] = 'Subir un fichero';
+$lang['acl_perm16'] = 'Borrar';
+$lang['acl_new'] = 'Agregar una nueva entrada';
+$lang['acl_mod'] = 'Modificar una entrada';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/et/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/et/lang.php
new file mode 100644
index 000000000..e90d5eaa9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/et/lang.php
@@ -0,0 +1,23 @@
+<?php
+/**
+ * Estonian language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Oliver S6ro <seem.iges@mail.ee>
+ * @author Aari Juhanson <aari@vmg.vil.ee>
+ * @author Kaiko Kaur <kaiko@kultuur.edu.ee>
+ */
+
+$lang['admin_acl'] = 'Ligip盲盲sukontrolli nimekirja haldamine';
+$lang['acl_group'] = 'Grupp';
+$lang['acl_user'] = 'Kasutaja';
+$lang['acl_perms'] = 'Lubatud';
+$lang['page'] = 'leht';
+$lang['namespace'] = 'alajaotus';
+
+$lang['acl_perm1'] = 'Lugemine';
+$lang['acl_perm2'] = 'Toimetamine';
+$lang['acl_perm4'] = 'Tekitamine';
+$lang['acl_perm8'] = '脺les laadimine';
+$lang['acl_new'] = 'Uue kirje lisamine';
+//Setup VIM: ex: et ts=2 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/eu/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/eu/help.txt
new file mode 100644
index 000000000..9e6070a10
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/eu/help.txt
@@ -0,0 +1,11 @@
+=== Laguntza Bizkorra: ===
+
+Orri honetan wiki-ko orri eta izen-espazioen baimenak gehitu eta kendu ahal ditzakezu.
+
+Ezkerreko panelak eskuragarri dauden orri eta izen-espazioak erakusten ditu.
+
+Goiko formularioak aukeratutako erabiltzaile edo taldearen baimenak ikusi eta aldatzea ahalbidetzen dizu.
+
+Beheko taulan une honetan ezarritako atzipen kontrol arauak daude. Hainbat arau bizkor ezabatu edo aldatzeko erabili dezakezu.
+
+[[doku>acl|Atzipen Kontrol Listen inguruko dokumentazio ofiziala]] irakurtzeak atzipen kontrolak DokuWiki-n nola funtzionatzen duen ulertzen lagundu zaitzaike.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/eu/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/eu/lang.php
new file mode 100644
index 000000000..99e70ad00
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/eu/lang.php
@@ -0,0 +1,34 @@
+<?php
+/**
+ * Basque language file
+ *
+ * @author Inko Illarramendi <inko.i.a@gmail.com>
+ */
+$lang['admin_acl'] = 'Atzipen Kontrol Listaren Kudeaketa';
+$lang['acl_group'] = 'Taldea';
+$lang['acl_user'] = 'Erabiltzailea';
+$lang['acl_perms'] = 'Baimenak honetarako:';
+$lang['page'] = 'Orria';
+$lang['namespace'] = 'Izen-espazioa';
+$lang['btn_select'] = 'Aukeratu';
+$lang['p_user_id'] = '<b class="acluser">%s</b> erabiltzaileak une honetan honako baimenak ditu <b class="aclpage">%s</b> orrian: <i>%s</i>.';
+$lang['p_user_ns'] = '<b class="acluser">%s</b> erabiltzaileak une honetan honako baimenak ditu <b class="aclns">%s</b> izen-espazioan: <i>%s</i>.';
+$lang['p_group_id'] = '<b class="aclgroup">%s</b> taldeko kideek une honetan honako baimenak dituzte <b class="aclpage">%s</b> orrian: <i>%s</i>.';
+$lang['p_group_ns'] = '<b class="aclgroup">%s</b> taldeko kideek une honetan honako baimenak dituzte <b class="aclns">%s</b> izen-espazioan: <i>%s</i>.';
+$lang['p_choose_id'] = 'Mesedez <b>sartu erabiltzaile edo taldea</b> goiko formularioan <b class="aclpage">%s</b> orrian ezarritako baimenak ikusi edo aldatzeko.';
+$lang['p_choose_ns'] = 'Mesedez <b>sartu erabiltzaile edo taldea</b> goiko formularioan <b class="aclns">%s</b> izen-espazioan ezarritako baimenak ikusi edo aldatzeko.';
+$lang['p_inherited'] = 'Oharra: Baimen horiek ez dira esplizituki jarriak, beste talde batzuetatik edo goragoko izen-espazioetatik heredatuak baizik.';
+$lang['p_isadmin'] = 'Oharra: Aukeratutako talde edo erabiltzaileak beti daika baimen osoa, supererabiltzaile gisa konfiguratuta baitago.';
+$lang['p_include'] = 'Baimen handiagoek baimen txikiagoak barneratzen dituzte. Sortu, Igo eta Ezabatu baimenak izen-espazioei soilik aplikatzen zaizkie, ez orriei.';
+$lang['current'] = 'Uneko AKL Arauak';
+$lang['where'] = 'Orria/Izen-espazioa';
+$lang['who'] = 'Erabiltzailea/Taldea';
+$lang['perm'] = 'Baimenak';
+$lang['acl_perm0'] = 'Inork';
+$lang['acl_perm1'] = 'Irakurri';
+$lang['acl_perm2'] = 'Editatu';
+$lang['acl_perm4'] = 'Sortu';
+$lang['acl_perm8'] = 'Igo';
+$lang['acl_perm16'] = 'Ezabatu';
+$lang['acl_new'] = 'Sarrera berri bat gehitu';
+$lang['acl_mod'] = 'Aldatu Sarrera';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/fa/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/fa/help.txt
new file mode 100644
index 000000000..1ec797faf
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/fa/help.txt
@@ -0,0 +1,11 @@
+=== 乇丕賴賳賲丕: ===
+
+丿乇 丕蹖賳 氐賮丨賴 卮賲丕 賲蹖鈥屫堌з嗃屫 丿爻鬲乇爻蹖 氐賮丨丕鬲 賵 賮囟丕蹖鈥屬嗀з呪屬囏 乇丕 賲丿蹖乇蹖鬲 讴賳蹖丿.
+
+丿乇 賯爻賲鬲 爻賲鬲 乇丕爻鬲貙 賱蹖爻鬲 鬲賲丕賲 氐賮丨丕鬲 賵 賮囟丕蹖鈥屬嗀з呪屬囏 乇丕 賲卮丕賴丿賴 賲蹖鈥屭┵嗃屫.
+
+丿乇 賮乇賲 亘丕賱丕 賲蹖鈥屫堌з嗃屫 丿爻鬲乇爻蹖鈥屬囏й 讴丕乇亘乇丕賳 賵 诏乇賵賴鈥屬囏й 賲禺鬲賱賮 乇丕 賲卮丕賴丿賴 賵 賵蹖乇丕蹖卮 讴賳蹖丿.
+
+丿乇 噩丿賵賱 夭蹖乇貙 鬲賲丕賲蹖 賯賵丕賳蹖賳 賲丿蹖乇蹖鬲蹖 乇丕 賲卮丕賴丿賴 賲蹖鈥屭┵嗃屫. 卮賲丕 賲蹖鈥屫堌з嗃屫 丌賳鈥屬囏 乇丕 丨匕賮 蹖丕 鬲毓丿丕丿蹖 丕夭 丌賳鈥屬囏 乇賵 鬲睾蹖蹖乇 丿賴蹖丿.
+
+賲賲讴賳 丕爻鬲 禺賵丕賳丿賳 [[doku>acl|賲胤賱亘 乇爻賲蹖 丿乇 賲賵乇丿 賲丿蹖乇蹖鬲 丿爻鬲乇爻蹖鈥屬囏] 卮賲丕 乇丕 丿乇 丿乇讴 亘賴鬲乇 丕蹖賳 賯爻賲鬲 DokuWiki 蹖丕乇蹖 讴賳丿. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/fa/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/fa/lang.php
new file mode 100644
index 000000000..ed576c271
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/fa/lang.php
@@ -0,0 +1,38 @@
+<?php
+/**
+ * Persian language file
+ *
+ * @author behrad eslamifar <behrad_es@yahoo.com)
+ * @author Mohsen Firoozmandan <info@mambolearn.com>
+ * @author omidmr@gmail.com
+ * @author Omid Mottaghi <omidmr@gmail.com>
+ * @author Mohammad Reza Shoaei <shoaei@gmail.com>
+ */
+$lang['admin_acl'] = '賲丿蹖乇蹖鬲 讴賳鬲乇賱 丿爻鬲乇爻蹖鈥屬囏';
+$lang['acl_group'] = '诏乇賵賴';
+$lang['acl_user'] = '讴丕乇亘乇';
+$lang['acl_perms'] = '賲噩賵夭 亘乇丕蹖';
+$lang['page'] = '氐賮丨賴';
+$lang['namespace'] = '賮囟丕蹖鈥屬嗀з';
+$lang['btn_select'] = '丕賳鬲禺丕亘';
+$lang['p_user_id'] = '讴丕乇亘乇 <b class="acluser">%s</b> 丿爻鬲乇爻蹖鈥屬囏й 夭蹖乇 乇丕 亘乇丕蹖 氐賮丨賴鈥屰 <b class="aclpage">%s</b> 丿丕乇丿: <i>%s</i>.';
+$lang['p_user_ns'] = '讴丕乇亘乇 <b class="acluser">%s</b> 丿爻鬲乇爻蹖鈥屬囏й 夭蹖乇 乇丕 亘乇丕蹖 賮囟丕蹖鈥屬嗀з <b class="aclpage">%s</b> 丿丕乇丿: <i>%s</i>.';
+$lang['p_group_id'] = '丕毓囟丕蹖 诏乇賵賴 <b class="aclgroup">%s</b> 丿爻鬲乇爻蹖鈥屬囏й 夭蹖乇 乇丕 亘乇丕蹖 氐賮丨賴鈥屰 <b class="aclpage">%s</b> 丿丕乇賳丿: <i>%s</i>.';
+$lang['p_group_ns'] = '丕毓囟丕蹖 诏乇賵賴 <b class="aclgroup">%s</b> 丿爻鬲乇爻蹖鈥屬囏й 夭蹖乇 乇丕 亘乇丕蹖 賮囟丕蹖鈥屬嗀з <b class="aclpage">%s</b> 丿丕乇賳丿: <i>%s</i>.';
+$lang['p_choose_id'] = '禺賵丕賴卮賲賳丿蹖賲 <b>賳丕賲 蹖讴 讴丕乇亘乇 蹖丕 诏乇賵賴</b> 乇丕 丿乇 賮乇賲 亘丕賱丕 賵丕乇丿 讴賳蹖丿 鬲丕 丿爻鬲乇爻蹖鈥屬囏й 丌賳 乇丕 亘乇丕蹖 氐賮丨賴鈥屰 <b class="aclpage">%s</b> 亘亘蹖賳蹖丿 賵 賵蹖乇丕蹖卮 讴賳蹖丿.';
+$lang['p_choose_ns'] = '禺賵丕賴卮賲賳丿蹖賲 <b>賳丕賲 蹖讴 讴丕乇亘乇 蹖丕 诏乇賵賴</b> 乇丕 丿乇 賮乇賲 亘丕賱丕 賵丕乇丿 讴賳蹖丿 鬲丕 丿爻鬲乇爻蹖鈥屬囏й 丌賳 乇丕 亘乇丕蹖 賮囟丕蹖鈥屬嗀з <b class="aclpage">%s</b> 亘亘蹖賳蹖丿 賵 賵蹖乇丕蹖卮 讴賳蹖丿.';
+$lang['p_inherited'] = '鬲賵噩賴: 丿爻鬲乇爻蹖鈥屬囏 賲爻鬲賯蹖賲賳 賲賯丿丕乇丿賴蹖 賳卮丿賴 丕爻鬲貙 亘賱讴賴 丕夭 诏乇賵賴鈥屬囏й 亘丕賱丕 蹖丕 賮囟丕蹖鈥屬嗀з 诏乇賮鬲賴 卮丿賴 丕爻鬲.';
+$lang['p_isadmin'] = '鬲賵噩賴: 讴丕乇亘乇 蹖丕 诏乇賵賴 丕賳鬲禺丕亘 卮丿賴 賴賲蹖卮賴 亘丕 鬲賲丕賲 丿爻鬲乇爻蹖 賲蹖鈥屫ㄘж簇 夭蹖乇丕 亘賴 毓賳賵丕賳 芦superuser禄 丕賳鬲禺丕亘 卮丿賴 丕爻鬲.';
+$lang['p_include'] = '丿爻鬲乇爻蹖鈥屬囏й 亘丕賱丕貙 丿爻鬲乇爻蹖鈥屬囏й 倬丕蹖蹖賳 乇丕 卮丕賲賱 賲蹖鈥屫促堌. 丕蹖噩丕丿貙 丕乇爻丕賱 賵 丨匕賮 賮賯胤 亘賴 賮囟丕蹖鈥屬嗀з 丕賱丨丕賯 賲蹖鈥屫促堌.';
+$lang['current'] = '賯賵丕賳蹖賳 丿爻鬲乇爻蹖 賮毓賱蹖';
+$lang['where'] = '氐賮丨賴/賮囟丕蹖鈥屬嗀з';
+$lang['who'] = '讴丕乇亘乇/诏乇賵賴';
+$lang['perm'] = '丿爻鬲乇爻蹖鈥屬囏';
+$lang['acl_perm0'] = '賴蹖趩鈥屭┴з';
+$lang['acl_perm1'] = '禺賵丕賳丿賳';
+$lang['acl_perm2'] = '賵蹖夭丕蹖卮';
+$lang['acl_perm4'] = '丕蹖噩丕丿';
+$lang['acl_perm8'] = '丕乇爻丕賱';
+$lang['acl_perm16'] = '丨匕賮';
+$lang['acl_new'] = '丕囟丕賮賴 讴乇丿賳 賵乇賵丿蹖 噩丿蹖丿';
+$lang['acl_mod'] = '賵蹖乇丕蹖卮 賵乇賵丿蹖';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/fi/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/fi/help.txt
new file mode 100644
index 000000000..d821f2d89
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/fi/help.txt
@@ -0,0 +1,11 @@
+=== Pika-apu: ===
+
+T盲ll盲 sivulla voit lis盲t盲 tai poistaa oikeuksia wikisi nimiavaruuksiin tai sivuihin.
+
+Vasen osa n盲ytt盲盲 kaikki tarjolla olevat nimiavaruudet ja sivut.
+
+Yll盲 olevan kaavakkeen avulla voit katsoa ja muokata oikeuksia valitulle k盲ytt盲j盲lle ja ryhm盲lle.
+
+Alla olevassa taulukossa on n盲kyviss盲 p盲盲ll盲 olevat p盲盲syoikeudet. Voit k盲ytt盲盲 sit盲 muokataksesi tai poistaaksesi useita oikeuksia.
+
+[[doku>acl|Virallisen k盲ytt枚oikeus (ACL) dokumentaation]] lukeminen voi helpottaa sinua t盲ysin ymm盲rt盲m盲盲n mit盲n k盲ytt枚oikeudet toimivat DokuWikiss盲.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/fi/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/fi/lang.php
new file mode 100644
index 000000000..04074d8d4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/fi/lang.php
@@ -0,0 +1,36 @@
+<?php
+/**
+ * Finnish language file
+ *
+ * @author otto@valjakko.net
+ * @author Otto Vainio <otto@valjakko.net>
+ * @author Teemu Mattila <ghcsystems@gmail.com>
+ */
+$lang['admin_acl'] = 'K盲ytt枚oikeudet (ACL)';
+$lang['acl_group'] = 'Ryhm盲';
+$lang['acl_user'] = 'K盲ytt盲j盲';
+$lang['acl_perms'] = 'Oikeudet';
+$lang['page'] = 'Sivu';
+$lang['namespace'] = 'Nimiavaruus';
+$lang['btn_select'] = 'Valitse';
+$lang['p_user_id'] = 'K盲ytt盲j盲ll盲 <b class="acluser">%s</b> on t盲ll盲 hetkell盲 seuraavat oikeudet sivulla <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_user_ns'] = 'K盲ytt盲j盲ll盲 <b class="acluser">%s</b> on t盲ll盲 hetkell盲 seuraavat oikeudet nimiavaruudessa <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_group_id'] = 'Ryhm盲n <b class="aclgroup">%s</b> j盲senill盲 on t盲ll盲 hetkell盲 seuraavat oikeudet sivulla <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_group_ns'] = 'Ryhm盲n <b class="aclgroup">%s</b> j盲senill盲 on t盲ll盲 hetkell盲 seuraavat oikeudet nimiavaruudessa <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_choose_id'] = 'Ole hyv盲 ja <b>sy枚t盲 ryhm盲n nimi</b> yll盲 olevaan kaavakkeeseen katsoaksesi tai muokataksesi oikeuksia sivulle <b class="aclpage">%s</b>.';
+$lang['p_choose_ns'] = 'Ole hyv盲 ja <b>sy枚t盲 ryhm盲n nimi</b> yll盲 olevaan kaavakkeeseen katsoaksesi tai muokataksesi oikeuksia nimiavaruuteen <b class="aclpage">%s</b>.';
+$lang['p_inherited'] = 'Huomaa: Oikeuksia ei ole erikseen asetettu, vaan ne on peritty toiselta ryhm盲lt盲 tai ylemm盲lt盲 nimiavaruudelta.';
+$lang['p_isadmin'] = 'Huomaa: Valitulla ryhm盲ll盲 tai k盲ytt盲j盲ll盲 on aina t盲ydet oikeudet, koska se on m盲盲ritelty p盲盲k盲ytt盲j盲ksi (Superuser)';
+$lang['p_include'] = 'Korkeammat oikeudet sis盲lt盲v盲t matalammat. Luonti-, L盲hetys- ja Poisto-oikeudet vaikuttavat vain nimiavaruuksiin, ei sivuihin.';
+$lang['current'] = 'T盲m盲nhetkiset k盲ytt枚oikeudet (ACL)';
+$lang['where'] = 'Sivu/Nimiavaruus';
+$lang['who'] = 'K盲ytt盲j盲/Ryhm盲';
+$lang['perm'] = 'Oikeudet';
+$lang['acl_perm0'] = 'Ei mit盲盲n';
+$lang['acl_perm1'] = 'Luku';
+$lang['acl_perm2'] = 'Muokkaus';
+$lang['acl_perm4'] = 'Luonti';
+$lang['acl_perm8'] = 'L盲hetys';
+$lang['acl_perm16'] = 'Poisto';
+$lang['acl_new'] = 'Lis盲盲 uusi';
+$lang['acl_mod'] = 'Muokkaa';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/fr/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/fr/help.txt
new file mode 100644
index 000000000..69661bb9b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/fr/help.txt
@@ -0,0 +1,9 @@
+=== Aide rapide : ===
+
+Cette page vous permet d'ajouter ou de supprimer des permissions pour les cat茅gories et les pages de votre wiki. Le panneau de gauche liste toutes les cat茅gories et les pages disponibles.
+
+Le formulaire au dessus permet d'afficher et de modifier les permissions d'un utilisateur ou d'un groupe s茅lectionn茅.
+
+Dans la table ci-dessous toutes les listes de contr么le d'acc猫s actuelles sont affich茅es. Vous pouvez l'utiliser pour supprimer ou modifier rapidement plusieurs ACLs.
+
+La lecture de [[doku>acl|la documentation officielle des ACLs]] pourra vous permettre de comprendre compl猫tement le fonctionnement du contr么le d'acc猫s dans DokuWiki.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/fr/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/fr/lang.php
new file mode 100644
index 000000000..efdc5f074
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/fr/lang.php
@@ -0,0 +1,48 @@
+<?php
+/**
+ * french language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author S茅bastien Bauer <sebastien.bauer@advalvas.be>
+ * @author Antoine Fixary <antoine.fixary@freesbee.fr>
+ * @author cumulus <pta-n56@myamail.com>
+ * @author Gwenn Gueguen <contact@demisel.net>
+ * @author Guy Brand <gb@isis.u-strasbg.fr>
+ * @author Fabien Chabreuil <fabien@integralpersonality.com>
+ * @author St茅phane Chamberland <stephane.chamberland@ec.gc.ca>
+ * @author Maurice A. LeBlanc <leblancma@cooptel.qc.ca>
+ * @author gb@isis.u-strasbg.fr
+ * @author stephane.gully@gmail.com
+ * @author Guillaume Turri <guillaume.turri@gmail.com>
+ * @author Erik Pedersen <erik.pedersen@shaw.ca>
+ * @author olivier duperray <duperray.olivier@laposte.net>
+ * @author Vincent Feltz <psycho@feltzv.fr>
+ */
+$lang['admin_acl'] = 'Gestion de la liste des contr么les d\'acc猫s (ACL)';
+$lang['acl_group'] = 'Groupe';
+$lang['acl_user'] = 'Utilisateur';
+$lang['acl_perms'] = 'Permission pour';
+$lang['page'] = 'Page';
+$lang['namespace'] = 'Cat茅gorie';
+$lang['btn_select'] = 'S茅lectionner';
+$lang['p_user_id'] = 'Permissions actuelles de l\'utilisateur <b class="acluser">%s</b> sur la page <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_user_ns'] = 'Permissions actuelles de l\'utilisateur <b class="acluser">%s</b> sur la cat茅gorie <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_group_id'] = 'Permissions actuelles des membres du groupe <b class="aclgroup">%s</b> sur la page <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_group_ns'] = 'Permissions actuelles des membres du groupe <b class="aclgroup">%s</b> sur la cat茅gorie <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_choose_id'] = 'Saisissez un nom <b>d\'utilisateur ou de groupe</b> dans le formulaire ci-dessus pour afficher ou 茅diter les permissions relatives 脿 la page <b class="aclpage">%s</b>.';
+$lang['p_choose_ns'] = 'Saisissez un nom <b>d\'utilisateur ou de groupe</b> dans le formulaire ci-dessous pour afficher ou 茅diter les permissions relatives 脿 la cat茅gorie <b class="aclns">%s</b>.';
+$lang['p_inherited'] = 'Note : Ces permissions n\'ont pas 茅t茅 explicitement fix茅es mais sont h茅rit茅es d\'autres groupes ou cat茅gories sup茅rieures.';
+$lang['p_isadmin'] = 'Note : Le groupe ou l\'utilisateur s茅lectionn茅 dispose de toutes les permissions car il est param茅tr茅 en tant que superutilisateur.';
+$lang['p_include'] = 'Les permissions les plus 茅lev茅es induisent les plus faibles. Cr茅ation, T茅l茅charger, et Effacer, ne s\'appliquent qu\'aux cat茅gories ; pas aux pages.';
+$lang['current'] = 'ACL actuelles';
+$lang['where'] = 'Page/Cat茅gorie';
+$lang['who'] = 'Utilisateur/Groupe';
+$lang['perm'] = 'Permissions';
+$lang['acl_perm0'] = 'Aucune';
+$lang['acl_perm1'] = 'Lecture';
+$lang['acl_perm2'] = '脡criture';
+$lang['acl_perm4'] = 'Cr茅ation';
+$lang['acl_perm8'] = 'T茅l茅charger';
+$lang['acl_perm16'] = 'Effacer';
+$lang['acl_new'] = 'Ajouter une nouvelle entr茅e';
+$lang['acl_mod'] = 'Modifier l\'entr茅e';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/gl/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/gl/help.txt
new file mode 100644
index 000000000..c427b182f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/gl/help.txt
@@ -0,0 +1,11 @@
+=== Axuda r谩pida: ===
+
+Nesta p谩xina pode engadir e eliminar os permisos para os nomes de espazo e as p谩xinas do seu wiki.
+
+O panel da esquerda mostra todos os nomes de espazo e p谩xinas dispo帽铆beis.
+
+O formulario de arriba perm铆telle ver e modificar os permisos da persoa usuaria ou grupo seleccionada.
+
+Na t谩boa de abaixo m贸stranse todas as regras de control de accesos estabelecidas. Pode empregala para cambiar ou eliminar varias regras dun xeito r谩pido.
+
+A lectura da [[doku>acl|documentaci贸n oficial da ACL]] pode servirlle de axuda para comprender como funciona o control de accesos no Dokuwiki.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/gl/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/gl/lang.php
new file mode 100644
index 000000000..184cf7e1d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/gl/lang.php
@@ -0,0 +1,36 @@
+<?php
+/**
+ * Galicianlanguage file
+ *
+ * @author CiberIrmandade da Fala <infoxeral@ciberirmandade.org>
+ * @author Tagen Ata <localizacion@tagenata.com>
+ * @author Leandro Regueiro <leandro.regueiro@gmail.com>
+ */
+$lang['admin_acl'] = 'Xesti贸n da Lista de control de acceso (ACL)';
+$lang['acl_group'] = 'Grupo';
+$lang['acl_user'] = 'Usuaria/o';
+$lang['acl_perms'] = 'Permisos para';
+$lang['page'] = 'P谩xina';
+$lang['namespace'] = 'Nome de espazo';
+$lang['btn_select'] = 'Selecciona';
+$lang['p_user_id'] = 'A persoa usuaria <b class="acluser">%s</b> disp贸n actualmente dos seguintes permisos na p谩xina <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_user_ns'] = 'A persoa usuaria <b class="acluser">%s</b> disp贸n actualmente dos seguintes permisos no nome de espazo <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_group_id'] = 'As e os integrantes do grupo <b class="aclgroup">%s</b> dispo帽en actualmente dos seguintes permisos na p谩xina <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_group_ns'] = 'As e os integrantes do grupo <b class="aclgroup">%s</b> dispo帽en actualmente dos seguintes permisos no nome de espazo <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_choose_id'] = '<b>Insira un nome de usuaria/o ou grupo</b> no formulario de arriba para ver ou editar os permisos estabelecidos para a p谩xina <b class="aclpage">%s</b>.';
+$lang['p_choose_ns'] = '<b>Insira un nome de usuaria/o ou grupo</b> no formulario de arriba para ver ou editar os permisos estabelecidos no nome de espazo <b class="aclns">%s</b>.';
+$lang['p_inherited'] = 'Nota: Estes permisos non foron estabelecidos explicitamente sen贸n que foron herdados doutros grupos ou nomes de espazo maiores.';
+$lang['p_isadmin'] = 'Nota: O grupo ou a persoa usuaria seleccionado ter谩 sempre permisos completos por estar configurado como superusuaria/o.';
+$lang['p_include'] = 'Os permisos m谩is grandes incl煤en os menores. Os permisos de Creaci贸n, Subida e Eliminaci贸n s贸 se aplican aos nomes de espazo, non 谩s p谩xinas.';
+$lang['current'] = 'Regras ACL actuais';
+$lang['where'] = 'P谩xina/Nome de espazo';
+$lang['who'] = 'Usuaria/o/Grupo';
+$lang['perm'] = 'Permisos';
+$lang['acl_perm0'] = 'Ning煤n';
+$lang['acl_perm1'] = 'Ler';
+$lang['acl_perm2'] = 'Editar';
+$lang['acl_perm4'] = 'Crear';
+$lang['acl_perm8'] = 'Subir ficheiros';
+$lang['acl_perm16'] = 'Eliminar';
+$lang['acl_new'] = 'Engadir una entrada';
+$lang['acl_mod'] = 'Modificar unha entrada';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/he/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/he/help.txt
new file mode 100644
index 000000000..33f2933b9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/he/help.txt
@@ -0,0 +1,11 @@
+=== 注讝专讛 讞驻讜讝讛: ===
+
+讘讚祝 讝讛 谞讬转谉 诇讛讜住讬祝 讜诇讛住讬专 讛专砖讗讜转 诇诪专讞讘讬 砖诪讜转 讜诇讚驻讬诐 讘讜讬拽讬 砖诇讱.
+
+讛爪讚 讛砖诪讗诇讬 诪爪讬讙 讗转 讻诇 诪专讘讬 讛砖诪讜转 讜讛讚驻讬诐 讛讝诪讬谞讬诐.
+
+讛讟讜驻住 诪注诇讛 诪讗驻砖专 诇讱 诇专讗讜转 讜诇砖谞讜转 讗转 讛讛专砖讗讜转 砖诇 诪砖转诪砖 讗讜 拽讘讜爪讛 谞讘讞专讬诐.
+
+讘讟讘诇讛 诪讟讛 诪讜爪讙讬诐 讻诇 讻诇诇讬 讘拽专转 讛讙讬砖讛 讛谞讜讻讞讬讬诐. 谞讬转谉 诇讛砖转诪砖 讘讛 讻讚讬 诇诪讞讜拽 讗讜 诇砖谞讜转 诪住驻专 讻诇诇讬诐 讘诪讛讬专讜转.
+
+拽专讬讗转 [[doku>acl|讛转讬注讜讚 讛专砖诪讬 诇-ACL ACL]] 讬讻讜诇讛 诇注讝讜专 诇讱 诇讛讘讬谉 讘讗讜驻谉 诪诇讗 讻讬爪讚 讘拽专转 讛讙讬砖讛 注讜讘讚转 讘讚讜拽讜讜讬拽讬.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/he/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/he/lang.php
new file mode 100644
index 000000000..7d73c63dd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/he/lang.php
@@ -0,0 +1,35 @@
+<?php
+/**
+ * hebrew language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author DoK <kamberd@yahoo.com>
+ * @author Dotan Kamber <kamberd@yahoo.com>
+ * @author Moshe Kaplan <mokplan@gmail.com>
+ */
+$lang['admin_acl'] = '谞讬讛讜诇 专砖讬诪转 讘拽专转 讙讬砖讜转';
+$lang['acl_group'] = '拽讘讜爪讛';
+$lang['acl_user'] = '诪砖转诪砖';
+$lang['acl_perms'] = '讛专砖讗讜转 注讘讜专';
+$lang['page'] = '讚祝';
+$lang['namespace'] = '诪专讞讘 砖诪讜转';
+$lang['p_user_id'] = '诇诪砖转诪砖 <b class="acluser">%s</b> 讬砖 讻专讙注 讗转 讛讛专砖讗讜转 讛讘讗讜转 讘讚祝 <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_user_ns'] = '诇诪砖转诪砖 <b class="acluser">%s</b> 讬砖 讻专讙注 讗转 讛讛专砖讗讜转 讛讘讗讜转 讘诪专讞讘 讛砖诐 <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_group_id'] = '诇讞讘专讬 拽讘讜爪转 <b class="aclgroup">%s</b> 讬砖 讻专讙注 讗转 讛讛专砖讗讜转 讛讘讗讜转 讘讚祝 <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_group_ns'] = '诇讞讘专讬 拽讘讜爪转 <b class="aclgroup">%s</b> 讬砖 讻专讙注 讗转 讛讛专砖讗讜转 讛讘讗讜转 讘诪专讞讘 讛砖诐 <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_choose_id'] = '谞讗 <b>诇讛讝讬谉 诪砖转诪砖 讗讜 拽讘讜爪讛</b> 讘讟讜驻住 诪注诇讛 讻讚讬 诇爪驻讜转 讗讜 诇注专讜讱 讗转 讛讛专砖讗讜转 讛诪讜讙讚专讜转 注讘讜专 讛讚祝 <b class="aclpage">%s</b>.';
+$lang['p_choose_ns'] = '谞讗 <b>诇讛讝讬谉 诪砖转诪砖 讗讜 拽讘讜爪讛</b> 讘讟讜驻住 诪注诇讛 讻讚讬 诇爪驻讜转 讗讜 诇注专讜讱 讗转 讛讛专砖讗讜转 讛诪讜讙讚专讜转 注讘讜专 诪专讞讘 讛砖诐 <b class="aclns">%s</b>.';
+$lang['p_inherited'] = '诇转砖讜诪转 诇讘讱: 讛专砖讗讜转 讗诇讜 诇讗 讛讜讙讚专讜 讘讗讜驻谉 诪驻讜专砖 讗诇讗 谞讜专砖讜 诪拽讘讜爪讜转 讗讞专讜转 讗讜 诪诪专讞讘 砖诪讜转 讙讘讜讛 讬讜转专.';
+$lang['p_isadmin'] = '诇转砖讜诪转 诇讘讱: 诇拽讘讜爪讛 讗讜 讛诪砖转诪砖 砖谞讘讞专讜 讬砖 转诪讬讚 讛专砖讗讜转 诪诇讗讜转 讘讙诇诇 讛讙讚专转诐 讻诪砖转诪砖-注诇.';
+$lang['current'] = '讞讜拽讬 讛-ACL 讛谞讜讻讞讬讬诐';
+$lang['where'] = '讚祝/诪专讞讘 砖诐';
+$lang['who'] = '诪砖转诪砖/拽讘讜爪讛';
+$lang['perm'] = '讛专砖讗讜转';
+$lang['acl_perm0'] = '诇诇讗';
+$lang['acl_perm1'] = '拽专讬讗讛';
+$lang['acl_perm2'] = '注专讬讻讛';
+$lang['acl_perm4'] = '讬爪讬专讛';
+$lang['acl_perm8'] = '讛注诇讗讛';
+$lang['acl_perm16'] = '诪讞讬拽讛';
+$lang['acl_new'] = '讛讜住驻转 专砖讜诪讛 讞讚砖讛';
+$lang['acl_mod'] = '砖讬谞讜讬讬 诪讜讘讗讛';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/hi/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/hi/lang.php
new file mode 100644
index 000000000..d8630a281
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/hi/lang.php
@@ -0,0 +1,6 @@
+<?php
+/**
+ * Hindi language file
+ *
+ * @author Abhinav Tyagi <abhinavtyagi11@gmail.com>
+ */
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/hr/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/hr/lang.php
new file mode 100644
index 000000000..d2094b77b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/hr/lang.php
@@ -0,0 +1,7 @@
+<?php
+/**
+ * Croatian language file
+ *
+ * @author Branko Rihtman <theney@gmail.com>
+ * @author Dra啪en Odoba拧i膰 <dodobasic@gmail.com>
+ */
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/hu/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/hu/help.txt
new file mode 100644
index 000000000..57f16a39c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/hu/help.txt
@@ -0,0 +1,12 @@
+=== Hozz谩f茅r茅si lista (ACL) kezel艖 ===
+
+Ezen az oldalon jogokat oszthat 茅s vehet el a wiki oldalakhoz 茅s n茅vterekhez.
+
+A bal oldalon l谩that贸 az 枚sszes n茅vt茅r 茅s oldal.
+
+A fels艖 form seg铆t a kiv谩lasztott felhaszn谩l贸 vagy csoport jogosults谩gainak megtekint茅s茅ben vagy v谩ltoztat谩s谩ban.
+
+Az als贸 t谩bl谩zat mutatja az 枚sszes jelenleg 茅rv茅nyes hozz谩f茅r茅si szab谩lyt. Ennek seg铆ts茅g茅vel gyorsan t枚r枚lhet艖k vagy megv谩ltoztathat贸k a szab谩lyok.
+
+A [[doku>acl|hivatalos ACL dokument谩ci贸]] seg铆thet a DokuWiki hozz谩f茅r茅s-kezel茅s m疟k枚d茅s茅nek meg茅rt茅s茅ben.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/hu/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/hu/lang.php
new file mode 100644
index 000000000..4fb1720ae
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/hu/lang.php
@@ -0,0 +1,36 @@
+<?php
+/**
+ * Hungarian language file
+ *
+ * @author Sandor TIHANYI <stihanyi+dw@gmail.com>
+ * @author Siaynoq Mage <siaynoqmage@gmail.com>
+ * @author schilling.janos@gmail.com
+ */
+$lang['admin_acl'] = 'Hozz谩f茅r茅si lista (ACL) kezel艖';
+$lang['acl_group'] = 'Csoport:';
+$lang['acl_user'] = 'Felhaszn谩l贸:';
+$lang['acl_perms'] = 'Jogosults谩g ehhez:';
+$lang['page'] = 'oldal';
+$lang['namespace'] = 'n茅vt茅r';
+$lang['btn_select'] = 'Kiv谩laszt';
+$lang['p_user_id'] = 'A(z) <b class="acluser">%s</b> felhaszn谩l贸nak jelenleg a k枚vetkez艖 jogosults谩ga van ezen az oldalon: <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_user_ns'] = 'A(z) <b class="acluser">%s</b> felhaszn谩l贸nak jelenleg a k枚vetkez艖 jogosults谩ga van ebben a n茅vt茅rben: <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_group_id'] = 'A(z) <b class="aclgroup">%s</b> csoport tagjainak jelenleg a k枚vetkez艖 jogosults谩ga van ezen az oldalon: <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_group_ns'] = 'A(z) <b class="aclgroup">%s</b> csoport tagjainak jelenleg a k枚vetkez艖 jogosults谩ga van ebben a n茅vt茅rben: <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_choose_id'] = 'A fels艖 formon <b>adjon meg egy felhaszn谩l贸t vagy csoportot</b>, akinek a(z) <b class="aclpage">%s</b> oldalhoz be谩ll铆tott jogosults谩gait megtekinteni vagy v谩ltoztatni szeretn茅.';
+$lang['p_choose_ns'] = 'A fels艖 formon <b>adjon meg egy felhaszn谩l贸t vagy csoportot</b>, akinek a(z) <b class="aclns">%s</b> n茅vt茅rhez be谩ll铆tott jogosults谩gait megtekinteni vagy v谩ltoztatni szeretn茅.';
+$lang['p_inherited'] = 'Megjegyz茅s: ezek a jogok nem itt lettek explicit be谩ll铆tva, hanem 枚r枚kl艖dtek egy茅b csoportokb贸l vagy fels艖bb n茅vterekb艖l.';
+$lang['p_isadmin'] = 'Megjegyz茅s: a kiv谩lasztott csoportnak vagy felhaszn谩l贸nak mindig teljes jogosults谩ga lesz, mert Wiki-gazd谩nak van be谩ll铆tva.';
+$lang['p_include'] = 'A magasabb jogok tartalmazz谩k az alacsonyabbakat. A L茅trehoz谩s, Felt枚lt茅s 茅s T枚rl茅s jogosults谩gok csak n茅vterekre alkalmazhat贸k, az egyes oldalakra nem.';
+$lang['current'] = 'Jelenlegi hozz谩f茅r茅si szab谩lyok';
+$lang['where'] = 'Oldal/n茅vt茅r';
+$lang['who'] = 'Felhaszn谩l贸/Csoport';
+$lang['perm'] = 'Jogosults谩gok';
+$lang['acl_perm0'] = 'Semmi';
+$lang['acl_perm1'] = 'Olvas谩s';
+$lang['acl_perm2'] = 'Szerkeszt茅s';
+$lang['acl_perm4'] = 'L茅trehoz谩s';
+$lang['acl_perm8'] = 'Felt枚lt茅s';
+$lang['acl_perm16'] = 'T枚rl茅s';
+$lang['acl_new'] = '脷j bejegyz茅s hozz谩ad谩sa';
+$lang['acl_mod'] = 'Bejegyz茅s m贸dos铆t谩sa';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/id-ni/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/id-ni/lang.php
new file mode 100644
index 000000000..d367340b7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/id-ni/lang.php
@@ -0,0 +1,7 @@
+<?php
+/**
+ * idni language file
+ *
+ * @author Harefa <fidelis@harefa.com>
+ * @author Yustinus Waruwu <juswaruwu@gmail.com>
+ */
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/id/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/id/lang.php
new file mode 100644
index 000000000..650637635
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/id/lang.php
@@ -0,0 +1,21 @@
+<?php
+/**
+ * Indonesian language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author mubaidillah <mubaidillah@gmail.com>
+ * @author Yustinus Waruwu <juswaruwu@gmail.com>
+ */
+$lang['admin_acl'] = 'Manajemen Daftar Pengendali Akses';
+$lang['acl_group'] = 'Grup';
+$lang['acl_user'] = 'User';
+$lang['acl_perms'] = 'Ijin untuk';
+$lang['page'] = 'Halaman';
+$lang['namespace'] = 'Namespace';
+$lang['btn_select'] = 'Pilih';
+$lang['acl_perm1'] = 'Baca';
+$lang['acl_perm2'] = 'Ubah';
+$lang['acl_perm4'] = 'Buat';
+$lang['acl_perm8'] = 'Upload';
+$lang['acl_perm16'] = 'Hapus';
+$lang['acl_new'] = 'Tambah Entry baru';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/is/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/is/lang.php
new file mode 100644
index 000000000..b45faa80a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/is/lang.php
@@ -0,0 +1,15 @@
+<?php
+/**
+ * Icelandic language file
+ *
+ * @author Hrannar Baldursson <hrannar.baldursson@gmail.com>
+ * @author 脫lafur Gunnlaugsson <oli@audiotools.com>
+ * @author Erik Bj酶rn Pedersen <erik.pedersen@shaw.ca>
+ */
+$lang['acl_group'] = 'H贸pur';
+$lang['acl_user'] = 'Notandi';
+$lang['page'] = 'S铆冒a';
+$lang['namespace'] = 'Nafnr媒mi';
+$lang['btn_select'] = 'Veldu';
+$lang['where'] = 'S铆冒a/Nafnr媒mi';
+$lang['acl_perm16'] = 'Ey冒a';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/it/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/it/help.txt
new file mode 100644
index 000000000..5e4b08dd6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/it/help.txt
@@ -0,0 +1,11 @@
+=== Breve Aiuto: ===
+
+In questa pagina puoi aggiungere e rimuovere permessi per categorie e pagine del tuo wiki.
+
+Il pannello di sinistra mostra tutte le categorie e le pagine disponibili.
+
+Il campo sopra ti permette di vedere e modificare i permessi di un utente o gruppo selezionato.
+
+Nella tabella sotto, sono riportate tutte le regole di controllo degli accessi attualmente impostate. Puoi utilizzarla per cancellare o cambiare al volo varie regole.
+
+Leggere la [[doku>acl|official documentation on ACL]] pu貌 aiutarti a capire pienamente come funziona il controllo degli accessi in DokuWiki.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/it/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/it/lang.php
new file mode 100644
index 000000000..344987e8b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/it/lang.php
@@ -0,0 +1,42 @@
+<?php
+/**
+ * Italian language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Giorgio Vecchiocattivi <giorgio@vecchio.it>
+ * @author Roberto Bolli <http://www.rbnet.it/>
+ * @author Pietro Battiston toobaz@email.it
+ * @author Diego Pierotto ita.translations@tiscali.it
+ * @author ita.translations@tiscali.it
+ * @author Lorenzo Breda <lbreda@gmail.com>
+ * @author snarchio@alice.it
+ * @author robocap <robocap1@gmail.com>
+ */
+$lang['admin_acl'] = 'Gestione Lista Controllo Accessi (ACL)';
+$lang['acl_group'] = 'Gruppo';
+$lang['acl_user'] = 'Utente';
+$lang['acl_perms'] = 'Permessi per';
+$lang['page'] = 'Pagina';
+$lang['namespace'] = 'Categoria';
+$lang['btn_select'] = 'Seleziona';
+$lang['p_user_id'] = 'L\'utente <b class="acluser">%s</b> attualmente ha i seguenti permessi sulla pagina <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_user_ns'] = 'L\'utente <b class="acluser">%s</b> attualmente ha i seguenti permessi per la categoria <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_group_id'] = 'I membri del gruppo<b class="aclgroup">%s</b> attualmente hanno i seguenti permessi sulla pagina <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_group_ns'] = 'I membri del gruppo<b class="aclgroup">%s</b> attualmente hanno i seguenti permessi per la categoria <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_choose_id'] = 'Per favore <b>inserisci un utente o gruppo</b> nel campo sopra per modificare i permessi impostati per la pagina <b class="aclpage">%s</b>.';
+$lang['p_choose_ns'] = 'Per favore <b>inserisci un utente o un gruppo</b> nel campo sopra per modificare i permessi impostati per la categoria <b class="aclns">%s</b>.';
+$lang['p_inherited'] = 'Nota: Questi permessi non sono stati esplicitamente impostati, ma sono stati ereditati da altri gruppi o da categorie superiori.';
+$lang['p_isadmin'] = 'Nota: Il gruppo o utente selezionato ha sempre tutti i permessi perch茅 猫 configurato come amministratore.';
+$lang['p_include'] = 'I permessi pi霉 elevati includono i permessi inferiori. I permessi di Creazione, Upload e Cancellazione si applicano soltanto alle categorie e non alle pagine.';
+$lang['current'] = 'Regole ACL attuali';
+$lang['where'] = 'Pagina/Categoria';
+$lang['who'] = 'Utente/Gruppo';
+$lang['perm'] = 'Permessi';
+$lang['acl_perm0'] = 'Nessuno';
+$lang['acl_perm1'] = 'Lettura';
+$lang['acl_perm2'] = 'Modifica';
+$lang['acl_perm4'] = 'Creazione';
+$lang['acl_perm8'] = 'Upload';
+$lang['acl_perm16'] = 'Cancellazione';
+$lang['acl_new'] = 'Aggiungi nuovo record';
+$lang['acl_mod'] = 'Modifica record';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ja/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ja/help.txt
new file mode 100644
index 000000000..f7867f8e2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ja/help.txt
@@ -0,0 +1,11 @@
+=== 銈偆銉冦偗銉樸儷銉楋細 ===
+
+銇撱伄銉氥兗銈搞仹銇乄iki鍐呫伄鍚嶅墠绌洪枔銇ㄣ儦銉笺偢銇銇欍倠妯╅檺銈掕拷鍔犮兓鍓婇櫎銇欍倠銇撱仺銇屻仹銇嶃伨銇欍
+
+宸﹀伌銇儨銉冦偗銈广伀銇瓨鍦ㄣ仚銈嬪悕鍓嶇┖闁撱仺銉氥兗銈搞亴琛ㄧず銇曘倢銇︺亜銇俱仚銆
+
+涓婅銇儠銈┿兗銉犮倰浣裤仯銇︺侀伕鎶炪仐銇熴儲銉笺偠銉笺倐銇椼亸銇偘銉兗銉椼伄妯╅檺銈掗柌瑕с兓澶夋洿銇欍倠銇撱仺銇屻仹銇嶃伨銇欍
+
+浠ヤ笅銇儐銉笺儣銉伀銇佺従鍦ㄨō瀹氥仌銈屻仸銇勩倠銈€偗銈汇偣銈炽兂銉堛儹銉笺儷銇儷銉笺儷銇岃〃绀恒仌銈屻仸銇勩伨銇欍傘亾銇儐銉笺儢銉倰浣裤仯銇︺佽鏁般伄銉兗銉倰绱犳棭銇忓鏇淬兓鍓婇櫎銇欍倠銇撱仺銇屽彲鑳姐仹銇欍
+
+DokuWiki銇偄銈偦銈广偝銉炽儓銉兗銉伀銇ゃ亜銇︺伅銆乕[doku>acl|official documentation on ACL]] 銈掋亰瑾伩涓嬨仌銇勩 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ja/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ja/lang.php
new file mode 100644
index 000000000..831fd2d5c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ja/lang.php
@@ -0,0 +1,39 @@
+<?php
+/**
+ * japanese language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Davilin(Yuji Takenaka) <webmaster@davilin.com>
+ * @author Yuji Takenaka <webmaster@davilin.com>
+ * @author Ikuo Obataya <i.obataya@gmail.com>
+ * @author Daniel Dupriest <kououken@gmail.com>
+ * @author Kazutaka Miyasaka <kazmiya@gmail.com>
+ */
+$lang['admin_acl'] = '銈€偗銈汇偣銈炽兂銉堛儹銉笺儷绠$悊';
+$lang['acl_group'] = '銈般儷銉笺儣';
+$lang['acl_user'] = '銉︺兗銈躲兗';
+$lang['acl_perms'] = '妯╅檺銈掕拷鍔';
+$lang['page'] = '鏂囨浉';
+$lang['namespace'] = '鍚嶅墠绌洪枔';
+$lang['btn_select'] = '閬告姙';
+$lang['p_user_id'] = '銉︺兗銈躲兗 <b class="acluser">%s</b> 銇併儦銉笺偢 <b class="aclpage">%s</b> 銇銇椼仸娆°伄妯╅檺銈掓寔銇c仸銇勩伨銇: <i>%s</i>';
+$lang['p_user_ns'] = '銉︺兗銈躲兗 <b class="acluser">%s</b> 銇佸悕鍓嶇┖闁 <b class="aclns">%s</b> 銇銇椼仸娆°伄妯╅檺銈掓寔銇c仸銇勩伨銇: <i>%s</i>';
+$lang['p_group_id'] = '銈般儷銉笺儣 <b class="aclgroup">%s</b> 銇儭銉炽儛銉笺伅銆併儦銉笺偢 <b class="aclpage">%s</b> 銇銇椼仸娆°伄妯╅檺銈掓寔銇c仸銇勩伨銇: <i>%s</i>';
+$lang['p_group_ns'] = '銈般儷銉笺儣 <b class="aclgroup">%s</b> 銇儭銉炽儛銉笺伅銆佸悕鍓嶇┖闁 <b class="aclns">%s</b> 銇銇椼仸娆°伄妯╅檺銈掓寔銇c仸銇勩伨銇: <i>%s</i>';
+$lang['p_choose_id'] = '銉氥兗銈 <b class="aclpage">%s</b> 銇偦銉冦儓銇曘倢銇熸ī闄愩倰闁茶Η銉荤法闆嗐仚銈嬨仧銈併伀銇佷笂瑷樸伄銉曘偐銉笺儬銇<b>銉︺兗銈躲兗鍚嶃倐銇椼亸銇偘銉兗銉楀悕銈掑叆鍔涖仐銇︿笅銇曘亜</b>銆';
+$lang['p_choose_ns'] = '鍚嶅墠绌洪枔 <b class="aclns">%s</b> 銇偦銉冦儓銇曘倢銇熸ī闄愩倰闁茶Η銉荤法闆嗐仚銈嬨仧銈併伀銇佷笂瑷樸伄銉曘偐銉笺儬銇<b>銉︺兗銈躲兗鍚嶃倐銇椼亸銇偘銉兗銉楀悕銈掑叆鍔涖仐銇︿笅銇曘亜</b>銆';
+$lang['p_inherited'] = '娉ㄦ剰锛氥亾銈屻倝銇ī闄愩伅鏄庣ず銇曘倢銇︺亜銇俱仜銈撱亴銆佷粬銇偘銉兗銉椼倐銇椼亸銇笂浣嶃伄鍚嶅墠绌洪枔銇ī闄愩倰缍欐壙銇椼伨銇欍';
+$lang['p_isadmin'] = '娉ㄦ剰锛氶伕鎶炪仐銇熴偘銉兗銉椼倐銇椼亸銇儲銉笺偠銉笺伅銈广兗銉戙兗銉︺兗銈躲兗銇с亗銈嬨仧銈併佸叏銇︺伄妯╅檺銇屻亗銈娿伨銇欍';
+$lang['p_include'] = '楂樻銇ī闄愩伅銆併仢銈屻倛銈婁綆娆°伄妯╅檺銈掑惈銇裤伨銇欍備綔鎴愩兓銈€儍銉椼儹銉笺儔銉诲墛闄ゃ伄妯╅檺銇併儦銉笺偢銇с伅銇亸鍚嶅墠绌洪枔銇伩銇仼鐢ㄣ仌銈屻伨銇欍';
+$lang['current'] = '鐝惧湪銇瓵CL銉兗銉';
+$lang['where'] = '銉氥兗銈/鍚嶅墠绌洪枔';
+$lang['who'] = '銉︺兗銈躲兗/銈般儷銉笺儣';
+$lang['perm'] = '妯╅檺';
+$lang['acl_perm0'] = '鐒°仐';
+$lang['acl_perm1'] = '瑾彇';
+$lang['acl_perm2'] = '绶ㄩ泦';
+$lang['acl_perm4'] = '浣滄垚';
+$lang['acl_perm8'] = '銈€儍銉椼儹銉笺儔';
+$lang['acl_perm16'] = '鍓婇櫎';
+$lang['acl_new'] = '鏂拌銈ㄣ兂銉堛儶';
+$lang['acl_mod'] = '銈ㄣ兂銉堛儶銇法闆';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ko/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ko/help.txt
new file mode 100644
index 000000000..516198ee5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ko/help.txt
@@ -0,0 +1,11 @@
+=== 霃勳泙毵: ===
+
+順勳灛 韼橃澊歆鞐愳劀 鞙勴偆 雱れ瀯鞀ろ帢鞚挫姢鞕 韼橃澊歆鞐 雽頃 鞝戧芳 甓岉暅鞚 於旉皜頃橁卑雮 靷牅頃 靾 鞛堨姷雼堧嫟.
+
+鞕检 鞓侅棴鞚 靹犿儩臧電ロ暅 雱れ瀯鞀ろ帢鞚挫姢霌り臣 韼橃澊歆 氇╇鞚 氤挫棳欷嶋媹雼.
+
+鞙勳 鞛呺牓 鞏戩嫕鞐愳劀 靹犿儩霅 靷毄鞛愳檧 攴鸽9鞚 鞝戧芳 甓岉暅鞚 氤搓卑雮 氤瓴巾暊 靾 鞛堨姷雼堧嫟.
+
+鞎勲灅 韰岇澊敫旍棎靹 順勳灛 靹れ爼霅 氇摖 鞝戧芳 鞝滌柎 攴滌箼霌れ潉 氤 靾 鞛堨溂氅, 歃夓嫓 鞐煬 攴滌箼霌れ潉 靷牅頃橁卑雮 氤瓴巾暊 靾 鞛堨姷雼堧嫟.
+
+DokuWiki鞐愳劀 鞝戧芳 鞝滌柎臧 鞏措柣瓴 霃欖瀾霅橂姅歆 鞎岆牑氅 [[doku>acl|official documentation on ACL]] 鞚疥赴 氚旊瀺雼堧嫟. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ko/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ko/lang.php
new file mode 100644
index 000000000..43a5ceeeb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ko/lang.php
@@ -0,0 +1,41 @@
+<?php
+/**
+ * korean language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Anika Henke <anika@selfthinker.org>
+ * @author Matthias Grimm <matthiasgrimm@users.sourceforge.net>
+ * @author jk Lee
+ * @author dongnak@gmail.com
+ * @author Song Younghwan <purluno@gmail.com>
+ * @author SONG Younghwan <purluno@gmail.com>
+ */
+$lang['admin_acl'] = '鞝戧芳 鞝滌柎 氇╇ 甏毽';
+$lang['acl_group'] = '攴鸽9';
+$lang['acl_user'] = '靷毄鞛';
+$lang['acl_perms'] = '甓岉暅';
+$lang['page'] = '韼橃澊歆';
+$lang['namespace'] = '雱れ瀯鞀ろ帢鞚挫姢';
+$lang['btn_select'] = '靹犿儩';
+$lang['p_user_id'] = '靷毄鞛 <b class="acluser">%s</b>電 順勳灛 <b class="aclpage">%s</b>: <i>%s</i> 韼橃澊歆 鞝戧芳鞚 臧電ロ暕雼堧嫟.';
+$lang['p_user_ns'] = '靷毄鞛 <b class="acluser">%s</b>電 順勳灛 <b class="aclns">%s</b>: <i>%s</i> 雱れ瀯鞀ろ帢鞚挫姢 鞝戧芳鞚 臧電ロ暕雼堧嫟.';
+$lang['p_group_id'] = '攴鸽9 <b class="aclgroup">%s</b> 靷毄鞛愲姅 順勳灛 <b class="aclpage">%s</b>: <i>%s</i> 韼橃澊歆 鞝戧芳鞚 臧電ロ暕雼堧嫟.';
+$lang['p_group_ns'] = '攴鸽9 <b class="aclgroup">%s</b> 靷毄鞛愲姅 順勳灛 <b class="aclns">%s</b>: <i>%s</i> 雱れ瀯鞀ろ帢鞚挫姢 鞝戧芳鞚 臧電ロ暕雼堧嫟.';
+$lang['p_choose_id'] = '<b class="aclpage">%s</b> 韼橃澊歆 鞝戧芳 甓岉暅鞚 氤搓卑雮 氤瓴巾晿霠る┐ <b>靷毄鞛</b>雮 <b>攴鸽9</b>鞚 鞙 鞏戩嫕鞐 鞛呺牓頃橁赴 氚旊瀺雼堧嫟.';
+$lang['p_choose_ns'] = '<b class="aclns">%s</b> 雱れ瀯鞀ろ帢鞚挫姢 鞝戧芳 甓岉暅鞚 氤搓卑雮 氤瓴巾晿霠る┐ <b>靷毄鞛</b>雮 <b>攴鸽9</b>鞚 鞙 鞏戩嫕鞐 鞛呺牓頃橁赴 氚旊瀺雼堧嫟.';
+$lang['p_inherited'] = '欤检潣: 甓岉暅鞚 氇呾嫓鞝侅溂搿 靹れ爼霅橃 鞎婌晿鞙茧瘈搿 雼るジ 攴鸽9霌れ澊雮 靸侅渼 雱れ瀯鞀ろ帢鞚挫姢搿 攵韯 臧鞝胳檾鞀惦媹雼.';
+$lang['p_isadmin'] = '欤检潣: 鞀堩嵓鞙犾爛搿 靹れ爼霅橃柎 鞛堨溂氙搿 靹犿儩霅 攴鸽9鞚措倶 靷毄鞛愲姅 鞏胳牅雮 氇摖 鞝戧芳 甓岉暅鞚 臧歆戨媹雼.';
+$lang['p_include'] = '雿 雴掛潃 鞝戧芳甓岉暅鞚 頃橃渼毳 韽暔頃╇媹雼. 韼橃澊歆臧 鞎勲媽 雱れ瀯鞀ろ帢鞚挫姢鞐愲姅 靸濎劚, 鞐呺霌, 靷牅 甓岉暅毵 鞝侅毄霅╇媹雼.';
+$lang['current'] = '順 ACL 攴滌箼';
+$lang['where'] = '韼橃澊歆/雱れ瀯鞀ろ帢鞚挫姢';
+$lang['who'] = '靷毄鞛/攴鸽9';
+$lang['perm'] = '鞝戧芳 甓岉暅';
+$lang['acl_perm0'] = '鞐嗢潓';
+$lang['acl_perm1'] = '鞚疥赴';
+$lang['acl_perm2'] = '靾橃爼';
+$lang['acl_perm4'] = '靸濎劚';
+$lang['acl_perm8'] = '鞐呺霌';
+$lang['acl_perm16'] = '靷牅';
+$lang['acl_new'] = '靸 頃 於旉皜';
+$lang['acl_mod'] = '靹犿儩 頃 氤瓴';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/lt/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/lt/lang.php
new file mode 100644
index 000000000..f11944746
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/lt/lang.php
@@ -0,0 +1,22 @@
+<?php
+/**
+ * lithuanian language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Linas Valiukas <shirshegsm@gmail.com>
+ * @author audrius.klevas@gmail.com
+ * @author Arunas Vaitekunas <aras@fan.lt>
+ */
+$lang['admin_acl'] = 'Pri臈jimo Kontrol臈s S膮ra拧懦 valdymas';
+$lang['acl_group'] = 'Grup臈';
+$lang['acl_user'] = 'Vartotojas';
+$lang['acl_perms'] = 'Leidimai';
+$lang['page'] = 'Puslapis';
+$lang['namespace'] = 'Pavadinimas';
+$lang['btn_select'] = 'Rinktis';
+$lang['acl_perm1'] = 'Skaityti';
+$lang['acl_perm2'] = 'Redaguoti';
+$lang['acl_perm4'] = 'Sukurti';
+$lang['acl_perm8'] = 'Atsi懦sti';
+$lang['acl_perm16'] = 'I拧trinti';
+$lang['acl_new'] = 'Prid臈ti nauj膮 寞ra拧膮';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/lv/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/lv/help.txt
new file mode 100644
index 000000000..f570d798c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/lv/help.txt
@@ -0,0 +1,11 @@
+=== 莫sa pal墨dz墨ba ===
+
+艩aj膩 lap膩 var uzdot un no艈emt ties墨bas uz lap膩m un noda募膩m.
+
+Kreisaj膩 pus膿 par膩d墨tas visas pieejam膩s noda募as un lapas.
+
+Form膩 aug拧pus膿 var redz膿t un groz墨t nor膩d墨t膩 lietot膩ja vai grupas ties墨bas .
+
+Apak拧膩 tabul膩 par膩d墨ts visu ties墨bu saraksts. To var lietot, lai 膩tri main墨tu vair膩kus pieejas ties墨bu noteikumus.
+
+[[doku>acl|Offici膩lajos piek募uves ties墨bu noteikumu dokumentos]] var atrast izv膿rstu inform膩ciju, k膩 darbojas DokuWiki sist膿mas piek募uves ties墨bu kontrole.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/lv/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/lv/lang.php
new file mode 100644
index 000000000..f478b32c6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/lv/lang.php
@@ -0,0 +1,35 @@
+<?php
+/**
+ * latvian language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Aivars Mi拧ka <allefm@gmail.com>
+ */
+$lang['admin_acl'] = 'Piek募uves ties墨bu vad墨ba';
+$lang['acl_group'] = 'Grupa';
+$lang['acl_user'] = 'Lietot膩js';
+$lang['acl_perms'] = 'Ties墨bas';
+$lang['page'] = 'Lapa';
+$lang['namespace'] = 'Noda募a';
+$lang['btn_select'] = 'Izv膿l膿ties';
+$lang['p_user_id'] = 'Lietot膩jam <b class="acluser">%s</b> ir ties墨bas <i>%s</i> lapu <b class="aclpage">%s</b> .';
+$lang['p_user_ns'] = 'Lietot膩jam <b class="acluser">%s</b> noda募膩 <b class="aclns">%s</b> ir ties墨bas <i>%s</i>.';
+$lang['p_group_id'] = 'Grupas <b class="aclgroup">%s</b> biedriem ir ties墨bas <i>%s</i> lapu <b class="aclpage">%s</b>.';
+$lang['p_group_ns'] = 'Grupas <b class="aclgroup">%s</b> biedriem ir ties墨bas <i>%s</i> noda募u <b class="aclns">%s</b>: .';
+$lang['p_choose_id'] = 'L奴dzu <b>ieraksti lietot膩ju vai grupu</b> augst膩k nor膩d墨taj膩 lauk膩, lai skat墨tu vai labotu ties墨bas lapai <b class="aclpage">%s</b>.';
+$lang['p_choose_ns'] = 'L奴dzu <b>ieraksti lietot膩ju vai grupu</b> augst膩k nor膩d墨taj膩 lauk膩, lai skat墨tu vai labotu ties墨bas noda募ai <b class="aclpage">%s</b>.';
+$lang['p_inherited'] = 'Iev膿ro: 艩墨s ties墨bas nav tie拧i uzdotas, bet mantotas no cit膩m grup膩m vai augst膩k膩m noda募膩m. ';
+$lang['p_isadmin'] = 'Iev膿ro: Nor膩d墨tajai grupai vai lietot膩jam vienm膿r ir visas ties墨bas, jo tas konfigur膿ts k膩 <i>superuser</i>.';
+$lang['p_include'] = 'Augst膩ka at募auja iek募auj ar墨 zem膩k膩s ties墨bas. Izveido拧anas, aug拧upiel膩d膿拧anas un dz膿拧anas ties墨bas attiecas tikai uz noda募膩m, nevis lap膩m.';
+$lang['current'] = 'Patreiz膿jo ties墨bu saraksts (ACL)';
+$lang['where'] = 'Lapa/noda募a';
+$lang['who'] = 'Lietot膩js/grupa';
+$lang['perm'] = 'Ties墨bas';
+$lang['acl_perm0'] = 'nek膩das';
+$lang['acl_perm1'] = 'las墨t';
+$lang['acl_perm2'] = 'labot';
+$lang['acl_perm4'] = 'izveidot';
+$lang['acl_perm8'] = 'aug拧upiel膩d膿t';
+$lang['acl_perm16'] = 'dz膿st';
+$lang['acl_new'] = 'pievienot jaunu 拧姆irkli';
+$lang['acl_mod'] = 'labot 拧姆irkli';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/mr/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/mr/help.txt
new file mode 100644
index 000000000..e8aa13b52
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/mr/help.txt
@@ -0,0 +1,12 @@
+=== 啶む啶掂ぐ啶苦い 啶う啶 ===
+
+啶ぞ 啶ぞ啶ㄠぞ啶掂ぐ 啶む啶啷 啶む啶啷嵿く啶 啶掂た啶曕 啶ぇ啷啶 啶ぞ啶ㄠ 啶曕た啶傕さ啶 啶ㄠ啶じ啷嵿お啷囙じ 啶掂ぐ啷啶 啶ぐ啶掂ぞ啶ㄠ啷嵿く啶 啶う啶侧 啶多啶むぞ.
+
+啶∴ぞ啶掂た啶曕ぁ啷啶 啶ぞ啶班啶溹た啶 啶ぇ啷 啶膏ぐ啷嵿さ 啶夃お啶侧が啷嵿ぇ 啶ぞ啶ㄠ 啶嗋ぃ啶 啶ㄠ啶じ啷嵿お啷囙じ 啶︵ぞ啶栢さ啶侧 啶嗋す啷囙い.
+
+啶掂ぐ啷啶 啶啶班啶 啶掂ぞ啶ぐ啷傕え 啶む啶啷 啶ㄠた啶掂ぁ啶侧啶侧啶ぞ 啶膏う啶膏啶 啶曕た啶傕さ啶 啶椸啶距啷嵿く啶 啶ぐ啶掂ぞ啶ㄠ啷嵿く啶 啶う啶侧 啶多啶むぞ.
+
+啶栢ぞ啶侧啶 啶熰啶げ 啶ぇ啷 啶膏ぇ啷嵿く啶 啶膏啶 啶呧じ啶侧啶侧 啶ㄠた啶ぎ 啶︵た啶侧啶侧 啶嗋す啷囙い.
+啶灌 啶熰啶げ 啶掂ぞ啶ぐ啷傕え 啶む啶啶灌 啶氞啶曕え 啶灌 啶ㄠた啶ぎ 啶う啶侧 啶多啶むぞ.
+
+[[doku>acl| ACL 啶掂ぐ啷啶 啶呧ぇ啶苦啷冟い 啶ぞ啶灌た啶む啶膏啶椸啶班す ]] 啶掂ぞ啶氞啶 啶む啶啶灌ぞ啶侧ぞ 啶∴啶曕啶啶掂た啶曕た啶ぇ啷 啶ぐ啶掂ぞ啶ㄠ啷啶氞 啶掂啶さ啶膏啶ムぞ 啶曕ざ啷 啶曕ぞ啶 啶曕ぐ啶む 啶む 啶ㄠ啶 啶膏ぎ啶溹啶. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/mr/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/mr/lang.php
new file mode 100644
index 000000000..978df758a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/mr/lang.php
@@ -0,0 +1,37 @@
+<?php
+/**
+ * Marathi language file
+ *
+ * @author ghatothkach@hotmail.com
+ * @author Padmanabh Kulkarni <kulkarnipadmanabh@gmail.com>
+ * @author Padmanabh Kulkarni<kulkarnipadmanabh@gmail.com>
+ * @author shantanoo@gmail.com
+ */
+$lang['admin_acl'] = 'Access Control List 啶掂啶さ啶膏啶ムぞ啶え';
+$lang['acl_group'] = '啶椸';
+$lang['acl_user'] = '啶膏う啶膏啶';
+$lang['acl_perms'] = '啶ぐ啶掂ぞ啶ㄠ啷 \'啶氞啶ぞ 啶膏ぞ啶犩';
+$lang['page'] = '啶ぞ啶';
+$lang['namespace'] = '啶ㄠ啶じ啷嵿お啷囙じ';
+$lang['btn_select'] = '啶ㄠた啶掂ぁ啶';
+$lang['p_user_id'] = '<b class="acluser">%s</b> 啶灌啶ぞ 啶膏う啶膏啶ぞ啶侧ぞ 啶膏ぇ啷嵿く啶 <b class="aclpage">%s</b> 啶ぞ 啶ぞ啶ㄠぞ啶掂ぐ 啶啶⑧啶 啶ぐ啶掂ぞ啶ㄠ啷嵿く啶 啶嗋す啷囙い : <i>%s</i>.';
+$lang['p_user_ns'] = '<b class="acluser">%s</b> 啶ぞ 啶膏う啶膏啶ぞ啶侧ぞ 啶膏ぇ啷嵿く啶 <b class="aclns">%s</b> 啶ぞ 啶ㄠ啶じ啷嵿お啷囙じ啶掂ぐ 啶啶⑧啶 啶ぐ啶掂ぞ啶ㄠ啷嵿く啶 啶嗋す啷囙い : <i>%s</i>.';
+$lang['p_group_id'] = '<b class="aclgroup">%s</b> 啶ぞ 啶椸啶距啷嵿く啶 啶膏う啶膏啶ぞ啶ㄠぞ 啶膏ぇ啷嵿く啶 <b class="aclpage">%s</b> 啶ぞ 啶ぞ啶ㄠぞ啶掂ぐ 啶啶⑧啶 啶ぐ啶掂ぞ啶ㄠ啷嵿く啶 啶嗋す啷囙い : <i>%s</i>.';
+$lang['p_group_ns'] = '<b class="aclgroup">%s</b> 啶ぞ 啶椸啶距啷嵿く啶 啶膏う啶膏啶ぞ啶ㄠぞ 啶膏ぇ啷嵿く啶 <b class="aclns">%s</b> 啶ぞ 啶ㄠ啶じ啷嵿お啷囙じ啶掂ぐ 啶啶⑧啶 啶ぐ啶掂ぞ啶ㄠ啷嵿く啶 啶嗋す啷囙い : <i>%s</i>.';
+$lang['p_choose_id'] = '啶掂ぐ啷啶 啶啶班啶 啶ぇ啷 啶忇啶距う啷嵿く啶 <b>啶膏う啶膏啶 啶曕た啶傕さ啶 啶椸啶距啷 </b> 啶ㄠぞ啶 啶熰ぞ啶曕啶 <b class="aclpage">%s</b> 啶ぞ 啶ぞ啶ㄠぞ啶膏ぞ啶犩 啶む啶ぞ啶傕啷嵿く啶 啶ぐ啶掂ぞ啶ㄠ啷嵿く啶 啶ぞ啶灌/啶う啶侧 啶多啶むぞ.';
+$lang['p_choose_ns'] = '啶掂ぐ啷啶 啶啶班啶 啶ぇ啷 啶忇啶距う啷嵿く啶 <b>啶膏う啶膏啶 啶曕た啶傕さ啶 啶椸啶距啷 </b> 啶ㄠぞ啶 啶熰ぞ啶曕啶 <b class="aclns">%s</b> 啶ぞ 啶ㄠ啶じ啷嵿お啷囙じ啶膏ぞ啶犩 啶む啶ぞ啶傕啷嵿く啶 啶ぐ啶掂ぞ啶ㄠ啷嵿く啶 啶ぞ啶灌/啶う啶侧 啶多啶むぞ.';
+$lang['p_inherited'] = '啶熰啶 : 啶灌啶ぞ 啶ぐ啶掂ぞ啶ㄠ啷嵿く啶 啶啶班い啷嵿く啶曕啶 啶膏啶 啶曕啶侧啶ぞ 啶ㄠじ啷傕え 啶む啶ぞ 啶囙い啶 啶椸 啶曕た啶傕さ啶 啶呧ぇ啶苦 啶夃啷嵿 啶ㄠ啶じ啷嵿お啷囙じ 啶曕ぁ啷傕え 啶掂ぞ啶班じ啶距す啶曕啶曕ぞ啶ㄠ :) 啶嗋げ啷嵿く啶 啶嗋す啷囙い.';
+$lang['p_isadmin'] = '啶熰啶 : 啶ㄠた啶掂ぁ啶侧啶侧啶ぞ 啶膏う啶膏啶 啶曕た啶傕さ啶 啶椸啶距げ啶 啶曕ぞ啶ぎ 啶膏ぐ啷嵿さ 啶ぐ啶掂ぞ啶ㄠ啷嵿く啶 啶呧じ啶むぞ啶 啶曕ぞ啶班ぃ 啶む 啶膏啶ぐ 啶膏う啶膏啶 啶啶灌ぃ啷佮え 啶膏啶 啶曕啶侧ぞ 啶嗋す啷.';
+$lang['p_include'] = '啶夃啷嵿 啶ぐ啶掂ぞ啶ㄠ啷嵿く啶距啶ぇ啷 啶む啶ぞ啶栢ぞ啶侧た啶 啶ぐ啶掂ぞ啶ㄠ啷嵿く啶 啶呧ぇ啷嵿く啶距す啷冟い 啶呧じ啶むぞ啶. 啶曕啶班た啶忇, 啶呧お啶侧啶 啶嗋ぃ啶 啶∴た啶侧啶 啶ぐ啶掂ぞ啶ㄠ啷嵿く啶 啷炧啷嵿い 啶ㄠぞ啶じ啶啶灌ぞ啶掂ぐ (啶ㄠ啶じ啷嵿お啷囙じ) 啶侧ぞ啶椸 啶呧じ啶むぞ啶, 啶ぞ啶ㄠぞ啶傕さ啶 啶ㄠぞ啶灌.';
+$lang['current'] = '啶膏う啷嵿く ACL 啶ㄠた啶ぎ';
+$lang['where'] = '啶ぞ啶/啶ㄠ啶じ啷嵿お啷囙じ';
+$lang['who'] = '啶膏う啶膏啶/啶椸';
+$lang['perm'] = '啶ぐ啶掂ぞ啶ㄠ啷嵿く啶';
+$lang['acl_perm0'] = '啶曕ぞ啶灌 啶ㄠぞ啶灌.';
+$lang['acl_perm1'] = '啶掂ぞ啶氞え';
+$lang['acl_perm2'] = '啶膏啶ぞ啶︵え';
+$lang['acl_perm4'] = '啶ㄠた啶班啶ぞ啶';
+$lang['acl_perm8'] = '啶呧お啶侧啶';
+$lang['acl_perm16'] = '啶∴た啶侧啶';
+$lang['acl_new'] = '啶ㄠさ啷啶 啶忇啶熰啶班 啶曕ぐ啶';
+$lang['acl_mod'] = '啶忇啶熰啶班 啶う啶侧ぞ';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ne/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ne/lang.php
new file mode 100644
index 000000000..6a29a9fa8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ne/lang.php
@@ -0,0 +1,27 @@
+<?php
+/**
+ * Nepali language file
+ *
+ * @author Saroj Kumar Dhakal <lotusnagarkot@gmail.com>
+ * @author SarojKumar Dhakal <lotusnagarkot@yahoo.com>
+ * @author Saroj Dhakal<lotusnagarkot@yahoo.com>
+ */
+$lang['admin_acl'] = '啶忇啷嵿じ啷囙じ 啶曕え啷嵿啷嵿ぐ啷嬥げ 啶侧た啶膏啶 啶掂啶さ啶膏啶ムぞ啶え';
+$lang['acl_group'] = '啶膏ぎ啷傕す';
+$lang['acl_user'] = '啶啶班啶椸啶班啶むぞ';
+$lang['acl_perms'] = '啶曕 啶侧ぞ啶椸た 啶呧え啷佮ぎ啶むた';
+$lang['page'] = '啶啶粪啶';
+$lang['namespace'] = '啶ㄠ啶じ啷嵿お啷囙じ';
+$lang['btn_select'] = '啶涏ぞ啶ㄠ啶ㄠ啶灌啶膏';
+$lang['current'] = '啶灌ぞ啶侧啶曕 ACL 啶ㄠた啶ぎ啶灌ぐ啷 ';
+$lang['where'] = '啶啶粪啶 / 啶ㄠ啶じ啷嵿お啷囙じ';
+$lang['who'] = '啶啶班く啷嬥啶曕ぐ啷嵿い啶 / 啶膏ぎ啷傕す ';
+$lang['perm'] = '啶呧え啷佮ぎ啶むた';
+$lang['acl_perm0'] = '啶曕啶ㄠ 啶え啶 啶灌啶囙え';
+$lang['acl_perm1'] = '啶啶 啶椸ぐ啷嵿え啷佮す啷嬥じ啷';
+$lang['acl_perm2'] = '啶膏ぎ啷嵿お啶距う啶 啶椸ぐ啷嵿え啷佮す啷嬥じ啷';
+$lang['acl_perm4'] = '啶ㄠた啶班啶ぞ啶 啶椸ぐ啷嵿え啷佮す啷嬥じ啷';
+$lang['acl_perm8'] = '啶呧お啶侧啶 啶椸ぐ啷嵿え啷佮す啷嬥じ啷';
+$lang['acl_perm16'] = '啶啶熰ぞ啶夃え啷佮す啷嬥じ啷';
+$lang['acl_new'] = '啶ㄠく啶距 啶啶班さ啶苦し啷嵿啶 啶椸ぐ啷嵿え啷佮す啷嬥じ啷';
+$lang['acl_mod'] = '啶啶班さ啶苦し啷嵿啶 啶膏啷嵿く啶距啶ㄠ啶灌啶膏';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/nl/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/nl/help.txt
new file mode 100644
index 000000000..255f21ba0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/nl/help.txt
@@ -0,0 +1,11 @@
+=== Snelle hulp: ===
+
+Op deze pagina kun je bevoegdheden toevoegen en verwijderen voor namespaces en pagina's in je wiki.
+
+Het linkerpaneel geeft alle beschikbare namespaces en pagina's weer.
+
+In het formulier hierboven kun je bevoegdheden zien en aanpassen voor een selecteerde gebruiker of groep.
+
+In de tabel hieronder worden alle momenteel ingestelde toegangsregels weergegeven. Je kunt hier snel regels wijzigen of verwijderen.
+
+Lees de [[doku>acl|documentatie over ACLs]] om de mogelijkheden volledig te begrijpen.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/nl/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/nl/lang.php
new file mode 100644
index 000000000..d45bb5907
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/nl/lang.php
@@ -0,0 +1,45 @@
+<?php
+/**
+ * dutch language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Fran莽ois Kooman <fkooman.tuxed.net>
+ * @author Jack van Klaren <dokuwiki@afentoe.xs4all.nl>
+ * @author Riny Heijdendael <riny@heijdendael.nl>
+ * @author Koen Huybrechts <huybkoen@gmail.com>
+ * @author Wouter Schoot <wouter@schoot.org>
+ * @author John de Graaff <john@de-graaff.net>
+ * @author Niels Schoot <niels.schoot@quintiq.com>
+ * @author Dion Nicolaas <dion@nicolaas.net>
+ * @author Danny Rotsaert <danny.rotsaert@edpnet.be>
+ * @author Marijn Hofstra hofstra.m@gmail.com
+ * @author Matthias Carchon webmaster@c-mattic.be
+ */
+$lang['admin_acl'] = 'Toegangsrechten';
+$lang['acl_group'] = 'Groep';
+$lang['acl_user'] = 'Gebruiker';
+$lang['acl_perms'] = 'Permissies voor';
+$lang['page'] = 'Pagina';
+$lang['namespace'] = 'Namespace';
+$lang['btn_select'] = 'Selecteer';
+$lang['p_user_id'] = 'Gebruiker <b class="acluser">%s</b> heeft momenteel de volgende bevoegdheden op pagina <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_user_ns'] = 'Gebruiker <b class="acluser">%s</b> heeft momenteel de volgende bevoegdheden op namespace <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_group_id'] = 'Leden van groep <b class="aclgroup">%s</b> hebben momenteel de volgende bevoegdheden op pagina <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_group_ns'] = 'Leden van groep <b class="aclgroup">%s</b>hebben momenteel de volgende bevoegdheden op namespace <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_choose_id'] = 'Vul een <b>gebruiker of groep</b> in in het bovenstaande formulier om de bevoegdheden te bekijken of te bewerken voor de pagina <b class="aclpage">%s</b>.';
+$lang['p_choose_ns'] = 'Vul een <b>gebruiker of groep</b> in in het bovenstaande formulier om de bevoegdheden te bekijken of te bewerken voor de namespace <b class="aclns">%s</b>.';
+$lang['p_inherited'] = 'Let op: Deze permissies zijn niet expliciet ingesteld maar overerfd van andere groepen of hogere namespaces.';
+$lang['p_isadmin'] = 'Let op: De geselecteerde groep of gebruiker heeft altijd volledige toegangsrechten omdat hij als superuser geconfigureerd is.';
+$lang['p_include'] = 'Hogere permissies bevatten ook de lagere. Aanmaken, uploaden en verwijderen gelden alleen voor namespaces, niet voor pagina\'s.';
+$lang['current'] = 'Huidige ACL regels';
+$lang['where'] = 'Pagina/namespace';
+$lang['who'] = 'Gebruiker/Groep';
+$lang['perm'] = 'Bevoegdheden';
+$lang['acl_perm0'] = 'Geen';
+$lang['acl_perm1'] = 'Lezen';
+$lang['acl_perm2'] = 'Bewerken';
+$lang['acl_perm4'] = 'Aanmaken';
+$lang['acl_perm8'] = 'Uploaden';
+$lang['acl_perm16'] = 'Verwijderen';
+$lang['acl_new'] = 'Nieuwe regel toevoegen';
+$lang['acl_mod'] = 'Regel aanpassen';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/no/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/no/help.txt
new file mode 100644
index 000000000..f02b6bdbd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/no/help.txt
@@ -0,0 +1,11 @@
+===Lynhjelp===
+
+P氓 denne siden kan du legge til og fjerne tillatelser for navnerom og sider i din wiki.
+
+Venstre panel viser alle tilgjengelige navnerom og sider.
+
+Skjemaet over tillater deg 氓 se og modifisere tillatelser for en valgt bruker eller gruppe.
+
+I tabellen nedenfor vises alle n忙rv忙rende satte adgangskontroll-regler. Du kan bruke den til raskt 氓 slette eller endre mange regler i slengen.
+
+脜 lese [[doku>acl|den offisielle dokumentasjonen for ACL]] kan hjelpe deg 氓 fullt ut forst氓 hvordan adgangskontroll fungerer i DokuWiki.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/no/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/no/lang.php
new file mode 100644
index 000000000..cd9e2edfb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/no/lang.php
@@ -0,0 +1,46 @@
+<?php
+/**
+ * Norwegian language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Reidar Mosvold <Reidar.Mosvold@hit.no>
+ * @author Jorge Barrera Grandon <jorge@digitalwolves.org>
+ * @author Thomas Nygreen <nygreen@gmail.com>
+ * @author Arild Burud <arildb@met.no>
+ * @author Torkill Bruland <torkar-b@online.no>
+ * @author Rune M. Andersen <rune.andersen@gmail.com>
+ * @author Jakob Vad Nielsen (me@jakobnielsen.net)
+ * @author Kjell Tore N忙sgaard <kjell.t.nasgaard@ntnu.no>
+ * @author Knut Staring <knutst@gmail.com>
+ * @author Lisa Ditlefsen <lisa@vervesearch.com>
+ * @author Erik Pedersen <erik.pedersen@shaw.ca>
+ * @author Erik Bj酶rn Pedersen <erik.pedersen@shaw.ca>
+ */
+$lang['admin_acl'] = 'Administrasjon av lister for adgangskontroll (ACL)';
+$lang['acl_group'] = 'Gruppe';
+$lang['acl_user'] = 'Bruker';
+$lang['acl_perms'] = 'Rettigheter for';
+$lang['page'] = 'Side';
+$lang['namespace'] = 'Navnerom';
+$lang['btn_select'] = 'Velg';
+$lang['p_user_id'] = 'Bruker <b class="acluser">%s</b> har for tiden f酶lgende tillatelser i for siden <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_user_ns'] = 'Bruker <b class="acluser">%s</b> har for tiden f酶lgende tillatelser i navnerom <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_group_id'] = 'Medlemmer av gruppe <b class="aclgroup">%s</b> har for tiden f酶lgende tillatelser i for siden <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_group_ns'] = 'Medlemmer av gruppe <b class="aclgroup">%s</b> har for tiden f酶lgende tillatelser i navnerom <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_choose_id'] = '<b>F酶r inn en bruker eller gruppe</b> i skjemaet over for 氓 vise eller redigere tillatelser satt for siden <b class="aclpage">%s</b>.';
+$lang['p_choose_ns'] = '<b>F酶r inn en bruker eller gruppe</b> i skjemaet over for 氓 vise eller redigere tillatelser satt for navnerommet <b class="aclns">%s</b>.';
+$lang['p_inherited'] = 'Merk: Disse tillatelser ble ikke eksplisitt satt, men ble arvet fra andre grupper eller h酶yere navnerom.';
+$lang['p_isadmin'] = 'Merk: Den valgte gruppen eller bruker har altid fulle tillatelser fordi vedkommende er konfigurert som superbruker.';
+$lang['p_include'] = 'H酶yere tillgangsrettigheter inkluderer lavere. Rettigheter for 氓 opprette, laste opp og slette gjelder bare for navnerom, ikke enkeltsider.';
+$lang['current'] = 'N氓gjeldende ACL-regler';
+$lang['where'] = 'Side/Navnerom';
+$lang['who'] = 'Bruker/Gruppe';
+$lang['perm'] = 'Tillatelser';
+$lang['acl_perm0'] = 'Ingen';
+$lang['acl_perm1'] = 'Lese';
+$lang['acl_perm2'] = 'Redigere';
+$lang['acl_perm4'] = 'Lage';
+$lang['acl_perm8'] = 'Laste opp';
+$lang['acl_perm16'] = 'Slette';
+$lang['acl_new'] = 'Legg til ny oppf酶ring';
+$lang['acl_mod'] = 'Modifiser oppf酶ring';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/pl/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/pl/help.txt
new file mode 100644
index 000000000..331fd2a7a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/pl/help.txt
@@ -0,0 +1,11 @@
+=== Pomoc ===
+
+Na tej stronie mo偶esz zmieni膰 uprawnienia do stron i katalog贸w w wiki.
+
+Lewy panel pokazuje wszystkie dost臋pne katalogi i strony.
+
+Formularz powy偶ej pozwala wy艣wietla膰 uprawnienia wybranego u偶ytkownika oraz grupy.
+
+W tabeli poni偶ej znajduj膮 si臋 wszystkie aktywne regu艂y dotycz膮ce uprawnie艅.
+
+Wi臋cej informacji na temat uprawnie艅 w DokuWiki mo偶esz znale藕膰 w [[doku>acl|oficjalnej dokumentacji uprawnie艅]].
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/pl/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/pl/lang.php
new file mode 100644
index 000000000..63a870c50
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/pl/lang.php
@@ -0,0 +1,38 @@
+<?php
+/**
+ * polish language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Grzegorz 呕ur <grzegorz.zur@gmail.com>
+ * @author Mariusz Kujawski <marinespl@gmail.com>
+ * @author Maciej Kurczewski <pipijajko@gmail.com>
+ * @author S艂awomir Boczek <slawkens@gmail.com>
+ */
+$lang['admin_acl'] = 'Zarz膮dzanie uprawnieniami';
+$lang['acl_group'] = 'Grupa';
+$lang['acl_user'] = 'U偶ytkownik';
+$lang['acl_perms'] = 'Uprawnienia u偶ytkownika';
+$lang['page'] = 'Strona';
+$lang['namespace'] = 'Katalog';
+$lang['btn_select'] = 'Wybierz';
+$lang['p_user_id'] = 'U偶ytkownik <b class="acluser">%s</b> posiada nast臋puj膮ce uprawnienia do strony <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_user_ns'] = 'U偶ytkownik <b class="acluser">%s</b> posiada nast臋puj膮ce uprawnienia do katalog贸w <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_group_id'] = 'Cz艂onkowie grupy <b class="aclgroup">%s</b> posiadaj膮 nast臋puj膮ce uprawnienia do strony <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_group_ns'] = 'Cz艂onkowie grupy <b class="aclgroup">%s</b> posiadaj膮 nast臋puj膮ce uprawnienia do strony <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_choose_id'] = 'Podaj <b>nazw臋 u偶ytkownika lub grupy</b> w powy偶szym formularzu, by wy艣wietli膰 lub zmieni膰 uprawnienia do strony <b class="aclpage">%s</b>.';
+$lang['p_choose_ns'] = 'Podaj <b>nazw臋 u偶ytkownika lub grupy</b> w powy偶szym formularzu, by wy艣wietli膰 lub zmieni膰 uprawnienia do katalogu <b class="aclns">%s</b>.';
+$lang['p_inherited'] = 'Uwaga: Uprawnienia nie zosta艂y nadane wprost ale s膮 dziedziczone z grupy lub katalogu.';
+$lang['p_isadmin'] = 'Uwaga: Wybrana grupa lub u偶ytkownika zawsze dysponuje pe艂nymi uprawnieniami poniewa偶 posiada uprawnienia administratora.';
+$lang['p_include'] = 'Szersze uprawnienia zawieraj膮 w臋偶sze. Tworzenie, przesy艂anie plik贸w oraz usuwanie maj膮 znaczenie tylko dla katalog贸w, nie dla stron.';
+$lang['current'] = 'Aktywne regu艂y zarz膮dzania uprawnieniami';
+$lang['where'] = 'Strona/Katalog';
+$lang['who'] = 'U偶ytkownik/Grupa';
+$lang['perm'] = 'Uprawnienie';
+$lang['acl_perm0'] = '呕adne';
+$lang['acl_perm1'] = 'Czytanie';
+$lang['acl_perm2'] = 'Zmiana';
+$lang['acl_perm4'] = 'Tworzenie';
+$lang['acl_perm8'] = 'Przesy艂anie plik贸w';
+$lang['acl_perm16'] = 'Usuwanie';
+$lang['acl_new'] = 'Dodaj nowy wpis';
+$lang['acl_mod'] = 'Zmie艅 wpis';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/pt-br/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/pt-br/help.txt
new file mode 100644
index 000000000..b2a49a90d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/pt-br/help.txt
@@ -0,0 +1,11 @@
+=== Ajuda r谩pida: ===
+
+Nessa p谩gina voc锚 pode adicionar e remover permiss玫es para espa莽os de nomes e p谩ginas do seu wiki.
+
+O painel 脿 esquerda mostra todos os espa莽os de nomes e p谩ginas dispon铆veis.
+
+O formul谩rio acima permite a visualiza莽茫o e modifica莽茫o das permiss玫es de um determinado usu谩rio ou grupo.
+
+Na tabela abaixo s茫o exibidas todas as regras de controle de acesso definidas. Voc锚 pode us谩-la para excluir ou mudar rapidamente v谩rias regras.
+
+A leitura da [[doku>acl|documenta莽茫o oficial sobre ACL]] pode ajudar a compreender melhor como o controle de acessos funciona no DokuWiki.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/pt-br/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/pt-br/lang.php
new file mode 100644
index 000000000..82a19b290
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/pt-br/lang.php
@@ -0,0 +1,47 @@
+<?php
+/**
+ * Brazilian Portuguese language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Luis Fernando Enciso <lfenciso@certto.com.br>
+ * @author Alauton/Loug
+ * @author Frederico Gon莽alves Guimar茫es <frederico@teia.bio.br>
+ * @author Felipe Castro <fefcas@gmail.com>
+ * @author Lucien Raven <lucienraven@yahoo.com.br>
+ * @author Enrico Nicoletto <liverig@gmail.com>
+ * @author Fl谩vio Veras <flaviove@gmail.com>
+ * @author Jeferson Propheta <jeferson.propheta@gmail.com>
+ * @author jair.henrique@gmail.com
+ * @author Luis Dantas <luis@dantas.com>
+ * @author Frederico Guimar茫es <frederico@teia.bio.br>
+ * @author Jair Henrique <jair.henrique@gmail.com>
+ * @author Luis Dantas <luisdantas@gmail.com>
+ */
+$lang['admin_acl'] = 'Administra莽茫o da Lista de Controles de Acesso';
+$lang['acl_group'] = 'Grupo';
+$lang['acl_user'] = 'Usu谩rio';
+$lang['acl_perms'] = 'Permiss玫es para';
+$lang['page'] = 'P谩gina';
+$lang['namespace'] = 'Espa莽o de nomes';
+$lang['btn_select'] = 'Selecionar';
+$lang['p_user_id'] = 'O usu谩rio <b class="acluser">%s</b> possui as seguintes permiss玫es na p谩gina <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_user_ns'] = 'O usu谩rio <b class="acluser">%s</b> possui as seguintes permiss玫es no espa莽o de nomes <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_group_id'] = 'Os membros do grupo <b class="aclgroup">%s</b> possuem as seguintes permiss玫es na p谩gina <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_group_ns'] = 'Os membros do grupo <b class="aclgroup">%s</b> possuem as seguintes permiss玫es no espa莽o de nomes <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_choose_id'] = 'Por favor <b>digite um usu谩rio ou grupo</b> no formul谩rio acima para ver ou editar as permiss玫es para a p谩gina <b class="aclpage">%s</b>.';
+$lang['p_choose_ns'] = 'Por favor <b>digite um usu谩rio ou grupo</b> no formul谩rio acima para ver ou editar as permiss玫es para o espa莽o de nomes <b class="aclpage">%s</b>.';
+$lang['p_inherited'] = 'Nota: Essas permiss玫es n茫o foram definidas explicitamente, mas sim herdadas de outros grupos ou espa莽o de nomes superiores.';
+$lang['p_isadmin'] = 'Nota: O grupo ou usu谩rio selecionado sempre tem permiss玫es completas, porque ele est谩 configurado como superusu谩rio.';
+$lang['p_include'] = 'As permiss玫es superiores incluem as inferiores. Permiss玫es para Criar, Enviar e Apagar aplicam-se apenas aos espa莽os de nomes e n茫o 脿s p谩ginas.';
+$lang['current'] = 'Regras atuais da ACL';
+$lang['where'] = 'P谩gina/Espa莽o de nomes';
+$lang['who'] = 'Usu谩rio/Grupo';
+$lang['perm'] = 'Permiss玫es';
+$lang['acl_perm0'] = 'Nenhuma';
+$lang['acl_perm1'] = 'Ler';
+$lang['acl_perm2'] = 'Editar';
+$lang['acl_perm4'] = 'Criar';
+$lang['acl_perm8'] = 'Enviar';
+$lang['acl_perm16'] = 'Excluir';
+$lang['acl_new'] = 'Adicionar nova entrada';
+$lang['acl_mod'] = 'Modificar a entrada';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/pt/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/pt/help.txt
new file mode 100644
index 000000000..cf4619deb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/pt/help.txt
@@ -0,0 +1,9 @@
+=== Aux铆lio R谩pido ===
+
+Nesta p谩gina podes adicionar e remover permiss玫es para espa莽o de nomes e p谩ginas no seu wiki.
+
+O painel esquerdo exibe todos os espa莽o de nomes e p谩ginas. O formulario acima permite a visualiza莽茫o e modificar as permiss玫es de um selecionado utilizador ou grupo.
+
+Na tabela inferior s茫o exibidas todas as actuais regras de controle de acesso. Podes utilis谩-la para excluir ou mudar rapidamente v谩rias regras ao mesmo tempo.
+
+A leitura da [[doku>acl|documenta莽茫o oficial acerca ACL]] pode ajudar a compreender melhor como o controle de acessos funciona no DokuWiki.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/pt/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/pt/lang.php
new file mode 100644
index 000000000..6e094fd74
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/pt/lang.php
@@ -0,0 +1,39 @@
+<?php
+/**
+ * Portuguese language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Jos茅 Carlos Monteiro <jose.c.monteiro@netcabo.pt>
+ * @author Jos茅 Monteiro <Jose.Monteiro@DoWeDo-IT.com>
+ * @author Enrico Nicoletto <liverig@gmail.com>
+ * @author Fil <fil@meteopt.com>
+ * @author Andr茅 Neves <drakferion@gmail.com>
+ */
+$lang['admin_acl'] = 'Gest茫o de ACLs';
+$lang['acl_group'] = 'Grupo';
+$lang['acl_user'] = 'Utilizador';
+$lang['acl_perms'] = 'Permiss茫o para';
+$lang['page'] = 'Documento';
+$lang['namespace'] = 'Namespace';
+$lang['btn_select'] = 'Selecionar';
+$lang['p_user_id'] = 'O utilizador <b class="acluser">%s</b> tem as seguintes permiss玫es na p谩gina <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_user_ns'] = 'O utilizador <b class="acluser">%s</b> tem as seguintes permiss玫es no espa莽o de nomes <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_group_id'] = 'Os membros do grupo <b class="aclgroup">%s</b> t锚m as seguintes permiss玫es na p谩gina <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_group_ns'] = 'Os membros do grupo <b class="aclgroup">%s</b> t锚m as seguintes permiss玫es no espa莽o de nomes <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_choose_id'] = 'Por favor <b>digite um utilizador ou grupo</b> no formul谩rio acima para ver ou editar as permiss玫es para a p谩gina <b class="aclpage">%s</b>.';
+$lang['p_choose_ns'] = 'Por favor <b>digite um utilizador ou grupo</b> no formul谩rio acima para ver ou editar as permiss玫es para o espa莽o de nomes <b class="aclpage">%s</b>.';
+$lang['p_inherited'] = 'Nota: Essas permiss玫es n茫o foram definidas explicitamente, mas sim herdadas de outros grupos ou espa莽o de nomes superiores.';
+$lang['p_isadmin'] = 'Nota: O grupo ou utilizador seleccionado tem sempre permiss玫es completas, porque ele est谩 configurado como superutilizador.';
+$lang['p_include'] = 'As permiss玫es superiores incluem as inferiores. Permiss玫es para Criar, Enviar e Apagar aplicam-se apenas aos espa莽os de nomes e n茫o 脿s p谩ginas.';
+$lang['current'] = 'Regras Actuais ACL';
+$lang['where'] = 'P谩gina/Espa莽o de Nomes';
+$lang['who'] = 'Utilizador/Grupo';
+$lang['perm'] = 'Permiss玫es';
+$lang['acl_perm0'] = 'Nenhum';
+$lang['acl_perm1'] = 'Ler';
+$lang['acl_perm2'] = 'Editar';
+$lang['acl_perm4'] = 'Criar';
+$lang['acl_perm8'] = 'Carregar';
+$lang['acl_perm16'] = 'Remover';
+$lang['acl_new'] = 'Adicionar nova entrada';
+$lang['acl_mod'] = 'Modificar Entrada';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ro/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ro/help.txt
new file mode 100644
index 000000000..3f762613c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ro/help.txt
@@ -0,0 +1,11 @@
+=== Quick Help: ===
+
+Pe aceast膬 pagin膬 pute牛i ad膬uga 艧i elimina autoriza牛iile pentru spa牛iile de nume 艧i paginile din wiki.
+
+Panoul din st芒nga afi艧eaz膬 toate spa牛iile de nume 艧i paginile disponibile.
+
+Formularul de sus v膬 permite s膬 vede牛i 艧i s膬 modifica牛i autoriza牛iile unui anume utilizator sau grup.
+
+In tabelul de jos sunt ar膬tate toate regulile de control a accesului setate. 脦l pute牛i folosi pentru a 艧terge sau modifica rapid mai multe reguli.
+
+Consultarea [[doku>acl|official documentation on ACL]] v膬 poate ajuta s膬 卯n牛elege牛i deplin cum func牛ioneaz膬 controlul accesului 卯n DocuWiki. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ro/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ro/lang.php
new file mode 100644
index 000000000..296baa291
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ro/lang.php
@@ -0,0 +1,39 @@
+<?php
+/**
+ * Romanian language file
+ *
+ * @author Sergiu Baltariu <s_baltariu@yahoo.com>
+ * @author s_baltariu@yahoo.com
+ * @author Emanuel-Emeric Andrasi <n30@mandrivausers.ro>
+ * @author Emanuel-Emeric Andra葯i <n30@mandrivausers.ro>
+ * @author Emanuel-Emeric Andra艧i <em.andrasi@mandrivausers.ro>
+ * @author Emanuel-Emeric Andrasi <em.andrasi@mandrivausers.ro>
+ */
+$lang['admin_acl'] = 'Managementul Listei de Control a Accesului';
+$lang['acl_group'] = 'Grup';
+$lang['acl_user'] = 'Utilizator';
+$lang['acl_perms'] = 'Autorizare pentru';
+$lang['page'] = 'Pagina';
+$lang['namespace'] = 'Spa牛iu de nume';
+$lang['btn_select'] = 'Selecteaz膬';
+$lang['p_user_id'] = 'Utilizatorul <b class="acluser">%s</b> are urm膬toarele autoriza牛ii pe pagin膬 <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_user_ns'] = 'Utilizatorul <b class="acluser">%s</b> are urm膬toarele autoriza牛ii pe spa牛iul de nume <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_group_id'] = 'Membrii grupului <b class="aclgroup">%s</b> au urm膬toarele autoriza牛ii pe pagin膬 <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_group_ns'] = 'Membrii grupului <b class="aclgroup">%s</b> au urm膬toarele autoriza牛ii pe spa牛iul de nume <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_choose_id'] = '<b>Introduce牛i un utilizator sau un grup</b> 卯n formularul de mai sus pentru a vizualiza sau edita autoriza牛iile paginii <b class="aclpage">%s</b>.';
+$lang['p_choose_ns'] = '<b>Introduce牛i un utilizator sau un grup</b> 卯n formularul de mai sus pentru a vizualiza sau edita autoriza牛iile spa牛iului de nume <b class="aclns">%s</b>.';
+$lang['p_inherited'] = 'Not膬: Aceste autoriza牛ii nu au fost setate explicit ci au fost mo艧tenite de la alte grupuri sau spa牛ii de nume superioare ierarhic.';
+$lang['p_isadmin'] = 'Not膬: Grupul sau utilizatorul selectat are intotdeauna toate autorizatiile 卯ntruc芒t este configurat ca superutilizator.';
+$lang['p_include'] = 'Permisiunile superioare le includ pe cele inferioare. Permisiunile de Creare, Upload 艧i 艦tergere se aplic膬 doar numelor de spa牛iu, nu paginilor.';
+$lang['current'] = 'Reguli ACL actuale';
+$lang['where'] = 'Pagin膬/Spa牛iu de nume';
+$lang['who'] = 'Utilizator/Grup';
+$lang['perm'] = 'Autoriza牛ii';
+$lang['acl_perm0'] = 'Nici una';
+$lang['acl_perm1'] = 'Citire';
+$lang['acl_perm2'] = 'Editare';
+$lang['acl_perm4'] = 'Creare';
+$lang['acl_perm8'] = '脦nc膬rcare';
+$lang['acl_perm16'] = '艦tergere';
+$lang['acl_new'] = 'Adaug膬 intrare nou膬';
+$lang['acl_mod'] = 'Modific膬 intrare';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ru/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ru/help.txt
new file mode 100644
index 000000000..f969151fe
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ru/help.txt
@@ -0,0 +1,11 @@
+=== 袣褉邪褌泻邪褟 小锌褉邪胁泻邪: ===
+
+袧邪 褝褌芯泄 褋褌褉邪薪懈褑械 胁褘 屑芯卸械褌械 写芯斜邪胁懈褌褜 懈谢懈 褍写邪谢懈褌褜 锌褉邪胁邪 写芯褋褌褍锌邪 泻 锌褉芯褋褌褉邪薪褋褌胁邪屑 懈屑褢薪 懈 褋褌褉邪薪懈褑邪屑 胁邪褕械泄 胁懈泻懈.
+
+袧邪 锌邪薪械谢懈 褋谢械胁邪 芯褌芯斜褉邪卸械薪褘 写芯褋褌褍锌薪褘械 锌褉芯褋褌褉邪薪褋褌胁邪 懈屑褢薪 懈 褋褌褉邪薪懈褑褘.
+
+肖芯褉屑邪 胁褘褕械 锌芯蟹胁芯谢褟械褌 胁邪屑 锌褉芯褋屑芯褌褉械褌褜 懈 懈蟹屑械薪懈褌褜 锌褉邪胁邪 写芯褋褌褍锌邪 写谢褟 胁褘斜褉邪薪薪芯谐芯 锌芯谢褜蟹芯胁邪褌械谢褟 懈谢懈 谐褉褍锌锌褘.
+
+孝械泻褍褖懈械 锌褉邪胁邪 写芯褋褌褍锌邪 芯褌芯斜褉邪卸械薪褘 胁 褌邪斜谢懈褑械 薪懈卸械. 袙褘 屑芯卸械褌械 懈褋锌芯谢褜蟹芯胁邪褌褜 械褢 写谢褟 斜褘褋褌褉芯谐芯 褍写邪谢械薪懈褟 懈谢懈 懈蟹屑械薪械薪懈褟 锌褉邪胁懈谢.
+
+袩褉芯褔褌械薪懈械 [[doku>acl|芯褎懈褑懈邪谢褜薪芯泄 写芯泻褍屑械薪褌邪褑懈懈 锌芯 ACL]] 屑芯卸械褌 锌芯屑芯褔褜 胁邪屑 胁 锌芯谢薪芯屑 锌芯薪懈屑邪薪懈懈 褉邪斜芯褌褘 褍锌褉邪胁谢械薪懈褟 锌褉邪胁邪屑懈 写芯褋褌褍锌邪 胁 DokuWiki.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ru/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ru/lang.php
new file mode 100644
index 000000000..496e67510
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/ru/lang.php
@@ -0,0 +1,42 @@
+<?php
+/**
+ * Russian language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Denis Simakov <akinoame1@gmail.com>
+ * @author 袟屑械泄 协褌械褉懈泄褋泻懈泄 evil_snake@eternion.ru
+ * @author Hikaru Nakajima <jisatsu@mail.ru>
+ * @author Alexei Tereschenko <alexeitlex@yahoo.com>
+ * @author Irina Ponomareva irinaponomareva@webperfectionist.com
+ * @author Alexander Sorkin <kibizoid@gmail.com>
+ * @author Kirill Krasnov <krasnovforum@gmail.com>
+ * @author Vlad Tsybenko <vlad.development@gmail.com>
+ */
+$lang['admin_acl'] = '校锌褉邪胁谢械薪懈械 褋锌懈褋泻邪屑懈 泻芯薪褌褉芯谢褟 写芯褋褌褍锌邪';
+$lang['acl_group'] = '袚褉褍锌锌邪';
+$lang['acl_user'] = '袩芯谢褜蟹芯胁邪褌械谢褜';
+$lang['acl_perms'] = '袩褉邪胁邪 写芯褋褌褍锌邪 写谢褟';
+$lang['page'] = '小褌褉邪薪懈褑邪';
+$lang['namespace'] = '袩褉芯褋褌褉邪薪褋褌胁芯 懈屑械薪';
+$lang['btn_select'] = '袙褘斜褉邪褌褜';
+$lang['p_user_id'] = '小械泄褔邪褋 锌芯谢褜蟹芯胁邪褌械谢褜 <b class="acluser">%s</b> 懈屑械械褌 褋谢械写褍褞褖懈械 锌褉邪胁邪 薪邪 写芯褋褌褍锌 泻 褋褌褉邪薪懈褑械 <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_user_ns'] = '小械泄褔邪褋 锌芯谢褜蟹芯胁邪褌械谢褜 <b class="acluser">%s</b> 懈屑械械褌 褋谢械写褍褞褖懈械 锌褉邪胁邪 薪邪 写芯褋褌褍锌 泻 锌褉芯褋褌褉邪薪褋褌胁褍 懈屑褢薪 <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_group_id'] = '小械泄褔邪褋 褔谢械薪褘 谐褉褍锌锌褘 <b class="aclgroup">%s</b> 懈屑械褞褌 褋谢械写褍褞褖懈械 锌褉邪胁邪 薪邪 写芯褋褌褍锌 泻 褋褌褉邪薪懈褑械 <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_group_ns'] = '小械泄褔邪褋 褔谢械薪褘 谐褉褍锌锌褘 <b class="aclgroup">%s</b> c懈屑械褞褌 褋谢械写褍褞褖懈械 锌褉邪胁邪 薪邪 写芯褋褌褍锌 泻 锌褉芯褋褌褉邪薪褋褌胁褍 懈屑褢薪 <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_choose_id'] = '袩芯卸邪谢褍泄褋褌邪, <b>胁胁械写懈褌械 锌芯谢褜蟹芯胁邪褌械谢褟 懈谢懈 谐褉褍锌锌褍</b> 胁 褎芯褉屑械 胁褘褕械, 褔褌芯斜褘 锌褉芯褋屑芯褌褉械褌褜 懈谢懈 芯褌褉械写邪泻褌懈褉芯胁邪褌褜 锌褉邪胁邪 薪邪 写芯褋褌褍锌 泻 褋褌褉邪薪懈褑械 <b class="aclpage">%s</b>.';
+$lang['p_choose_ns'] = '袩芯卸邪谢褍泄褋褌邪, <b>胁胁械写懈褌械 锌芯谢褜蟹芯胁邪褌械谢褟 懈谢懈 谐褉褍锌锌褍</b> 胁 褎芯褉屑械 胁褘褕械, 褔褌芯斜褘 锌褉芯褋屑芯褌褉械褌褜 懈谢懈 芯褌褉械写邪泻褌懈褉芯胁邪褌褜 锌褉邪胁邪 薪邪 写芯褋褌褍锌 泻 锌褉芯褋褌褉邪薪褋褌胁褍 懈屑褢薪 <b class="aclns">%s</b>.';
+$lang['p_inherited'] = '袟邪屑械褔邪薪懈械: 协褌懈 锌褉邪胁邪 写芯褋褌褍锌邪 薪械 斜褘谢懈 蟹邪写邪薪褘 褟胁薪芯, 邪 斜褘谢懈 褍薪邪褋谢械写芯胁邪薪褘 芯褌 写褉褍谐懈褏 谐褉褍锌锌 懈谢懈 锌褉芯褋褌褉邪薪褋褌胁 懈屑褢薪 斜芯谢械械 胁褘褋芯泻芯谐芯 锌芯褉褟写泻邪.';
+$lang['p_isadmin'] = '袟邪屑械褔邪薪懈械: 袙褘斜褉邪薪薪褘泄 锌芯谢褜蟹芯胁邪褌械谢褜 胁褋械谐写邪 懈屑械械褌 锌芯谢薪褘械 锌褉邪胁邪 褌邪泻, 泻邪泻 芯薪 褟胁谢褟械褌褋褟 褋褍锌械褉锌芯谢褜蟹芯胁邪褌械谢械屑.';
+$lang['p_include'] = '袘芯谢械械 胁褘褋芯泻懈械 锌褉邪胁邪 写芯褋褌褍锌邪 胁泻谢褞褔邪褞褌 胁 褋械斜褟 斜芯谢械械 薪懈蟹泻懈械. 袩褉邪胁邪 写芯褋褌褍锌邪 小芯蟹写邪薪懈械, 袟邪谐褉褍蟹泻邪 懈 校写邪谢械薪懈械 芯褌薪芯褋褟褌褋褟 褌芯谢褜泻芯 泻 锌褉芯褋褌褉邪薪褋褌胁邪屑 懈屑褢薪, 邪 薪械 泻 褋褌褉邪薪懈褑邪屑.';
+$lang['current'] = '孝械泻褍褖懈械 锌褉邪胁邪 ACL';
+$lang['where'] = '小褌褉邪薪懈褑邪/袩褉芯褋褌褉邪薪褋褌胁芯 懈屑褢薪';
+$lang['who'] = '袩芯谢褜蟹芯胁邪褌械谢褜/袚褉褍锌锌邪';
+$lang['perm'] = '袩褉邪胁邪 写芯褋褌褍锌邪';
+$lang['acl_perm0'] = '袧械褌 写芯褋褌褍锌邪';
+$lang['acl_perm1'] = '效褌械薪懈械';
+$lang['acl_perm2'] = '袩褉邪胁泻邪';
+$lang['acl_perm4'] = '小芯蟹写邪薪懈械';
+$lang['acl_perm8'] = '袟邪谐褉褍蟹泻邪 褎邪泄谢芯胁';
+$lang['acl_perm16'] = '校写邪谢械薪懈械';
+$lang['acl_new'] = '袛芯斜邪胁懈褌褜 薪芯胁褍褞 蟹邪锌懈褋褜';
+$lang['acl_mod'] = '袨褌褉械写邪泻褌懈褉芯胁邪褌褜 蟹邪锌懈褋褜';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/sk/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/sk/help.txt
new file mode 100644
index 000000000..1167bf5e9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/sk/help.txt
@@ -0,0 +1,11 @@
+=== Kr谩tka n谩poveda: ===
+
+Na tejto str谩nke m么啪ete prid谩va钮 alebo ru拧i钮 opr谩vnenia pre menn茅 priestory a str谩nky vo Va拧ej wiki.
+
+慕av媒 panel zobrazuje v拧etky dostupn茅 menn茅 priestory a str谩nky.
+
+Formul谩r zobrazen媒 vy拧拧ie Vam dovo木uje prehliada钮 a meni钮 opr谩vnenia pre vybran茅ho pou啪铆vate木a alebo skupinu.
+
+V tabu木ke ni啪拧ie s煤 zobrazen茅 v拧etky aktu谩lne pr铆stupov茅 pravidl谩. M么啪ete v nej r媒chlo ru拧i钮 alebo meni钮 viacero pravidiel naraz.
+
+Pre膷铆tanie [[doku>acl|ofici谩lnej dokument谩cie ACL]] V谩m m么啪e pom么c钮 plne pochopi钮 sp么sob ako funguj煤 pr铆stupov茅 pravidl谩 (opr谩vnenia) v DokuWiki. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/sk/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/sk/lang.php
new file mode 100644
index 000000000..398f8c63d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/sk/lang.php
@@ -0,0 +1,38 @@
+<?php
+/**
+ * Slovak language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Ondrej Vegh <ov@vsieti.sk>
+ * @author Michal Mesko <michal.mesko@gmail.com>
+ * @author exusik@gmail.com
+ * @author Martin Michalek <michalek.dev@gmail.com>
+ */
+$lang['admin_acl'] = 'Spr谩va zoznamu pr铆stupov媒ch pr谩v';
+$lang['acl_group'] = 'Skupina';
+$lang['acl_user'] = 'U啪铆vate木';
+$lang['acl_perms'] = 'Pr谩va pre';
+$lang['page'] = 'Str谩nka';
+$lang['namespace'] = 'Menn媒 priestor';
+$lang['btn_select'] = 'Vybra钮';
+$lang['p_user_id'] = 'Pou啪铆vate木 <b class="acluser">%s</b> m谩 aktu谩lne nasleduj煤ce opr谩vnenia k str谩nke <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_user_ns'] = 'Pou啪铆vate木 <b class="acluser">%s</b> m谩 aktu谩lne nasleduj煤ce opr谩vnenia v mennom priestore <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_group_id'] = '膶lenovia skupiny <b class="aclgroup">%s</b> maj煤 aktu谩lne nasleduj煤ce opr谩vnenia k str谩nke <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_group_ns'] = '膶lenovia skupiny <b class="aclgroup">%s</b> maj煤 aktu谩lne nasleduj煤ce opr谩vnenia v mennom priestore <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_choose_id'] = 'Pros铆m <b>zadajte pou啪铆vate木a alebo skupinu</b> do formul谩ra zobrazen茅ho vy拧拧ie, aby ste mohli prezera钮 alebo meni钮 opr谩vnenia k str谩nke <b class="aclpage">%s</b>.';
+$lang['p_choose_ns'] = 'Pros铆m <b>zadajte pou啪铆vate木a alebo skupinu</b> do formul谩ra zobrazen茅ho vy拧拧ie, aby ste mohli prezera钮 alebo meni钮 opr谩vnenia v mennom priestore <b class="aclns">%s</b>.';
+$lang['p_inherited'] = 'Pozn谩mka: Tieto opr谩vnenia neboli nastaven茅 explicitne, ale boli odvoden茅 z inej skupiny alebo nadraden茅ho menn茅ho priestoru.';
+$lang['p_isadmin'] = 'Pozn谩mka: Vybran谩 skupina alebo pou啪铆vate木 m谩 v啪dy najvy拧拧ie opr谩vnenia, preto啪e je veden谩/veden媒 ako spr谩vca.';
+$lang['p_include'] = 'Vy拧拧ie opr谩vnenia zah艜艌aj煤 ni啪拧ie. Opr谩vnenie Vytvori钮, Nahra钮 a Zmaza钮 sa vz钮ahuj煤 iba k menn媒m priestorom, nie ku str谩nkam.';
+$lang['current'] = 'Aktu谩lne pravidl谩 pr铆stupu (ACL)';
+$lang['where'] = 'Str谩nka/Menn媒 priestor';
+$lang['who'] = 'Pou啪铆vate木/Skupina';
+$lang['perm'] = 'Povolenia';
+$lang['acl_perm0'] = '沤iadne';
+$lang['acl_perm1'] = '膶铆ta钮';
+$lang['acl_perm2'] = 'Zmeni钮';
+$lang['acl_perm4'] = 'Vytvori钮';
+$lang['acl_perm8'] = 'Nahra钮 s煤bor';
+$lang['acl_perm16'] = 'Zmaza钮';
+$lang['acl_new'] = 'Prida钮 nov媒 z谩znam';
+$lang['acl_mod'] = 'Upravi钮 z谩znam';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/sl/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/sl/lang.php
new file mode 100644
index 000000000..32141fbce
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/sl/lang.php
@@ -0,0 +1,21 @@
+<?php
+/**
+ * Slovenian language file
+ *
+ * @author Dejan Levec <webphp@gmail.com>
+ * @author Bo拧tjan Seni膷ar <senicar@gmail.com>
+ */
+$lang['acl_group'] = 'Skupina';
+$lang['acl_user'] = 'Uporabnik';
+$lang['acl_perms'] = 'Dovoljenja za';
+$lang['page'] = 'Stran';
+$lang['btn_select'] = 'Izberi';
+$lang['who'] = 'Uporabnik/Skupina';
+$lang['perm'] = 'Dovoljenja';
+$lang['acl_perm1'] = 'Preberi';
+$lang['acl_perm2'] = 'Uredi';
+$lang['acl_perm4'] = 'Ustvari';
+$lang['acl_perm8'] = 'Nalo啪i';
+$lang['acl_perm16'] = 'Zbri拧i';
+$lang['acl_new'] = 'Dodaj nov zapis';
+$lang['acl_mod'] = 'Spremeni zapis';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/sr/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/sr/help.txt
new file mode 100644
index 000000000..0ec8921d4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/sr/help.txt
@@ -0,0 +1,11 @@
+=== 袩褉懈褉褍褔薪邪 锌芯屑芯褯: ===
+
+袧邪 芯胁芯褬 褋褌褉邪薪懈 屑芯卸械褌械 写芯写邪褌懈 懈谢懈 褍泻谢芯薪懈褌懈 写芯蟹胁芯谢械 蟹邪 褋褌褉邪薪懈褑械 懈 懈屑械薪褋泻械 锌褉芯褋褌芯褉械 薪邪 袙邪褕械屑 胁懈泻懈褬褍.
+
+袥械胁懈 锌邪薪械谢 锌褉懈泻邪蟹褍褬械 褋胁械 写芯褋褌褍锌薪械 懈屑械薪褋泻械 锌褉芯褋褌芯褉械 懈 褋褌褉邪薪懈褑械.
+
+肖芯褉屑褍谢邪褉 懈蟹薪邪写 芯屑芯谐褍褯邪胁邪 锌褉懈泻邪蟹 懈 懈蟹屑械薪褍 写芯蟹胁芯谢邪 蟹邪 芯写邪斜褉邪薪械 泻芯褉懈褋薪懈泻械 懈谢懈 谐褉褍锌械.
+
+校 褌邪斜械谢懈 懈褋锌芯写 褋褍 锌褉懈泻邪蟹邪薪械 褋胁械 褌褉械薪褍褌薪芯 锌芯褋褌邪胁褭械薪械 写芯蟹胁芯谢械. 袦芯卸械褌械 褬械 泻芯褉懈褋褌懈褌懈 蟹邪 斜褉蟹芯 斜褉懈褋邪褮械 懈谢懈 懈蟹屑械薪褍 胁懈褕械 锌褉邪胁懈谢邪.
+
+效懈褌邪褮械 [[doku>acl|蟹胁邪薪懈褔薪械 写芯泻褍屑械薪褌邪褑懈褬械 芯 ACL]] 袙邪屑 屑芯卸械 锌芯屑芯褯懈 褍 锌芯褌锌褍薪芯屑 褉邪蟹褍屑械胁邪褮褍 褉邪写邪 写芯蟹胁芯谢邪 锌褉懈褋褌褍锌邪 褍 DokuWiki-褬褍.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/sr/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/sr/lang.php
new file mode 100644
index 000000000..6e1649992
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/sr/lang.php
@@ -0,0 +1,37 @@
+<?php
+/**
+ * serbian language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Filip Brcic <brcha@users.sourceforge.net>
+ * @author 袠胁邪薪 袩械褌褉芯胁懈褯 petrovicivan@ubuntusrbija.org
+ * @author Ivan Petrovic <petrovicivan@ubuntusrbija.org>
+ */
+$lang['admin_acl'] = '校锌褉邪胁褭邪褮械 谢懈褋褌芯屑 泻芯薪褌褉芯谢械 锌褉懈褋褌褍锌邪';
+$lang['acl_group'] = '袚褉褍锌邪';
+$lang['acl_user'] = '袣芯褉懈褋薪懈泻';
+$lang['acl_perms'] = '袛芯蟹胁芯谢械 蟹邪';
+$lang['page'] = '小褌褉邪薪懈褑邪';
+$lang['namespace'] = '袠屑械薪褋泻懈 锌褉芯褋褌芯褉';
+$lang['btn_select'] = '袨写邪斜械褉懈';
+$lang['p_user_id'] = '袣芯褉懈褋薪懈泻 <b class="acluser">%s</b> 褌褉械薪褍褌薪芯 懈屑邪 褋谢械写械褯械 写芯蟹胁芯谢械 蟹邪 芯胁褍 褋褌褉邪薪懈褑褍 <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_user_ns'] = '袣芯褉懈褋薪懈泻 <b class="acluser">%s</b> 褌褉械薪褍褌薪芯 懈屑邪 褋谢械写械褯械 写芯蟹胁芯谢械 蟹邪 芯胁邪褬 懈屑械薪褋泻懈 锌褉芯褋褌芯褉 <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_group_id'] = '效谢邪薪芯胁懈 谐褉褍锌械 <b class="acluser">%s</b> 褌褉械薪褍褌薪芯 懈屑邪褬褍 褋谢械写械褯械 写芯蟹胁芯谢械 蟹邪 芯胁褍 褋褌褉邪薪懈褑褍 <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_group_ns'] = '效谢邪薪芯胁懈 谐褉褍锌械 <b class="acluser">%s</b> 褌褉械薪褍褌薪芯 懈屑邪褬褍 褋谢械写械褯械 写芯蟹胁芯谢械 蟹邪 芯胁邪褬 懈屑械薪褋泻懈 锌褉芯褋褌芯褉 <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_choose_id'] = '袦芯谢懈屑 袙邪褋 <b>褍薪械褋懈褌械 泻芯褉懈褋薪懈泻邪 懈谢懈 谐褉褍锌褍</b> 褍 褎芯褉屑褍谢邪褉 懈蟹薪邪写 写邪 斜懈褋褌械 锌褉懈泻邪蟹邪谢懈 懈谢懈 懈蟹屑械薪懈谢懈 写芯蟹胁芯谢械 蟹邪 褋褌褉邪薪懈褑褍 <b class="aclpage">%s</b>.';
+$lang['p_choose_ns'] = '袦芯谢懈屑 袙邪褋 <b>褍薪械褋懈褌械 泻芯褉懈褋薪懈泻邪 懈谢懈 谐褉褍锌褍</b> 褍 褎芯褉屑褍谢邪褉 懈蟹薪邪写 写邪 斜懈褋褌械 锌褉懈泻邪蟹邪谢懈 懈谢懈 懈蟹屑械薪懈谢懈 写芯蟹胁芯谢械 蟹邪 懈屑械薪褋泻懈 锌褉芯褋褌芯褉 <b class="aclpage">%s</b>.';
+$lang['p_inherited'] = '袧邪锌芯屑械薪邪: 袨胁械 写芯蟹胁芯谢械 褋械 薪械 锌芯褋褌邪胁褭邪褬褍 械泻褋锌谢懈褑懈褌薪芯 胁械褯 褋邪屑芯 褌邪屑芯 谐写械 褋械 薪械 褋褍写邪褉邪褬褍 褋邪 芯褋褌邪谢懈屑 谐褉褍锌邪屑邪 懈谢懈 胁懈褕械屑 懈屑邪薪褋泻芯屑 锌褉芯褋褌芯褉褍.';
+$lang['p_isadmin'] = '袧邪锌芯屑械薪邪: 袨写邪斜褉邪薪 泻芯褉懈褋薪懈泻 懈谢懈 谐褉褍锌邪 懈屑邪 褍胁械泻 锌褍薪械 写芯蟹胁芯谢械 褬械褉 褬械 锌芯褋褌邪胁褭械薪 蟹邪 褋褍锌械褉泻芯褉懈褋薪懈泻邪.';
+$lang['p_include'] = '袙懈褕械 写芯蟹胁芯谢械 褍泻褭褍褔褍褬褍 薪懈卸械. 袛芯蟹胁芯谢械 芯写邪胁邪褮邪, 褋谢邪褮邪 懈 斜褉懈褋邪褮邪 褯械 斜懈褌懈 锌褉懈屑械褮械薪械 褋邪屑芯 薪邪 懈屑械薪褋泻械 锌褉芯褋褌芯褉械, 薪械 懈 薪邪 褋褌褉邪薪械.';
+$lang['current'] = '孝褉械薪褍褌薪邪 锌褉邪胁懈谢邪 锌褉芯褋褌褍锌邪';
+$lang['where'] = '小褌褉邪薪懈褑邪/袠屑械薪褋泻懈 锌褉芯褋褌芯褉';
+$lang['who'] = '袣芯褉懈褋薪懈泻/袚褉褍锌邪';
+$lang['perm'] = '袛芯蟹胁芯谢械';
+$lang['acl_perm0'] = '袧懈褕褌邪';
+$lang['acl_perm1'] = '效懈褌邪褮械';
+$lang['acl_perm2'] = '袠蟹屑械薪邪';
+$lang['acl_perm4'] = '袩褉邪胁褭械褮械';
+$lang['acl_perm8'] = '小谢邪褮械';
+$lang['acl_perm16'] = '袘褉懈褋邪褮械';
+$lang['acl_new'] = '袛芯写邪褬 薪芯胁懈 褍薪芯褋';
+$lang['acl_mod'] = '袠蟹屑械薪懈 褍薪芯褋';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/sv/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/sv/help.txt
new file mode 100644
index 000000000..5ba770fa0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/sv/help.txt
@@ -0,0 +1,8 @@
+=== Hj盲lp ===
+P氓 den h盲r sidan kan du l盲gga till och ta bort 氓tkomstr盲ttigheter f枚r namnrymder och enstaka sidor i din wiki.
+
+Till v盲nster visas alla tillg盲ngliga namnrymder och sidor du kan v盲lja. I formul盲ret ovanf枚r kan du sedan v盲lja anv盲ndare eller grupp f枚r vilken 氓tkomstr盲ttigheterna ska visas eller 盲ndras.
+
+Tabellen nedanf枚r visar samtliga uppsatta regler f枚r 氓tkomstr盲ttigheter. Den kan du anv盲nda f枚r att snabbt ta bort eller 盲ndra flera regler p氓 en g氓ng.
+
+L盲s g盲rna [[doku>acl|den officiella dokumentationen f枚r ACL]] som kan hj盲lpa dig till fullo f枚rst氓 hur 氓tkomstr盲ttigheter fungerar i DokuWiki. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/sv/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/sv/lang.php
new file mode 100644
index 000000000..b5fd3b866
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/sv/lang.php
@@ -0,0 +1,46 @@
+<?php
+/**
+ * swedish language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Per Foreby <per@foreby.se>
+ * @author Nicklas Henriksson <nicklas[at]nihe.se>
+ * @author H氓kan Sandell <hakan.sandell[at]mydata.se>
+ * @author Dennis Karlsson
+ * @author Tormod Otter Johansson <tormod@latast.se>
+ * @author emil@sys.nu
+ * @author Pontus Bergendahl <pontus.bergendahl@gmail.com>
+ * @author Tormod Johansson tormod.otter.johansson@gmail.com
+ * @author Emil Lind <emil@sys.nu>
+ * @author Bogge Bogge <bogge@bogge.com>
+ * @author Peter 脜str枚m <eaustreum@gmail.com>
+ * @author H氓kan Sandell <hakan.sandell@home.se>
+ */
+$lang['admin_acl'] = 'Hantera beh枚righetslistan (ACL)';
+$lang['acl_group'] = 'Grupp';
+$lang['acl_user'] = 'Anv盲ndare';
+$lang['acl_perms'] = 'Beh枚righet f枚r';
+$lang['page'] = 'Sida';
+$lang['namespace'] = 'Namnrymd';
+$lang['btn_select'] = 'V盲lj';
+$lang['p_user_id'] = 'Anv盲ndaren <b class="acluser">%s</b> har f枚rn盲rvarande f枚ljande r盲ttigheter p氓 sidan <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_user_ns'] = 'Anv盲ndaren <b class="acluser">%s</b> har f枚r n盲rvarande f枚ljande r盲ttigheter i namnrymden <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_group_id'] = 'Medlemmar av gruppen <b class="aclgroup">%s</b> har f枚r n盲rvarande f枚ljande r盲ttigheter p氓 sidan <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_group_ns'] = 'Medlemmar av gruppen <b class="aclgroup">%s</b> har f枚r n盲rvarande f枚ljande r盲ttigheter i namnrymden <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_choose_id'] = 'V盲nligen <b>ange en anv盲ndare eller grupp</b> i formul盲ret ovan f枚r att visa eller 盲ndra r盲ttigheterna f枚r sidan <b class="aclpage">%s</b>.';
+$lang['p_choose_ns'] = 'V盲nligen <b>ange en anv盲ndare eller grupp</b> i formul盲ret ovan f枚r att visa eller 盲ndra r盲ttigheterna f枚r namnrymden <b class="aclns">%s</b>.';
+$lang['p_inherited'] = 'Notering: De h盲r r盲ttigheterna 盲r inte explicit satta utan var 盲rvda fr氓n andra grupper eller h枚gre namnrymder.';
+$lang['p_isadmin'] = 'Notering: Den valda gruppen eller anv盲ndaren har alltid fulla r盲ttigheter p氓 grund av att den 盲r konfigurerad som superanv盲ndare.';
+$lang['p_include'] = 'H枚gre r盲ttigheter inkluderar l盲gre. R盲ttigheter f枚r Skapa, Ladda upp och Radera 盲r endast applicerbara namnrymder, inte sidor.';
+$lang['current'] = 'Nuvarande ACL regler';
+$lang['where'] = 'Sida/Namnrymd';
+$lang['who'] = 'Anv盲ndare/Grupp';
+$lang['perm'] = 'R盲ttigheter';
+$lang['acl_perm0'] = 'Inga';
+$lang['acl_perm1'] = 'L盲sa';
+$lang['acl_perm2'] = 'Redigera';
+$lang['acl_perm4'] = 'Skapa';
+$lang['acl_perm8'] = 'Ladda upp';
+$lang['acl_perm16'] = 'Radera';
+$lang['acl_new'] = 'L盲gg till ny beh枚righet';
+$lang['acl_mod'] = '脛ndra beh枚righet';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/th/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/th/help.txt
new file mode 100644
index 000000000..52edca93f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/th/help.txt
@@ -0,0 +1,11 @@
+=== 喔曕副喔о笂喙堗抚喔⑧腑喔⑧箞喔侧竾喙喔`箛喔 ===
+
+喙冟笝喔笝喙夃覆喔權傅喙夃竸喔膏笓喔覆喔∴覆喔`笘喙喔炧复喙堗浮喙佮弗喔班笘喔笖喔复喔椸笜喔脆箤喔赋喔福喔编笟喙喔權浮喔箑喔涏笅 喙佮弗喔班箑喔炧笀喙冟笝喔о复喔佮复喔傕腑喔囙竸喔膏笓
+
+喙佮笘喔氞笖喙夃覆喔權笅喙夃覆喔⑧笀喔班箒喔笖喔囙福喔侧涪喔娻阜喙堗腑喙喔權浮喔箑喔涏笅 喙佮弗喔班箑喔炧笀喔椸傅喙堗浮喔掂腑喔⑧腹喙堗笚喔编箟喔囙斧喔∴笖
+
+喙佮笟喔氞笩喔福喙屶浮喔傕箟喔侧竾喔氞笝喔笝喔膏笉喔侧笗喔脆箖喔箟喔勦父喔撪浮喔竾喙喔箛喔 喙佮弗喔班箒喔佮箟喙勦競喔复喔椸笜喔脆箤喔傕腑喔囙笢喔灌箟喙冟笂喙夃斧喔`阜喔竵喔ム父喙堗浮喔椸傅喙堗箑喔ム阜喔竵喙勦抚喙夃箘喔斷箟
+
+喙冟笝喔曕覆喔`覆喔囙笖喙夃覆喔權弗喙堗覆喔囙箘喔斷箟喙佮釜喔斷竾喔佮笍喔勦抚喔氞竸喔膏浮喔佮覆喔`箑喔傕箟喔侧笘喔多竾喔椸副喙夃竾喔浮喔斷箘喔о箟 喔勦父喔撪釜喔侧浮喔侧福喔栢箖喔娻箟喔∴副喔權弗喔 喔福喔粪腑喙喔涏弗喔掂箞喔⑧笝喔佮笍喔勦福喔编箟喔囙弗喔班斧喔ム覆喔⑧箚喔曕副喔о笧喔`箟喔浮喔佮副喔權箘喔斷箟喔涪喙堗覆喔囙福喔о笖喙喔`箛喔
+
+喔佮覆喔`腑喙堗覆喔 [[doku>acl|official documentation on ACL]] 喔權箞喔侧笀喔班笂喙堗抚喔⑧箖喔箟喔勦父喔撪箑喔傕箟喔侧箖喔堗抚喔脆笜喔掂竸喔о笟喔勦父喔∴竵喔侧福喙喔傕箟喔侧笘喔多竾喔傕腑喔囙箓喔斷竵喔膏抚喔脆竵喔脆箘喔斷箟喔涪喙堗覆喔囙笘喙堗腑喔囙箒喔椸箟 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/th/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/th/lang.php
new file mode 100644
index 000000000..472c33cdc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/th/lang.php
@@ -0,0 +1,27 @@
+<?php
+/**
+ * Thai language file
+ *
+ * @author Komgrit Niyomrath <n.komgrit@gmail.com>
+ * @author Kittithat Arnontavilas mrtomyum@gmail.com
+ * @author Kittithat Arnontavilas <mrtomyum@gmail.com>
+ * @author Thanasak Sompaisansin <jombthep@gmail.com>
+ */
+$lang['admin_acl'] = '喔堗副喔斷竵喔侧福喔`覆喔⑧笂喔粪箞喔箑喔炧阜喙堗腑喔勦抚喔氞竸喔膏浮喔佮覆喔`箑喔傕箟喔侧笘喔多竾 (Access Control List:ACL)';
+$lang['acl_group'] = '喔佮弗喔膏箞喔';
+$lang['acl_user'] = '喔溹腹喙夃箖喔娻箟';
+$lang['acl_perms'] = '喔复喔椸笜喔脆釜喔赤斧喔`副喔';
+$lang['page'] = '喙喔炧笀';
+$lang['namespace'] = '喙喔權浮喔箑喔涏笅';
+$lang['btn_select'] = '喙喔ム阜喔竵';
+$lang['where'] = '喙喔炧笀/喙喔權浮喔箑喔涏笅';
+$lang['who'] = '喔溹腹喙夃箖喔娻箟/喔佮弗喔膏箞喔';
+$lang['perm'] = '喔复喔椸笜喔脆箤';
+$lang['acl_perm0'] = '喙勦福喙夃釜喔脆笚喔樴复喙';
+$lang['acl_perm1'] = '喔箞喔侧笝';
+$lang['acl_perm2'] = '喙佮竵喙夃箘喔';
+$lang['acl_perm4'] = '喔福喙夃覆喔';
+$lang['acl_perm8'] = '喔副喔炧箓喔弗喔';
+$lang['acl_perm16'] = '喔ム笟';
+$lang['acl_new'] = '喙喔炧复喙堗浮喙喔權阜喙夃腑喔覆喙冟斧喔∴箞';
+$lang['acl_mod'] = '喔涏福喔编笟喙佮竵喙夃箑喔權阜喙夃腑喔覆';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/tr/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/tr/help.txt
new file mode 100644
index 000000000..b467c5019
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/tr/help.txt
@@ -0,0 +1,11 @@
+=== H谋zl谋 yard谋m: ===
+
+Bu sayfada Wiki'nizin namespace ve sayfalar谋 i莽in izinleri belirleyebilirsiniz.
+
+Soldaki k谋s谋m varolan namespace ve sayfalar谋 listeler.
+
+Yukar谋daki k谋s谋m se莽ilen bir kullan谋c谋 veya grup i莽in izinleri g枚r眉p de臒i艧tirmenizi sa臒lar.
+
+A艧a臒谋daki tablo ise varolan eri艧im kontrol kurallar谋n谋 g枚sterir. Bu tabloyu birden fazla kural谋 h谋zl谋ca silip de臒i艧tirmek i莽in kullanabilirsiniz.
+
+Resmi ACL d枚k眉man谋n谋 ([[doku>acl|official documentation on ACL]]) okuyarak eri艧im kontrol眉n眉n nas谋l 莽al谋艧t谋臒谋n谋 枚臒renebilirsiniz.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/tr/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/tr/lang.php
new file mode 100644
index 000000000..45fbe7489
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/tr/lang.php
@@ -0,0 +1,40 @@
+<?php
+/**
+ * turkish language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Selim Farsako臒lu <farsakogluselim@yahoo.de>
+ * @author Ayd谋n Co艧kuner aydinweb@gmail.com
+ * @author Ayd谋n Co艧kuner <aydinweb@gmail.com>
+ * @author yavuzselim@gmail.com
+ * @author Cihan Kahveci kahvecicihan@gmail.com
+ * @author Yavuz Selim <yavuzselim@gmail.com>
+ */
+$lang['admin_acl'] = 'Eri艧im Kontrol Listesi (ACL) Y枚netimi';
+$lang['acl_group'] = 'Grup';
+$lang['acl_user'] = 'Kullan谋c谋';
+$lang['acl_perms'] = '艦unun i莽in yetkiler:';
+$lang['page'] = 'Sayfa';
+$lang['namespace'] = 'Namespace';
+$lang['btn_select'] = 'Se莽';
+$lang['p_user_id'] = '<b class="acluser">%s</b> kullan谋c谋s谋n谋n 艧u anda <b class="aclpage">%s</b> sayfas谋 i莽in yetkisi: <i>%s</i>.';
+$lang['p_user_ns'] = '<b class="acluser">%s</b> kullan谋c谋s谋n谋n 艧u anda <b class="aclns">%s</b> namesapace\'i i莽in yetkisi: <i>%s</i>.';
+$lang['p_group_id'] = '<b class="aclgroup">%s</b> grubunun 艧u anda <b class="aclpage">%s</b> sayfas谋 i莽in yetkisi: <i>%s</i>.';
+$lang['p_group_ns'] = '<b class="aclgroup">%s</b> grubunun 艧u anda <b class="aclns">%s</b> namesapace\'i i莽in yetkisi: <i>%s</i>.';
+$lang['p_choose_id'] = 'L眉tfen <b class="aclpage">%s</b> sayfas谋na izin verilen yetkilerini g枚rmek veya de臒i艧tirmek i莽in yukar谋daki forma <b>bir kullan谋c谋 veya grup ad谋</b> girin.';
+$lang['p_choose_ns'] = 'L眉tfen <b class="aclpage">%s</b> namespace\'ie izin verilen yetkileri g枚rmek veya de臒i艧tirmek i莽in yukar谋daki forma <b>bir kullan谋c谋 veya grup ad谋</b> girin.';
+$lang['p_inherited'] = 'Not: Bu izinler do臒rudan ayarlanmadan ba艧ka grup veya 眉st namespace\'lerden gelmektedir.';
+$lang['p_isadmin'] = 'Not: Se莽ili grup veya kullan谋c谋, "Ana kullan谋c谋" olarak atand谋臒谋ndan t眉m izinlere sahiptir.';
+$lang['p_include'] = '脺st seviye izinler alt izinleri i莽ermektedir. Olu艧turma, Y眉kleme ve Silme yetkisi sadece namespace\'e uygulanmaktad谋r. Bu yetki sayfalara uygulanmaz.';
+$lang['current'] = '艦imdiki ACL(陌zin Kontrol listesi) kurallar谋';
+$lang['where'] = 'Sayfa/Namespace';
+$lang['who'] = 'Kullan谋c谋/Grup';
+$lang['perm'] = '陌zinler';
+$lang['acl_perm0'] = 'Yok';
+$lang['acl_perm1'] = 'Okuma';
+$lang['acl_perm2'] = 'De臒i艧tirme';
+$lang['acl_perm4'] = 'Olu艧turma';
+$lang['acl_perm8'] = 'Y眉kleme';
+$lang['acl_perm16'] = 'Silme';
+$lang['acl_new'] = 'Yeni giri艧 ekle';
+$lang['acl_mod'] = 'Eski giri艧i de臒i艧tirme';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/uk/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/uk/help.txt
new file mode 100644
index 000000000..d16af0aa9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/uk/help.txt
@@ -0,0 +1,11 @@
+=== 楔胁懈写泻邪 写芯胁褨写泻邪: ===
+
+袧邪 褑褨泄 褋褌芯褉褨薪褑褨 胁懈 屑芯卸械褌械 写芯写邪胁邪褌懈 褔懈 蟹薪懈褖褍胁邪褌懈 锌褉邪胁邪 写芯褋褌褍锌褍 写谢褟 锌褉芯褋褌芯褉褨胁 褨屑械薪 褔懈 褋褌芯褉褨薪芯泻 胁邪褕芯褩 胁褨泻褨.
+
+袥褨胁邪 锌邪薪械谢褜 锌芯泻邪蟹褍褦 胁褋褨 写芯褋褌褍锌薪褨 锌褉芯褋褌芯褉懈 褨屑械薪 褨 褋褌芯褉褨薪泻懈.
+
+袙械褉褏薪褟 褎芯褉屑邪 写芯蟹胁芯谢褟褦 锌械褉械谐谢褟薪褍褌懈 褨 褉械写邪谐褍胁邪褌懈 锌褉邪胁邪 写芯褋褌褍锌褍 写谢褟 芯斜褉邪薪芯谐芯 泻芯褉懈褋褌褍胁邪褔邪 褔懈 谐褉褍锌懈
+
+袙 褌邪斜谢懈褑褨 蟹薪懈蟹褍 锌芯泻邪蟹邪薪褨 胁褋褨 芯谐芯谢芯褕械薪褨 锌褉邪胁懈谢邪 写芯褋褌褍锌褍. 袦芯卸械褌械 褩褩 胁懈泻芯褉懈褋褌芯胁褍胁邪褌懈 写谢褟 褕胁懈写泻芯谐芯 蟹薪懈褖械薪薪褟 褔懈 屑芯写懈褎褨泻邪褑褨褩 泻褨谢褜泻芯褏 锌褉邪胁懈谢.
+
+袛芯写邪褌泻芯胁邪 写芯锌芯屑芯谐邪 胁 [[doku>acl|芯褎褨褑褨泄薪褨泄 写芯泻褍屑械薪褌邪褑褨褩 锌芯 ACL]] 写芯锌芯屑芯卸械 胁邪屑 斜褨谢褜褕械 蟹褉芯蟹褍屑褨褌懈 褟泻 锌褉邪褑褞褦 泻芯薪褌褉芯谢褜 写芯褋褌褍锌褍 褍 袛芯泻褍袙褨泻褨. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/uk/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/uk/lang.php
new file mode 100644
index 000000000..dc3e6a963
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/uk/lang.php
@@ -0,0 +1,39 @@
+<?php
+/**
+ * ukrainian language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Oleksiy Voronin <ovoronin@gmail.com>
+ * @author serg_stetsuk@ukr.net
+ * @author okunia@gmail.com
+ * @author Oleksandr Kunytsia <okunia@gmail.com>
+ * @author Uko uko@uar.net
+ */
+$lang['admin_acl'] = '袣械褉褍胁邪薪薪褟 褋锌懈褋泻邪屑懈 泻芯薪褌褉芯谢褞 写芯褋褌褍锌褍';
+$lang['acl_group'] = '袚褉褍锌邪';
+$lang['acl_user'] = '袣芯褉懈褋褌褍胁邪褔';
+$lang['acl_perms'] = '袩褉邪胁邪 写芯褋褌褍锌褍 写谢褟';
+$lang['page'] = '小褌芯褉褨薪泻邪';
+$lang['namespace'] = '袩褉芯褋褌褨褉 褨屑械薪';
+$lang['btn_select'] = '袙懈斜褉邪褌懈';
+$lang['p_user_id'] = '袣芯褉懈褋褌褍胁邪褔 <b class="acluser">%s</b> 蟹邪褉邪蟹 屑邪褦 褌邪泻褨 锌褉邪胁邪 写芯褋褌褍锌褍 写芯 褋褌芯褉褨薪泻懈 <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_user_ns'] = '袣芯褉懈褋褌褍胁邪褔 <b class="acluser">%s</b> 蟹邪褉邪蟹 屑邪褦 褌邪泻褨 锌褉邪胁邪 写芯褋褌褍锌褍 写芯 锌褉芯褋褌芯褉褍 褨屑械薪 <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_group_id'] = '效谢械薪懈 谐褉褍锌懈 <b class="aclgroup">%s</b> 蟹邪褉邪蟹 屑邪褞褌褜 褌邪泻褨 锌褉邪胁邪 写谢褟 褋褌芯褉褨薪泻懈 <b class="aclpage">%s</b>: <i>%s</i>.';
+$lang['p_group_ns'] = '效谢械薪懈 谐褉褍锌懈 <b class="aclgroup">%s</b> 蟹邪褉邪蟹 屑邪褞褌褜 褌邪泻褨 锌褉邪胁邪 写芯褋褌褍锌褍 写芯 锌褉芯褋褌芯褉褍 褨屑械薪 <b class="aclns">%s</b>: <i>%s</i>.';
+$lang['p_choose_id'] = '袘褍写褜-谢邪褋泻邪 <b>胁胁械写褨褌褜 泻芯褉懈褋褌褍胁邪褔邪 邪斜芯 谐褉褍锌褍<b> 胁 锌芯谢械 蟹胁械褉褏褍, 褖芯斜 锌芯写懈胁懈褌懈褋褟 褔懈 蟹屑褨薪懈褌懈 锌褉邪胁邪 写芯褋褌褍锌褍 写芯 褋褌芯褉褨薪泻懈 <b class="aclpage">%s</b>.';
+$lang['p_choose_ns'] = '袘褍写褜-谢邪褋泻邪 <b>胁胁械写褨褌褜 泻芯褉懈褋褌褍胁邪褔邪 邪斜芯 谐褉褍锌褍<b> 褍 胁褨泻薪芯 蟹胁械褉褏褍, 褖芯斜 锌芯写懈胁懈褌懈褋褟 褔懈 蟹屑褨薪懈褌懈 锌褉邪胁邪 写芯褋褌褍锌褍 写芯 褋褌芯褉褨薪泻懈 <b class="aclns">%s</b>.';
+$lang['p_inherited'] = '袟胁械褉薪褨褌褜 褍胁邪谐褍! 袩褉邪胁邪 写芯褋褌褍锌褍, 薪械 胁褋褌邪薪芯胁谢械薪褨 褟胁薪芯, 薪邪褋谢褨写褍褞褌褜褋褟 胁褨写 褨薪褕懈褏 谐褉褍锌 褔懈 胁懈褖懈褏 锌褉芯褋褌芯褉褨胁 褨屑械薪.';
+$lang['p_isadmin'] = '袟胁械褉薪褨褌褜 褍胁邪谐褍! 袨斜褉邪薪褨 谐褉褍锌邪 褔懈 泻芯褉懈褋褌褍胁邪褔 蟹邪胁卸写懈 屑邪褞褌褜 锌芯胁薪褨 锌褉邪胁邪 写芯褋褌褍锌褍, 芯褋泻褨谢褜泻懈 胁芯薪懈 褦 褋褍锌械褉泻芯褉懈褋褌褍胁邪褔邪屑懈.';
+$lang['p_include'] = '小褌邪褉褕褨 锌褉邪胁邪 写芯褋褌褍锌褍 胁泻谢褞褔邪褞褌褜 屑芯谢芯写褕褨. 小褌胁芯褉械薪薪褟, 袟邪胁邪薪褌邪卸械薪薪褟 褨 袙懈谢褍褔械薪薪褟 蟹邪褋褌芯褋芯胁薪褨 谢懈褕械 写芯 锌褉芯褋褌芯褉褨胁 褨屑械薪.';
+$lang['current'] = '袩芯褌芯褔薪褨 锌褉邪胁懈谢邪 ACL';
+$lang['where'] = '小褌芯褉褨薪泻邪/袩褉芯褋褌褨褉 褨屑械薪';
+$lang['who'] = '袣芯褉懈褋褌褍胁邪褔/袚褉褍锌邪';
+$lang['perm'] = '袩褉邪胁邪 写芯褋褌褍锌褍';
+$lang['acl_perm0'] = '袞芯写薪懈褏';
+$lang['acl_perm1'] = '效懈褌邪薪薪褟';
+$lang['acl_perm2'] = '袪械写邪谐褍胁邪薪薪褟';
+$lang['acl_perm4'] = '小褌胁芯褉械薪薪褟';
+$lang['acl_perm8'] = '袟邪胁邪薪褌邪卸械薪薪褟';
+$lang['acl_perm16'] = '袙懈谢褍褔械薪薪褟';
+$lang['acl_new'] = '袛芯写邪褌懈 薪芯胁懈泄 蟹邪锌懈褋';
+$lang['acl_mod'] = '袟屑褨薪懈褌懈 蟹邪锌懈褋';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/vi/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/vi/lang.php
new file mode 100644
index 000000000..4458d806b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/vi/lang.php
@@ -0,0 +1,21 @@
+<?php
+/**
+ * vietnamese language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author James Do <jdo@myrealbox.com>
+ */
+
+$lang['admin_acl'] = 'Qu岷 l媒 ph茅p truy nh岷璽 {Access Control List}';
+$lang['acl_group'] = 'Nh贸m';
+$lang['acl_user'] = 'Ng瓢峄漣';
+$lang['acl_perms'] = 'Ph茅p truy nh岷璸 cho';
+$lang['page'] = 'Trang';
+$lang['namespace'] = 'Kh么ng gian t锚n';
+
+$lang['acl_perm1'] = '膼峄峜';
+$lang['acl_perm2'] = 'Bi锚n so岷';
+$lang['acl_perm4'] = 'T岷';
+$lang['acl_perm8'] = 'T岷 l锚n';
+$lang['acl_new'] = 'Th锚m m峄 m峄沬';
+//Setup VIM: ex: et ts=2 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/zh-tw/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/zh-tw/help.txt
new file mode 100644
index 000000000..871c1f4f1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/zh-tw/help.txt
@@ -0,0 +1,11 @@
+=== 蹇熸寚鍗: ===
+
+鍦ㄦ闋侀潰浣犲彲浠ョ偤浣犵殑wiki涓殑namespace浠ュ強闋侀潰澧炲姞鎴栫Щ闄ゆ瑠闄
+
+宸︽柟鐨勯潰鏉块’绀轰簡鎵鏈夌殑namespace浠ュ強闋侀潰
+
+涓婃柟鐨勮〃鏍煎厑瑷变綘瑙鐪嬩互鍙婁慨鏀硅閬告搰鐨勪娇鐢ㄨ呮垨缇ょ祫鐨勬瑠闄
+
+涓嬫柟鐨勮〃鏍奸’绀轰簡鐩墠鎵鏈夌殑瀛樺彇鎺у埗瑕忓墖(ACL) 浣犲彲浠ヤ娇鐢ㄥ畠蹇熺殑鍒櫎鎴栨洿鏀瑰闋呰鍓
+
+闁辫畝 [[doku>acl|official documentation on ACL]] 涔熻ū鍙互骞姪浣犲幓瀹屾暣鍦颁簡瑙e湪DokuWiki涓, 瀛樺彇鎺у埗鏄浣曢亱浣滅殑.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/zh-tw/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/zh-tw/lang.php
new file mode 100644
index 000000000..d64e85a92
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/zh-tw/lang.php
@@ -0,0 +1,40 @@
+<?php
+/**
+ * Chinese(Traditional) language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author chinsan <chinsan@mail2000.com.tw>
+ * @author Li-Jiun Huang <ljhuang.tw@gmail.com>
+ * @author http://www.chinese-tools.com/tools/converter-simptrad.html
+ * @author Wayne San <waynesan@zerozone.tw>
+ * @author Li-Jiun Huang <ljhuang.tw@gmai.com>
+ * @author Cheng-Wei Chien <e.cwchien@gmail.com>
+ */
+$lang['admin_acl'] = '瑷畾 ACL 瀛樺彇鍚嶅柈';
+$lang['acl_group'] = '缇ょ祫';
+$lang['acl_user'] = '甯宠櫉';
+$lang['acl_perms'] = '瑷畾娆婇檺鏂';
+$lang['page'] = '闋侀潰';
+$lang['namespace'] = '鍛藉悕绌洪枔';
+$lang['btn_select'] = '閬告搰';
+$lang['p_user_id'] = '浣跨敤鑰 <b class="acluser">%s</b> 鍦ㄩ爜闈 <b class="aclpage">%s</b>鐩墠鎿佹湁浠ヤ笅鐨勬瑠闄: <i>%s</i>.';
+$lang['p_user_ns'] = '鐢ㄦ埗 <b class=\"acluser\">%s</b> 鐣跺墠鍦ㄥ懡鍚嶇┖闁 <b class=\"aclns\">%s</b> 鎿佹湁浠ヤ笅娆婇檺锛<i>%s</i>銆';
+$lang['p_group_id'] = '缇ょ祫 <b class="aclgroup">%s</b> 鐨勬垚鍝$洰鍓嶅皪鏂奸爜闈 <b class="aclpage">%s</b> 鎿佹湁浠ヤ笅鐨勬瑠闄: <i>%s</i>.';
+$lang['p_group_ns'] = '<b class=\"aclgroup\">%s</b> 绲勬垚鍝$暥鍓嶅湪鍛藉悕绌洪枔 <b class=\"aclns\">%s</b> 鎿佹湁浠ヤ笅娆婇檺锛<i>%s</i>銆';
+$lang['p_choose_id'] = '璜嬪湪涓婃柟鐨勮〃鏍间腑 <b>杓稿叆涓鍊嬪赋铏熸垨缇ょ祫</b> 渚嗚鐪嬫垨绶ㄨ集闋侀潰 <b class="aclpage">%s</b> 鐨勬瑠闄.';
+$lang['p_choose_ns'] = '璜嬪湪涓婅〃涓<b>杓稿叆鐢ㄦ埗鍚嶆垨绲勫悕绋</b>锛屼締鏌ョ湅鎴栫法杓懡鍚嶇┖闁 <b class=\"aclns\">%s</b> 鐨勬瑠闄愯ō缃';
+$lang['p_inherited'] = '璜嬫敞鎰忥細閫欎簺娆婇檺涓︽矑鏈夋槑纰鸿ō瀹氾紝鑰屾槸寰炲叾浠栫祫鎴栨洿楂樼礆鐨勫悕绋辩┖闁撶辜鎵胯屼締銆';
+$lang['p_isadmin'] = '璜嬫敞鎰忥細閬稿畾鐨勭祫鎴栫敤鎴舵搧鏈夊畬鍏ㄦ瑠闄愶紝鍥犵偤瀹冭瑷畾鐐鸿秴绱氱敤鎴躲';
+$lang['p_include'] = '杓冮珮鐨勬瑠闄愪害鍖呭惈浜嗚純浣庣殑娆婇檺銆傛柊澧炪佷笂鍌宠垏鍒櫎娆婇檺鍙兘鍦ㄥ懡鍚嶇┖闁撲腑浣跨敤锛岃岄潪闋侀潰銆';
+$lang['current'] = '鐩墠鐨凙CL瑕忓墖';
+$lang['where'] = '闋侀潰/鍛藉悕绌洪枔';
+$lang['who'] = '浣跨敤鑰/缇ょ祫';
+$lang['perm'] = '娆婇檺';
+$lang['acl_perm0'] = '鐒';
+$lang['acl_perm1'] = '璁鍙栨瑠闄';
+$lang['acl_perm2'] = '绶ㄨ集闋侀潰';
+$lang['acl_perm4'] = '鏂板闋侀潰';
+$lang['acl_perm8'] = '涓婂偝鍦栨獢';
+$lang['acl_perm16'] = '鍒櫎妾旀';
+$lang['acl_new'] = '鏂板绠$悊瑕忓墖';
+$lang['acl_mod'] = '淇敼瑕忓墖';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/zh/help.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/zh/help.txt
new file mode 100644
index 000000000..526dcee9b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/zh/help.txt
@@ -0,0 +1,11 @@
+=== 蹇熷府鍔 ===
+
+鏈〉涓偍鍙互娣诲姞鎴栫Щ闄ゅ懡鍚嶇┖闂存垨椤甸潰鐨勬潈闄愩
+
+宸﹁竟鐨勭獥鏍兼樉绀虹殑鏄叏閮ㄥ彲鐢ㄧ殑鍛藉悕绌洪棿鍜岄〉闈€
+
+鎮ㄥ彲浠ュ湪涓婃柟鐨勮〃鏍间腑鏌ョ湅骞朵慨鏀归夊畾鐢ㄦ埛鎴栫粍鐨勬潈闄愩
+
+涓嬫柟鐨勮〃鏍间腑鏄剧ず鐨勬槸褰撳墠璁剧疆鐨勫叏閮ㄨ闂帶鍒惰鍒欍 鎮ㄥ彲浠ラ氳繃瀹冨揩閫熷垹闄ゆ垨鏇存敼澶氭潯瑙勫垯銆
+
+鍙傞槄 [[doku>acl|official documentation on ACL]] 鑳藉府鍔╂偍瀹屾暣鍦扮悊瑙 DokuWiki 涓殑璁块棶鎺у埗鏄浣曞伐浣滅殑銆
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/zh/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/zh/lang.php
new file mode 100644
index 000000000..6ae32b01c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/lang/zh/lang.php
@@ -0,0 +1,39 @@
+<?php
+/**
+ * Chinese(Simplified) language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author ZDYX <zhangduyixiong@gmail.com>
+ * @author http://www.chinese-tools.com/tools/converter-tradsimp.html
+ * @author George Sheraton guxd@163.com
+ * @author Simon zhan <simonzhan@21cn.com>
+ * @author mr.jinyi@gmail.com
+ */
+$lang['admin_acl'] = '璁块棶鎺у埗鍒楄〃锛圓CL锛夌鐞嗗櫒';
+$lang['acl_group'] = '缁';
+$lang['acl_user'] = '鐢ㄦ埛';
+$lang['acl_perms'] = '璁稿彲缁';
+$lang['page'] = '椤甸潰';
+$lang['namespace'] = '鍛藉悕绌洪棿';
+$lang['btn_select'] = '閬告搰';
+$lang['p_user_id'] = '鐢ㄦ埛 <b class="acluser">%s</b> 褰撳墠鍦ㄩ〉闈 <b class="aclpage">%s</b> 鎷ユ湁浠ヤ笅鏉冮檺锛<i>%s</i>銆';
+$lang['p_user_ns'] = '鐢ㄦ埛 <b class="acluser">%s</b> 褰撳墠鍦ㄥ懡鍚嶇┖闂 <b class="aclns">%s</b> 鎷ユ湁浠ヤ笅鏉冮檺锛<i>%s</i>銆';
+$lang['p_group_id'] = '<b class="aclgroup">%s</b> 缁勬垚鍛樺綋鍓嶅湪椤甸潰 <b class="aclpage">%s</b> 鎷ユ湁浠ヤ笅鏉冮檺锛<i>%s</i>銆';
+$lang['p_group_ns'] = '<b class="aclgroup">%s</b> 缁勬垚鍛樺綋鍓嶅湪鍛藉悕绌洪棿 <b class="aclns">%s</b> 鎷ユ湁浠ヤ笅鏉冮檺锛<i>%s</i>銆';
+$lang['p_choose_id'] = '璇峰湪涓婅〃涓<b>杈撳叆鐢ㄦ埛鍚嶆垨缁勫悕绉</b>锛屾潵鏌ョ湅鎴栫紪杈戦〉闈 <b class="aclpage">%s</b> 鐨勬潈闄愯缃';
+$lang['p_choose_ns'] = '璇峰湪涓婅〃涓<b>杈撳叆鐢ㄦ埛鍚嶆垨缁勫悕绉</b>锛屾潵鏌ョ湅鎴栫紪杈戝懡鍚嶇┖闂 <b class="aclns">%s</b> 鐨勬潈闄愯缃';
+$lang['p_inherited'] = '璇锋敞鎰忥細杩欎簺鏉冮檺骞舵病鏈夋槑纭瀹氾紝鑰屾槸浠庡叾浠栫粍鎴栨洿楂樼骇鐨勫悕绉扮┖闂寸户鎵胯屾潵銆';
+$lang['p_isadmin'] = '璇锋敞鎰忥細閫夊畾鐨勭粍鎴栫敤鎴锋嫢鏈夊畬鍏ㄦ潈闄愶紝鍥犱负瀹冭璁惧畾涓鸿秴绾х敤鎴枫';
+$lang['p_include'] = '楂樻潈闄愬寘鍚綆鏉冮檺銆傚垱寤恒佷笂浼犲拰鍒犻櫎鏉冮檺鍙兘搴旂敤浜庡悕绉扮┖闂达紝鑰屼笉鏄崟涓〉闈€';
+$lang['current'] = '褰撳墠 ACL 瑙勫垯';
+$lang['where'] = '椤甸潰/鍛藉悕绌洪棿';
+$lang['who'] = '鐢ㄦ埛/缁';
+$lang['perm'] = '鏉冮檺';
+$lang['acl_perm0'] = '鏃';
+$lang['acl_perm1'] = '璇诲彇';
+$lang['acl_perm2'] = '缂栬緫';
+$lang['acl_perm4'] = '鍒涘缓';
+$lang['acl_perm8'] = '涓婁紶';
+$lang['acl_perm16'] = '鍒犻櫎';
+$lang['acl_new'] = '娣诲姞鏂版潯鐩';
+$lang['acl_mod'] = '缂栬緫鏉$洰';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/pix/group.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/pix/group.png
new file mode 100644
index 000000000..7fb4e1f1e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/pix/group.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/pix/ns.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/pix/ns.png
new file mode 100644
index 000000000..da3c2a2d7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/pix/ns.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/pix/page.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/pix/page.png
new file mode 100644
index 000000000..03ddd799f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/pix/page.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/pix/user.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/pix/user.png
new file mode 100644
index 000000000..8fd539e9c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/pix/user.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/script.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/script.js
new file mode 100644
index 000000000..21f161a97
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/script.js
@@ -0,0 +1,163 @@
+acl = {
+ init: function(){
+ this.ctl = DOKUid('acl_manager');
+ if(!this.ctl) return;
+
+ var sel = DOKUid('acl__user').getElementsByTagName('select')[0];
+
+ addEvent(sel,'change',acl.userselhandler);
+ addEvent(DOKUid('acl__tree'),'click',acl.treehandler);
+ addEvent(DOKUid('acl__user').getElementsByTagName('input')[1],'click',acl.loadinfo);
+ },
+
+
+ /**
+ * Handle user dropdown
+ */
+ userselhandler: function(e){
+ // make entry field visible/invisible
+ if(this.value == '__g__' || this.value == '__u__'){
+ DOKUid('acl__user').getElementsByTagName('input')[0].style.display = ''; //acl_w
+ DOKUid('acl__user').getElementsByTagName('input')[1].style.display = ''; //submit
+ }else{
+ DOKUid('acl__user').getElementsByTagName('input')[0].style.display = 'none';
+ DOKUid('acl__user').getElementsByTagName('input')[1].style.display = 'none';
+ }
+
+ acl.loadinfo();
+ },
+
+ /**
+ * Load the current permission info and edit form
+ *
+ * @param frm - Form element with needed data
+ */
+ loadinfo: function(){
+ // get form
+ var frm = DOKUid('acl__detail').getElementsByTagName('form')[0];
+
+ // prepare an AJAX call
+ var ajax = new sack(DOKU_BASE + 'lib/plugins/acl/ajax.php');
+ ajax.AjaxFailedAlert = '';
+ ajax.encodeURIString = false;
+ if(ajax.failed) return true;
+
+ // prepare data
+ var data = Array();
+ data[0] = ajax.encVar('ns',frm.elements['ns'].value);
+ data[1] = ajax.encVar('id',frm.elements['id'].value);
+ data[2] = ajax.encVar('acl_t',frm.elements['acl_t'].value);
+ data[3] = ajax.encVar('acl_w',frm.elements['acl_w'].value);
+ data[4] = ajax.encVar('sectok',frm.elements['sectok'].value);
+ data[5] = ajax.encVar('ajax','info');
+
+ ajax.elementObj = DOKUid('acl__info');
+
+ ajax.runAJAX(data.join('&'));
+ return false;
+ },
+
+ /**
+ * parse URL attributes into a associative array
+ *
+ * @todo put into global script lib?
+ */
+ parseatt: function(str){
+ if(str[0] == '?') str = str.substr(1);
+ var attributes = {};
+ var all = str.split('&');
+ for(var i=0; i<all.length; i++){
+ var att = all[i].split('=');
+ attributes[att[0]] = decodeURIComponent(att[1]);
+ }
+ return attributes;
+ },
+
+ /**
+ * htmlspecialchars equivalent
+ *
+ * @todo put in gloabl scripts lib?
+ */
+ hsc: function(str) {
+ str = str.replace(/&/g,"&amp;");
+ str = str.replace(/\"/g,"&quot;");
+ str = str.replace(/\'/g,"&#039;");
+ str = str.replace(/</g,"&lt;");
+ str = str.replace(/>/g,"&gt;");
+ return str;
+ },
+
+
+ /**
+ * Open or close a subtree using AJAX
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ treetoggle: function(clicky){
+ var listitem = clicky.parentNode.parentNode;
+
+ // if already open, close by removing the sublist
+ var sublists = listitem.getElementsByTagName('ul');
+ if(sublists.length){
+ listitem.removeChild(sublists[0]);
+ clicky.src = DOKU_BASE+'lib/images/plus.gif';
+ clicky.alt = '+';
+ return false;
+ }
+
+ // get the enclosed link (is always the first one)
+ var link = listitem.getElementsByTagName('a')[0];
+
+ // prepare an AJAX call to fetch the subtree
+ var ajax = new sack(DOKU_BASE + 'lib/plugins/acl/ajax.php');
+ ajax.AjaxFailedAlert = '';
+ ajax.encodeURIString = false;
+ if(ajax.failed) return true;
+
+ //prepare the new ul
+ var ul = document.createElement('ul');
+ listitem.appendChild(ul);
+ ajax.elementObj = ul;
+ ajax.runAJAX(link.search.substr(1)+'&ajax=tree');
+ clicky.src = DOKU_BASE+'lib/images/minus.gif';
+ return false;
+ },
+
+ /**
+ * Handles all clicks in the tree, dispatching the right action based on the
+ * clicked element
+ */
+ treehandler: function(e){
+ if(e.target.src){ // is it an image?
+ acl.treetoggle(e.target);
+ } else if(e.target.href){ // is it a link?
+ // remove highlighting
+ var obj = getElementsByClass('cur',DOKUid('acl__tree'),'a');
+ for(var i=0; i<obj.length; i++){
+ obj[i].className = obj[i].className.replace(/ cur/,'');
+ }
+
+ // add new highlighting
+ e.target.className += ' cur';
+
+ // set new page to detail form
+ var frm = DOKUid('acl__detail').getElementsByTagName('form')[0];
+ if(e.target.className.search(/wikilink1/) > -1){
+ frm.elements['ns'].value = '';
+ frm.elements['id'].value = acl.hsc(acl.parseatt(e.target.search)['id']);
+ }else if(e.target.className.search(/idx_dir/) > -1){
+ frm.elements['ns'].value = acl.hsc(acl.parseatt(e.target.search)['ns']);
+ frm.elements['id'].value = '';
+ }
+
+ acl.loadinfo();
+ }
+
+ e.stopPropagation();
+ e.preventDefault();
+ return false;
+ }
+
+};
+
+addInitEvent(acl.init);
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/style.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/style.css
new file mode 100644
index 000000000..0c5a9819b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/acl/style.css
@@ -0,0 +1,96 @@
+
+div#acl_manager div#acl__tree {
+ font-size: 90%;
+ width: 25%;
+ height: 300px;
+ float: left;
+ overflow: auto;
+ border: 1px solid __border__;
+ text-align: left;
+}
+
+div#acl_manager div#acl__tree a.cur {
+ background-color: __highlight__;
+ font-weight: bold;
+}
+
+div#acl_manager div#acl__tree ul {
+ list-style-type: none;
+ margin: 0;
+ padding: 0;
+}
+
+div#acl_manager div#acl__tree li {
+ padding-left: 1em;
+}
+
+div#acl_manager div#acl__tree ul img {
+ margin-right: 0.25em;
+ cursor: pointer;
+}
+
+div#acl_manager div#acl__detail {
+ width: 73%;
+ height: 300px;
+ float: right;
+ overflow: auto;
+}
+
+div#acl_manager div#acl__detail fieldset {
+ width: 90%;
+}
+
+div#acl_manager div#acl__detail div#acl__user {
+ border: 1px solid __border__;
+ padding: 0.5em;
+ margin-bottom: 0.6em;
+}
+
+div#acl_manager table.inline {
+ width: 100%;
+ margin: 0;
+}
+
+div#acl_manager .aclgroup {
+ background: transparent url(pix/group.png) 0px 1px no-repeat;
+ padding: 1px 0px 1px 18px;
+}
+
+div#acl_manager .acluser {
+ background: transparent url(pix/user.png) 0px 1px no-repeat;
+ padding: 1px 0px 1px 18px;
+}
+
+div#acl_manager .aclpage {
+ background: transparent url(pix/page.png) 0px 1px no-repeat;
+ padding: 1px 0px 1px 18px;
+}
+
+div#acl_manager .aclns {
+ background: transparent url(pix/ns.png) 0px 1px no-repeat;
+ padding: 1px 0px 1px 18px;
+}
+
+div#acl_manager label.disabled {
+ color: __text_neu__!important;
+}
+
+#acl_manager label {
+ text-align: left;
+ font-weight: normal;
+ display: inline;
+}
+
+#acl_manager table {
+ margin-left: 10%;
+ width: 80%;
+}
+
+#acl_manager table tr {
+ background-color: inherit;
+}
+
+#acl_manager table tr:hover {
+ background-color: __background_alt__;
+}
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/action.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/action.php
new file mode 100644
index 000000000..a26bc654a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/action.php
@@ -0,0 +1,26 @@
+<?php
+/**
+ * Action Plugin Prototype
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Christopher Smith <chris@jalakai.co.uk>
+ */
+// must be run within Dokuwiki
+if(!defined('DOKU_INC')) die();
+
+if(!defined('DOKU_PLUGIN')) define('DOKU_PLUGIN',DOKU_INC.'lib/plugins/');
+require_once(DOKU_INC.'inc/plugin.php');
+
+/**
+ * All DokuWiki plugins to interfere with the event system
+ * need to inherit from this class
+ */
+class DokuWiki_Action_Plugin extends DokuWiki_Plugin {
+
+ /**
+ * Registers a callback function for a given event
+ */
+ function register($controller) {
+ trigger_error('register() not implemented in '.get_class($this), E_USER_WARNING);
+ }
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/admin.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/admin.php
new file mode 100644
index 000000000..2eeda3f7b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/admin.php
@@ -0,0 +1,49 @@
+<?php
+/**
+ * Admin Plugin Prototype
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Christopher Smith <chris@jalakai.co.uk>
+ */
+// must be run within Dokuwiki
+if(!defined('DOKU_INC')) die();
+
+if(!defined('DOKU_PLUGIN')) define('DOKU_PLUGIN',DOKU_INC.'lib/plugins/');
+require_once(DOKU_INC.'inc/plugin.php');
+
+/**
+ * All DokuWiki plugins to extend the admin function
+ * need to inherit from this class
+ */
+class DokuWiki_Admin_Plugin extends DokuWiki_Plugin {
+
+ function getMenuText($language) {
+ $menutext = $this->getLang('menu');
+ if (!$menutext) {
+ $info = $this->getInfo();
+ $menutext = $info['name'].' ...';
+ }
+ return $menutext;
+ }
+
+ function getMenuSort() {
+ return 1000;
+ }
+
+ function handle() {
+ trigger_error('handle() not implemented in '.get_class($this), E_USER_WARNING);
+ }
+
+ function html() {
+ trigger_error('html() not implemented in '.get_class($this), E_USER_WARNING);
+ }
+
+ function forAdminOnly() {
+ return true;
+ }
+
+ function getTOC(){
+ return array();
+ }
+}
+//Setup VIM: ex: et ts=4 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/box/print.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/box/print.css
new file mode 100644
index 000000000..956b8a38b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/box/print.css
@@ -0,0 +1,274 @@
+@CHARSET "UTF-8";
+
+/* plugin:box */
+div.box {
+ width: 50%;
+ margin: 1em auto;
+ border: 1px solid;
+ padding: 4px;
+ overflow: hidden;
+}
+
+/* rounded corners styles from Stu Nicholls snazzy borders, http://www.cssplay.co.uk/boxes/snazzy.html */
+.xtop, .xbottom {background:transparent; font-size:0; line-height: 1px;}
+.xb1, .xb2, .xb3, .xb4 {display:block; overflow:hidden; border-style: solid;}
+.xb2, .xb3 {height:1px;}
+.xb2, .xb3, .xb4 {border-width:0 1px;}
+.xb1 {height: 0; margin:0 5px; border-width:1px 0 0 0;}
+.xb2 {margin:0 3px; border-width:0 2px;}
+.xb3 {margin:0 2px;}
+.xb4 {height:2px; margin:0 1px;}
+
+div.box .xtop, div.box .xbottom {display: none;}
+div.box.round > .xtop, div.box.round > .xbottom {display: block;}
+
+div.box.round { border: none; padding: 0;}
+div.box.round > .xbox {display:block; border-width:0 1px; border-style: solid; padding: 0 4px; }
+
+div.box p.box_title, div.box p.box_caption {
+ font-size: 90%;
+ margin: 0;
+ padding: 2px 6px;
+ line-height: 1.2;
+}
+
+div.box p.box_title { margin-bottom: 4px;}
+div.box p.box_caption { margin-top: 4px;}
+
+div.box .box_content {
+ margin: 0;
+ padding: 0 6px;
+ border-width: 1px;
+ border-style: dashed;
+ line-height: 1.2;
+}
+
+/* floating alignment */
+
+div.box.left {
+ float: left;
+ margin-right: 1em;
+}
+
+div.box.right {
+ float: right;
+ margin-left: 1em;
+}
+
+/* colours */
+/* default */
+div.box, div.box .box_content, div.box .xbox, div.box .xb1, div.box .xb2, div.box .xb3, div.box .xb4 {
+ border-color: __dark__;
+}
+
+div.box, div.box .xbox, div.box .xb1, div.box .xb2, div.box .xb3, div.box .xb4 {
+ background: __light__;
+}
+
+div.box p.box_title, div.box p.box_caption { background: __medium__;}
+div.box .box_content { background: __lighter__;}
+
+/* blue */
+div.box.blue, div.box.blue > * > .box_content, div.box.blue > .xbox,
+div.box.blue > * > .xb1, div.box.blue > * > .xb2, div.box.blue > * > .xb3, div.box.blue > * > .xb4 {
+ border-color: #bbbbdd;
+}
+
+div.box.blue, div.box.blue > .xbox,
+div.box.blue > * > .xb1, div.box.blue > * > .xb2, div.box.blue > * > .xb3, div.box.blue > * > .xb4 {
+ background: #e4ecf8;
+}
+
+div.box.blue > * > p.box_title, div.box.blue > * > p.box_caption {background: #cad0ee;}
+div.box.blue > * > .box_content {background: #f4f8fd;}
+
+/* red */
+div.box.red, div.box.red > * > .box_content, div.box.red > .xbox,
+div.box.red > * > .xb1, div.box.red > * > .xb2, div.box.red > * > .xb3, div.box.red > * > .xb4 {
+ border-color: #ddbbbb;
+}
+
+div.box.red, div.box.red > .xbox,
+div.box.red > * > .xb1, div.box.red > * > .xb2, div.box.red > * > .xb3, div.box.red > * > .xb4 {
+ background: #f8ece4;
+}
+
+div.box.red > * > p.box_title, div.box.red > * > p.box_caption {background: #eed0ca;}
+div.box.red > * > .box_content {background: #fdf4ec;}
+
+/* green */
+div.box.green, div.box.green > * > .box_content, div.box.green > .xbox,
+div.box.green > * > .xb1, div.box.green > * > .xb2, div.box.green > * > .xb3, div.box.green > * > .xb4 {
+ border-color: #bbddbb;
+}
+
+div.box.green, div.box.green > .xbox,
+div.box.green > * > .xb1, div.box.green > * > .xb2, div.box.green > * > .xb3, div.box.green > * > .xb4 {
+ background: #e4f8f2;
+}
+
+div.box.green > * > p.box_title, div.box.green > * > p.box_caption {background: #c4e4d4;}
+div.box.green > * > .box_content {background: #ecfaf6;}
+
+/* orange */
+div.box.orange, div.box.orange > * > .box_content, div.box.orange > .xbox,
+div.box.orange > * > .xb1, div.box.orange > * > .xb2, div.box.orange > * > .xb3, div.box.orange > * > .xb4 {
+ border-color: #da3;
+}
+
+div.box.orange, div.box.orange > .xbox,
+div.box.orange > * > .xb1, div.box.orange > * > .xb2, div.box.orange > * > .xb3, div.box.orange > * > .xb4 {
+ background: #f4e8ca;
+}
+
+div.box.orange > * > p.box_title, div.box.orange > * > p.box_caption {background: #f0d499;}
+div.box.orange > * > .box_content {background: #f8f0da;}
+
+/* must come last to override coloured background when using rounded corners */
+
+div.box.round {
+ background: transparent !important;
+}
+
+/* IE fixes for unsupported child selector \*/
+
+* html div.box div.box, * html div.box div.box .box_content, * html div.box div.box .xbox,
+* html div.box div.box .xb1, * html div.box div.box .xb2,
+* html div.box div.box .xb3, * html div.box div.box .xb4 {
+ border-color: __dark__;
+}
+
+* html div.box div.box, * html div.box div.box .xbox,
+* html div.box div.box .xb1, * html div.box div.box .xb2,
+* html div.box div.box .xb3, * html div.box div.box .xb4 {
+ background: __light__;
+}
+
+* html div.box div.box p.box_title, * html div.box div.box p.box_caption { background: __medium__;}
+* html div.box div.box .box_content { background: __lighter__;}
+
+* html div.box.round .xtop, * html div.box.round .xbottom {display: block;}
+* html div.box.round .xbox {display:block; border-width:0 1px; border-style: solid; padding: 0 4px; }
+
+/* blue */
+* html div.box.blue .box_content, * html div.box.blue .xbox,
+* html div.box.blue .xb1, * html div.box.blue .xb2, * html div.box.blue .xb3, * html div.box.blue .xb4 {
+ border-color: #bbbbdd;
+}
+
+* html div.box.blue .xbox,
+* html div.box.blue .xb1, * html div.box.blue .xb2, * html div.box.blue .xb3, * html div.box.blue .xb4 {
+ background: #e4ecf8;
+}
+
+* html div.box.blue p.box_title, * html div.box.blue p.box_caption {background: #cad0ee;}
+* html div.box.blue .box_content {background: #f4f8fd;}
+
+/* nested blue */
+* html div.box div.box.blue .box_content, * html div.box div.box.blue .xbox,
+* html div.box div.box.blue .xb1, * html div.box div.box.blue .xb2,
+* html div.box div.box.blue .xb3, * html div.box div.box.blue .xb4 {
+ border-color: #bbbbdd;
+}
+
+* html div.box div.box.blue .xbox,
+* html div.box div.box.blue .xb1, * html div.box div.box.blue .xb2,
+* html div.box div.box.blue .xb3, * html div.box div.box.blue .xb4 {
+ background: #e4ecf8;
+}
+
+* html div.box div.box.blue p.box_title,
+* html div.box div.box.blue p.box_caption {background: #cad0ee;}
+* html div.box div.box.blue .box_content {background: #f4f8fd;}
+
+/* red */
+* html div.box.red .box_content, * html div.box.red .xbox,
+* html div.box.red .xb1, * html div.box.red .xb2, * html div.box.red .xb3, * html div.box.red .xb4 {
+ border-color: #ddbbbb;
+}
+
+* html div.box.red .xbox,
+* html div.box.red .xb1, * html div.box.red .xb2, * html div.box.red .xb3, * html div.box.red .xb4 {
+ background: #f8ece4;
+}
+
+* html div.box.red p.box_title, * html div.box.red p.box_caption {background: #eed0ca;}
+* html div.box.red .box_content {background: #fdf4ec;}
+
+/* nested red */
+* html div.box div.box.red .box_content, * html div.box div.box.red .xbox,
+* html div.box div.box.red .xb1, * html div.box div.box.red .xb2,
+* html div.box div.box.red .xb3, * html div.box div.box.red .xb4 {
+ border-color: #ddbbbb;
+}
+
+* html div.box div.box.red .xbox,
+* html div.box div.box.red .xb1, * html div.box div.box.red .xb2,
+* html div.box div.box.red .xb3, * html div.box div.box.red .xb4 {
+ background: #f8ece4;
+}
+
+* html div.box div.box.red p.box_title, * html div.box div.box.red p.box_caption {background: #eed0ca;}
+* html div.box div.box.red .box_content {background: #fdf4ec;}
+
+/* green */
+* html div.box.green .box_content, * html div.box.green .xbox,
+* html div.box.green .xb1, * html div.box.green .xb2, * html div.box.green .xb3, * html div.box.green .xb4 {
+ border-color: #bbddbb;
+}
+
+* html div.box.green .xbox,
+* html div.box.green .xb1, * html div.box.green .xb2, * html div.box.green .xb3, * html div.box.green .xb4 {
+ background: #e4f8f2;
+}
+
+* html div.box.green p.box_title, * html div.box.green p.box_caption {background: #c4e4d4;}
+* html div.box.green .box_content {background: #ecfaf6;}
+
+/* nested green */
+* html div.box div.box.green .box_content, * html div.box div.box.green .xbox,
+* html div.box div.box.green .xb1, * html div.box div.box.green .xb2,
+* html div.box div.box.green .xb3, * html div.box div.box.green .xb4 {
+ border-color: #bbddbb;
+}
+
+* html div.box div.box.green .xbox,
+* html div.box div.box.green .xb1, * html div.box div.box.green .xb2,
+* html div.box div.box.green .xb3, * html div.box div.box.green .xb4 {
+ background: #e4f8f2;
+}
+
+* html div.box div.box.green p.box_title, * html div.box div.box.green p.box_caption {background: #c4e4d4;}
+* html div.box div.box.green .box_content {background: #ecfaf6;}
+
+/* orange */
+* html div.box.orange .box_content, * html div.box.orange .xbox,
+* html div.box.orange .xb1, * html div.box.orange .xb2, * html div.box.orange .xb3, * html div.box.orange .xb4 {
+ border-color: #da3;
+}
+
+* html div.box.orange .xbox,
+* html div.box.orange .xb1, * html div.box.orange .xb2, * html div.box.orange .xb3, * html div.box.orange .xb4 {
+ background: #f4e8ca;
+}
+
+* html div.box.orange p.box_title, * html div.box.orange p.box_caption {background: #f0d499;}
+* html div.box.orange .box_content {background: #f8f0da;}
+
+/* nestedorange */
+* html div.box div.box.orange .box_content, * html div.box div.box.orange .xbox,
+* html div.box div.box.orange .xb1, * html div.box div.box.orange .xb2,
+* html div.box div.box.orange .xb3, * html div.box div.box.orange .xb4 {
+ border-color: #da3;
+}
+
+* html div.box div.box.orange .xbox,
+* html div.box div.box.orange .xb1, * html div.box div.box.orange .xb2,
+* html div.box div.box.orange .xb3, * html div.box div.box.orange .xb4 {
+ background: #f4e8ca;
+}
+
+* html div.box div.box.orange p.box_title, * html div.box div.box.orange p.box_caption {background: #f0d499;}
+* html div.box div.box.orange .box_content {background: #f8f0da;}
+
+/* end plugin:box */ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/box/style.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/box/style.css
new file mode 100644
index 000000000..f20d765bc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/box/style.css
@@ -0,0 +1,272 @@
+/* plugin:box */
+div.box {
+ width: 50%;
+ margin: 1em auto;
+ border: 1px solid;
+ padding: 4px;
+ overflow: hidden;
+}
+
+/* rounded corners styles from Stu Nicholls snazzy borders, http://www.cssplay.co.uk/boxes/snazzy.html */
+.xtop, .xbottom {background:transparent; font-size:0; line-height: 1px;}
+.xb1, .xb2, .xb3, .xb4 {display:block; overflow:hidden; border-style: solid;}
+.xb2, .xb3 {height:1px;}
+.xb2, .xb3, .xb4 {border-width:0 1px;}
+.xb1 {height: 0; margin:0 5px; border-width:1px 0 0 0;}
+.xb2 {margin:0 3px; border-width:0 2px;}
+.xb3 {margin:0 2px;}
+.xb4 {height:2px; margin:0 1px;}
+
+div.box .xtop, div.box .xbottom {display: none;}
+div.box.round > .xtop, div.box.round > .xbottom {display: block;}
+
+div.box.round { border: none; padding: 0;}
+div.box.round > .xbox {display:block; border-width:0 1px; border-style: solid; padding: 0 4px; }
+
+div.box p.box_title, div.box p.box_caption {
+ font-size: 90%;
+ margin: 0;
+ padding: 2px 6px;
+ line-height: 1.2;
+}
+
+div.box p.box_title { margin-bottom: 4px;}
+div.box p.box_caption { margin-top: 4px;}
+
+div.box .box_content {
+ margin: 0;
+ padding: 0 6px;
+ border-width: 1px;
+ border-style: dashed;
+ line-height: 1.2;
+}
+
+/* floating alignment */
+
+div.box.left {
+ float: left;
+ margin-right: 1em;
+}
+
+div.box.right {
+ float: right;
+ margin-left: 1em;
+}
+
+/* colours */
+/* default */
+div.box, div.box .box_content, div.box .xbox, div.box .xb1, div.box .xb2, div.box .xb3, div.box .xb4 {
+ border-color: __dark__;
+}
+
+div.box, div.box .xbox, div.box .xb1, div.box .xb2, div.box .xb3, div.box .xb4 {
+ background: __light__;
+}
+
+div.box p.box_title, div.box p.box_caption { background: __medium__;}
+div.box .box_content { background: __lighter__;}
+
+/* blue */
+div.box.blue, div.box.blue > * > .box_content, div.box.blue > .xbox,
+div.box.blue > * > .xb1, div.box.blue > * > .xb2, div.box.blue > * > .xb3, div.box.blue > * > .xb4 {
+ border-color: #bbbbdd;
+}
+
+div.box.blue, div.box.blue > .xbox,
+div.box.blue > * > .xb1, div.box.blue > * > .xb2, div.box.blue > * > .xb3, div.box.blue > * > .xb4 {
+ background: #e4ecf8;
+}
+
+div.box.blue > * > p.box_title, div.box.blue > * > p.box_caption {background: #cad0ee;}
+div.box.blue > * > .box_content {background: #f4f8fd;}
+
+/* red */
+div.box.red, div.box.red > * > .box_content, div.box.red > .xbox,
+div.box.red > * > .xb1, div.box.red > * > .xb2, div.box.red > * > .xb3, div.box.red > * > .xb4 {
+ border-color: #ddbbbb;
+}
+
+div.box.red, div.box.red > .xbox,
+div.box.red > * > .xb1, div.box.red > * > .xb2, div.box.red > * > .xb3, div.box.red > * > .xb4 {
+ background: #f8ece4;
+}
+
+div.box.red > * > p.box_title, div.box.red > * > p.box_caption {background: #eed0ca;}
+div.box.red > * > .box_content {background: #fdf4ec;}
+
+/* green */
+div.box.green, div.box.green > * > .box_content, div.box.green > .xbox,
+div.box.green > * > .xb1, div.box.green > * > .xb2, div.box.green > * > .xb3, div.box.green > * > .xb4 {
+ border-color: #bbddbb;
+}
+
+div.box.green, div.box.green > .xbox,
+div.box.green > * > .xb1, div.box.green > * > .xb2, div.box.green > * > .xb3, div.box.green > * > .xb4 {
+ background: #e4f8f2;
+}
+
+div.box.green > * > p.box_title, div.box.green > * > p.box_caption {background: #c4e4d4;}
+div.box.green > * > .box_content {background: #ecfaf6;}
+
+/* orange */
+div.box.orange, div.box.orange > * > .box_content, div.box.orange > .xbox,
+div.box.orange > * > .xb1, div.box.orange > * > .xb2, div.box.orange > * > .xb3, div.box.orange > * > .xb4 {
+ border-color: #da3;
+}
+
+div.box.orange, div.box.orange > .xbox,
+div.box.orange > * > .xb1, div.box.orange > * > .xb2, div.box.orange > * > .xb3, div.box.orange > * > .xb4 {
+ background: #f4e8ca;
+}
+
+div.box.orange > * > p.box_title, div.box.orange > * > p.box_caption {background: #f0d499;}
+div.box.orange > * > .box_content {background: #f8f0da;}
+
+/* must come last to override coloured background when using rounded corners */
+
+div.box.round {
+ background: transparent !important;
+}
+
+/* IE fixes for unsupported child selector \*/
+
+* html div.box div.box, * html div.box div.box .box_content, * html div.box div.box .xbox,
+* html div.box div.box .xb1, * html div.box div.box .xb2,
+* html div.box div.box .xb3, * html div.box div.box .xb4 {
+ border-color: __dark__;
+}
+
+* html div.box div.box, * html div.box div.box .xbox,
+* html div.box div.box .xb1, * html div.box div.box .xb2,
+* html div.box div.box .xb3, * html div.box div.box .xb4 {
+ background: __light__;
+}
+
+* html div.box div.box p.box_title, * html div.box div.box p.box_caption { background: __medium__;}
+* html div.box div.box .box_content { background: __lighter__;}
+
+* html div.box.round .xtop, * html div.box.round .xbottom {display: block;}
+* html div.box.round .xbox {display:block; border-width:0 1px; border-style: solid; padding: 0 4px; }
+
+/* blue */
+* html div.box.blue .box_content, * html div.box.blue .xbox,
+* html div.box.blue .xb1, * html div.box.blue .xb2, * html div.box.blue .xb3, * html div.box.blue .xb4 {
+ border-color: #bbbbdd;
+}
+
+* html div.box.blue .xbox,
+* html div.box.blue .xb1, * html div.box.blue .xb2, * html div.box.blue .xb3, * html div.box.blue .xb4 {
+ background: #e4ecf8;
+}
+
+* html div.box.blue p.box_title, * html div.box.blue p.box_caption {background: #cad0ee;}
+* html div.box.blue .box_content {background: #f4f8fd;}
+
+/* nested blue */
+* html div.box div.box.blue .box_content, * html div.box div.box.blue .xbox,
+* html div.box div.box.blue .xb1, * html div.box div.box.blue .xb2,
+* html div.box div.box.blue .xb3, * html div.box div.box.blue .xb4 {
+ border-color: #bbbbdd;
+}
+
+* html div.box div.box.blue .xbox,
+* html div.box div.box.blue .xb1, * html div.box div.box.blue .xb2,
+* html div.box div.box.blue .xb3, * html div.box div.box.blue .xb4 {
+ background: #e4ecf8;
+}
+
+* html div.box div.box.blue p.box_title,
+* html div.box div.box.blue p.box_caption {background: #cad0ee;}
+* html div.box div.box.blue .box_content {background: #f4f8fd;}
+
+/* red */
+* html div.box.red .box_content, * html div.box.red .xbox,
+* html div.box.red .xb1, * html div.box.red .xb2, * html div.box.red .xb3, * html div.box.red .xb4 {
+ border-color: #ddbbbb;
+}
+
+* html div.box.red .xbox,
+* html div.box.red .xb1, * html div.box.red .xb2, * html div.box.red .xb3, * html div.box.red .xb4 {
+ background: #f8ece4;
+}
+
+* html div.box.red p.box_title, * html div.box.red p.box_caption {background: #eed0ca;}
+* html div.box.red .box_content {background: #fdf4ec;}
+
+/* nested red */
+* html div.box div.box.red .box_content, * html div.box div.box.red .xbox,
+* html div.box div.box.red .xb1, * html div.box div.box.red .xb2,
+* html div.box div.box.red .xb3, * html div.box div.box.red .xb4 {
+ border-color: #ddbbbb;
+}
+
+* html div.box div.box.red .xbox,
+* html div.box div.box.red .xb1, * html div.box div.box.red .xb2,
+* html div.box div.box.red .xb3, * html div.box div.box.red .xb4 {
+ background: #f8ece4;
+}
+
+* html div.box div.box.red p.box_title, * html div.box div.box.red p.box_caption {background: #eed0ca;}
+* html div.box div.box.red .box_content {background: #fdf4ec;}
+
+/* green */
+* html div.box.green .box_content, * html div.box.green .xbox,
+* html div.box.green .xb1, * html div.box.green .xb2, * html div.box.green .xb3, * html div.box.green .xb4 {
+ border-color: #bbddbb;
+}
+
+* html div.box.green .xbox,
+* html div.box.green .xb1, * html div.box.green .xb2, * html div.box.green .xb3, * html div.box.green .xb4 {
+ background: #e4f8f2;
+}
+
+* html div.box.green p.box_title, * html div.box.green p.box_caption {background: #c4e4d4;}
+* html div.box.green .box_content {background: #ecfaf6;}
+
+/* nested green */
+* html div.box div.box.green .box_content, * html div.box div.box.green .xbox,
+* html div.box div.box.green .xb1, * html div.box div.box.green .xb2,
+* html div.box div.box.green .xb3, * html div.box div.box.green .xb4 {
+ border-color: #bbddbb;
+}
+
+* html div.box div.box.green .xbox,
+* html div.box div.box.green .xb1, * html div.box div.box.green .xb2,
+* html div.box div.box.green .xb3, * html div.box div.box.green .xb4 {
+ background: #e4f8f2;
+}
+
+* html div.box div.box.green p.box_title, * html div.box div.box.green p.box_caption {background: #c4e4d4;}
+* html div.box div.box.green .box_content {background: #ecfaf6;}
+
+/* orange */
+* html div.box.orange .box_content, * html div.box.orange .xbox,
+* html div.box.orange .xb1, * html div.box.orange .xb2, * html div.box.orange .xb3, * html div.box.orange .xb4 {
+ border-color: #da3;
+}
+
+* html div.box.orange .xbox,
+* html div.box.orange .xb1, * html div.box.orange .xb2, * html div.box.orange .xb3, * html div.box.orange .xb4 {
+ background: #f4e8ca;
+}
+
+* html div.box.orange p.box_title, * html div.box.orange p.box_caption {background: #f0d499;}
+* html div.box.orange .box_content {background: #f8f0da;}
+
+/* nestedorange */
+* html div.box div.box.orange .box_content, * html div.box div.box.orange .xbox,
+* html div.box div.box.orange .xb1, * html div.box div.box.orange .xb2,
+* html div.box div.box.orange .xb3, * html div.box div.box.orange .xb4 {
+ border-color: #da3;
+}
+
+* html div.box div.box.orange .xbox,
+* html div.box div.box.orange .xb1, * html div.box div.box.orange .xb2,
+* html div.box div.box.orange .xb3, * html div.box div.box.orange .xb4 {
+ background: #f4e8ca;
+}
+
+* html div.box div.box.orange p.box_title, * html div.box div.box.orange p.box_caption {background: #f0d499;}
+* html div.box div.box.orange .box_content {background: #f8f0da;}
+
+/* end plugin:box */ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/box/syntax.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/box/syntax.php
new file mode 100644
index 000000000..35f96f85a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/box/syntax.php
@@ -0,0 +1,287 @@
+<?php
+/**
+ * Box Plugin: Draw highlighting boxes around wiki markup
+ *
+ * Syntax: <box width% classes|title>
+ * width% width of the box, must use % unit
+ * classes one or more classes used to style the box, several predefined styles included in style.css
+ * title (optional) all text after '|' will be rendered above the main code text with a
+ * different style.
+ *
+ * Acknowledgements:
+ * Rounded corners based on snazzy borders by Stu Nicholls (http://www.cssplay.co.uk/boxes/snazzy)
+ * which is in turn based on nifty corners by Alessandro Fulciniti (http://pro.html.it/esempio/nifty/)
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Christopher Smith <chris@jalakai.co.uk>
+ */
+
+if(!defined('DOKU_INC')) define('DOKU_INC',realpath(dirname(__FILE__).'/../../').'/');
+if(!defined('DOKU_PLUGIN')) define('DOKU_PLUGIN',DOKU_INC.'lib/plugins/');
+require_once(DOKU_PLUGIN.'syntax.php');
+
+/**
+ * All DokuWiki plugins to extend the parser/rendering mechanism
+ * need to inherit from this class
+ */
+class syntax_plugin_box extends DokuWiki_Syntax_Plugin {
+
+ var $title_mode = false;
+
+ // the following are used in rendering and are set by _xhtml_boxopen()
+ var $_xb_colours = '';
+ var $_content_colours = '';
+ var $_title_colours = '';
+
+ /**
+ * return some info
+ */
+ function getInfo(){
+ return array(
+ 'author' => 'Christopher Smith',
+ 'email' => 'chris@jalakai.co.uk',
+ 'date' => '2008-11-11',
+ 'name' => 'Box Plugin',
+ 'desc' => 'Boxes with titles, colour and rounded corners.
+ Syntax: <box width class colours|title> ... </box|caption>
+ width, class, colours title & caption are optional.
+ The title can include some wiki markup, the box
+ contents can include almost any wiki markup.',
+ 'url' => 'http://www.dokuwiki.org/plugin:boxes',
+ );
+ }
+
+ function getType(){ return 'protected';}
+ function getAllowedTypes() { return array('container','substition','protected','disabled','formatting','paragraphs'); }
+ function getPType(){ return 'block';}
+
+ // must return a number lower than returned by native 'code' mode (200)
+ function getSort(){ return 195; }
+
+ // override default accepts() method to allow nesting
+ // - ie, to get the plugin accepts its own entry syntax
+ function accepts($mode) {
+ if ($mode == substr(get_class($this), 7)) return true;
+
+ return parent::accepts($mode);
+ }
+
+ /**
+ * Connect pattern to lexer
+ */
+ function connectTo($mode) {
+ $this->Lexer->addEntryPattern('<box>(?=.*?</box.*?>)',$mode,'plugin_box');
+ $this->Lexer->addEntryPattern('<box\s[^\r\n\|]*?>(?=.*?</box.*?>)',$mode,'plugin_box');
+ $this->Lexer->addEntryPattern('<box\|(?=[^\r\n]*?\>.*?</box.*?\>)',$mode,'plugin_box');
+ $this->Lexer->addEntryPattern('<box\s[^\r\n\|]*?\|(?=[^\r\n]*?>.*?</box.*?>)',$mode,'plugin_box');
+ }
+
+ function postConnect() {
+ $this->Lexer->addPattern('>', 'plugin_box');
+ $this->Lexer->addExitPattern('</box.*?>', 'plugin_box');
+ }
+
+ /**
+ * Handle the match
+ */
+ function handle($match, $state, $pos, &$handler){
+
+ switch ($state) {
+ case DOKU_LEXER_ENTER:
+ $data = $this->_boxstyle(trim(substr($match, 4, -1)));
+ if (substr($match, -1) == '|') {
+ $this->title_mode = true;
+ return array('title_open',$data);
+ } else {
+ return array('box_open',$data);
+ }
+
+ case DOKU_LEXER_MATCHED:
+ if ($this->title_mode) {
+ $this->title_mode = false;
+ return array('box_open','');
+ } else {
+ return array('data', $match);
+ }
+
+ case DOKU_LEXER_UNMATCHED:
+ $handler->_addCall('cdata',array($match), $pos);
+ return false;
+
+ case DOKU_LEXER_EXIT:
+ $data = trim(substr($match, 5, -1));
+ $title = ($data && $data{0} == "|") ? substr($data,1) : '';
+
+ return array('box_close', $title);
+
+ }
+ return false;
+ }
+
+ /**
+ * Create output
+ */
+ function render($mode, &$renderer, $indata) {
+
+ if (empty($indata)) return false;
+ list($instr, $data) = $indata;
+
+ if($mode == 'xhtml'){
+ switch ($instr) {
+ case 'title_open' :
+ $this->title_mode = true;
+ $renderer->doc .= $this->_xhtml_boxopen($data)."<p class='box_title'{$this->_title_colours}>";
+ break;
+
+ case 'box_open' :
+ if ($this->title_mode) {
+ $this->title_mode = false;
+ $renderer->doc .= "</p>\n<div class='box_content'{$this->_content_colours}>";
+ } else {
+ $renderer->doc .= $this->_xhtml_boxopen($data)."<div class='box_content'{$this->_content_colours}>";
+ }
+ break;
+
+ case 'data' :
+ $renderer->doc .= $renderer->_xmlEntities($data);
+ break;
+
+ case 'box_close' :
+ $renderer->doc .= "</div>\n";
+
+ if ($data) {
+ $renderer->doc .= "<p class='box_caption'{$this->_title_colours}>".$renderer->_xmlEntities($data)."</p>\n";
+ }
+ $renderer->doc .= $this->_xhtml_boxclose();
+ break;
+ }
+
+ return true;
+ }
+ return false;
+ }
+
+ function _boxstyle($str) {
+ if (!strlen($str)) return array();
+
+ $styles = array();
+
+ $tokens = preg_split('/\s+/', $str, 9); // limit is defensive
+ foreach ($tokens as $token) {
+ if (preg_match('/^\d*\.?\d+(%|px|em|ex|pt|cm|mm|pi|in)$/', $token)) {
+ $styles['width'] = $token;
+ continue;
+ }
+
+ if (preg_match('/^(
+ (\#([0-9a-fA-F]{3}|[0-9a-fA-F]{6}))| #colorvalue
+ (rgb\(([0-9]{1,3}%?,){2}[0-9]{1,3}%?\)) #rgb triplet
+ )$/x', $token)) {
+ $styles['colour'][] = $token;
+ continue;
+ }
+
+ // restrict token (class names) characters to prevent any malicious data
+ if (preg_match('/[^A-Za-z0-9_-]/',$token)) continue;
+ $styles['class'] = (isset($styles['class']) ? $styles['class'].' ' : '').$token;
+ }
+ if (!empty($styles['colour'])) {
+ $styles['colour'] = $this->_box_colours($styles['colour']);
+ }
+
+ return $styles;
+ }
+
+ function _box_colours($colours) {
+ $triplets = array();
+
+ // only need the first four colours
+ if (count($colours) > 4) $colours = array_slice($colours,0,4);
+ foreach ($colours as $colour) {
+ $triplet[] = $this->_colourToTriplet($colour);
+ }
+
+ // there must be one colour to get here - the primary background
+ // calculate title background colour if not present
+ if (empty($triplet[1])) {
+ $triplet[1] = $triplet[0];
+ }
+
+ // calculate outer background colour if not present
+ if (empty($triplet[2])) {
+ $triplet[2] = $triplet[0];
+ }
+
+ // calculate border colour if not present
+ if (empty($triplet[3])) {
+ $triplet[3] = $triplet[0];
+ }
+
+ // convert triplets back to style sheet colours
+ $style_colours['content_background'] = 'rgb('.join(',',$triplet[0]).')';
+ $style_colours['title_background'] = 'rgb('.join(',',$triplet[1]).')';
+ $style_colours['outer_background'] = 'rgb('.join(',',$triplet[2]).')';
+ $style_colours['borders'] = 'rgb('.join(',',$triplet[3]).')';
+
+ return $style_colours;
+ }
+
+ function _colourToTriplet($colour) {
+ if ($colour{0} == '#') {
+ if (strlen($colour) == 4) {
+ // format #FFF
+ return array(hexdec($colour{1}.$colour{1}),hexdec($colour{2}.$colour{2}),hexdec($colour{3}.$colour{3}));
+ } else {
+ // format #FFFFFF
+ return array(hexdec(substr($colour,1,2)),hexdec(substr($colour,3,2)), hexdec(substr($colour,5,2)));
+ }
+ } else {
+ // format rgb(x,y,z)
+ return explode(',',substr($colour,4,-1));
+ }
+ }
+
+ function _xhtml_boxopen($styles) {
+ $class = 'class="box' . (isset($styles['class']) ? ' '.$styles['class'] : '') . '"';
+ $style = isset($styles['width']) ? "width: {$styles['width']};" : '';
+
+ if (isset($styles['colour'])) {
+ $colours = 'background-color: '.$styles['colour']['outer_background'].'; ';
+ $colours .= 'border-color: '.$styles['colour']['borders'].';';
+
+ $this->_content_colours = 'style="background-color: '.$styles['colour']['content_background'].'; border-color: '.$styles['colour']['borders'].'"';
+ $this->_title_colours = 'style="background-color: '.$styles['colour']['title_background'].';"';
+
+ } else {
+ $colours = '';
+
+ $this->_content_colours = '';
+ $this->_title_colours = '';
+ }
+
+ if ($style || $colours) $style = ' style="'.$style.' '.$colours.'"';
+ if ($colours) $colours = ' style="'.$colours.'"';
+
+ $this->_xb_colours = $colours;
+
+ $html = "<div $class$style>\n";
+ $html .=" <b class='xtop'><b class='xb1'$colours></b><b class='xb2'$colours></b><b class='xb3'$colours></b><b class='xb4'$colours></b></b>\n";
+ $html .=" <div class='xbox'$colours>\n";
+
+ return $html;
+ }
+
+ function _xhtml_boxclose() {
+
+ $colours = $this->_xb_colours;
+
+ $html = " </div>\n";
+ $html .= " <b class='xbottom'><b class='xb4'$colours></b><b class='xb3'$colours></b><b class='xb2'$colours></b><b class='xb1'$colours></b></b>\n";
+ $html .= "</div>\n";
+
+ return $html;
+ }
+
+}
+
+//Setup VIM: ex: et ts=4 enc=utf-8 : \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/index.html b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/index.html
new file mode 100644
index 000000000..d614603ac
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/index.html
@@ -0,0 +1,12 @@
+<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Strict//EN"
+ "http://www.w3.org/TR/xhtml1/DTD/xhtml1-strict.dtd">
+<html xmlns="http://www.w3.org/1999/xhtml">
+<head>
+<meta http-equiv="refresh" content="0; URL=../../" />
+<meta name="robots" content="noindex" />
+<title>nothing here...</title>
+</head>
+<body>
+<!-- this is just here to prevent directory browsing -->
+</body>
+</html>
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/COPYING b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/COPYING
new file mode 100644
index 000000000..d60c31a97
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/COPYING
@@ -0,0 +1,340 @@
+ GNU GENERAL PUBLIC LICENSE
+ Version 2, June 1991
+
+ Copyright (C) 1989, 1991 Free Software Foundation, Inc.
+ 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ Everyone is permitted to copy and distribute verbatim copies
+ of this license document, but changing it is not allowed.
+
+ Preamble
+
+ The licenses for most software are designed to take away your
+freedom to share and change it. By contrast, the GNU General Public
+License is intended to guarantee your freedom to share and change free
+software--to make sure the software is free for all its users. This
+General Public License applies to most of the Free Software
+Foundation's software and to any other program whose authors commit to
+using it. (Some other Free Software Foundation software is covered by
+the GNU Library General Public License instead.) You can apply it to
+your programs, too.
+
+ When we speak of free software, we are referring to freedom, not
+price. Our General Public Licenses are designed to make sure that you
+have the freedom to distribute copies of free software (and charge for
+this service if you wish), that you receive source code or can get it
+if you want it, that you can change the software or use pieces of it
+in new free programs; and that you know you can do these things.
+
+ To protect your rights, we need to make restrictions that forbid
+anyone to deny you these rights or to ask you to surrender the rights.
+These restrictions translate to certain responsibilities for you if you
+distribute copies of the software, or if you modify it.
+
+ For example, if you distribute copies of such a program, whether
+gratis or for a fee, you must give the recipients all the rights that
+you have. You must make sure that they, too, receive or can get the
+source code. And you must show them these terms so they know their
+rights.
+
+ We protect your rights with two steps: (1) copyright the software, and
+(2) offer you this license which gives you legal permission to copy,
+distribute and/or modify the software.
+
+ Also, for each author's protection and ours, we want to make certain
+that everyone understands that there is no warranty for this free
+software. If the software is modified by someone else and passed on, we
+want its recipients to know that what they have is not the original, so
+that any problems introduced by others will not reflect on the original
+authors' reputations.
+
+ Finally, any free program is threatened constantly by software
+patents. We wish to avoid the danger that redistributors of a free
+program will individually obtain patent licenses, in effect making the
+program proprietary. To prevent this, we have made it clear that any
+patent must be licensed for everyone's free use or not licensed at all.
+
+ The precise terms and conditions for copying, distribution and
+modification follow.
+
+ GNU GENERAL PUBLIC LICENSE
+ TERMS AND CONDITIONS FOR COPYING, DISTRIBUTION AND MODIFICATION
+
+ 0. This License applies to any program or other work which contains
+a notice placed by the copyright holder saying it may be distributed
+under the terms of this General Public License. The "Program", below,
+refers to any such program or work, and a "work based on the Program"
+means either the Program or any derivative work under copyright law:
+that is to say, a work containing the Program or a portion of it,
+either verbatim or with modifications and/or translated into another
+language. (Hereinafter, translation is included without limitation in
+the term "modification".) Each licensee is addressed as "you".
+
+Activities other than copying, distribution and modification are not
+covered by this License; they are outside its scope. The act of
+running the Program is not restricted, and the output from the Program
+is covered only if its contents constitute a work based on the
+Program (independent of having been made by running the Program).
+Whether that is true depends on what the Program does.
+
+ 1. You may copy and distribute verbatim copies of the Program's
+source code as you receive it, in any medium, provided that you
+conspicuously and appropriately publish on each copy an appropriate
+copyright notice and disclaimer of warranty; keep intact all the
+notices that refer to this License and to the absence of any warranty;
+and give any other recipients of the Program a copy of this License
+along with the Program.
+
+You may charge a fee for the physical act of transferring a copy, and
+you may at your option offer warranty protection in exchange for a fee.
+
+ 2. You may modify your copy or copies of the Program or any portion
+of it, thus forming a work based on the Program, and copy and
+distribute such modifications or work under the terms of Section 1
+above, provided that you also meet all of these conditions:
+
+ a) You must cause the modified files to carry prominent notices
+ stating that you changed the files and the date of any change.
+
+ b) You must cause any work that you distribute or publish, that in
+ whole or in part contains or is derived from the Program or any
+ part thereof, to be licensed as a whole at no charge to all third
+ parties under the terms of this License.
+
+ c) If the modified program normally reads commands interactively
+ when run, you must cause it, when started running for such
+ interactive use in the most ordinary way, to print or display an
+ announcement including an appropriate copyright notice and a
+ notice that there is no warranty (or else, saying that you provide
+ a warranty) and that users may redistribute the program under
+ these conditions, and telling the user how to view a copy of this
+ License. (Exception: if the Program itself is interactive but
+ does not normally print such an announcement, your work based on
+ the Program is not required to print an announcement.)
+
+These requirements apply to the modified work as a whole. If
+identifiable sections of that work are not derived from the Program,
+and can be reasonably considered independent and separate works in
+themselves, then this License, and its terms, do not apply to those
+sections when you distribute them as separate works. But when you
+distribute the same sections as part of a whole which is a work based
+on the Program, the distribution of the whole must be on the terms of
+this License, whose permissions for other licensees extend to the
+entire whole, and thus to each and every part regardless of who wrote it.
+
+Thus, it is not the intent of this section to claim rights or contest
+your rights to work written entirely by you; rather, the intent is to
+exercise the right to control the distribution of derivative or
+collective works based on the Program.
+
+In addition, mere aggregation of another work not based on the Program
+with the Program (or with a work based on the Program) on a volume of
+a storage or distribution medium does not bring the other work under
+the scope of this License.
+
+ 3. You may copy and distribute the Program (or a work based on it,
+under Section 2) in object code or executable form under the terms of
+Sections 1 and 2 above provided that you also do one of the following:
+
+ a) Accompany it with the complete corresponding machine-readable
+ source code, which must be distributed under the terms of Sections
+ 1 and 2 above on a medium customarily used for software interchange; or,
+
+ b) Accompany it with a written offer, valid for at least three
+ years, to give any third party, for a charge no more than your
+ cost of physically performing source distribution, a complete
+ machine-readable copy of the corresponding source code, to be
+ distributed under the terms of Sections 1 and 2 above on a medium
+ customarily used for software interchange; or,
+
+ c) Accompany it with the information you received as to the offer
+ to distribute corresponding source code. (This alternative is
+ allowed only for noncommercial distribution and only if you
+ received the program in object code or executable form with such
+ an offer, in accord with Subsection b above.)
+
+The source code for a work means the preferred form of the work for
+making modifications to it. For an executable work, complete source
+code means all the source code for all modules it contains, plus any
+associated interface definition files, plus the scripts used to
+control compilation and installation of the executable. However, as a
+special exception, the source code distributed need not include
+anything that is normally distributed (in either source or binary
+form) with the major components (compiler, kernel, and so on) of the
+operating system on which the executable runs, unless that component
+itself accompanies the executable.
+
+If distribution of executable or object code is made by offering
+access to copy from a designated place, then offering equivalent
+access to copy the source code from the same place counts as
+distribution of the source code, even though third parties are not
+compelled to copy the source along with the object code.
+
+ 4. You may not copy, modify, sublicense, or distribute the Program
+except as expressly provided under this License. Any attempt
+otherwise to copy, modify, sublicense or distribute the Program is
+void, and will automatically terminate your rights under this License.
+However, parties who have received copies, or rights, from you under
+this License will not have their licenses terminated so long as such
+parties remain in full compliance.
+
+ 5. You are not required to accept this License, since you have not
+signed it. However, nothing else grants you permission to modify or
+distribute the Program or its derivative works. These actions are
+prohibited by law if you do not accept this License. Therefore, by
+modifying or distributing the Program (or any work based on the
+Program), you indicate your acceptance of this License to do so, and
+all its terms and conditions for copying, distributing or modifying
+the Program or works based on it.
+
+ 6. Each time you redistribute the Program (or any work based on the
+Program), the recipient automatically receives a license from the
+original licensor to copy, distribute or modify the Program subject to
+these terms and conditions. You may not impose any further
+restrictions on the recipients' exercise of the rights granted herein.
+You are not responsible for enforcing compliance by third parties to
+this License.
+
+ 7. If, as a consequence of a court judgment or allegation of patent
+infringement or for any other reason (not limited to patent issues),
+conditions are imposed on you (whether by court order, agreement or
+otherwise) that contradict the conditions of this License, they do not
+excuse you from the conditions of this License. If you cannot
+distribute so as to satisfy simultaneously your obligations under this
+License and any other pertinent obligations, then as a consequence you
+may not distribute the Program at all. For example, if a patent
+license would not permit royalty-free redistribution of the Program by
+all those who receive copies directly or indirectly through you, then
+the only way you could satisfy both it and this License would be to
+refrain entirely from distribution of the Program.
+
+If any portion of this section is held invalid or unenforceable under
+any particular circumstance, the balance of the section is intended to
+apply and the section as a whole is intended to apply in other
+circumstances.
+
+It is not the purpose of this section to induce you to infringe any
+patents or other property right claims or to contest validity of any
+such claims; this section has the sole purpose of protecting the
+integrity of the free software distribution system, which is
+implemented by public license practices. Many people have made
+generous contributions to the wide range of software distributed
+through that system in reliance on consistent application of that
+system; it is up to the author/donor to decide if he or she is willing
+to distribute software through any other system and a licensee cannot
+impose that choice.
+
+This section is intended to make thoroughly clear what is believed to
+be a consequence of the rest of this License.
+
+ 8. If the distribution and/or use of the Program is restricted in
+certain countries either by patents or by copyrighted interfaces, the
+original copyright holder who places the Program under this License
+may add an explicit geographical distribution limitation excluding
+those countries, so that distribution is permitted only in or among
+countries not thus excluded. In such case, this License incorporates
+the limitation as if written in the body of this License.
+
+ 9. The Free Software Foundation may publish revised and/or new versions
+of the General Public License from time to time. Such new versions will
+be similar in spirit to the present version, but may differ in detail to
+address new problems or concerns.
+
+Each version is given a distinguishing version number. If the Program
+specifies a version number of this License which applies to it and "any
+later version", you have the option of following the terms and conditions
+either of that version or of any later version published by the Free
+Software Foundation. If the Program does not specify a version number of
+this License, you may choose any version ever published by the Free Software
+Foundation.
+
+ 10. If you wish to incorporate parts of the Program into other free
+programs whose distribution conditions are different, write to the author
+to ask for permission. For software which is copyrighted by the Free
+Software Foundation, write to the Free Software Foundation; we sometimes
+make exceptions for this. Our decision will be guided by the two goals
+of preserving the free status of all derivatives of our free software and
+of promoting the sharing and reuse of software generally.
+
+ NO WARRANTY
+
+ 11. BECAUSE THE PROGRAM IS LICENSED FREE OF CHARGE, THERE IS NO WARRANTY
+FOR THE PROGRAM, TO THE EXTENT PERMITTED BY APPLICABLE LAW. EXCEPT WHEN
+OTHERWISE STATED IN WRITING THE COPYRIGHT HOLDERS AND/OR OTHER PARTIES
+PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER EXPRESSED
+OR IMPLIED, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
+MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE. THE ENTIRE RISK AS
+TO THE QUALITY AND PERFORMANCE OF THE PROGRAM IS WITH YOU. SHOULD THE
+PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF ALL NECESSARY SERVICING,
+REPAIR OR CORRECTION.
+
+ 12. IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING
+WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MAY MODIFY AND/OR
+REDISTRIBUTE THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES,
+INCLUDING ANY GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING
+OUT OF THE USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED
+TO LOSS OF DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY
+YOU OR THIRD PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER
+PROGRAMS), EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE
+POSSIBILITY OF SUCH DAMAGES.
+
+ END OF TERMS AND CONDITIONS
+
+ How to Apply These Terms to Your New Programs
+
+ If you develop a new program, and you want it to be of the greatest
+possible use to the public, the best way to achieve this is to make it
+free software which everyone can redistribute and change under these terms.
+
+ To do so, attach the following notices to the program. It is safest
+to attach them to the start of each source file to most effectively
+convey the exclusion of warranty; and each file should have at least
+the "copyright" line and a pointer to where the full notice is found.
+
+ <one line to give the program's name and a brief idea of what it does.>
+ Copyright (C) <year> <name of author>
+
+ This program is free software; you can redistribute it and/or modify
+ it under the terms of the GNU General Public License as published by
+ the Free Software Foundation; either version 2 of the License, or
+ (at your option) any later version.
+
+ This program is distributed in the hope that it will be useful,
+ but WITHOUT ANY WARRANTY; without even the implied warranty of
+ MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ GNU General Public License for more details.
+
+ You should have received a copy of the GNU General Public License
+ along with this program; if not, write to the Free Software
+ Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+
+Also add information on how to contact you by electronic and paper mail.
+
+If the program is interactive, make it output a short notice like this
+when it starts in an interactive mode:
+
+ Gnomovision version 69, Copyright (C) year name of author
+ Gnomovision comes with ABSOLUTELY NO WARRANTY; for details type `show w'.
+ This is free software, and you are welcome to redistribute it
+ under certain conditions; type `show c' for details.
+
+The hypothetical commands `show w' and `show c' should show the appropriate
+parts of the General Public License. Of course, the commands you use may
+be called something other than `show w' and `show c'; they could even be
+mouse-clicks or menu items--whatever suits your program.
+
+You should also get your employer (if you work as a programmer) or your
+school, if any, to sign a "copyright disclaimer" for the program, if
+necessary. Here is a sample; alter the names:
+
+ Yoyodyne, Inc., hereby disclaims all copyright interest in the program
+ `Gnomovision' (which makes passes at compilers) written by James Hacker.
+
+ <signature of Ty Coon>, 1 April 1989
+ Ty Coon, President of Vice
+
+This General Public License does not permit incorporating your program into
+proprietary programs. If your program is a subroutine library, you may
+consider it more useful to permit linking proprietary applications with the
+library. If this is what you want to do, use the GNU Library General
+Public License instead of this License.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/CREDITS b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/CREDITS
new file mode 100644
index 000000000..cb03c247d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/CREDITS
@@ -0,0 +1,58 @@
+Thanks to:
+
+Geir Landro:
+ Dtree Javascript code.
+
+Roland Hellebart:
+ The Dtree idea.
+
+Chris Beetle:
+ The root namespace index.
+
+Gleb:
+ The nons e headpage option suggestion.
+
+Malyfred:
+ Resolved incorrect namespaces levels bug.
+
+Raymond Elferink:
+ Resolved incorrect ACLs bug.
+
+Ilya Lebedev:
+ Skip index option.
+
+Franck Baron:
+ Js id option.
+
+Jon B:
+ Skip file option.
+
+Neosky:
+ Javascript toolbar bug.
+
+Paul Grove:
+ Css dynamic properties and suggestion of js theme with differents image formats
+
+Anja Vag:
+ Great help in testing and finding bugs.
+
+Blaz:
+ Current page highliting suggestion.
+
+Adrien CLERC:
+ Start page bug.
+
+Ryan Jake and Fullindex plugin:
+ Sort by metada suggestion.
+
+Herman Huitema:
+ Context menu search function and great help in testing patches.
+
+Thomas Binder:
+ Fixed a bug with msort/nsort that did not manage empty arrays.
+
+Fabian Pfannes:
+ German language
+
+Urban:
+ Context menu patch and other suggestions
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/VERSION.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/VERSION.txt
new file mode 100644
index 000000000..db43bc514
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/VERSION.txt
@@ -0,0 +1 @@
+2009-08-29
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/action.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/action.php
new file mode 100644
index 000000000..ee09a980d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/action.php
@@ -0,0 +1,169 @@
+<?php
+/**
+ * Indexmenu Action Plugin: Indexmenu Component.
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Samuele Tognini <samuele@netsons.org>
+ */
+
+if(!defined('DOKU_INC')) die();
+if(!defined('DOKU_PLUGIN')) define('DOKU_PLUGIN',DOKU_INC.'lib/plugins/');
+require_once(DOKU_PLUGIN.'action.php');
+
+class action_plugin_indexmenu extends DokuWiki_Action_Plugin {
+
+ /**
+ * return some info
+ */
+ function getInfo(){
+ return array(
+ 'author' => 'Samuele Tognini',
+ 'email' => 'samuele@netsons.org',
+ 'date' => rtrim(io_readFile(DOKU_PLUGIN.'indexmenu/VERSION.txt')),
+ 'name' => 'Indexmenu (action plugin component)',
+ 'desc' => 'Indexmenu action functions.',
+ 'url' => 'http://wiki.splitbrain.org/plugin:indexmenu',
+ );
+ }
+
+ /*
+ * plugin should use this method to register its handlers with the dokuwiki's event controller
+ */
+ function register(&$controller) {
+ if ($this->getConf('only_admins')) $controller->register_hook('IO_WIKIPAGE_WRITE', 'BEFORE', $this, '_checkperm');
+ if ($this->getConf('page_index') != '') $controller->register_hook('TPL_ACT_RENDER', 'BEFORE', $this, '_loadindex');
+ $controller->register_hook('TPL_METAHEADER_OUTPUT', 'BEFORE', $this, '_hookjs');
+ $controller->register_hook('PARSER_CACHE_USE', 'BEFORE', $this, '_purgecache');
+ if ($this->getConf('show_sort')) $controller->register_hook('TPL_CONTENT_DISPLAY', 'BEFORE', $this, '_showsort');
+ }
+
+ /**
+ * Check if user has permission to insert indexmenu
+ *
+ * @author Samuele Tognini <samuele@netsons.org>
+ */
+ function _checkperm(&$event, $param) {
+ if ($this->_notadmin()) {
+ $event->data[0][1]= preg_replace("/{{indexmenu(|_n)>.+?}}/","",$event->data[0][1]);
+ }
+ }
+
+ /**
+ * Hook js script into page headers.
+ *
+ * @author Samuele Tognini <samuele@netsons.org>
+ */
+ function _hookjs(&$event, $param) {
+ global $ID;
+ global $INFO;
+ $jsmenu=DOKU_BASE."lib/plugins/indexmenu/jsmenu/";
+
+ if ($INFO['userinfo']['grps']) {
+ $jsmenu .= ($this->_notadmin()) ? "usrmenu.js" : "admmenu.js";
+ } else {
+ $jsmenu .= "menu.js";
+ }
+
+ $event->data["script"][] = array ( "type" => "text/javascript",
+ "charset" => "utf-8",
+ "_data" => "",
+ "src" => $jsmenu
+ );
+
+ $event->data["script"][] = array ( "type" => "text/javascript",
+ "charset" => "utf-8",
+ "_data" => "",
+ "src" => DOKU_BASE."lib/plugins/indexmenu/indexmenu.js"
+ );
+
+ $event->data["script"][] = array ( "type" => "text/javascript",
+ "charset" => "utf-8",
+ "_data" => "var indexmenu_ID='".idfilter($ID)."'"
+ );
+ }
+
+ /**
+ * Check for pages changes and eventually purge cache.
+ *
+ * @author Samuele Tognini <samuele@netsons.org>
+ */
+ function _purgecache(&$event, $param) {
+ global $ID;
+ global $conf;
+ $cache = &$event->data;
+
+ if (!isset($cache->page)) return;
+ //purge only xhtml cache
+ if ($cache->mode != "xhtml") return;
+ //Check if it is an indexmenu page
+ if (!p_get_metadata($ID,'indexmenu')) return;
+ $aclcache=$this->getConf('aclcache');
+ if ($conf['useacl']) {
+ $newkey=false;
+ if ($aclcache == 'user') {
+ //Cache per user
+ if ($_SERVER['REMOTE_USER']) $newkey=$_SERVER['REMOTE_USER'];
+ } else if ($aclcache == 'groups') {
+ //Cache per groups
+ global $INFO;
+ if ($INFO['userinfo']['grps']) $newkey=implode('#',$INFO['userinfo']['grps']);
+ }
+ if ($newkey) {
+ $cache->key .= "#".$newkey;
+ $cache->cache = getCacheName($cache->key, $cache->ext);
+ }
+ }
+ //Check if a page is more recent than purgefile.
+ if (@filemtime($cache->cache) < @filemtime($conf['cachedir'].'/purgefile')) {
+ $event->preventDefault();
+ $event->stopPropagation();
+ $event->result = false;
+ }
+ }
+
+ /**
+ * Render a defined page as index.
+ *
+ * @author Samuele Tognini <samuele@netsons.org>
+ */
+ function _loadindex(&$event, $param) {
+ if ('index' != $event->data) return;
+ if (!file_exists(wikiFN($this->getConf('page_index')))) return;
+ global $lang;
+ print '<h1><a id="index" name="index">'.$lang['btn_index']."</a></h1>\n";
+ print p_wiki_xhtml($this->getConf('page_index'));
+ $event->preventDefault();
+ $event->stopPropagation();
+
+ }
+
+ /**
+ * Display the indexmenu sort number.
+ *
+ * @author Samuele Tognini <samuele@netsons.org>
+ */
+ function _showsort(&$event, $param) {
+ global $ID,$ACT;
+ if ($ACT != 'show' || $this->_notadmin()) return;
+ if ($n=p_get_metadata($ID,'indexmenu_n')) {
+ ptln('<div class="info">');
+ ptln($this->getLang('showsort').$n);
+ ptln('</div>');
+ }
+ }
+
+ /**
+ * Check if user is administrator..
+ *
+ * @author Samuele Tognini <samuele@netsons.org>
+ */
+ function _notadmin() {
+ global $conf;
+ global $INFO;
+
+ if ($conf['useacl'] && $INFO['perm'] < AUTH_ADMIN) {
+ return true;
+ }
+ return false;
+ }
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/admin.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/admin.php
new file mode 100644
index 000000000..99b6e12a2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/admin.php
@@ -0,0 +1,453 @@
+<?php
+/**
+ * Indexmenu Admin Plugin: Indexmenu Component.
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Samuele Tognini <samuele@netsons.org>
+ */
+
+if(!defined('DOKU_INC')) define('DOKU_INC',realpath(dirname(__FILE__).'/../../').'/');
+if(!defined('DOKU_PLUGIN')) define('DOKU_PLUGIN',DOKU_INC.'lib/plugins/');
+require_once(DOKU_PLUGIN.'admin.php');
+require_once (DOKU_INC.'inc/HTTPClient.php');
+require_once(DOKU_PLUGIN."indexmenu/inc/pclzip.lib.php");
+if(!defined('INDEXMENU_IMG_ABSDIR')) define('INDEXMENU_IMG_ABSDIR',DOKU_PLUGIN."indexmenu/images");
+define('INDEXMENU_ICOS','base,folder,folderopen,folderh,folderhopen,page,plus,minus,nolines_plus,nolines_minus,minusbottom,plusbottom,join,joinbottom,line,empty');
+
+class admin_plugin_indexmenu extends DokuWiki_Admin_Plugin {
+ var $req = 'fetch';
+ var $repos = array (
+ "url" => array(DOKU_URL),
+ "status" => array(""),
+ );
+
+ var $selected=-1;
+
+ /**
+ * return some info
+ */
+ function getInfo(){
+ return array(
+ 'author' => 'Samuele Tognini',
+ 'email' => 'samuele@netsons.org',
+ 'date' => rtrim(io_readFile(DOKU_PLUGIN.'indexmenu/VERSION.txt')),
+ 'name' => 'Indexmenu (admin plugin component)',
+ 'desc' => 'Indexmenu admin functions.',
+ 'url' => 'http://wiki.splitbrain.org/plugin:indexmenu',
+ );
+ }
+
+ /**
+ * return sort order for position in admin menu
+ */
+ function getMenuSort() {
+ return 999;
+ }
+
+ /**
+ * handle user request
+ */
+ function handle() {
+ $url=$this->getConf('themes_url');
+ if (empty($url)) {
+ $this->repos['url'][]=$this->getLang('no_repos');
+ $this->repos['status'][]="disabled";
+ $this->repos['install'][]=-1;
+ } else {
+ $this->repos['url']= array_merge($this->repos['url'],explode(',',$url));
+ }
+
+ if (!isset($_REQUEST['req'])) return; // first time - nothing to do
+ $this->req=$_REQUEST['req'];
+
+ if (is_numeric($_REQUEST['repo'])) $this->selected=$_REQUEST['repo'];
+ }
+
+ /**
+ * output appropriate html
+ */
+ function html() {
+ global $conf;
+ ptln('<div id="config__manager">');
+ ptln(' <h1>'.$this->getLang('menu').'</h1>');
+ ptln($this->_donate());
+ ptln(' <fieldset>');
+ ptln(' <legend>'.$this->getLang('checkupdates').'</legend>');
+ $this->_form_open("checkupdates");
+ $this->_form_close('check');
+ if ($this->req=='checkupdates') {
+ $this->_checkupdates();
+ }
+ ptln(' </fieldset>');
+ ptln(' <fieldset>');
+ ptln(' <legend>Themes</legend>');
+ ptln(' <table class="inline">');
+ ptln(' <tr class="default"><td class="label" colspan="2">');
+ ptln(' <span class="outkey">'.$this->getLang('infos').'</span>');
+ ptln(' </td></tr>');
+ $n=0;
+ //cycles thru repositories urls
+ foreach ($this->repos['url'] as $url) {
+ ptln(' <tr class="search_hit"><td>');
+ $legend=($n == 0) ? $conf['title'] : $this->repos['url'][$n];
+ ptln(' <span><label><strong>'.$legend.'</strong></label></span>');
+ ptln(' </td>');
+ ptln(' <td class="value">');
+ $this->_form_open("fetch",$n);
+ $this->_form_close("fetch");
+ ptln(' </td></tr>');
+ //list requested theme
+ if ($n==$this->selected) {
+ ptln(' <tr class="default"><td colspan="2">');
+ if ($this->req=='install') $this->install($this->selected,$_REQUEST['name']);
+ if ($this->req=='upload' && $_REQUEST['name']) {
+ $info="";
+ if (isset($_REQUEST['author_info'])) {
+ $obfuscate = array('@' => ' [at] ', '.' => ' [dot] ', '-' => ' [dash] ');
+ $info .= "author=".strtr($_REQUEST['author_info'], $obfuscate)."\n";
+ }
+ if (isset($_REQUEST['url_info'])) $info .= "url=".$_REQUEST['url_info']."\n";
+ if (isset($_REQUEST['author_info'])) $info .= "description=".$_REQUEST['author_info'];
+ if (!$this->upload($_REQUEST['name'],$info)) msg($this->getLang('install_no'),-1);
+ }
+ if ($this->req=='delete' && $_REQUEST['name']) $this->_delete($_REQUEST['name']);
+ ptln(' </td></tr><tr><td colspan="2">');
+ $this->dolist($n);
+ ptln(' </td></tr>');
+ }
+ $n++;
+ }
+ ptln(' </table>');
+ ptln(' </fieldset>');
+ ptln('</div>');
+ }
+
+ /**
+ * Connect to theme repository and list themes
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Samuele Tognini <samuele@netsons.org>
+ */
+ function dolist($n) {
+ global $INFO;
+ if ($n === false) return;
+ //info.txt keys to parse
+ $keys=array('author','url','description');
+ $icos=explode(',',INDEXMENU_ICOS);
+ $turl="";
+ $info="";
+ //get list
+ $data=$this->_remotequery($this->repos['url'][$n]."/lib/plugins/indexmenu/ajax.php?req=local");
+ $data=explode(",",$data);
+ //print themes
+ for ($i=3;$i<count($data);$i++) {
+ $theme=$data[$i];
+ $turl=$data[1].$data[2]."/".$theme;
+ ptln(' <em>'.$theme.'</em>');
+ ptln(' <div class="indexmenu_list_themes">');
+ ptln(' <div>');
+ //print images
+ foreach (array_slice($icos,0,8) as $ico) {
+ $ext = explode(".", $theme);
+ $ext=array_pop($ext);
+ $ext=($ext == $theme) ? '.gif' : ".$ext";
+ ptln(' <img src="'.$turl."/".$ico.$ext.'" title="'.$ico.'" alt="'.$ico.'" />');
+ }
+ ptln(' </div>');
+ //get theme info.txt
+ if ($info=$this->_remotequery($turl."/info.txt",false)) {
+ foreach ($keys as $key) {
+ if (!preg_match('/'.$key.'=(.*)/',$info,$out)) continue;
+ ptln(" <div>");
+ ptln(" <strong>".hsc($key).": </strong>".hsc($out[1]));
+ ptln(" </div>");
+ }
+ }
+ if ($n == 0) {
+ $act="upload";
+ if ($theme != "default" ) {
+ $this->_form_open("delete",$n);
+ ptln(' <input type="hidden" name="name" value="'.$theme.'" />');
+ $this->_form_close("delete");
+ }
+ } else {
+ $act="install";
+ ptln(' <a href="'.$this->repos['url'][$n]."$repo/lib/plugins/indexmenu/ajax.php?req=send&amp;t=".$theme.'">Download</a>');
+ }
+ $this->_form_open($act,$n);
+ if ($n==0 && !is_file(INDEXMENU_IMG_ABSDIR."/".$theme."/info.txt")) {
+ ptln(' <div><strong>author:</strong><input type="text" name="author_info" value="'.$INFO["userinfo"]["name"].hsc(" <".$INFO["userinfo"]["mail"].">").'" size="50" maxlength="100" /><br />');
+ ptln(' <strong>url:</strong><input type="text" name="url_info" value="'.$this->repos['url'][$n].'" size="50" maxlength="200" /><br />');
+ ptln(' <strong>description:</strong><input type="text" name="description_info" value="" size="50" maxlength="200" /></div>');
+ }
+ ptln(' <input type="hidden" name="name" value="'.$theme.'" />');
+ $this->_form_close($act);
+ ptln(' <br /><br /></div>');
+ }
+ }
+
+ /**
+ * Download and install themes
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Samuele Tognini <samuele@netsons.org>
+ */
+ function install ($n,$name) {
+ $repo=$this->repos['url'][$n];
+ if (!isset($name)) return false;
+ $return=true;
+ if (!$absdir=$this->checktmpsubdir()) return;
+ $tmp=$absdir."/tmp";
+
+ //send theme list request
+ if (!$zipfile=io_download($repo."/lib/plugins/indexmenu/ajax.php?req=send&t=".$name,"$tmp/",true)) {
+ msg($this->getLang('down_err').": $name",-1);
+ $return=false;
+ } else {
+ //create zip
+ $zip=new PclZip("$tmp/$zipfile");
+ $regexp="/^".$name."\/(info.txt)|(style.css)|(".str_replace(",","|",INDEXMENU_ICOS).")\.(gif|png|jpg)$/i";
+ $status=$zip->extract(PCLZIP_OPT_PATH,$absdir."/",PCLZIP_OPT_BY_PREG,$regexp);
+ //error
+ if ($status == 0) {
+ msg($this->getLang('zip_err')." $tmp/$zipfile: ".$zip->errorName(true),-1);
+ $return=false;
+ } else {
+ msg("<strong>$name</strong> ".$this->getLang('install_ok'),1);
+ }
+ }
+ //clean tmp
+ $this->_rm_dir($tmp);
+ return $return;
+ }
+
+
+ /**
+ * Remove a directory
+ *
+ */
+ function _rm_dir($path) {
+ if (!is_string($path) || $path == "") return false;
+
+ if (is_dir($path)) {
+ if (!$dh = @opendir($path)) return false;
+
+ while ($f = readdir($dh)) {
+ if ($f == '..' || $f == '.') continue;
+ $this->_rm_dir("$path/$f");
+ }
+
+ closedir($dh);
+ return @rmdir($path);
+ } else {
+ return @unlink($path);
+ }
+
+ return false;
+ }
+
+ /**
+ * Retrive and create themes tmp directory
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Samuele Tognini <samuele@netsons.org>
+ */
+ function checktmpsubdir () {
+ $tmp=INDEXMENU_IMG_ABSDIR."/tmp";
+ if (!io_mkdir_p($tmp)) {
+ msg($this->getLang('dir_err').": $tmp",-1);
+ return false;
+ }
+ return INDEXMENU_IMG_ABSDIR;
+ }
+
+
+ /**
+ * Upload a theme into my site
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Samuele Tognini <samuele@netsons.org>
+ */
+ function upload($theme,$info) {
+ $return=true;
+ $host='samuele.netsons.org';
+ $path='/dokuwiki/lib/plugins/indexmenu/upload/index.php';
+ //TODO: merge zip creation with that in ajax.php (create a class?)
+ if (!$absdir=$this->checktmpsubdir()) return false;
+ $tmp=$absdir."/tmp";
+ $zipfile="$theme.zip";
+ $filelist="$absdir/$theme";
+ //create info
+ if (!empty($info)) {
+ io_savefile("$tmp/$theme/info.txt",$info);
+ $filelist .= ",$tmp/$theme";
+ }
+ //create zip
+ $zip=new PclZip("$tmp/$zipfile");
+ $status=$zip->create($filelist,PCLZIP_OPT_REMOVE_ALL_PATH);
+ if ($status == 0) {
+ //error
+ msg($this->getLang('zip_err').": ".$zip->errorName(true),-1);
+ $return=false;
+ } else {
+ //prepare POST headers.
+ $boundary = "---------------------------".uniqid("");
+ $data=join("", file("$tmp/$zipfile"));
+ $header="POST $path HTTP/1.0\r\n";
+ $header.= "Host: $host\r\n";
+ $header.= "User-Agent: Mozilla/5.0 (X11; U; Linux i686; en-US; rv:1.8.1) Gecko/20061024 Iceweasel/2.0 (Debian-2.0+dfsg-1)\r\n";
+ $header.= "Content-type: multipart/form-data, boundary=$boundary\r\n";
+ $body="--".$boundary."\r\n";
+ $body.= "Content-Disposition: form-data; name=\"userfile\"; filename=\"$zipfile\"\r\n";
+ $body.= "Content-Type: application/x-zip-compressed\r\n\r\n";
+ $body.=$data."\r\n";
+ $body.= "--".$boundary."\r\n";
+ $body.= "Content-Disposition: form-data; name=\"upload\"\r\n\r\n";
+ $body.= "Upload\r\n";
+ $body.="--".$boundary."--\r\n";
+ $header.= "Content-Length: ".strlen($body)."\r\n\r\n";
+
+ //connect and send zip
+ if ($fp = fsockopen($host, 80)) {
+ fwrite($fp,$header.$body);
+ //reply
+ $buf="";
+ while (!feof($fp)) {
+ $buf .= fgets($fp,3200);
+ }
+ fclose($fp);
+ //parse resply
+ if (preg_match("/<!--indexmenu-->(.*)<!--\/indexmenu-->/s",$buf,$match)) {
+ $str=substr($match[1],4,7);
+ switch ($str) {
+ case "ERROR ":
+ $mesg_type=-1;
+ break;
+ case "SUCCESS":
+ $mesg_type=1;
+ break;
+ default:
+ $mesg_type=2;
+ }
+ msg($match[1],$mesg_type);
+ } else {
+ $return=false;
+ }
+ } else {
+ $return=false;
+ }
+ }
+
+ $this->_rm_dir($tmp);
+ return $return;
+ }
+
+ /**
+ * Check for new messages from upstream
+ *
+ * @author Samuele Tognini <samuele@netsons.org>
+ */
+ function _checkupdates() {
+ require_once (DOKU_INC.'inc/HTTPClient.php');
+ global $conf;
+ global $INFO;
+ $w=-1;
+ $date=$this->getInfo('date');
+ $date=$date['date'];
+ $data=$this->_remotequery("http://samuele.netsons.org/dokuwiki/lib/plugins/indexmenu/remote.php?check=$date");
+ if ($data==="") {
+ msg($this->getLang('noupdates'),1);
+ $data .= @preg_replace('/\n\n.*$/s','',@io_readFile(DOKU_PLUGIN.'indexmenu/changelog'))."\n%\n";
+ $w=1;
+ } else {
+ $data=preg_replace('/\<br(\s*)?\/?\>/i',"", $data);
+ $data=preg_replace('/\t/'," ", $data);
+ }
+ $data=preg_replace('/\[\[(?!(http|https))(.:)(.*?)\]\]/s',"[[plugin:$3]]", $data);
+ $data=preg_replace('/\[\[(?!(http|https))(.*?)\]\]/s',"[[http://www.dokuwiki.org/$2]]", $data);
+ $msgs = explode("\n%\n",$data);
+ foreach($msgs as $msg){
+ if($msg) {
+ $msg= p_render('xhtml',p_get_instructions($msg),$info);
+ msg($msg,$w);
+ }
+ }
+ }
+
+
+ /**
+ * Get url response and check it
+ *
+ * @author Samuele Tognini <samuele@netsons.org>
+ */
+ function _remotequery($url,$tag=true) {
+ require_once (DOKU_INC.'inc/HTTPClient.php');
+ $http = new DokuHTTPClient();
+ $http->timeout = 8;
+ $data = $http->get($url);
+ if ($tag) {
+ if ($data===false) {
+ msg($this->getLang('conn_err'),-1);
+ } else {
+ (substr($data,0,9)==="indexmenu") ? $data=substr($data,9): $data="";
+ }
+ }
+ return $data;
+ }
+
+
+ /**
+ * Open an html form
+ *
+ * @author Samuele Tognini <samuele@netsons.org>
+ */
+ function _form_open($act,$n=-1) {
+ ptln(' <form action="'.wl($ID).'" method="post">');
+ ptln(' <input type="hidden" name="do" value="admin" />');
+ ptln(' <input type="hidden" name="page" value="'.$this->getPluginName().'" />');
+ ptln(' <input type="hidden" name="req" value="'.$act.'" />');
+ ptln(' <input type="hidden" name="repo" value="'.$n.'" />');
+ }
+
+ /**
+ * Close the html form
+ *
+ * @author Samuele Tognini <samuele@netsons.org>
+ */
+ function _form_close($act) {
+ ptln(' <input type="submit" name="btn" '.$this->repos['status'][$n].' value="'.$this->getLang($act).'" />');
+ ptln(' </form>');
+ }
+
+ /**
+ * Remove an installed theme
+ *
+ * @author Samuele Tognini <samuele@netsons.org>
+ */
+ function _delete($theme) {
+ if ($theme=="default") return;
+ if ($this->_rm_dir(INDEXMENU_IMG_ABSDIR."/".utf8_encodeFN(basename($theme)))) {
+ msg($this->getLang('delete_ok').": $theme.",1);
+ } else {
+ msg($this->getLang('delete_no').": $theme.",-1);
+ }
+ }
+
+ /**
+ * Print the donate button.
+ *
+ * @author Samuele Tognini <samuele@netsons.org>
+ */
+ function _donate() {
+ $out = "<fieldset>\n";
+ $out .= '<p>'.$this->getLang('donation_text').'</p>';
+ $out .= '<form action="https://www.paypal.com/cgi-bin/webscr" method="post">'."\n";
+ $out .= '<input type="hidden" name="cmd" value="_s-xclick" />'."\n";
+ $out .= '<input type="hidden" name="hosted_button_id" value="102873" />'."\n";
+ $out .= '<input type="image" src="https://www.paypal.com/en_US/i/btn/btn_donateCC_LG.gif" name="submit" alt="" />'."\n";
+ $out .= '<img alt="" src="https://www.paypal.com/it_IT/i/scr/pixel.gif" width="1" height="1" />'."\n";
+ $out .= "</form></fieldset>\n";
+ return $out;
+ }
+
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/ajax.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/ajax.php
new file mode 100644
index 000000000..93b3d5f07
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/ajax.php
@@ -0,0 +1,196 @@
+<?php
+/**
+ * AJAX Backend for indexmenu
+ *
+ * @author Samuele Tognini <samuele@netsons.org>
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ */
+
+//fix for Opera XMLHttpRequests
+if(!count($_POST) && @$HTTP_RAW_POST_DATA){
+ parse_str($HTTP_RAW_POST_DATA, $_POST);
+}
+
+if(!defined('DOKU_INC')) define('DOKU_INC',realpath(dirname(__FILE__).'/../../../').'/');
+if(!defined('DOKU_PLUGIN')) define('DOKU_PLUGIN',DOKU_INC.'lib/plugins/');
+require_once(DOKU_INC.'inc/init.php');
+require_once(DOKU_INC.'inc/auth.php');
+if(!defined('INDEXMENU_IMG_ABSDIR')) define('INDEXMENU_IMG_ABSDIR',DOKU_PLUGIN."indexmenu/images");
+//close session
+session_write_close();
+
+$ajax_indexmenu=new ajax_indexmenu_plugin;
+$ajax_indexmenu->render();
+
+class ajax_indexmenu_plugin {
+ /**
+ * Output
+ *
+ * @author Samuele Tognini <samuele@netsons.org>
+ */
+
+ function render () {
+ $req=$_REQUEST['req'];
+ $succ=false;
+ //send the zip
+ if ($req == 'send' and isset($_REQUEST['t'])) {
+ include(DOKU_PLUGIN.'indexmenu/inc/repo.class.php');
+ $repo=new repo_indexmenu_plugin;
+ $succ=$repo->send_theme($_REQUEST['t']);
+ }
+ if ($succ) return true;
+
+ header('Content-Type: text/html; charset=utf-8');
+ header('Cache-Control: public, max-age=3600');
+ header('Pragma: public');
+ switch ($req) {
+ case 'local':
+ //list themes
+ print $this->local_themes();
+ break;
+ case 'toc':
+ //print toc preview
+ if (isset($_REQUEST['id'])) print $this->print_toc($_REQUEST['id']);
+ break;
+ case 'index':
+ //print index
+ if (isset($_REQUEST['idx'])) print $this->print_index($_REQUEST['idx']);
+ break;
+ }
+ }
+
+ /**
+ * Print a list of local themes
+ *
+ * @author Samuele Tognini <samuele@netsons.org>
+ */
+
+ function local_themes() {
+ $list='indexmenu,'.DOKU_URL.",lib/plugins/indexmenu/images,";
+ $data=array();
+ $handle=@opendir(INDEXMENU_IMG_ABSDIR);
+ while (false !== ($file = readdir($handle))) {
+ if ( is_dir (INDEXMENU_IMG_ABSDIR.'/'.$file)
+ && $file != "."
+ && $file != ".."
+ && $file != "repository"
+ && $file != "tmp"
+ ) {
+ $data[]=$file;
+ }
+ }
+ closedir($handle);
+ sort($data);
+ $list .= implode(",",$data);
+ return $list;
+ }
+
+ /**
+ * Print a toc preview
+ *
+ * @author Samuele Tognini <samuele@netsons.org>
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function print_toc($id) {
+ require_once(DOKU_INC.'inc/parser/xhtml.php');
+ $id=cleanID($id);
+ if (auth_quickaclcheck($id) < AUTH_READ) return;
+ $meta = p_get_metadata($id);
+ $toc = $meta['description']['tableofcontents'];
+ $out .= '<div class="tocheader toctoggle">'.DOKU_LF;
+ if (count($toc)>1) {
+ $out .= $this->render_toc($toc);
+ } else {
+ $out .= '<a href="'.wl($id).'">';
+ $out .= ($meta['title']) ? htmlspecialchars($meta['title']) : htmlspecialchars(noNS($id));
+ $out .= '</a>'.DOKU_LF;
+ if ($meta['description']['abstract']) {
+ $out .= '</div>'.DOKU_LF;
+ $out .= '<div class="indexmenu_toc_inside">'.DOKU_LF;
+ $out .= p_render('xhtml',p_get_instructions($meta['description']['abstract']),$info);
+ $out .= '</div>'.DOKU_LF;
+ }
+ }
+ $out .= '</div>'.DOKU_LF;
+ return $out;
+ }
+
+ /**
+ * Return the TOC rendered to XHTML
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function render_toc($toc){
+ global $lang;
+ $r = new Doku_Renderer_xhtml;
+ $r->toc = $toc;
+ $out .= $lang['toc'];
+ $out .= '</div>'.DOKU_LF;
+ $out .= '<div class="indexmenu_toc_inside">'.DOKU_LF;
+ $out .= html_buildlist($r->toc,'toc',array($this,'_tocitem'));
+ $out .= '</div>'.DOKU_LF;
+ return $out;
+ }
+
+ /**
+ * Callback for html_buildlist
+ */
+ function _tocitem($item){
+ $id=cleanID($_POST['id']);
+ return '<span class="li"><a href="'.wl($id,'#'.$item['hid'],false,'').'" class="toc">'.
+ htmlspecialchars($item['title']).'</a></span>';
+ }
+
+ /**
+ * Print index nodes
+ *
+ * @author Samuele Tognini <samuele@netsons.org>
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function print_index($ns) {
+ require_once(DOKU_PLUGIN.'indexmenu/syntax/indexmenu.php');
+ global $conf;
+ $idxm=new syntax_plugin_indexmenu_indexmenu();
+ $ns=$idxm->_parse_ns($ns);
+ $level=-1;
+ $max=0;
+ $data = array();
+ if ($_REQUEST['max'] > 0) {
+ $max=$_REQUEST['max'];
+ $level=$max;
+ }
+ $nss= ($_REQUEST['nss']) ? cleanID($_REQUEST['nss']) : '';
+ $idxm->sort=$_REQUEST['sort'];
+ $idxm->msort=$_REQUEST['msort'];
+ $idxm->rsort=$_REQUEST['rsort'];
+ $idxm->nsort=$_REQUEST['nsort'];
+ $fsdir="/".utf8_encodeFN(str_replace(':','/',$ns));
+ $opts= array('level' => $level,
+ 'nons' => $_REQUEST['nons'],
+ 'nss' => array(array($nss,1)),
+ 'max' => $max,
+ 'js' => false,
+ 'nopg' => $_REQUEST['nopg'],
+ 'skip_index' => $idxm->getConf('skip_index'),
+ 'skip_file' => $idxm->getConf('skip_file'),
+ 'headpage' => $idxm->getConf('headpage'),
+ 'hide_headpage' => $idxm->getConf('hide_headpage')
+ );
+ if ($idxm->sort||$idxm->msort||$idxm->rsort) {
+ $idxm->_search($data,$conf['datadir'],array($idxm,'_search_index'),$opts,$fsdir);
+ } else {
+ search($data,$conf['datadir'],array($idxm,'_search_index'),$opts,$fsdir);
+ }
+ if ($_REQUEST['nojs']) {
+ require_once(DOKU_INC.'inc/html.php');
+ $out_tmp=html_buildlist($data,'idx',array($idxm,"_html_list_index"),"html_li_index");
+ $out.=preg_replace('/<ul class="idx">(.*)<\/ul>/s',"$1",$out_tmp);
+ } else {
+ $nodes=$idxm->_jsnodes($data,'',0);
+ $out="ajxnodes = [";
+ $out.=rtrim($nodes[0],",");
+ $out.="];";
+ }
+ return $out;
+ }
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/changelog b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/changelog
new file mode 100644
index 000000000..ec78c7c38
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/changelog
@@ -0,0 +1,207 @@
+ * **2009-08-29 Version 4.6**:
+ * //New Feature//: Namespace declaration complies with dokuwiki [[:namespaces#creating namespaces|namespaces]]. :!: **Important before upgrading from previuos versions**: according to the new dokuwiki syntax, a simple //main namespace// without relative declaration prefix (i.e: "playground") doesn't refer anymore to the subnamespace in the root namespace but in the **current** namespace. To refer to the root namespace, you need to prepend the ":" declaration to it. :!:
+ * //New Feature//: Added the //context// option. It resolves relative requested namespaces starting from the current user location instead of the page containing the indexmenu syntax.
+ * //New Feature//: Changed skip_file and skip_index syntax to use dokuwiki id instead of system paths. Thanks to Dmitry Katsubo.
+ * //New Feature//: Metatada titles are not rendered when recursively bulding the indexmenu tree. This will speed up the page loading when cache expires, but could not display the heading title for nodes without metadata infos.
+ * //New Feature//: Added the nojs button in the edit toolbar and toolbar CSS classes.
+ * //New Feature//: The right JS context menu is closed by any document click. Thanks to Urban.
+ * //Bug//: Fixed a bug which prevents page caching when it contains only indexmenu syntax.
+ * //Bug//: Disable JS indexmenu cookies in preview mode.
+ * //Bug//: Fixed an acl issue.
+ * //Bug//: Fixed UTF8 toc preview bug.
+
+ * **2009-01-04 Version 4.5**:
+ * //Bug//: Fixed a stupid bug with toolbar.
+
+ * **2008-12-02 Version 4.4**:
+ * //New Feature//: Added ajax for the nojs index view.
+ * //New Feature//: Added navbar support also in nojs mode, but unlike js mode, its page does not use cache.
+ * //New Feature//: Added nopg option. Thanks to Sebastian E.
+ * //New Feature//: Toc preview displays rendered instructions instead of raw text when toc is empty.
+ * //New Feature//: German translation by Fabian Pfannes.
+ * //Bug//: Fixed acl bugs that prevents js mode to work correctly.
+ * //Bug//: Fixed a bug with [[plugin:indexmenu#only_admins|Only Admins]] option.
+ * //Bug//: Fixed a bug that prevents to disable the context menu.
+ * //Bug & Features//: id for navbar trees is now random by default + Minor bug fixes and improvements.
+ * //Others//: [[http://samuele.netsons.org/dokuwiki/doku.php?id=dokuwiki:plugins:indexmenu#donation|Donation]] button added.
+
+ * **2008-04-12 Version 4.3**:
+ * New Feature: Different js [[plugin:indexmenu#the_context_menu|context menus]] for anonymous, authenticated and admin user.
+ * Bug: Dokuwiki RC2008-04-11 compatible.
+ * Bug: Context menu should now support all userewrite and useslash DW config options.
+ * Bug: Fixed msort to work with nsort when in non-js mode.
+
+ * **2008-03-10 Version 4.2**:
+ * Bug: Fixed loop with nsort option. Thanks to Thomas Binder.
+ * Bug: Do not display empty namespace icon for empty headpages.
+ * Bug: Fixed navbar not working with max option and a main namespace different from root.
+ * Bug: Context menu "New page here" item creates the right page for headpages.
+
+ * **2008-02-16 Version 4.1**:
+ * New Feature: Indexmenu tree behaviour depends on the [[wiki:config#sneaky_index]] option. More info in the [[.:indexmenu#about ACLs]] section. Please read carefully the note about dokuwiki versions that do not support it.
+ * New Feature: Fixed some issues with Acls and empty namespaces. More info in the section [[.:indexmenu#about empty namespaces]].
+ * New Feature: Added the "Search in this namespace" option in the contextmenu. Thanks to Herman Huitema.
+ * Bug: Fixed broken js tree with dokuwiki search engine when node contains an highlighted word.
+ * Bugs: Fixed broken toolbar element when the dokuwiki js compact option is on.
+ * Bug: Single quote in dokuwiki config [[wiki:config#title]] breaks js tree when [[.:indexmenu#Namespaces title and link|headpage]] option is not configured.
+ * Changes: Minor contextmenu styling changes.
+
+ * **2007-10-26 Version 4.0**:
+ * New Feature: Added a customizable context menu (right click) for pages/namespaces common actions.
+ * New Feature: Added nsort option in order to sorts namespaces according to tsort/dsort/msort options.
+ * Bugs: Fixed a xhtml compatibility bug with msort and max option.
+ * Changes: Automatically scroll navbar menu to fit the current page.
+
+ * **2007-08-30 Version 3.9**:
+ * New Feature: Added options to sort by title, date and by custom metadata. Infos in the [[.:indexmenu#syntax]] section. Metadata sorting idea was "stolen" from [[.:fullindex]] plugin so thanks to the its author.
+ * New Feature: Added the %%{{indexmenu_n>N}}%% syntax to set a custom number used by metadata sorting. Infos in the [[.:indexmenu#syntax]] section.
+ * New Feature: Support for custom css styles based on theme. Infos in the [[.:indexmenu#css style]] section. An example is in the thread theme on the indexmenu repository.
+ * Changes: Added more options to the indexmenu toolbar in edit mode.
+ * Changes: Changed the scrolling tree style and usability. It does not anymore scroll automatically when node gets focus.
+
+ * **2007-07-11 Version 3.8**:
+ * Bug: Solved bugs when using url rewriting.
+ * Bug: Solved a bug in the css width property of toc preview div.
+
+ * **2007-07-07 Version 3.7**:
+ * Bug: Navbar did not work in ie6/7 with max option on.
+ * Bug: The js tree was wrong with headpages off.
+
+ * **2007-07-05 Version 3.6**:
+ * New Feature: Added max option to load nodes from ajax. This will significantly speed up the index in sites with an high amount of pages.
+ * Changes: Old max option renamed to maxjs.
+
+ * **2007-06-10 Version 3.5**:
+ * Bug: tsort option did not sort namespaces.
+
+ * **2007-06-08 Version 3.4**:
+ * New Feature: Added option "tsort" to sort nodes by title.
+ * Security Fix: Fixed ajax security with toc preview.
+
+ * **2007-06-04 Version 3.3**:
+ * :!: You could need to purge browser cache after installing it.
+ * New Feature: Added a Toc preview for javascript tree. You can disable it with "notoc" option.
+ * New Feature: The tree is always automatically updated with every requested namespace. You don't need anymore any ~~NOCACHE~~ or &purge options.
+ * New Feature: Acls work correctly with every requested namespace. Be sure to set correctly the [[.:indexmenu#acl cache]] option.
+ * New Feature: Added a global option to replace the dokuwiki index with an indexmenu page.
+ * New Feature: Added the global "Acl cache" option in order to optimize the acl caching mechanism. Please, read info at [[.:indexmenu#acl cache]].
+ * Changes: The size of the scrolling arrow image adjusts itself dynamically.
+ * Changes: Added the uncompressed javascript file for development purpose.
+ * Bug: The "Deny indexmenu to no-admins" now works correctly.
+
+ * **2007-05-10 Version 3.2**:
+ * Bug: Solved acl bug. It works only for root requested namespace.
+ * Bug: Solved start page bug. Patch by Adrien CLERC, thanks.
+
+ * **2007-03-14 Version 3.1**:
+ * :!: You could need to purge browser cache after installing it.
+ * New Feature: Themes deleting option in admin panel.
+ * Bug-New Feature: No-tree message now works and it supports wiki instructions.
+ * Bug: Js tree does not scroll anymore when nodes are visibles.
+ * Bug: Solved a bug with utf8 that prevented hidepages to work with no ASCII characters.
+ * Changes: Removed the automatic checking for updates option, because it slows down a bit the pages loading. Now it's manual and it's in admin panel.
+
+ * **2007-01-25 Version 3.0**:
+ * New Feature: Quick initial tree render thanks to the max option.
+ * New Feature: Max option to set how many js tree levels initially render. By default is set to 1 to speed up initial page loading.
+ * New feature: Scrolling js tree when nodes length do not fit its container.
+ * New Feature: Js tree highligts the current page.
+ * New Feature: Added js tooltips.
+ * Change: Removed the theme images directory setting to avoid problems. A symlink do the same work and in a simplest way.
+ * Change: Nojs tree is displayed when javascript is disabled or fails.
+ * Bug: The heading titles option for pages now works only if the global useheading setting is on.
+ * Bug: Pages that does not contain anymore indexmenu instructions are nomore cache purged by indexmenu itself.
+ * Bug: Indexmenu is xhtml compatible.
+ * Others: Code improvements and cleaning. A big thanks to Anja Wagenbret for testing and helping.
+
+ * **2006-12-19 Version 2.9**:
+ * New feature: The tree is automatically updated when the specified namespaces is the site root (..).
+ You don't need anymore to use the NOCACHE istruction.
+ * New feature: Indexmenu theme management in admin panel. You can download and share js themes.
+ * New feature: "navbar" option: the tree opens automatically itself at the current page namespace.
+ Good as navigation sidebar.
+ * Changed: Disabled custom css style for nojs menu when headpage is off.
+ * Bug: Firefox sometimes corrupt cached dokuwiki javascript.
+ This is an attempt to solve this bug, i hope it works (any feedback is welcome).
+ * Bug: Displayed incorrect titles when headpages are disable.
+
+ * **2006-11-09 Version 2.8**:
+ * New Feature: Option to deny the indexmenu use to no-admins.
+ * New Feature: Option to check for indexmenu updates when in admin mode ((need web connection to my site)).
+ * New Feature: Css style for namespaces and headpages links in nojs status as suggested by Mathiasm.
+ * New Feature: Support for png and jpg images formats for the js theme.
+ * Bug: Solved the Call-time pass-by-reference php5 bug.
+ * Changed: Changed some static css property into dynamic.
+
+ * **2006-10-23 Version 2.7**:
+ * :!: The default release is Compatible with Dokuwiki RC and it's configurable throught [[plugin:config|Configuration Manager]]. 2006-09-03 Dokuwiki users should read the [[.:indexmenu#How to install]] infos.
+ * Changes: Optional namespaces now works as you expect.
+ * Bug Fixed: Indexmenu button toolbar not showed when page is readonly. Thanks to [[neospams@vodafone.de|Neosky]].
+ * Bug Fixed: Escape of html in titles.
+ * Changes: Better displaying of default theme icons on dark background. Thanks to Paul Grove.
+ * Cosmetic code changes.
+ * **2006-10-7 Version 2.6**:
+ * New feature: Optional namespaces option.
+ * New feature: ".:ns" now specifies the "ns" namespace inside current namespace.
+ * Changes: "Cookie" option substituted by "nocookie" option. Now cookies are enabled by default.
+ * New feature: Custom headpages icon and css style in js mode.
+ * New feature: Added a Dtree option that should speed up the tree rendering.
+ * New feature: If scripts are disabled by the browser, standard tree will be showed in place of js one.
+ * New feature: Skip files option. Coded by Jon B (thanks).
+ * New feature: Customizable js theme directory path.
+ * New feature: Indexmenu toolbar in "edit page" mode.
+
+ * **2006-09-10 Version 2.5**:
+ * New feature: More customizable headpage option ((old "true" value is automatically translated into the :inside: option)).
+ * New feature: Js cookie option.
+ * New feature: Js id option. Many thanks to [[frbaron@gmail.com|Franck Baron]].
+ * New feature: Skip index option. Many thanks to [[ilya@lebedev.net|Ilya Lebedev AKA WingedFox]].
+ * Fixed bug: Incorrect ACL namespace. Many thanks to [[raymond@raycom.com|Raymond Elferink]].
+
+ * **2006-07-10 Version 2.4**:
+ * Fixed bug: Strange behaviours when using [[wiki:config#userewrite]] and [[wiki:config#useslash]].
+ * Fixed bug: No namespace title when the "." namespace is requested.
+ * Fixed bug: When title of the page contains %%'%% symbol, indexmenu fails.
+
+ * **2006-06-25 Version 2.3**:
+ * Fixed bug: incorrect namespaces levels. Many thanks to [[http://fredfred.net/skriker|malyfred]].
+ * Fixed bug: empty namespace title if headpage has no title.
+ * Fixed bug: nons doesn't work with js option.
+ * Fixed bug: parameters check.
+ * Fixed bug: start page is not hidden with js option.
+ * Fixed bug: acl denied namespaces are displayed. info [[.:indexmenu#about_acl|here]].
+
+ * **2006-06-13 Version 2.2**:
+is for the [[http://www.destroydrop.com/javascripts/tree/|dTree]] menu. Thanks to the [[.:tree]] plugin for the idea.
+ * Custom themes and style for javascript menu.
+
+ * **2006-06-12 Version 2.1**:
+ * Changed the way ''headpage'' option works.
+ * Added ''hide_headpage'' option to hide headpages.
+
+ * **2006-06-12 Version 2.0**:
+ * Added the ''headpage'' global configuration option to customize namespaces title and link. Thanks to [[bru@inbox.ru|Gleb]] for this nice idea.
+ *Javascript level bug fixed.
+ *Changed the base icon in default js theme.
+
+ * **2006-04-05 Version 1.9**:
+ * Added the javascript tree method. Thanks to Geir Landr
+
+ * **2006-04-05 Version 1.4**:
+ * Replaced the ''closed'' argument with a more powerful level number option. I guess it is the last modification on this argument.
+ * Small code fix.
+
+ * **2006-04-04 Version 1.3**:
+ * New ''nons'' option for excluding namespace items from index as suggested by //[[bru@inbox.ru|Gleb]]//.
+ * Some cosmetic changes (changed the argument name for closed index).
+
+ * **2006-04-01 Version 1.2**:
+ * Root namespace index. Thanks to [[cbeetle@physics.fau.edu|Chris Beetle]].
+
+ * **2006-03-29 Version 1.1**:
+ * Recursive tree index of all pages and namespaces beneath the specified namespace.
+ * Lexical option for disabling the tree index and only showing the first level.
+
+ * **2006-03-24 Version 1.0**:
+ * Released.
+ * Fixed bug in namespaces title visualization.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/conf/default.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/conf/default.php
new file mode 100644
index 000000000..7c0f46228
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/conf/default.php
@@ -0,0 +1,19 @@
+<?php
+/**
+ * Default configuration for indexmenu plugin
+ *
+ * @license: GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author: Samuele Tognini <samuele@netsons.org>
+ */
+$conf['only_admins'] = 0;
+$conf['aclcache'] = 'groups';
+$conf['headpage'] = ':start:,:same:,:inside:';
+$conf['hide_headpage'] = 1;
+$conf['page_index'] = '';
+$conf['empty_msg'] = '';
+$conf['skip_index'] = '';
+$conf['skip_file'] = 'sidebar';
+$conf['show_sort'] = true;
+$conf['themes_url'] = 'http://samuele.netsons.org/dokuwiki';
+$conf['be_repo'] = false;
+$conf['sneaky_index'] = (isset($GLOBALS['conf']['sneaky_index'])) ? $GLOBALS['conf']['sneaky_index'] : true;
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/conf/metadata.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/conf/metadata.php
new file mode 100644
index 000000000..cd45f163a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/conf/metadata.php
@@ -0,0 +1,18 @@
+<?php
+/**
+ * Configuration-manager metadata for indexmenu plugin
+ *
+ * @license: GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author: Samuele Tognini <samuele@netsons.org>
+ */
+$meta['only_admins'] = array('onoff');
+$meta['aclcache'] = array('multichoice', '_choices' => array('none', 'user' ,'groups'));
+$meta['headpage'] = array('multicheckbox', '_choices' => array(':start:', ':same:' ,':inside:'));
+$meta['hide_headpage'] = array('onoff');
+$meta['page_index'] = array('string', '_pattern' => '#^[a-z:]*#');
+$meta['empty_msg'] = array('string');
+$meta['skip_index'] = array('string','_pattern' => '/^($|\/.*\/.*$)/');
+$meta['skip_file'] = array('string','_pattern' => '/^($|\/.*\/.*$)/');
+$meta['show_sort'] = array('onoff');
+//$meta['themes_url'] = array('string','_pattern' => '/^($|http:\/\/\S+$)/i');
+//$meta['be_repo'] = array('onoff');
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/edit-full.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/edit-full.js
new file mode 100644
index 000000000..9ab87c8a8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/edit-full.js
@@ -0,0 +1,152 @@
+function indexmenu_toolbar_additions() {
+ var edbtn,cmenu,indx_btn,toolbar = DOKUid('tool__bar');
+ if(!toolbar) return;
+ edbtn = DOKUid('edbtn__save');
+ if(!edbtn) return;
+ var indx_list = indexmenu_createPicker('picker_plugin_indexmenu');
+ indx_btn = indexmenu_createToolbar();
+ toolbar.appendChild(indx_btn);
+ indx_btn.onclick = function(){indexmenu_ajaxmenu('req=local',indx_list,this,false,indexmenu_createThemes);return false;};
+ cmenu=window.indexmenu_contextmenu;
+ if(cmenu[1]) {
+ window.indexmenu_contextmenu[0]=cmenu[1].concat(cmenu[0]);
+ }
+}
+
+function indexmenu_createThemes(data,indx_list) {
+ if (data.substring(0,9) != 'indexmenu') {
+ indx_list.innerHTML="Retrieving error";
+ return;
+ }
+ var checkboxes=[['<p><strong><em>Navigation</em></strong></p>',0],
+ ['navbar','The tree opens at the current namespace'],
+ ['context','Display the tree of the current wiki namespace context'],
+ ['nocookie','Don\t remember open/closed nodes during user navigation'],
+ ['noscroll','Prevent to scrolling the tree when it does not fit its container width'],
+ ['notoc','Disable the toc preview feature'],
+ ['<p><strong><em>Sort</em></strong></p>',0],
+ ['nsort','Sort also namespaces'],
+ ['tsort','By title'],
+ ['dsort','By date'],
+ ['msort','By meta tag'],
+ ['<p><strong><em>Performance</em></strong></p>',0],
+ ['max#2','How many levels to render with ajax when a node is opened'],
+ ['maxjs#2','How many levels to render in the client browser when a node is opened'],
+ ['<p><strong><em>Filters</em></strong></p>',0],
+ ['nons','Show only pages'],
+ ['nopg','Show only namespaces']];
+
+ var btn,key,theme_url,adata,f,f2,l,fo;
+ adata=data.split(',');
+ theme_url = DOKU_MEDIA + 'lib/plugins/indexmenu/images/';
+ f=indexmenu_toolFrame(indx_list,'Indexmenu');
+ l = document.createElement('div');
+ l.className = 'no indexmenu_nojstoolbar';
+ f.appendChild(l);
+ btn = createToolButton(DOKU_MEDIA + 'lib/tpl/default/images/open.gif','nojs index');
+ btn.innerHTML += 'Nojs';
+ btn.className = 'pickerbutton';
+ eval('btn.onclick = function(){indexmenu_opts("");}');
+ l.appendChild(btn);
+
+ l = document.createElement('div');
+ l.className = 'no indexmenu_jstoolbar';
+ f.appendChild(l);
+ if (adata[0] != 'indexmenu') {
+ l.innerHTML += 'No themes';
+ adata=[];
+ } else {
+ adata.splice(0,3);
+ }
+ for (key in adata) {
+ btn = createToolButton(theme_url + adata[key]+'/base.'+indexmenu_findExt(adata[key]),adata[key]);
+ btn.className = 'pickerbutton';
+ eval('btn.onclick = function(){indexmenu_opts("js#'+adata[key]+'");}');
+ l.appendChild(btn);
+ }
+ f2=indexmenu_toolFrame(indx_list,'Options');
+ fo=document.createElement('form');
+ fo.id='indexmenu_optfrm';
+ fo.className='indexmenu_opts';
+ f2.appendChild(fo);
+ for (key in checkboxes) {
+ lc = document.createElement('label');
+ lc.innerHTML=checkboxes[key][0]+' ';
+ if (checkboxes[key][1]) {
+ lc.title= checkboxes[key][1];
+ btn=document.createElement('input');
+ btn.type = 'checkbox';
+ btn.name = 'check';
+ btn.title = checkboxes[key][1];
+ btn.value = checkboxes[key][0];
+ fo.appendChild(btn);
+ }
+ fo.appendChild(lc);
+ }
+
+ l = document.createElement('div');
+ l.className = 'indexmenu_extratoolbar';
+ l.innerHTML='<p><strong><em>Extra</em></strong></p>';
+ f.appendChild(l);
+ btn = createToolButton(theme_url+'/msort.gif','Insert the sort meta-number');
+ btn.className = 'pickerbutton';
+ btn.onclick = function(){insertTags(
+ 'wiki__text',
+ '{{indexmenu_n>',
+ '}}',
+ '1'
+ );
+ DOKUid('picker_plugin_indexmenu').style.display='none';
+ return false;
+ };
+ l.appendChild(btn);
+}
+
+function indexmenu_createToolbar (){
+ var indx_ico = document.createElement('img');
+ indx_ico.src = DOKU_MEDIA + 'lib/plugins/indexmenu/images/indexmenu_toolbar.png';
+ var indx_btn = document.createElement('button');
+ indx_btn.id = 'syntax_plugin_indexmenu';
+ indx_btn.className = 'toolbutton';
+ indx_btn.title = 'Insert the Indexmenu tree';
+ indx_btn.appendChild(indx_ico);
+ return indx_btn;
+}
+
+function indexmenu_opts(m) {
+ var i,v = '';
+ var f=DOKUid('indexmenu_optfrm');
+ for (i=0; i < f.length; i++) {
+ if (f[i].checked) {
+ v = v + ' ' + f[i].value;
+ }
+ }
+ insertTags(
+ 'wiki__text',
+ '{{indexmenu>',
+ ((m||v)?'|':'')+m.replace(/#default$/,'')+v+'}}',
+ '#1'
+ );
+ DOKUid('picker_plugin_indexmenu').style.display='none';
+ return false;
+}
+
+function indexmenu_insertTags(lnk,sep) {
+ var r,l=lnk;
+ if (sep) {
+ r=new RegExp (sep,"g");
+ l=lnk.replace(r,':');
+ }
+ insertTags('wiki__text','[[',']]',l);
+}
+
+function indexmenu_toolFrame(parent,txt) {
+ f=document.createElement('fieldset');
+ l=document.createElement('legend');
+ l.innerHTML='<strong>'+txt+'</strong>';
+ f.appendChild(l);
+ parent.appendChild(f);
+ return f;
+}
+
+indexmenu_toolbar_additions();
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/edit.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/edit.js
new file mode 100644
index 000000000..7deb5a596
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/edit.js
@@ -0,0 +1 @@
+function indexmenu_toolbar_additions(){var e,d,c,b=DOKUid("tool__bar");if(!b){return;}e=DOKUid("edbtn__save");if(!e){return;}var a=indexmenu_createPicker("picker_plugin_indexmenu");c=indexmenu_createToolbar();b.appendChild(c);c.onclick=(function(){indexmenu_ajaxmenu("req=local",a,this,false,indexmenu_createThemes);return false;});d=window.indexmenu_contextmenu;if(d[1]){window.indexmenu_contextmenu[0]=d[1].concat(d[0]);}}function indexmenu_createThemes(k,j){if(k.substring(0,9)!="indexmenu"){j.innerHTML="Retrieving error";return;}var i=[["<p><strong><em>Navigation</em></strong></p>",0],["navbar","The tree opens at the current namespace"],["context","Display the tree of the current wiki namespace context"],["nocookie","Don\t remember open/closed nodes during user navigation"],["noscroll","Prevent to scrolling the tree when it does not fit its container width"],["notoc","Disable the toc preview feature"],["<p><strong><em>Sort</em></strong></p>",0],["nsort","Sort also namespaces"],["tsort","By title"],["dsort","By date"],["msort","By meta tag"],["<p><strong><em>Performance</em></strong></p>",0],["max#2","How many levels to render with ajax when a node is opened"],["maxjs#2","How many levels to render in the client browser when a node is opened"],["<p><strong><em>Filters</em></strong></p>",0],["nons","Show only pages"],["nopg","Show only namespaces"]];var h,g,f,e,d,c,b,a;e=k.split(",");f=DOKU_MEDIA+"lib/plugins/indexmenu/images/";d=indexmenu_toolFrame(j,"Indexmenu");b=document.createElement("div");b.className="no indexmenu_nojstoolbar";d.appendChild(b);h=createToolButton(DOKU_MEDIA+"lib/tpl/default/images/open.gif","nojs index");h.innerHTML+="Nojs";h.className="pickerbutton";eval("b.onclick = function(){indexmenu_opts(\"\");}");b.appendChild(h);b=document.createElement("div");b.className="no indexmenu_jstoolbar";d.appendChild(b);if(e[0]!="indexmenu"){b.innerHTML+="No themes";e=[];}else{e.splice(0,3);}for(g in e){h=createToolButton(f+e[g]+"/base."+indexmenu_findExt(e[g]),e[g]);h.className="pickerbutton";eval("h.onclick = function(){indexmenu_opts(\"js#"+e[g]+"\");}");b.appendChild(h);}c=indexmenu_toolFrame(j,"Options");a=document.createElement("form");a.id="indexmenu_optfrm";a.className="indexmenu_opts";c.appendChild(a);for(g in i){lc=document.createElement("label");lc.innerHTML=i[g][0]+" ";if(i[g][1]){lc.title=i[g][1];h=document.createElement("input");h.type="checkbox";h.name="check";h.title=i[g][1];h.value=i[g][0];a.appendChild(h);}a.appendChild(lc);}b=document.createElement("div");b.className="indexmenu_extratoolbar";b.innerHTML="<p><strong><em>Extra</em></strong></p>";d.appendChild(b);h=createToolButton(f+"/msort.gif","Insert the sort meta-number");h.className="pickerbutton";h.onclick=(function(){insertTags("wiki__text","{{indexmenu_n>","}}","1");DOKUid("picker_plugin_indexmenu").style.display="none";return false;});b.appendChild(h);}function indexmenu_createToolbar(){var b=document.createElement("img");b.src=DOKU_MEDIA+"lib/plugins/indexmenu/images/indexmenu_toolbar.png";var a=document.createElement("button");a.id="syntax_plugin_indexmenu";a.className="toolbutton";a.title="Insert the Indexmenu tree";a.appendChild(b);return a;}function indexmenu_opts(d){var c,b="";var a=DOKUid("indexmenu_optfrm");for(c=0;c<a.length;c++){if(a[c].checked){b=b+" "+a[c].value;}}insertTags("wiki__text","{{indexmenu>",((d||b)?"|":"")+d.replace(/#default$/,"")+b+"}}","#1");DOKUid("picker_plugin_indexmenu").style.display="none";return false;}function indexmenu_insertTags(d,c){var b,a=d;if(c){b=new RegExp(c,"g");a=d.replace(b,":");}insertTags("wiki__text","[[","]]",a);}function indexmenu_toolFrame(b,a){f=document.createElement("fieldset");l=document.createElement("legend");l.innerHTML="<strong>"+a+"</strong>";f.appendChild(l);b.appendChild(f);return f;}indexmenu_toolbar_additions();
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/close.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/close.gif
new file mode 100644
index 000000000..8c07da8ad
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/close.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/base.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/base.gif
new file mode 100644
index 000000000..ced7f0879
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/base.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/empty.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/empty.gif
new file mode 100644
index 000000000..b5cf52378
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/empty.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/folder.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/folder.gif
new file mode 100644
index 000000000..0d7d6431b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/folder.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/folderh.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/folderh.gif
new file mode 100644
index 000000000..c7c9f7ed6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/folderh.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/folderhopen.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/folderhopen.gif
new file mode 100644
index 000000000..20fe6ace1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/folderhopen.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/folderopen.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/folderopen.gif
new file mode 100644
index 000000000..a9c466a5b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/folderopen.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/info.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/info.txt
new file mode 100644
index 000000000..1b1d8cde3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/info.txt
@@ -0,0 +1,2 @@
+author=Samuele Tognini
+url=http://samuele.netsons.org/dokuwiki
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/join.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/join.gif
new file mode 100644
index 000000000..34dd47610
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/join.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/joinbottom.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/joinbottom.gif
new file mode 100644
index 000000000..48b81c80a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/joinbottom.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/line.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/line.gif
new file mode 100644
index 000000000..1a259eea0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/line.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/minus.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/minus.gif
new file mode 100644
index 000000000..3d212a97a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/minus.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/minusbottom.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/minusbottom.gif
new file mode 100644
index 000000000..dc3198be2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/minusbottom.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/nolines_minus.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/nolines_minus.gif
new file mode 100644
index 000000000..2592ac20f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/nolines_minus.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/nolines_plus.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/nolines_plus.gif
new file mode 100644
index 000000000..f258ce211
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/nolines_plus.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/page.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/page.gif
new file mode 100644
index 000000000..5d120fa99
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/page.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/plus.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/plus.gif
new file mode 100644
index 000000000..b2c997233
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/plus.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/plusbottom.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/plusbottom.gif
new file mode 100644
index 000000000..b5671d891
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/default/plusbottom.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/empty.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/empty.gif
new file mode 100644
index 000000000..afbe6429d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/empty.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/indexmenu_toolbar.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/indexmenu_toolbar.png
new file mode 100644
index 000000000..460e0f981
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/indexmenu_toolbar.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/larrow.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/larrow.gif
new file mode 100644
index 000000000..a0f09e9d1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/larrow.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/msort.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/msort.gif
new file mode 100644
index 000000000..5dae8131d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/msort.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/rarrow.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/rarrow.gif
new file mode 100644
index 000000000..58a4158f5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/rarrow.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/toc_bullet.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/toc_bullet.gif
new file mode 100644
index 000000000..a90924ce8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/images/toc_bullet.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/inc/pclzip.lib.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/inc/pclzip.lib.php
new file mode 100644
index 000000000..19f20b9da
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/inc/pclzip.lib.php
@@ -0,0 +1,5748 @@
+<?php
+// --------------------------------------------------------------------------------
+// PhpConcept Library - Zip Module 2.5
+// --------------------------------------------------------------------------------
+// License GNU/LGPL - Vincent Blavet - March 2006
+// http://www.phpconcept.net
+// --------------------------------------------------------------------------------
+//
+// Presentation :
+// PclZip is a PHP library that manage ZIP archives.
+// So far tests show that archives generated by PclZip are readable by
+// WinZip application and other tools.
+//
+// Description :
+// See readme.txt and http://www.phpconcept.net
+//
+// Warning :
+// This library and the associated files are non commercial, non professional
+// work.
+// It should not have unexpected results. However if any damage is caused by
+// this software the author can not be responsible.
+// The use of this software is at the risk of the user.
+//
+// --------------------------------------------------------------------------------
+// $Id: pclzip.lib.php,v 1.44 2006/03/08 21:23:59 vblavet Exp $
+// --------------------------------------------------------------------------------
+
+ // ----- Constants
+ define( 'PCLZIP_READ_BLOCK_SIZE', 2048 );
+
+ // ----- File list separator
+ // In version 1.x of PclZip, the separator for file list is a space
+ // (which is not a very smart choice, specifically for windows paths !).
+ // A better separator should be a comma (,). This constant gives you the
+ // abilty to change that.
+ // However notice that changing this value, may have impact on existing
+ // scripts, using space separated filenames.
+ // Recommanded values for compatibility with older versions :
+ //define( 'PCLZIP_SEPARATOR', ' ' );
+ // Recommanded values for smart separation of filenames.
+ define( 'PCLZIP_SEPARATOR', ',' );
+
+ // ----- Error configuration
+ // 0 : PclZip Class integrated error handling
+ // 1 : PclError external library error handling. By enabling this
+ // you must ensure that you have included PclError library.
+ // [2,...] : reserved for futur use
+ define( 'PCLZIP_ERROR_EXTERNAL', 0 );
+
+ // ----- Optional static temporary directory
+ // By default temporary files are generated in the script current
+ // path.
+ // If defined :
+ // - MUST BE terminated by a '/'.
+ // - MUST be a valid, already created directory
+ // Samples :
+ // define( 'PCLZIP_TEMPORARY_DIR', '/temp/' );
+ // define( 'PCLZIP_TEMPORARY_DIR', 'C:/Temp/' );
+ define( 'PCLZIP_TEMPORARY_DIR', '' );
+
+// --------------------------------------------------------------------------------
+// ***** UNDER THIS LINE NOTHING NEEDS TO BE MODIFIED *****
+// --------------------------------------------------------------------------------
+
+ // ----- Global variables
+ $g_pclzip_version = "2.5";
+
+ // ----- Error codes
+ // -1 : Unable to open file in binary write mode
+ // -2 : Unable to open file in binary read mode
+ // -3 : Invalid parameters
+ // -4 : File does not exist
+ // -5 : Filename is too long (max. 255)
+ // -6 : Not a valid zip file
+ // -7 : Invalid extracted file size
+ // -8 : Unable to create directory
+ // -9 : Invalid archive extension
+ // -10 : Invalid archive format
+ // -11 : Unable to delete file (unlink)
+ // -12 : Unable to rename file (rename)
+ // -13 : Invalid header checksum
+ // -14 : Invalid archive size
+ define( 'PCLZIP_ERR_USER_ABORTED', 2 );
+ define( 'PCLZIP_ERR_NO_ERROR', 0 );
+ define( 'PCLZIP_ERR_WRITE_OPEN_FAIL', -1 );
+ define( 'PCLZIP_ERR_READ_OPEN_FAIL', -2 );
+ define( 'PCLZIP_ERR_INVALID_PARAMETER', -3 );
+ define( 'PCLZIP_ERR_MISSING_FILE', -4 );
+ define( 'PCLZIP_ERR_FILENAME_TOO_LONG', -5 );
+ define( 'PCLZIP_ERR_INVALID_ZIP', -6 );
+ define( 'PCLZIP_ERR_BAD_EXTRACTED_FILE', -7 );
+ define( 'PCLZIP_ERR_DIR_CREATE_FAIL', -8 );
+ define( 'PCLZIP_ERR_BAD_EXTENSION', -9 );
+ define( 'PCLZIP_ERR_BAD_FORMAT', -10 );
+ define( 'PCLZIP_ERR_DELETE_FILE_FAIL', -11 );
+ define( 'PCLZIP_ERR_RENAME_FILE_FAIL', -12 );
+ define( 'PCLZIP_ERR_BAD_CHECKSUM', -13 );
+ define( 'PCLZIP_ERR_INVALID_ARCHIVE_ZIP', -14 );
+ define( 'PCLZIP_ERR_MISSING_OPTION_VALUE', -15 );
+ define( 'PCLZIP_ERR_INVALID_OPTION_VALUE', -16 );
+ define( 'PCLZIP_ERR_ALREADY_A_DIRECTORY', -17 );
+ define( 'PCLZIP_ERR_UNSUPPORTED_COMPRESSION', -18 );
+ define( 'PCLZIP_ERR_UNSUPPORTED_ENCRYPTION', -19 );
+ define( 'PCLZIP_ERR_INVALID_ATTRIBUTE_VALUE', -20 );
+ define( 'PCLZIP_ERR_DIRECTORY_RESTRICTION', -21 );
+
+ // ----- Options values
+ define( 'PCLZIP_OPT_PATH', 77001 );
+ define( 'PCLZIP_OPT_ADD_PATH', 77002 );
+ define( 'PCLZIP_OPT_REMOVE_PATH', 77003 );
+ define( 'PCLZIP_OPT_REMOVE_ALL_PATH', 77004 );
+ define( 'PCLZIP_OPT_SET_CHMOD', 77005 );
+ define( 'PCLZIP_OPT_EXTRACT_AS_STRING', 77006 );
+ define( 'PCLZIP_OPT_NO_COMPRESSION', 77007 );
+ define( 'PCLZIP_OPT_BY_NAME', 77008 );
+ define( 'PCLZIP_OPT_BY_INDEX', 77009 );
+ define( 'PCLZIP_OPT_BY_EREG', 77010 );
+ define( 'PCLZIP_OPT_BY_PREG', 77011 );
+ define( 'PCLZIP_OPT_COMMENT', 77012 );
+ define( 'PCLZIP_OPT_ADD_COMMENT', 77013 );
+ define( 'PCLZIP_OPT_PREPEND_COMMENT', 77014 );
+ define( 'PCLZIP_OPT_EXTRACT_IN_OUTPUT', 77015 );
+ define( 'PCLZIP_OPT_REPLACE_NEWER', 77016 );
+ define( 'PCLZIP_OPT_STOP_ON_ERROR', 77017 );
+ // Having big trouble with crypt. Need to multiply 2 long int
+ // which is not correctly supported by PHP ...
+ //define( 'PCLZIP_OPT_CRYPT', 77018 );
+ define( 'PCLZIP_OPT_EXTRACT_DIR_RESTRICTION', 77019 );
+
+ // ----- File description attributes
+ define( 'PCLZIP_ATT_FILE_NAME', 79001 );
+ define( 'PCLZIP_ATT_FILE_NEW_SHORT_NAME', 79002 );
+ define( 'PCLZIP_ATT_FILE_NEW_FULL_NAME', 79003 );
+
+ // ----- Call backs values
+ define( 'PCLZIP_CB_PRE_EXTRACT', 78001 );
+ define( 'PCLZIP_CB_POST_EXTRACT', 78002 );
+ define( 'PCLZIP_CB_PRE_ADD', 78003 );
+ define( 'PCLZIP_CB_POST_ADD', 78004 );
+ /* For futur use
+ define( 'PCLZIP_CB_PRE_LIST', 78005 );
+ define( 'PCLZIP_CB_POST_LIST', 78006 );
+ define( 'PCLZIP_CB_PRE_DELETE', 78007 );
+ define( 'PCLZIP_CB_POST_DELETE', 78008 );
+ */
+
+ // --------------------------------------------------------------------------------
+ // Class : PclZip
+ // Description :
+ // PclZip is the class that represent a Zip archive.
+ // The public methods allow the manipulation of the archive.
+ // Attributes :
+ // Attributes must not be accessed directly.
+ // Methods :
+ // PclZip() : Object creator
+ // create() : Creates the Zip archive
+ // listContent() : List the content of the Zip archive
+ // extract() : Extract the content of the archive
+ // properties() : List the properties of the archive
+ // --------------------------------------------------------------------------------
+ class PclZip
+ {
+ // ----- Filename of the zip file
+ var $zipname = '';
+
+ // ----- File descriptor of the zip file
+ var $zip_fd = 0;
+
+ // ----- Internal error handling
+ var $error_code = 1;
+ var $error_string = '';
+
+ // ----- Current status of the magic_quotes_runtime
+ // This value store the php configuration for magic_quotes
+ // The class can then disable the magic_quotes and reset it after
+ var $magic_quotes_status;
+
+ // --------------------------------------------------------------------------------
+ // Function : PclZip()
+ // Description :
+ // Creates a PclZip object and set the name of the associated Zip archive
+ // filename.
+ // Note that no real action is taken, if the archive does not exist it is not
+ // created. Use create() for that.
+ // --------------------------------------------------------------------------------
+ function PclZip($p_zipname)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, 'PclZip::PclZip', "zipname=$p_zipname");
+
+ // ----- Tests the zlib
+ if (!function_exists('gzopen'))
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 1, "zlib extension seems to be missing");
+ die('Abort '.basename(__FILE__).' : Missing zlib extensions');
+ }
+
+ // ----- Set the attributes
+ $this->zipname = $p_zipname;
+ $this->zip_fd = 0;
+ $this->magic_quotes_status = -1;
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, 1);
+ return;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function :
+ // create($p_filelist, $p_add_dir="", $p_remove_dir="")
+ // create($p_filelist, $p_option, $p_option_value, ...)
+ // Description :
+ // This method supports two different synopsis. The first one is historical.
+ // This method creates a Zip Archive. The Zip file is created in the
+ // filesystem. The files and directories indicated in $p_filelist
+ // are added in the archive. See the parameters description for the
+ // supported format of $p_filelist.
+ // When a directory is in the list, the directory and its content is added
+ // in the archive.
+ // In this synopsis, the function takes an optional variable list of
+ // options. See bellow the supported options.
+ // Parameters :
+ // $p_filelist : An array containing file or directory names, or
+ // a string containing one filename or one directory name, or
+ // a string containing a list of filenames and/or directory
+ // names separated by spaces.
+ // $p_add_dir : A path to add before the real path of the archived file,
+ // in order to have it memorized in the archive.
+ // $p_remove_dir : A path to remove from the real path of the file to archive,
+ // in order to have a shorter path memorized in the archive.
+ // When $p_add_dir and $p_remove_dir are set, $p_remove_dir
+ // is removed first, before $p_add_dir is added.
+ // Options :
+ // PCLZIP_OPT_ADD_PATH :
+ // PCLZIP_OPT_REMOVE_PATH :
+ // PCLZIP_OPT_REMOVE_ALL_PATH :
+ // PCLZIP_OPT_COMMENT :
+ // PCLZIP_CB_PRE_ADD :
+ // PCLZIP_CB_POST_ADD :
+ // Return Values :
+ // 0 on failure,
+ // The list of the added files, with a status of the add action.
+ // (see PclZip::listContent() for list entry format)
+ // --------------------------------------------------------------------------------
+ function create($p_filelist)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, 'PclZip::create', "filelist='$p_filelist', ...");
+ $v_result=1;
+
+ // ----- Reset the error handler
+ $this->privErrorReset();
+
+ // ----- Set default values
+ $v_options = array();
+ $v_options[PCLZIP_OPT_NO_COMPRESSION] = FALSE;
+
+ // ----- Look for variable options arguments
+ $v_size = func_num_args();
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "$v_size arguments passed to the method");
+
+ // ----- Look for arguments
+ if ($v_size > 1) {
+ // ----- Get the arguments
+ $v_arg_list = func_get_args();
+
+ // ----- Remove from the options list the first argument
+ array_shift($v_arg_list);
+ $v_size--;
+
+ // ----- Look for first arg
+ if ((is_integer($v_arg_list[0])) && ($v_arg_list[0] > 77000)) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Variable list of options detected");
+
+ // ----- Parse the options
+ $v_result = $this->privParseOptions($v_arg_list, $v_size, $v_options,
+ array (PCLZIP_OPT_REMOVE_PATH => 'optional',
+ PCLZIP_OPT_REMOVE_ALL_PATH => 'optional',
+ PCLZIP_OPT_ADD_PATH => 'optional',
+ PCLZIP_CB_PRE_ADD => 'optional',
+ PCLZIP_CB_POST_ADD => 'optional',
+ PCLZIP_OPT_NO_COMPRESSION => 'optional',
+ PCLZIP_OPT_COMMENT => 'optional'
+ //, PCLZIP_OPT_CRYPT => 'optional'
+ ));
+ if ($v_result != 1) {
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, 0);
+ return 0;
+ }
+ }
+
+ // ----- Look for 2 args
+ // Here we need to support the first historic synopsis of the
+ // method.
+ else {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Static synopsis");
+
+ // ----- Get the first argument
+ $v_options[PCLZIP_OPT_ADD_PATH] = $v_arg_list[0];
+
+ // ----- Look for the optional second argument
+ if ($v_size == 2) {
+ $v_options[PCLZIP_OPT_REMOVE_PATH] = $v_arg_list[1];
+ }
+ else if ($v_size > 2) {
+ PclZip::privErrorLog(PCLZIP_ERR_INVALID_PARAMETER,
+ "Invalid number / type of arguments");
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return 0;
+ }
+ }
+ }
+
+ // ----- Init
+ $v_string_list = array();
+ $v_att_list = array();
+ $v_filedescr_list = array();
+ $p_result_list = array();
+
+ // ----- Look if the $p_filelist is really an array
+ if (is_array($p_filelist)) {
+
+ // ----- Look if the first element is also an array
+ // This will mean that this is a file description entry
+ if (isset($p_filelist[0]) && is_array($p_filelist[0])) {
+ $v_att_list = $p_filelist;
+ }
+
+ // ----- The list is a list of string names
+ else {
+ $v_string_list = $p_filelist;
+ }
+ }
+
+ // ----- Look if the $p_filelist is a string
+ else if (is_string($p_filelist)) {
+ // ----- Create a list from the string
+ $v_string_list = explode(PCLZIP_SEPARATOR, $p_filelist);
+ }
+
+ // ----- Invalid variable type for $p_filelist
+ else {
+ PclZip::privErrorLog(PCLZIP_ERR_INVALID_PARAMETER, "Invalid variable type p_filelist");
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, 0);
+ return 0;
+ }
+
+ // ----- Reformat the string list
+ if (sizeof($v_string_list) != 0) {
+ foreach ($v_string_list as $v_string) {
+ if ($v_string != '') {
+ $v_att_list[][PCLZIP_ATT_FILE_NAME] = $v_string;
+ }
+ else {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Ignore an empty filename");
+ }
+ }
+ }
+
+ // ----- For each file in the list check the attributes
+ $v_supported_attributes
+ = array ( PCLZIP_ATT_FILE_NAME => 'mandatory'
+ ,PCLZIP_ATT_FILE_NEW_SHORT_NAME => 'optional'
+ ,PCLZIP_ATT_FILE_NEW_FULL_NAME => 'optional'
+ );
+ foreach ($v_att_list as $v_entry) {
+ $v_result = $this->privFileDescrParseAtt($v_entry,
+ $v_filedescr_list[],
+ $v_options,
+ $v_supported_attributes);
+ if ($v_result != 1) {
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, 0);
+ return 0;
+ }
+ }
+
+ // ----- Expand the filelist (expand directories)
+ $v_result = $this->privFileDescrExpand($v_filedescr_list, $v_options);
+ if ($v_result != 1) {
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, 0);
+ return 0;
+ }
+
+ // ----- Call the create fct
+ $v_result = $this->privCreate($v_filedescr_list, $p_result_list, $v_options);
+ if ($v_result != 1) {
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, 0);
+ return 0;
+ }
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $p_result_list);
+ return $p_result_list;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function :
+ // add($p_filelist, $p_add_dir="", $p_remove_dir="")
+ // add($p_filelist, $p_option, $p_option_value, ...)
+ // Description :
+ // This method supports two synopsis. The first one is historical.
+ // This methods add the list of files in an existing archive.
+ // If a file with the same name already exists, it is added at the end of the
+ // archive, the first one is still present.
+ // If the archive does not exist, it is created.
+ // Parameters :
+ // $p_filelist : An array containing file or directory names, or
+ // a string containing one filename or one directory name, or
+ // a string containing a list of filenames and/or directory
+ // names separated by spaces.
+ // $p_add_dir : A path to add before the real path of the archived file,
+ // in order to have it memorized in the archive.
+ // $p_remove_dir : A path to remove from the real path of the file to archive,
+ // in order to have a shorter path memorized in the archive.
+ // When $p_add_dir and $p_remove_dir are set, $p_remove_dir
+ // is removed first, before $p_add_dir is added.
+ // Options :
+ // PCLZIP_OPT_ADD_PATH :
+ // PCLZIP_OPT_REMOVE_PATH :
+ // PCLZIP_OPT_REMOVE_ALL_PATH :
+ // PCLZIP_OPT_COMMENT :
+ // PCLZIP_OPT_ADD_COMMENT :
+ // PCLZIP_OPT_PREPEND_COMMENT :
+ // PCLZIP_CB_PRE_ADD :
+ // PCLZIP_CB_POST_ADD :
+ // Return Values :
+ // 0 on failure,
+ // The list of the added files, with a status of the add action.
+ // (see PclZip::listContent() for list entry format)
+ // --------------------------------------------------------------------------------
+ function add($p_filelist)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, 'PclZip::add', "filelist='$p_filelist', ...");
+ $v_result=1;
+
+ // ----- Reset the error handler
+ $this->privErrorReset();
+
+ // ----- Set default values
+ $v_options = array();
+ $v_options[PCLZIP_OPT_NO_COMPRESSION] = FALSE;
+
+ // ----- Look for variable options arguments
+ $v_size = func_num_args();
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "$v_size arguments passed to the method");
+
+ // ----- Look for arguments
+ if ($v_size > 1) {
+ // ----- Get the arguments
+ $v_arg_list = func_get_args();
+
+ // ----- Remove form the options list the first argument
+ array_shift($v_arg_list);
+ $v_size--;
+
+ // ----- Look for first arg
+ if ((is_integer($v_arg_list[0])) && ($v_arg_list[0] > 77000)) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Variable list of options detected");
+
+ // ----- Parse the options
+ $v_result = $this->privParseOptions($v_arg_list, $v_size, $v_options,
+ array (PCLZIP_OPT_REMOVE_PATH => 'optional',
+ PCLZIP_OPT_REMOVE_ALL_PATH => 'optional',
+ PCLZIP_OPT_ADD_PATH => 'optional',
+ PCLZIP_CB_PRE_ADD => 'optional',
+ PCLZIP_CB_POST_ADD => 'optional',
+ PCLZIP_OPT_NO_COMPRESSION => 'optional',
+ PCLZIP_OPT_COMMENT => 'optional',
+ PCLZIP_OPT_ADD_COMMENT => 'optional',
+ PCLZIP_OPT_PREPEND_COMMENT => 'optional'
+ //, PCLZIP_OPT_CRYPT => 'optional'
+ ));
+ if ($v_result != 1) {
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, 0);
+ return 0;
+ }
+ }
+
+ // ----- Look for 2 args
+ // Here we need to support the first historic synopsis of the
+ // method.
+ else {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Static synopsis");
+
+ // ----- Get the first argument
+ $v_options[PCLZIP_OPT_ADD_PATH] = $v_add_path = $v_arg_list[0];
+
+ // ----- Look for the optional second argument
+ if ($v_size == 2) {
+ $v_options[PCLZIP_OPT_REMOVE_PATH] = $v_arg_list[1];
+ }
+ else if ($v_size > 2) {
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_INVALID_PARAMETER, "Invalid number / type of arguments");
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return 0;
+ }
+ }
+ }
+
+ // ----- Init
+ $v_string_list = array();
+ $v_att_list = array();
+ $v_filedescr_list = array();
+ $p_result_list = array();
+
+ // ----- Look if the $p_filelist is really an array
+ if (is_array($p_filelist)) {
+
+ // ----- Look if the first element is also an array
+ // This will mean that this is a file description entry
+ if (isset($p_filelist[0]) && is_array($p_filelist[0])) {
+ $v_att_list = $p_filelist;
+ }
+
+ // ----- The list is a list of string names
+ else {
+ $v_string_list = $p_filelist;
+ }
+ }
+
+ // ----- Look if the $p_filelist is a string
+ else if (is_string($p_filelist)) {
+ // ----- Create a list from the string
+ $v_string_list = explode(PCLZIP_SEPARATOR, $p_filelist);
+ }
+
+ // ----- Invalid variable type for $p_filelist
+ else {
+ PclZip::privErrorLog(PCLZIP_ERR_INVALID_PARAMETER, "Invalid variable type '".gettype($p_filelist)."' for p_filelist");
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, 0);
+ return 0;
+ }
+
+ // ----- Reformat the string list
+ if (sizeof($v_string_list) != 0) {
+ foreach ($v_string_list as $v_string) {
+ $v_att_list[][PCLZIP_ATT_FILE_NAME] = $v_string;
+ }
+ }
+
+ // ----- For each file in the list check the attributes
+ $v_supported_attributes
+ = array ( PCLZIP_ATT_FILE_NAME => 'mandatory'
+ ,PCLZIP_ATT_FILE_NEW_SHORT_NAME => 'optional'
+ ,PCLZIP_ATT_FILE_NEW_FULL_NAME => 'optional'
+ );
+ foreach ($v_att_list as $v_entry) {
+ $v_result = $this->privFileDescrParseAtt($v_entry,
+ $v_filedescr_list[],
+ $v_options,
+ $v_supported_attributes);
+ if ($v_result != 1) {
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, 0);
+ return 0;
+ }
+ }
+
+ // ----- Expand the filelist (expand directories)
+ $v_result = $this->privFileDescrExpand($v_filedescr_list, $v_options);
+ if ($v_result != 1) {
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, 0);
+ return 0;
+ }
+
+ // ----- Call the create fct
+ $v_result = $this->privAdd($v_filedescr_list, $p_result_list, $v_options);
+ if ($v_result != 1) {
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, 0);
+ return 0;
+ }
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $p_result_list);
+ return $p_result_list;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : listContent()
+ // Description :
+ // This public method, gives the list of the files and directories, with their
+ // properties.
+ // The properties of each entries in the list are (used also in other functions) :
+ // filename : Name of the file. For a create or add action it is the filename
+ // given by the user. For an extract function it is the filename
+ // of the extracted file.
+ // stored_filename : Name of the file / directory stored in the archive.
+ // size : Size of the stored file.
+ // compressed_size : Size of the file's data compressed in the archive
+ // (without the headers overhead)
+ // mtime : Last known modification date of the file (UNIX timestamp)
+ // comment : Comment associated with the file
+ // folder : true | false
+ // index : index of the file in the archive
+ // status : status of the action (depending of the action) :
+ // Values are :
+ // ok : OK !
+ // filtered : the file / dir is not extracted (filtered by user)
+ // already_a_directory : the file can not be extracted because a
+ // directory with the same name already exists
+ // write_protected : the file can not be extracted because a file
+ // with the same name already exists and is
+ // write protected
+ // newer_exist : the file was not extracted because a newer file exists
+ // path_creation_fail : the file is not extracted because the folder
+ // does not exists and can not be created
+ // write_error : the file was not extracted because there was a
+ // error while writing the file
+ // read_error : the file was not extracted because there was a error
+ // while reading the file
+ // invalid_header : the file was not extracted because of an archive
+ // format error (bad file header)
+ // Note that each time a method can continue operating when there
+ // is an action error on a file, the error is only logged in the file status.
+ // Return Values :
+ // 0 on an unrecoverable failure,
+ // The list of the files in the archive.
+ // --------------------------------------------------------------------------------
+ function listContent()
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, 'PclZip::listContent', "");
+ $v_result=1;
+
+ // ----- Reset the error handler
+ $this->privErrorReset();
+
+ // ----- Check archive
+ if (!$this->privCheckFormat()) {
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, 0);
+ return(0);
+ }
+
+ // ----- Call the extracting fct
+ $p_list = array();
+ if (($v_result = $this->privList($p_list)) != 1)
+ {
+ unset($p_list);
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, 0, PclZip::errorInfo());
+ return(0);
+ }
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $p_list);
+ return $p_list;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function :
+ // extract($p_path="./", $p_remove_path="")
+ // extract([$p_option, $p_option_value, ...])
+ // Description :
+ // This method supports two synopsis. The first one is historical.
+ // This method extract all the files / directories from the archive to the
+ // folder indicated in $p_path.
+ // If you want to ignore the 'root' part of path of the memorized files
+ // you can indicate this in the optional $p_remove_path parameter.
+ // By default, if a newer file with the same name already exists, the
+ // file is not extracted.
+ //
+ // If both PCLZIP_OPT_PATH and PCLZIP_OPT_ADD_PATH aoptions
+ // are used, the path indicated in PCLZIP_OPT_ADD_PATH is append
+ // at the end of the path value of PCLZIP_OPT_PATH.
+ // Parameters :
+ // $p_path : Path where the files and directories are to be extracted
+ // $p_remove_path : First part ('root' part) of the memorized path
+ // (if any similar) to remove while extracting.
+ // Options :
+ // PCLZIP_OPT_PATH :
+ // PCLZIP_OPT_ADD_PATH :
+ // PCLZIP_OPT_REMOVE_PATH :
+ // PCLZIP_OPT_REMOVE_ALL_PATH :
+ // PCLZIP_CB_PRE_EXTRACT :
+ // PCLZIP_CB_POST_EXTRACT :
+ // Return Values :
+ // 0 or a negative value on failure,
+ // The list of the extracted files, with a status of the action.
+ // (see PclZip::listContent() for list entry format)
+ // --------------------------------------------------------------------------------
+ function extract()
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZip::extract", "");
+ $v_result=1;
+
+ // ----- Reset the error handler
+ $this->privErrorReset();
+
+ // ----- Check archive
+ if (!$this->privCheckFormat()) {
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, 0);
+ return(0);
+ }
+
+ // ----- Set default values
+ $v_options = array();
+// $v_path = "./";
+ $v_path = '';
+ $v_remove_path = "";
+ $v_remove_all_path = false;
+
+ // ----- Look for variable options arguments
+ $v_size = func_num_args();
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "$v_size arguments passed to the method");
+
+ // ----- Default values for option
+ $v_options[PCLZIP_OPT_EXTRACT_AS_STRING] = FALSE;
+
+ // ----- Look for arguments
+ if ($v_size > 0) {
+ // ----- Get the arguments
+ $v_arg_list = func_get_args();
+
+ // ----- Look for first arg
+ if ((is_integer($v_arg_list[0])) && ($v_arg_list[0] > 77000)) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Variable list of options");
+
+ // ----- Parse the options
+ $v_result = $this->privParseOptions($v_arg_list, $v_size, $v_options,
+ array (PCLZIP_OPT_PATH => 'optional',
+ PCLZIP_OPT_REMOVE_PATH => 'optional',
+ PCLZIP_OPT_REMOVE_ALL_PATH => 'optional',
+ PCLZIP_OPT_ADD_PATH => 'optional',
+ PCLZIP_CB_PRE_EXTRACT => 'optional',
+ PCLZIP_CB_POST_EXTRACT => 'optional',
+ PCLZIP_OPT_SET_CHMOD => 'optional',
+ PCLZIP_OPT_BY_NAME => 'optional',
+ PCLZIP_OPT_BY_EREG => 'optional',
+ PCLZIP_OPT_BY_PREG => 'optional',
+ PCLZIP_OPT_BY_INDEX => 'optional',
+ PCLZIP_OPT_EXTRACT_AS_STRING => 'optional',
+ PCLZIP_OPT_EXTRACT_IN_OUTPUT => 'optional',
+ PCLZIP_OPT_REPLACE_NEWER => 'optional'
+ ,PCLZIP_OPT_STOP_ON_ERROR => 'optional'
+ ,PCLZIP_OPT_EXTRACT_DIR_RESTRICTION => 'optional'
+ ));
+ if ($v_result != 1) {
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, 0);
+ return 0;
+ }
+
+ // ----- Set the arguments
+ if (isset($v_options[PCLZIP_OPT_PATH])) {
+ $v_path = $v_options[PCLZIP_OPT_PATH];
+ }
+ if (isset($v_options[PCLZIP_OPT_REMOVE_PATH])) {
+ $v_remove_path = $v_options[PCLZIP_OPT_REMOVE_PATH];
+ }
+ if (isset($v_options[PCLZIP_OPT_REMOVE_ALL_PATH])) {
+ $v_remove_all_path = $v_options[PCLZIP_OPT_REMOVE_ALL_PATH];
+ }
+ if (isset($v_options[PCLZIP_OPT_ADD_PATH])) {
+ // ----- Check for '/' in last path char
+ if ((strlen($v_path) > 0) && (substr($v_path, -1) != '/')) {
+ $v_path .= '/';
+ }
+ $v_path .= $v_options[PCLZIP_OPT_ADD_PATH];
+ }
+ }
+
+ // ----- Look for 2 args
+ // Here we need to support the first historic synopsis of the
+ // method.
+ else {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Static synopsis");
+
+ // ----- Get the first argument
+ $v_path = $v_arg_list[0];
+
+ // ----- Look for the optional second argument
+ if ($v_size == 2) {
+ $v_remove_path = $v_arg_list[1];
+ }
+ else if ($v_size > 2) {
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_INVALID_PARAMETER, "Invalid number / type of arguments");
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, 0, PclZip::errorInfo());
+ return 0;
+ }
+ }
+ }
+
+ // ----- Trace
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "path='$v_path', remove_path='$v_remove_path', remove_all_path='".($v_remove_path?'true':'false')."'");
+
+ // ----- Call the extracting fct
+ $p_list = array();
+ $v_result = $this->privExtractByRule($p_list, $v_path, $v_remove_path,
+ $v_remove_all_path, $v_options);
+ if ($v_result < 1) {
+ unset($p_list);
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, 0, PclZip::errorInfo());
+ return(0);
+ }
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $p_list);
+ return $p_list;
+ }
+ // --------------------------------------------------------------------------------
+
+
+ // --------------------------------------------------------------------------------
+ // Function :
+ // extractByIndex($p_index, $p_path="./", $p_remove_path="")
+ // extractByIndex($p_index, [$p_option, $p_option_value, ...])
+ // Description :
+ // This method supports two synopsis. The first one is historical.
+ // This method is doing a partial extract of the archive.
+ // The extracted files or folders are identified by their index in the
+ // archive (from 0 to n).
+ // Note that if the index identify a folder, only the folder entry is
+ // extracted, not all the files included in the archive.
+ // Parameters :
+ // $p_index : A single index (integer) or a string of indexes of files to
+ // extract. The form of the string is "0,4-6,8-12" with only numbers
+ // and '-' for range or ',' to separate ranges. No spaces or ';'
+ // are allowed.
+ // $p_path : Path where the files and directories are to be extracted
+ // $p_remove_path : First part ('root' part) of the memorized path
+ // (if any similar) to remove while extracting.
+ // Options :
+ // PCLZIP_OPT_PATH :
+ // PCLZIP_OPT_ADD_PATH :
+ // PCLZIP_OPT_REMOVE_PATH :
+ // PCLZIP_OPT_REMOVE_ALL_PATH :
+ // PCLZIP_OPT_EXTRACT_AS_STRING : The files are extracted as strings and
+ // not as files.
+ // The resulting content is in a new field 'content' in the file
+ // structure.
+ // This option must be used alone (any other options are ignored).
+ // PCLZIP_CB_PRE_EXTRACT :
+ // PCLZIP_CB_POST_EXTRACT :
+ // Return Values :
+ // 0 on failure,
+ // The list of the extracted files, with a status of the action.
+ // (see PclZip::listContent() for list entry format)
+ // --------------------------------------------------------------------------------
+ //function extractByIndex($p_index, options...)
+ function extractByIndex($p_index)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZip::extractByIndex", "index='$p_index', ...");
+ $v_result=1;
+
+ // ----- Reset the error handler
+ $this->privErrorReset();
+
+ // ----- Check archive
+ if (!$this->privCheckFormat()) {
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, 0);
+ return(0);
+ }
+
+ // ----- Set default values
+ $v_options = array();
+// $v_path = "./";
+ $v_path = '';
+ $v_remove_path = "";
+ $v_remove_all_path = false;
+
+ // ----- Look for variable options arguments
+ $v_size = func_num_args();
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "$v_size arguments passed to the method");
+
+ // ----- Default values for option
+ $v_options[PCLZIP_OPT_EXTRACT_AS_STRING] = FALSE;
+
+ // ----- Look for arguments
+ if ($v_size > 1) {
+ // ----- Get the arguments
+ $v_arg_list = func_get_args();
+
+ // ----- Remove form the options list the first argument
+ array_shift($v_arg_list);
+ $v_size--;
+
+ // ----- Look for first arg
+ if ((is_integer($v_arg_list[0])) && ($v_arg_list[0] > 77000)) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Variable list of options");
+
+ // ----- Parse the options
+ $v_result = $this->privParseOptions($v_arg_list, $v_size, $v_options,
+ array (PCLZIP_OPT_PATH => 'optional',
+ PCLZIP_OPT_REMOVE_PATH => 'optional',
+ PCLZIP_OPT_REMOVE_ALL_PATH => 'optional',
+ PCLZIP_OPT_EXTRACT_AS_STRING => 'optional',
+ PCLZIP_OPT_ADD_PATH => 'optional',
+ PCLZIP_CB_PRE_EXTRACT => 'optional',
+ PCLZIP_CB_POST_EXTRACT => 'optional',
+ PCLZIP_OPT_SET_CHMOD => 'optional',
+ PCLZIP_OPT_REPLACE_NEWER => 'optional'
+ ,PCLZIP_OPT_STOP_ON_ERROR => 'optional'
+ ,PCLZIP_OPT_EXTRACT_DIR_RESTRICTION => 'optional'
+ ));
+ if ($v_result != 1) {
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, 0);
+ return 0;
+ }
+
+ // ----- Set the arguments
+ if (isset($v_options[PCLZIP_OPT_PATH])) {
+ $v_path = $v_options[PCLZIP_OPT_PATH];
+ }
+ if (isset($v_options[PCLZIP_OPT_REMOVE_PATH])) {
+ $v_remove_path = $v_options[PCLZIP_OPT_REMOVE_PATH];
+ }
+ if (isset($v_options[PCLZIP_OPT_REMOVE_ALL_PATH])) {
+ $v_remove_all_path = $v_options[PCLZIP_OPT_REMOVE_ALL_PATH];
+ }
+ if (isset($v_options[PCLZIP_OPT_ADD_PATH])) {
+ // ----- Check for '/' in last path char
+ if ((strlen($v_path) > 0) && (substr($v_path, -1) != '/')) {
+ $v_path .= '/';
+ }
+ $v_path .= $v_options[PCLZIP_OPT_ADD_PATH];
+ }
+ if (!isset($v_options[PCLZIP_OPT_EXTRACT_AS_STRING])) {
+ $v_options[PCLZIP_OPT_EXTRACT_AS_STRING] = FALSE;
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "Option PCLZIP_OPT_EXTRACT_AS_STRING not set.");
+ }
+ else {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "Option PCLZIP_OPT_EXTRACT_AS_STRING set.");
+ }
+ }
+
+ // ----- Look for 2 args
+ // Here we need to support the first historic synopsis of the
+ // method.
+ else {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Static synopsis");
+
+ // ----- Get the first argument
+ $v_path = $v_arg_list[0];
+
+ // ----- Look for the optional second argument
+ if ($v_size == 2) {
+ $v_remove_path = $v_arg_list[1];
+ }
+ else if ($v_size > 2) {
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_INVALID_PARAMETER, "Invalid number / type of arguments");
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return 0;
+ }
+ }
+ }
+
+ // ----- Trace
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "index='$p_index', path='$v_path', remove_path='$v_remove_path', remove_all_path='".($v_remove_path?'true':'false')."'");
+
+ // ----- Trick
+ // Here I want to reuse extractByRule(), so I need to parse the $p_index
+ // with privParseOptions()
+ $v_arg_trick = array (PCLZIP_OPT_BY_INDEX, $p_index);
+ $v_options_trick = array();
+ $v_result = $this->privParseOptions($v_arg_trick, sizeof($v_arg_trick), $v_options_trick,
+ array (PCLZIP_OPT_BY_INDEX => 'optional' ));
+ if ($v_result != 1) {
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, 0);
+ return 0;
+ }
+ $v_options[PCLZIP_OPT_BY_INDEX] = $v_options_trick[PCLZIP_OPT_BY_INDEX];
+
+ // ----- Call the extracting fct
+ if (($v_result = $this->privExtractByRule($p_list, $v_path, $v_remove_path, $v_remove_all_path, $v_options)) < 1) {
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, 0, PclZip::errorInfo());
+ return(0);
+ }
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $p_list);
+ return $p_list;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function :
+ // delete([$p_option, $p_option_value, ...])
+ // Description :
+ // This method removes files from the archive.
+ // If no parameters are given, then all the archive is emptied.
+ // Parameters :
+ // None or optional arguments.
+ // Options :
+ // PCLZIP_OPT_BY_INDEX :
+ // PCLZIP_OPT_BY_NAME :
+ // PCLZIP_OPT_BY_EREG :
+ // PCLZIP_OPT_BY_PREG :
+ // Return Values :
+ // 0 on failure,
+ // The list of the files which are still present in the archive.
+ // (see PclZip::listContent() for list entry format)
+ // --------------------------------------------------------------------------------
+ function delete()
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZip::delete", "");
+ $v_result=1;
+
+ // ----- Reset the error handler
+ $this->privErrorReset();
+
+ // ----- Check archive
+ if (!$this->privCheckFormat()) {
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, 0);
+ return(0);
+ }
+
+ // ----- Set default values
+ $v_options = array();
+
+ // ----- Look for variable options arguments
+ $v_size = func_num_args();
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "$v_size arguments passed to the method");
+
+ // ----- Look for arguments
+ if ($v_size > 0) {
+ // ----- Get the arguments
+ $v_arg_list = func_get_args();
+
+ // ----- Parse the options
+ $v_result = $this->privParseOptions($v_arg_list, $v_size, $v_options,
+ array (PCLZIP_OPT_BY_NAME => 'optional',
+ PCLZIP_OPT_BY_EREG => 'optional',
+ PCLZIP_OPT_BY_PREG => 'optional',
+ PCLZIP_OPT_BY_INDEX => 'optional' ));
+ if ($v_result != 1) {
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, 0);
+ return 0;
+ }
+ }
+
+ // ----- Magic quotes trick
+ $this->privDisableMagicQuotes();
+
+ // ----- Call the delete fct
+ $v_list = array();
+ if (($v_result = $this->privDeleteByRule($v_list, $v_options)) != 1) {
+ $this->privSwapBackMagicQuotes();
+ unset($v_list);
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, 0, PclZip::errorInfo());
+ return(0);
+ }
+
+ // ----- Magic quotes trick
+ $this->privSwapBackMagicQuotes();
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_list);
+ return $v_list;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : deleteByIndex()
+ // Description :
+ // ***** Deprecated *****
+ // delete(PCLZIP_OPT_BY_INDEX, $p_index) should be prefered.
+ // --------------------------------------------------------------------------------
+ function deleteByIndex($p_index)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZip::deleteByIndex", "index='$p_index'");
+
+ $p_list = $this->delete(PCLZIP_OPT_BY_INDEX, $p_index);
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $p_list);
+ return $p_list;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : properties()
+ // Description :
+ // This method gives the properties of the archive.
+ // The properties are :
+ // nb : Number of files in the archive
+ // comment : Comment associated with the archive file
+ // status : not_exist, ok
+ // Parameters :
+ // None
+ // Return Values :
+ // 0 on failure,
+ // An array with the archive properties.
+ // --------------------------------------------------------------------------------
+ function properties()
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZip::properties", "");
+
+ // ----- Reset the error handler
+ $this->privErrorReset();
+
+ // ----- Magic quotes trick
+ $this->privDisableMagicQuotes();
+
+ // ----- Check archive
+ if (!$this->privCheckFormat()) {
+ $this->privSwapBackMagicQuotes();
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, 0);
+ return(0);
+ }
+
+ // ----- Default properties
+ $v_prop = array();
+ $v_prop['comment'] = '';
+ $v_prop['nb'] = 0;
+ $v_prop['status'] = 'not_exist';
+
+ // ----- Look if file exists
+ if (@is_file($this->zipname))
+ {
+ // ----- Open the zip file
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Open file in binary read mode");
+ if (($this->zip_fd = @fopen($this->zipname, 'rb')) == 0)
+ {
+ $this->privSwapBackMagicQuotes();
+
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_READ_OPEN_FAIL, 'Unable to open archive \''.$this->zipname.'\' in binary read mode');
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), 0);
+ return 0;
+ }
+
+ // ----- Read the central directory informations
+ $v_central_dir = array();
+ if (($v_result = $this->privReadEndCentralDir($v_central_dir)) != 1)
+ {
+ $this->privSwapBackMagicQuotes();
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, 0);
+ return 0;
+ }
+
+ // ----- Close the zip file
+ $this->privCloseFd();
+
+ // ----- Set the user attributes
+ $v_prop['comment'] = $v_central_dir['comment'];
+ $v_prop['nb'] = $v_central_dir['entries'];
+ $v_prop['status'] = 'ok';
+ }
+
+ // ----- Magic quotes trick
+ $this->privSwapBackMagicQuotes();
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_prop);
+ return $v_prop;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : duplicate()
+ // Description :
+ // This method creates an archive by copying the content of an other one. If
+ // the archive already exist, it is replaced by the new one without any warning.
+ // Parameters :
+ // $p_archive : The filename of a valid archive, or
+ // a valid PclZip object.
+ // Return Values :
+ // 1 on success.
+ // 0 or a negative value on error (error code).
+ // --------------------------------------------------------------------------------
+ function duplicate($p_archive)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZip::duplicate", "");
+ $v_result = 1;
+
+ // ----- Reset the error handler
+ $this->privErrorReset();
+
+ // ----- Look if the $p_archive is a PclZip object
+ if ((is_object($p_archive)) && (get_class($p_archive) == 'pclzip'))
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "The parameter is valid PclZip object '".$p_archive->zipname."'");
+
+ // ----- Duplicate the archive
+ $v_result = $this->privDuplicate($p_archive->zipname);
+ }
+
+ // ----- Look if the $p_archive is a string (so a filename)
+ else if (is_string($p_archive))
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "The parameter is a filename '$p_archive'");
+
+ // ----- Check that $p_archive is a valid zip file
+ // TBC : Should also check the archive format
+ if (!is_file($p_archive)) {
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_MISSING_FILE, "No file with filename '".$p_archive."'");
+ $v_result = PCLZIP_ERR_MISSING_FILE;
+ }
+ else {
+ // ----- Duplicate the archive
+ $v_result = $this->privDuplicate($p_archive);
+ }
+ }
+
+ // ----- Invalid variable
+ else
+ {
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_INVALID_PARAMETER, "Invalid variable type p_archive_to_add");
+ $v_result = PCLZIP_ERR_INVALID_PARAMETER;
+ }
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : merge()
+ // Description :
+ // This method merge the $p_archive_to_add archive at the end of the current
+ // one ($this).
+ // If the archive ($this) does not exist, the merge becomes a duplicate.
+ // If the $p_archive_to_add archive does not exist, the merge is a success.
+ // Parameters :
+ // $p_archive_to_add : It can be directly the filename of a valid zip archive,
+ // or a PclZip object archive.
+ // Return Values :
+ // 1 on success,
+ // 0 or negative values on error (see below).
+ // --------------------------------------------------------------------------------
+ function merge($p_archive_to_add)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZip::merge", "");
+ $v_result = 1;
+
+ // ----- Reset the error handler
+ $this->privErrorReset();
+
+ // ----- Check archive
+ if (!$this->privCheckFormat()) {
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, 0);
+ return(0);
+ }
+
+ // ----- Look if the $p_archive_to_add is a PclZip object
+ if ((is_object($p_archive_to_add)) && (get_class($p_archive_to_add) == 'pclzip'))
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "The parameter is valid PclZip object");
+
+ // ----- Merge the archive
+ $v_result = $this->privMerge($p_archive_to_add);
+ }
+
+ // ----- Look if the $p_archive_to_add is a string (so a filename)
+ else if (is_string($p_archive_to_add))
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "The parameter is a filename");
+
+ // ----- Create a temporary archive
+ $v_object_archive = new PclZip($p_archive_to_add);
+
+ // ----- Merge the archive
+ $v_result = $this->privMerge($v_object_archive);
+ }
+
+ // ----- Invalid variable
+ else
+ {
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_INVALID_PARAMETER, "Invalid variable type p_archive_to_add");
+ $v_result = PCLZIP_ERR_INVALID_PARAMETER;
+ }
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+
+
+ // --------------------------------------------------------------------------------
+ // Function : errorCode()
+ // Description :
+ // Parameters :
+ // --------------------------------------------------------------------------------
+ function errorCode()
+ {
+ if (PCLZIP_ERROR_EXTERNAL == 1) {
+ return(PclErrorCode());
+ }
+ else {
+ return($this->error_code);
+ }
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : errorName()
+ // Description :
+ // Parameters :
+ // --------------------------------------------------------------------------------
+ function errorName($p_with_code=false)
+ {
+ $v_name = array ( PCLZIP_ERR_NO_ERROR => 'PCLZIP_ERR_NO_ERROR',
+ PCLZIP_ERR_WRITE_OPEN_FAIL => 'PCLZIP_ERR_WRITE_OPEN_FAIL',
+ PCLZIP_ERR_READ_OPEN_FAIL => 'PCLZIP_ERR_READ_OPEN_FAIL',
+ PCLZIP_ERR_INVALID_PARAMETER => 'PCLZIP_ERR_INVALID_PARAMETER',
+ PCLZIP_ERR_MISSING_FILE => 'PCLZIP_ERR_MISSING_FILE',
+ PCLZIP_ERR_FILENAME_TOO_LONG => 'PCLZIP_ERR_FILENAME_TOO_LONG',
+ PCLZIP_ERR_INVALID_ZIP => 'PCLZIP_ERR_INVALID_ZIP',
+ PCLZIP_ERR_BAD_EXTRACTED_FILE => 'PCLZIP_ERR_BAD_EXTRACTED_FILE',
+ PCLZIP_ERR_DIR_CREATE_FAIL => 'PCLZIP_ERR_DIR_CREATE_FAIL',
+ PCLZIP_ERR_BAD_EXTENSION => 'PCLZIP_ERR_BAD_EXTENSION',
+ PCLZIP_ERR_BAD_FORMAT => 'PCLZIP_ERR_BAD_FORMAT',
+ PCLZIP_ERR_DELETE_FILE_FAIL => 'PCLZIP_ERR_DELETE_FILE_FAIL',
+ PCLZIP_ERR_RENAME_FILE_FAIL => 'PCLZIP_ERR_RENAME_FILE_FAIL',
+ PCLZIP_ERR_BAD_CHECKSUM => 'PCLZIP_ERR_BAD_CHECKSUM',
+ PCLZIP_ERR_INVALID_ARCHIVE_ZIP => 'PCLZIP_ERR_INVALID_ARCHIVE_ZIP',
+ PCLZIP_ERR_MISSING_OPTION_VALUE => 'PCLZIP_ERR_MISSING_OPTION_VALUE',
+ PCLZIP_ERR_INVALID_OPTION_VALUE => 'PCLZIP_ERR_INVALID_OPTION_VALUE',
+ PCLZIP_ERR_UNSUPPORTED_COMPRESSION => 'PCLZIP_ERR_UNSUPPORTED_COMPRESSION',
+ PCLZIP_ERR_UNSUPPORTED_ENCRYPTION => 'PCLZIP_ERR_UNSUPPORTED_ENCRYPTION'
+ ,PCLZIP_ERR_INVALID_ATTRIBUTE_VALUE => 'PCLZIP_ERR_INVALID_ATTRIBUTE_VALUE'
+ ,PCLZIP_ERR_DIRECTORY_RESTRICTION => 'PCLZIP_ERR_DIRECTORY_RESTRICTION'
+ );
+
+ if (isset($v_name[$this->error_code])) {
+ $v_value = $v_name[$this->error_code];
+ }
+ else {
+ $v_value = 'NoName';
+ }
+
+ if ($p_with_code) {
+ return($v_value.' ('.$this->error_code.')');
+ }
+ else {
+ return($v_value);
+ }
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : errorInfo()
+ // Description :
+ // Parameters :
+ // --------------------------------------------------------------------------------
+ function errorInfo($p_full=false)
+ {
+ if (PCLZIP_ERROR_EXTERNAL == 1) {
+ return(PclErrorString());
+ }
+ else {
+ if ($p_full) {
+ return($this->errorName(true)." : ".$this->error_string);
+ }
+ else {
+ return($this->error_string." [code ".$this->error_code."]");
+ }
+ }
+ }
+ // --------------------------------------------------------------------------------
+
+
+// --------------------------------------------------------------------------------
+// ***** UNDER THIS LINE ARE DEFINED PRIVATE INTERNAL FUNCTIONS *****
+// ***** *****
+// ***** THESES FUNCTIONS MUST NOT BE USED DIRECTLY *****
+// --------------------------------------------------------------------------------
+
+
+
+ // --------------------------------------------------------------------------------
+ // Function : privCheckFormat()
+ // Description :
+ // This method check that the archive exists and is a valid zip archive.
+ // Several level of check exists. (futur)
+ // Parameters :
+ // $p_level : Level of check. Default 0.
+ // 0 : Check the first bytes (magic codes) (default value))
+ // 1 : 0 + Check the central directory (futur)
+ // 2 : 1 + Check each file header (futur)
+ // Return Values :
+ // true on success,
+ // false on error, the error code is set.
+ // --------------------------------------------------------------------------------
+ function privCheckFormat($p_level=0)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZip::privCheckFormat", "");
+ $v_result = true;
+
+ // ----- Reset the file system cache
+ clearstatcache();
+
+ // ----- Reset the error handler
+ $this->privErrorReset();
+
+ // ----- Look if the file exits
+ if (!is_file($this->zipname)) {
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_MISSING_FILE, "Missing archive file '".$this->zipname."'");
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, false, PclZip::errorInfo());
+ return(false);
+ }
+
+ // ----- Check that the file is readeable
+ if (!is_readable($this->zipname)) {
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_READ_OPEN_FAIL, "Unable to read archive '".$this->zipname."'");
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, false, PclZip::errorInfo());
+ return(false);
+ }
+
+ // ----- Check the magic code
+ // TBC
+
+ // ----- Check the central header
+ // TBC
+
+ // ----- Check each file header
+ // TBC
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : privParseOptions()
+ // Description :
+ // This internal methods reads the variable list of arguments ($p_options_list,
+ // $p_size) and generate an array with the options and values ($v_result_list).
+ // $v_requested_options contains the options that can be present and those that
+ // must be present.
+ // $v_requested_options is an array, with the option value as key, and 'optional',
+ // or 'mandatory' as value.
+ // Parameters :
+ // See above.
+ // Return Values :
+ // 1 on success.
+ // 0 on failure.
+ // --------------------------------------------------------------------------------
+ function privParseOptions(&$p_options_list, $p_size, &$v_result_list, $v_requested_options=false)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZip::privParseOptions", "");
+ $v_result=1;
+
+ // ----- Read the options
+ $i=0;
+ while ($i<$p_size) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "Looking for table index $i, option = '".PclZipUtilOptionText($p_options_list[$i])."(".$p_options_list[$i].")'");
+
+ // ----- Check if the option is supported
+ if (!isset($v_requested_options[$p_options_list[$i]])) {
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_INVALID_PARAMETER, "Invalid optional parameter '".$p_options_list[$i]."' for this method");
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+
+ // ----- Look for next option
+ switch ($p_options_list[$i]) {
+ // ----- Look for options that request a path value
+ case PCLZIP_OPT_PATH :
+ case PCLZIP_OPT_REMOVE_PATH :
+ case PCLZIP_OPT_ADD_PATH :
+ // ----- Check the number of parameters
+ if (($i+1) >= $p_size) {
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_MISSING_OPTION_VALUE, "Missing parameter value for option '".PclZipUtilOptionText($p_options_list[$i])."'");
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+
+ // ----- Get the value
+ $v_result_list[$p_options_list[$i]] = PclZipUtilTranslateWinPath($p_options_list[$i+1], false);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "".PclZipUtilOptionText($p_options_list[$i])." = '".$v_result_list[$p_options_list[$i]]."'");
+ $i++;
+ break;
+
+ case PCLZIP_OPT_EXTRACT_DIR_RESTRICTION :
+ // ----- Check the number of parameters
+ if (($i+1) >= $p_size) {
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_MISSING_OPTION_VALUE, "Missing parameter value for option '".PclZipUtilOptionText($p_options_list[$i])."'");
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+
+ // ----- Get the value
+ if ( is_string($p_options_list[$i+1])
+ && ($p_options_list[$i+1] != '')) {
+ $v_result_list[$p_options_list[$i]] = PclZipUtilTranslateWinPath($p_options_list[$i+1], false);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "".PclZipUtilOptionText($p_options_list[$i])." = '".$v_result_list[$p_options_list[$i]]."'");
+ $i++;
+ }
+ else {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "".PclZipUtilOptionText($p_options_list[$i])." set with an empty value is ignored.");
+ }
+ break;
+
+ // ----- Look for options that request an array of string for value
+ case PCLZIP_OPT_BY_NAME :
+ // ----- Check the number of parameters
+ if (($i+1) >= $p_size) {
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_MISSING_OPTION_VALUE, "Missing parameter value for option '".PclZipUtilOptionText($p_options_list[$i])."'");
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+
+ // ----- Get the value
+ if (is_string($p_options_list[$i+1])) {
+ $v_result_list[$p_options_list[$i]][0] = $p_options_list[$i+1];
+ }
+ else if (is_array($p_options_list[$i+1])) {
+ $v_result_list[$p_options_list[$i]] = $p_options_list[$i+1];
+ }
+ else {
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_INVALID_OPTION_VALUE, "Wrong parameter value for option '".PclZipUtilOptionText($p_options_list[$i])."'");
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+ ////--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "".PclZipUtilOptionText($p_options_list[$i])." = '".$v_result_list[$p_options_list[$i]]."'");
+ $i++;
+ break;
+
+ // ----- Look for options that request an EREG or PREG expression
+ case PCLZIP_OPT_BY_EREG :
+ case PCLZIP_OPT_BY_PREG :
+ //case PCLZIP_OPT_CRYPT :
+ // ----- Check the number of parameters
+ if (($i+1) >= $p_size) {
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_MISSING_OPTION_VALUE, "Missing parameter value for option '".PclZipUtilOptionText($p_options_list[$i])."'");
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+
+ // ----- Get the value
+ if (is_string($p_options_list[$i+1])) {
+ $v_result_list[$p_options_list[$i]] = $p_options_list[$i+1];
+ }
+ else {
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_INVALID_OPTION_VALUE, "Wrong parameter value for option '".PclZipUtilOptionText($p_options_list[$i])."'");
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "".PclZipUtilOptionText($p_options_list[$i])." = '".$v_result_list[$p_options_list[$i]]."'");
+ $i++;
+ break;
+
+ // ----- Look for options that takes a string
+ case PCLZIP_OPT_COMMENT :
+ case PCLZIP_OPT_ADD_COMMENT :
+ case PCLZIP_OPT_PREPEND_COMMENT :
+ // ----- Check the number of parameters
+ if (($i+1) >= $p_size) {
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_MISSING_OPTION_VALUE,
+ "Missing parameter value for option '"
+ .PclZipUtilOptionText($p_options_list[$i])
+ ."'");
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+
+ // ----- Get the value
+ if (is_string($p_options_list[$i+1])) {
+ $v_result_list[$p_options_list[$i]] = $p_options_list[$i+1];
+ }
+ else {
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_INVALID_OPTION_VALUE,
+ "Wrong parameter value for option '"
+ .PclZipUtilOptionText($p_options_list[$i])
+ ."'");
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "".PclZipUtilOptionText($p_options_list[$i])." = '".$v_result_list[$p_options_list[$i]]."'");
+ $i++;
+ break;
+
+ // ----- Look for options that request an array of index
+ case PCLZIP_OPT_BY_INDEX :
+ // ----- Check the number of parameters
+ if (($i+1) >= $p_size) {
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_MISSING_OPTION_VALUE, "Missing parameter value for option '".PclZipUtilOptionText($p_options_list[$i])."'");
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+
+ // ----- Get the value
+ $v_work_list = array();
+ if (is_string($p_options_list[$i+1])) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "Index value is a string '".$p_options_list[$i+1]."'");
+
+ // ----- Remove spaces
+ $p_options_list[$i+1] = strtr($p_options_list[$i+1], ' ', '');
+
+ // ----- Parse items
+ $v_work_list = explode(",", $p_options_list[$i+1]);
+ }
+ else if (is_integer($p_options_list[$i+1])) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "Index value is an integer '".$p_options_list[$i+1]."'");
+ $v_work_list[0] = $p_options_list[$i+1].'-'.$p_options_list[$i+1];
+ }
+ else if (is_array($p_options_list[$i+1])) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "Index value is an array");
+ $v_work_list = $p_options_list[$i+1];
+ }
+ else {
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_INVALID_OPTION_VALUE, "Value must be integer, string or array for option '".PclZipUtilOptionText($p_options_list[$i])."'");
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+
+ // ----- Reduce the index list
+ // each index item in the list must be a couple with a start and
+ // an end value : [0,3], [5-5], [8-10], ...
+ // ----- Check the format of each item
+ $v_sort_flag=false;
+ $v_sort_value=0;
+ for ($j=0; $j<sizeof($v_work_list); $j++) {
+ // ----- Explode the item
+ $v_item_list = explode("-", $v_work_list[$j]);
+ $v_size_item_list = sizeof($v_item_list);
+
+ // ----- TBC : Here we might check that each item is a
+ // real integer ...
+
+ // ----- Look for single value
+ if ($v_size_item_list == 1) {
+ // ----- Set the option value
+ $v_result_list[$p_options_list[$i]][$j]['start'] = $v_item_list[0];
+ $v_result_list[$p_options_list[$i]][$j]['end'] = $v_item_list[0];
+ }
+ elseif ($v_size_item_list == 2) {
+ // ----- Set the option value
+ $v_result_list[$p_options_list[$i]][$j]['start'] = $v_item_list[0];
+ $v_result_list[$p_options_list[$i]][$j]['end'] = $v_item_list[1];
+ }
+ else {
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_INVALID_OPTION_VALUE, "Too many values in index range for option '".PclZipUtilOptionText($p_options_list[$i])."'");
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Extracted index item = [".$v_result_list[$p_options_list[$i]][$j]['start'].",".$v_result_list[$p_options_list[$i]][$j]['end']."]");
+
+ // ----- Look for list sort
+ if ($v_result_list[$p_options_list[$i]][$j]['start'] < $v_sort_value) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "The list should be sorted ...");
+ $v_sort_flag=true;
+
+ // ----- TBC : An automatic sort should be writen ...
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_INVALID_OPTION_VALUE, "Invalid order of index range for option '".PclZipUtilOptionText($p_options_list[$i])."'");
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+ $v_sort_value = $v_result_list[$p_options_list[$i]][$j]['start'];
+ }
+
+ // ----- Sort the items
+ if ($v_sort_flag) {
+ // TBC : To Be Completed
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "List sorting is not yet write ...");
+ }
+
+ // ----- Next option
+ $i++;
+ break;
+
+ // ----- Look for options that request no value
+ case PCLZIP_OPT_REMOVE_ALL_PATH :
+ case PCLZIP_OPT_EXTRACT_AS_STRING :
+ case PCLZIP_OPT_NO_COMPRESSION :
+ case PCLZIP_OPT_EXTRACT_IN_OUTPUT :
+ case PCLZIP_OPT_REPLACE_NEWER :
+ case PCLZIP_OPT_STOP_ON_ERROR :
+ $v_result_list[$p_options_list[$i]] = true;
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "".PclZipUtilOptionText($p_options_list[$i])." = '".$v_result_list[$p_options_list[$i]]."'");
+ break;
+
+ // ----- Look for options that request an octal value
+ case PCLZIP_OPT_SET_CHMOD :
+ // ----- Check the number of parameters
+ if (($i+1) >= $p_size) {
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_MISSING_OPTION_VALUE, "Missing parameter value for option '".PclZipUtilOptionText($p_options_list[$i])."'");
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+
+ // ----- Get the value
+ $v_result_list[$p_options_list[$i]] = $p_options_list[$i+1];
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "".PclZipUtilOptionText($p_options_list[$i])." = '".$v_result_list[$p_options_list[$i]]."'");
+ $i++;
+ break;
+
+ // ----- Look for options that request a call-back
+ case PCLZIP_CB_PRE_EXTRACT :
+ case PCLZIP_CB_POST_EXTRACT :
+ case PCLZIP_CB_PRE_ADD :
+ case PCLZIP_CB_POST_ADD :
+ /* for futur use
+ case PCLZIP_CB_PRE_DELETE :
+ case PCLZIP_CB_POST_DELETE :
+ case PCLZIP_CB_PRE_LIST :
+ case PCLZIP_CB_POST_LIST :
+ */
+ // ----- Check the number of parameters
+ if (($i+1) >= $p_size) {
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_MISSING_OPTION_VALUE, "Missing parameter value for option '".PclZipUtilOptionText($p_options_list[$i])."'");
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+
+ // ----- Get the value
+ $v_function_name = $p_options_list[$i+1];
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "call-back ".PclZipUtilOptionText($p_options_list[$i])." = '".$v_function_name."'");
+
+ // ----- Check that the value is a valid existing function
+ if (!function_exists($v_function_name)) {
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_INVALID_OPTION_VALUE, "Function '".$v_function_name."()' is not an existing function for option '".PclZipUtilOptionText($p_options_list[$i])."'");
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+
+ // ----- Set the attribute
+ $v_result_list[$p_options_list[$i]] = $v_function_name;
+ $i++;
+ break;
+
+ default :
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_INVALID_PARAMETER,
+ "Unknown parameter '"
+ .$p_options_list[$i]."'");
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+
+ // ----- Next options
+ $i++;
+ }
+
+ // ----- Look for mandatory options
+ if ($v_requested_options !== false) {
+ for ($key=reset($v_requested_options); $key=key($v_requested_options); $key=next($v_requested_options)) {
+ // ----- Look for mandatory option
+ if ($v_requested_options[$key] == 'mandatory') {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "Detect a mandatory option : ".PclZipUtilOptionText($key)."(".$key.")");
+ // ----- Look if present
+ if (!isset($v_result_list[$key])) {
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_INVALID_PARAMETER, "Missing mandatory parameter ".PclZipUtilOptionText($key)."(".$key.")");
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+ }
+ }
+ }
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : privFileDescrParseAtt()
+ // Description :
+ // Parameters :
+ // Return Values :
+ // 1 on success.
+ // 0 on failure.
+ // --------------------------------------------------------------------------------
+ function privFileDescrParseAtt(&$p_file_list, &$p_filedescr, $v_options, $v_requested_options=false)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZip::privFileDescrParseAtt", "");
+ $v_result=1;
+
+ // ----- For each file in the list check the attributes
+ foreach ($p_file_list as $v_key => $v_value) {
+
+ // ----- Check if the option is supported
+ if (!isset($v_requested_options[$v_key])) {
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_INVALID_PARAMETER, "Invalid file attribute '".$v_key."' for this file");
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+
+ // ----- Look for attribute
+ switch ($v_key) {
+ case PCLZIP_ATT_FILE_NAME :
+ if (!is_string($v_value)) {
+ PclZip::privErrorLog(PCLZIP_ERR_INVALID_ATTRIBUTE_VALUE, "Invalid type ".gettype($v_value).". String expected for attribute '".PclZipUtilOptionText($v_key)."'");
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+
+ $p_filedescr['filename'] = PclZipUtilPathReduction($v_value);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "".PclZipUtilOptionText($v_key)." = '".$v_value."'");
+
+ if ($p_filedescr['filename'] == '') {
+ PclZip::privErrorLog(PCLZIP_ERR_INVALID_ATTRIBUTE_VALUE, "Invalid empty filename for attribute '".PclZipUtilOptionText($v_key)."'");
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+
+ break;
+
+ case PCLZIP_ATT_FILE_NEW_SHORT_NAME :
+ if (!is_string($v_value)) {
+ PclZip::privErrorLog(PCLZIP_ERR_INVALID_ATTRIBUTE_VALUE, "Invalid type ".gettype($v_value).". String expected for attribute '".PclZipUtilOptionText($v_key)."'");
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+
+ $p_filedescr['new_short_name'] = PclZipUtilPathReduction($v_value);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "".PclZipUtilOptionText($v_key)." = '".$v_value."'");
+
+ if ($p_filedescr['new_short_name'] == '') {
+ PclZip::privErrorLog(PCLZIP_ERR_INVALID_ATTRIBUTE_VALUE, "Invalid empty short filename for attribute '".PclZipUtilOptionText($v_key)."'");
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+ break;
+
+ case PCLZIP_ATT_FILE_NEW_FULL_NAME :
+ if (!is_string($v_value)) {
+ PclZip::privErrorLog(PCLZIP_ERR_INVALID_ATTRIBUTE_VALUE, "Invalid type ".gettype($v_value).". String expected for attribute '".PclZipUtilOptionText($v_key)."'");
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+
+ $p_filedescr['new_full_name'] = PclZipUtilPathReduction($v_value);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "".PclZipUtilOptionText($v_key)." = '".$v_value."'");
+
+ if ($p_filedescr['new_full_name'] == '') {
+ PclZip::privErrorLog(PCLZIP_ERR_INVALID_ATTRIBUTE_VALUE, "Invalid empty full filename for attribute '".PclZipUtilOptionText($v_key)."'");
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+ break;
+
+ default :
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_INVALID_PARAMETER,
+ "Unknown parameter '".$v_key."'");
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+
+ // ----- Look for mandatory options
+ if ($v_requested_options !== false) {
+ for ($key=reset($v_requested_options); $key=key($v_requested_options); $key=next($v_requested_options)) {
+ // ----- Look for mandatory option
+ if ($v_requested_options[$key] == 'mandatory') {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "Detect a mandatory option : ".PclZipUtilOptionText($key)."(".$key.")");
+ // ----- Look if present
+ if (!isset($p_file_list[$key])) {
+ PclZip::privErrorLog(PCLZIP_ERR_INVALID_PARAMETER, "Missing mandatory parameter ".PclZipUtilOptionText($key)."(".$key.")");
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+ }
+ }
+ }
+
+ // end foreach
+ }
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : privFileDescrExpand()
+ // Description :
+ // Parameters :
+ // Return Values :
+ // 1 on success.
+ // 0 on failure.
+ // --------------------------------------------------------------------------------
+ function privFileDescrExpand(&$p_filedescr_list, &$p_options)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZip::privFileDescrExpand", "");
+ $v_result=1;
+
+ // ----- Create a result list
+ $v_result_list = array();
+
+ // ----- Look each entry
+ for ($i=0; $i<sizeof($p_filedescr_list); $i++) {
+ // ----- Get filedescr
+ $v_descr = $p_filedescr_list[$i];
+
+ // ----- Reduce the filename
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Filedescr before reduction :'".$v_descr['filename']."'");
+ $v_descr['filename'] = PclZipUtilTranslateWinPath($v_descr['filename']);
+ $v_descr['filename'] = PclZipUtilPathReduction($v_descr['filename']);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Filedescr after reduction :'".$v_descr['filename']."'");
+
+ // ----- Get type of descr
+ if (!file_exists($v_descr['filename'])) {
+ // ----- Error log
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "File '".$v_descr['filename']."' does not exists");
+ PclZip::privErrorLog(PCLZIP_ERR_MISSING_FILE, "File '".$v_descr['filename']."' does not exists");
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+ if (@is_file($v_descr['filename'])) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "This is a file");
+ $v_descr['type'] = 'file';
+ }
+ else if (@is_dir($v_descr['filename'])) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "This is a folder");
+ $v_descr['type'] = 'folder';
+ }
+ else if (@is_link($v_descr['filename'])) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Unsupported file type : link");
+ // skip
+ continue;
+ }
+ else {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Unsupported file type : unknown type");
+ // skip
+ continue;
+ }
+
+ // ----- Calculate the stored filename
+ $this->privCalculateStoredFilename($v_descr, $p_options);
+
+ // ----- Add the descriptor in result list
+ $v_result_list[sizeof($v_result_list)] = $v_descr;
+
+ // ----- Look for folder
+ if ($v_descr['type'] == 'folder') {
+ // ----- List of items in folder
+ $v_dirlist_descr = array();
+ $v_dirlist_nb = 0;
+ if ($v_folder_handler = @opendir($v_descr['filename'])) {
+ while (($v_item_handler = @readdir($v_folder_handler)) !== false) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Looking for '".$v_item_handler."' in the directory");
+
+ // ----- Skip '.' and '..'
+ if (($v_item_handler == '.') || ($v_item_handler == '..')) {
+ continue;
+ }
+
+ // ----- Compose the full filename
+ $v_dirlist_descr[$v_dirlist_nb]['filename'] = $v_descr['filename'].'/'.$v_item_handler;
+
+ // ----- Look for different stored filename
+ // Because the name of the folder was changed, the name of the
+ // files/sub-folders also change
+ if ($v_descr['stored_filename'] != $v_descr['filename']) {
+ $v_dirlist_descr[$v_dirlist_nb]['new_full_name'] = $v_descr['stored_filename'].'/'.$v_item_handler;
+ }
+
+ $v_dirlist_nb++;
+ }
+ }
+ else {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Unable to open dir '".$v_descr['filename']."' in read mode. Skipped.");
+ // TBC : unable to open folder in read mode
+ }
+
+ // ----- Expand each element of the list
+ if ($v_dirlist_nb != 0) {
+ // ----- Expand
+ if (($v_result = $this->privFileDescrExpand($v_dirlist_descr, $p_options)) != 1) {
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Concat the resulting list
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Merging result list (size '".sizeof($v_result_list)."') with dirlist (size '".sizeof($v_dirlist_descr)."')");
+ $v_result_list = array_merge($v_result_list, $v_dirlist_descr);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "merged result list is size '".sizeof($v_result_list)."'");
+ }
+ else {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Nothing in this folder to expand.");
+ }
+
+ // ----- Free local array
+ unset($v_dirlist_descr);
+ }
+ }
+
+ // ----- Get the result list
+ $p_filedescr_list = $v_result_list;
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : privCreate()
+ // Description :
+ // Parameters :
+ // Return Values :
+ // --------------------------------------------------------------------------------
+ function privCreate($p_filedescr_list, &$p_result_list, &$p_options)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZip::privCreate", "list");
+ $v_result=1;
+ $v_list_detail = array();
+
+ // ----- Magic quotes trick
+ $this->privDisableMagicQuotes();
+
+ // ----- Open the file in write mode
+ if (($v_result = $this->privOpenFd('wb')) != 1)
+ {
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Add the list of files
+ $v_result = $this->privAddList($p_filedescr_list, $p_result_list, $p_options);
+
+ // ----- Close
+ $this->privCloseFd();
+
+ // ----- Magic quotes trick
+ $this->privSwapBackMagicQuotes();
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : privAdd()
+ // Description :
+ // Parameters :
+ // Return Values :
+ // --------------------------------------------------------------------------------
+ function privAdd($p_filedescr_list, &$p_result_list, &$p_options)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZip::privAdd", "list");
+ $v_result=1;
+ $v_list_detail = array();
+
+ // ----- Look if the archive exists or is empty
+ if ((!is_file($this->zipname)) || (filesize($this->zipname) == 0))
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Archive does not exist, or is empty, create it.");
+
+ // ----- Do a create
+ $v_result = $this->privCreate($p_filedescr_list, $p_result_list, $p_options);
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // ----- Magic quotes trick
+ $this->privDisableMagicQuotes();
+
+ // ----- Open the zip file
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Open file in binary read mode");
+ if (($v_result=$this->privOpenFd('rb')) != 1)
+ {
+ // ----- Magic quotes trick
+ $this->privSwapBackMagicQuotes();
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Read the central directory informations
+ $v_central_dir = array();
+ if (($v_result = $this->privReadEndCentralDir($v_central_dir)) != 1)
+ {
+ $this->privCloseFd();
+ $this->privSwapBackMagicQuotes();
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Go to beginning of File
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Position in file : ".ftell($this->zip_fd)."'");
+ @rewind($this->zip_fd);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Position in file : ".ftell($this->zip_fd)."'");
+
+ // ----- Creates a temporay file
+ $v_zip_temp_name = PCLZIP_TEMPORARY_DIR.uniqid('pclzip-').'.tmp';
+
+ // ----- Open the temporary file in write mode
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Open file in binary read mode");
+ if (($v_zip_temp_fd = @fopen($v_zip_temp_name, 'wb')) == 0)
+ {
+ $this->privCloseFd();
+ $this->privSwapBackMagicQuotes();
+
+ PclZip::privErrorLog(PCLZIP_ERR_READ_OPEN_FAIL, 'Unable to open temporary file \''.$v_zip_temp_name.'\' in binary write mode');
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+
+ // ----- Copy the files from the archive to the temporary file
+ // TBC : Here I should better append the file and go back to erase the central dir
+ $v_size = $v_central_dir['offset'];
+ while ($v_size != 0)
+ {
+ $v_read_size = ($v_size < PCLZIP_READ_BLOCK_SIZE ? $v_size : PCLZIP_READ_BLOCK_SIZE);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "Read $v_read_size bytes");
+ $v_buffer = fread($this->zip_fd, $v_read_size);
+ @fwrite($v_zip_temp_fd, $v_buffer, $v_read_size);
+ $v_size -= $v_read_size;
+ }
+
+ // ----- Swap the file descriptor
+ // Here is a trick : I swap the temporary fd with the zip fd, in order to use
+ // the following methods on the temporary fil and not the real archive
+ $v_swap = $this->zip_fd;
+ $this->zip_fd = $v_zip_temp_fd;
+ $v_zip_temp_fd = $v_swap;
+
+ // ----- Add the files
+ $v_header_list = array();
+ if (($v_result = $this->privAddFileList($p_filedescr_list, $v_header_list, $p_options)) != 1)
+ {
+ fclose($v_zip_temp_fd);
+ $this->privCloseFd();
+ @unlink($v_zip_temp_name);
+ $this->privSwapBackMagicQuotes();
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Store the offset of the central dir
+ $v_offset = @ftell($this->zip_fd);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "New offset of central dir : $v_offset");
+
+ // ----- Copy the block of file headers from the old archive
+ $v_size = $v_central_dir['size'];
+ while ($v_size != 0)
+ {
+ $v_read_size = ($v_size < PCLZIP_READ_BLOCK_SIZE ? $v_size : PCLZIP_READ_BLOCK_SIZE);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "Read $v_read_size bytes");
+ $v_buffer = @fread($v_zip_temp_fd, $v_read_size);
+ @fwrite($this->zip_fd, $v_buffer, $v_read_size);
+ $v_size -= $v_read_size;
+ }
+
+ // ----- Create the Central Dir files header
+ for ($i=0, $v_count=0; $i<sizeof($v_header_list); $i++)
+ {
+ // ----- Create the file header
+ if ($v_header_list[$i]['status'] == 'ok') {
+ if (($v_result = $this->privWriteCentralFileHeader($v_header_list[$i])) != 1) {
+ fclose($v_zip_temp_fd);
+ $this->privCloseFd();
+ @unlink($v_zip_temp_name);
+ $this->privSwapBackMagicQuotes();
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ $v_count++;
+ }
+
+ // ----- Transform the header to a 'usable' info
+ $this->privConvertHeader2FileInfo($v_header_list[$i], $p_result_list[$i]);
+ }
+
+ // ----- Zip file comment
+ $v_comment = $v_central_dir['comment'];
+ if (isset($p_options[PCLZIP_OPT_COMMENT])) {
+ $v_comment = $p_options[PCLZIP_OPT_COMMENT];
+ }
+ if (isset($p_options[PCLZIP_OPT_ADD_COMMENT])) {
+ $v_comment = $v_comment.$p_options[PCLZIP_OPT_ADD_COMMENT];
+ }
+ if (isset($p_options[PCLZIP_OPT_PREPEND_COMMENT])) {
+ $v_comment = $p_options[PCLZIP_OPT_PREPEND_COMMENT].$v_comment;
+ }
+
+ // ----- Calculate the size of the central header
+ $v_size = @ftell($this->zip_fd)-$v_offset;
+
+ // ----- Create the central dir footer
+ if (($v_result = $this->privWriteCentralHeader($v_count+$v_central_dir['entries'], $v_size, $v_offset, $v_comment)) != 1)
+ {
+ // ----- Reset the file list
+ unset($v_header_list);
+ $this->privSwapBackMagicQuotes();
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Swap back the file descriptor
+ $v_swap = $this->zip_fd;
+ $this->zip_fd = $v_zip_temp_fd;
+ $v_zip_temp_fd = $v_swap;
+
+ // ----- Close
+ $this->privCloseFd();
+
+ // ----- Close the temporary file
+ @fclose($v_zip_temp_fd);
+
+ // ----- Magic quotes trick
+ $this->privSwapBackMagicQuotes();
+
+ // ----- Delete the zip file
+ // TBC : I should test the result ...
+ @unlink($this->zipname);
+
+ // ----- Rename the temporary file
+ // TBC : I should test the result ...
+ //@rename($v_zip_temp_name, $this->zipname);
+ PclZipUtilRename($v_zip_temp_name, $this->zipname);
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : privOpenFd()
+ // Description :
+ // Parameters :
+ // --------------------------------------------------------------------------------
+ function privOpenFd($p_mode)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZip::privOpenFd", 'mode='.$p_mode);
+ $v_result=1;
+
+ // ----- Look if already open
+ if ($this->zip_fd != 0)
+ {
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_READ_OPEN_FAIL, 'Zip file \''.$this->zipname.'\' already open');
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+
+ // ----- Open the zip file
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, 'Open file in '.$p_mode.' mode');
+ if (($this->zip_fd = @fopen($this->zipname, $p_mode)) == 0)
+ {
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_READ_OPEN_FAIL, 'Unable to open archive \''.$this->zipname.'\' in '.$p_mode.' mode');
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : privCloseFd()
+ // Description :
+ // Parameters :
+ // --------------------------------------------------------------------------------
+ function privCloseFd()
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZip::privCloseFd", "");
+ $v_result=1;
+
+ if ($this->zip_fd != 0)
+ @fclose($this->zip_fd);
+ $this->zip_fd = 0;
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : privAddList()
+ // Description :
+ // $p_add_dir and $p_remove_dir will give the ability to memorize a path which is
+ // different from the real path of the file. This is usefull if you want to have PclTar
+ // running in any directory, and memorize relative path from an other directory.
+ // Parameters :
+ // $p_list : An array containing the file or directory names to add in the tar
+ // $p_result_list : list of added files with their properties (specially the status field)
+ // $p_add_dir : Path to add in the filename path archived
+ // $p_remove_dir : Path to remove in the filename path archived
+ // Return Values :
+ // --------------------------------------------------------------------------------
+// function privAddList($p_list, &$p_result_list, $p_add_dir, $p_remove_dir, $p_remove_all_dir, &$p_options)
+ function privAddList($p_filedescr_list, &$p_result_list, &$p_options)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZip::privAddList", "list");
+ $v_result=1;
+
+ // ----- Add the files
+ $v_header_list = array();
+ if (($v_result = $this->privAddFileList($p_filedescr_list, $v_header_list, $p_options)) != 1)
+ {
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Store the offset of the central dir
+ $v_offset = @ftell($this->zip_fd);
+
+ // ----- Create the Central Dir files header
+ for ($i=0,$v_count=0; $i<sizeof($v_header_list); $i++)
+ {
+ // ----- Create the file header
+ if ($v_header_list[$i]['status'] == 'ok') {
+ if (($v_result = $this->privWriteCentralFileHeader($v_header_list[$i])) != 1) {
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ $v_count++;
+ }
+
+ // ----- Transform the header to a 'usable' info
+ $this->privConvertHeader2FileInfo($v_header_list[$i], $p_result_list[$i]);
+ }
+
+ // ----- Zip file comment
+ $v_comment = '';
+ if (isset($p_options[PCLZIP_OPT_COMMENT])) {
+ $v_comment = $p_options[PCLZIP_OPT_COMMENT];
+ }
+
+ // ----- Calculate the size of the central header
+ $v_size = @ftell($this->zip_fd)-$v_offset;
+
+ // ----- Create the central dir footer
+ if (($v_result = $this->privWriteCentralHeader($v_count, $v_size, $v_offset, $v_comment)) != 1)
+ {
+ // ----- Reset the file list
+ unset($v_header_list);
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : privAddFileList()
+ // Description :
+ // Parameters :
+ // $p_filedescr_list : An array containing the file description
+ // or directory names to add in the zip
+ // $p_result_list : list of added files with their properties (specially the status field)
+ // Return Values :
+ // --------------------------------------------------------------------------------
+ function privAddFileList($p_filedescr_list, &$p_result_list, &$p_options)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZip::privAddFileList", "filedescr_list");
+ $v_result=1;
+ $v_header = array();
+
+ // ----- Recuperate the current number of elt in list
+ $v_nb = sizeof($p_result_list);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "Before add, list have ".$v_nb." elements");
+
+ // ----- Loop on the files
+ for ($j=0; ($j<sizeof($p_filedescr_list)) && ($v_result==1); $j++) {
+ // ----- Format the filename
+ $p_filedescr_list[$j]['filename']
+ = PclZipUtilTranslateWinPath($p_filedescr_list[$j]['filename'], false);
+
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Looking for file '".$p_filedescr_list[$j]['filename']."'");
+
+ // ----- Skip empty file names
+ // TBC : Can this be possible ? not checked in DescrParseAtt ?
+ if ($p_filedescr_list[$j]['filename'] == "") {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Skip empty filename");
+ continue;
+ }
+
+ // ----- Check the filename
+ if (!file_exists($p_filedescr_list[$j]['filename'])) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "File '".$p_filedescr_list[$j]['filename']."' does not exists");
+ PclZip::privErrorLog(PCLZIP_ERR_MISSING_FILE, "File '".$p_filedescr_list[$j]['filename']."' does not exists");
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+
+ // ----- Look if it is a file or a dir with no all path remove option
+ if ( (is_file($p_filedescr_list[$j]['filename']))
+ || ( is_dir($p_filedescr_list[$j]['filename'])
+ && ( !isset($p_options[PCLZIP_OPT_REMOVE_ALL_PATH])
+ || !$p_options[PCLZIP_OPT_REMOVE_ALL_PATH]))) {
+
+ // ----- Add the file
+ $v_result = $this->privAddFile($p_filedescr_list[$j], $v_header,
+ $p_options);
+ if ($v_result != 1) {
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Store the file infos
+ $p_result_list[$v_nb++] = $v_header;
+ }
+ }
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "After add, list have ".$v_nb." elements");
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : privAddFile()
+ // Description :
+ // Parameters :
+ // Return Values :
+ // --------------------------------------------------------------------------------
+ function privAddFile($p_filedescr, &$p_header, &$p_options)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZip::privAddFile", "filename='".$p_filedescr['filename']."'");
+ $v_result=1;
+
+ // ----- Working variable
+ $p_filename = $p_filedescr['filename'];
+
+ // TBC : Already done in the fileAtt check ... ?
+ if ($p_filename == "") {
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_INVALID_PARAMETER, "Invalid file list parameter (invalid or empty list)");
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+
+ // ----- Look for a stored different filename
+ if (isset($p_filedescr['stored_filename'])) {
+ $v_stored_filename = $p_filedescr['stored_filename'];
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, 'Stored filename is NOT the same "'.$v_stored_filename.'"');
+ }
+ else {
+ $v_stored_filename = $p_filedescr['stored_filename'];
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, 'Stored filename is the same');
+ }
+
+ // ----- Set the file properties
+ clearstatcache();
+ $p_header['version'] = 20;
+ $p_header['version_extracted'] = 10;
+ $p_header['flag'] = 0;
+ $p_header['compression'] = 0;
+ $p_header['mtime'] = filemtime($p_filename);
+ $p_header['crc'] = 0;
+ $p_header['compressed_size'] = 0;
+ $p_header['size'] = filesize($p_filename);
+ $p_header['filename_len'] = strlen($p_filename);
+ $p_header['extra_len'] = 0;
+ $p_header['comment_len'] = 0;
+ $p_header['disk'] = 0;
+ $p_header['internal'] = 0;
+// $p_header['external'] = (is_file($p_filename)?0xFE49FFE0:0x41FF0010);
+ $p_header['external'] = (is_file($p_filename)?0x00000000:0x00000010);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Header external extension '".sprintf("0x%X",$p_header['external'])."'");
+ $p_header['offset'] = 0;
+ $p_header['filename'] = $p_filename;
+ $p_header['stored_filename'] = $v_stored_filename;
+ $p_header['extra'] = '';
+ $p_header['comment'] = '';
+ $p_header['status'] = 'ok';
+ $p_header['index'] = -1;
+
+ // ----- Look for pre-add callback
+ if (isset($p_options[PCLZIP_CB_PRE_ADD])) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "A pre-callback '".$p_options[PCLZIP_CB_PRE_ADD]."()') is defined for the extraction");
+
+ // ----- Generate a local information
+ $v_local_header = array();
+ $this->privConvertHeader2FileInfo($p_header, $v_local_header);
+
+ // ----- Call the callback
+ // Here I do not use call_user_func() because I need to send a reference to the
+ // header.
+ eval('$v_result = '.$p_options[PCLZIP_CB_PRE_ADD].'(PCLZIP_CB_PRE_ADD, $v_local_header);');
+ if ($v_result == 0) {
+ // ----- Change the file status
+ $p_header['status'] = "skipped";
+ $v_result = 1;
+ }
+
+ // ----- Update the informations
+ // Only some fields can be modified
+ if ($p_header['stored_filename'] != $v_local_header['stored_filename']) {
+ $p_header['stored_filename'] = PclZipUtilPathReduction($v_local_header['stored_filename']);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "New stored filename is '".$p_header['stored_filename']."'");
+ }
+ }
+
+ // ----- Look for empty stored filename
+ if ($p_header['stored_filename'] == "") {
+ $p_header['status'] = "filtered";
+ }
+
+ // ----- Check the path length
+ if (strlen($p_header['stored_filename']) > 0xFF) {
+ $p_header['status'] = 'filename_too_long';
+ }
+
+ // ----- Look if no error, or file not skipped
+ if ($p_header['status'] == 'ok') {
+
+ // ----- Look for a file
+ if (is_file($p_filename))
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "'".$p_filename."' is a file");
+ // ----- Open the source file
+ if (($v_file = @fopen($p_filename, "rb")) == 0) {
+ PclZip::privErrorLog(PCLZIP_ERR_READ_OPEN_FAIL, "Unable to open file '$p_filename' in binary read mode");
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+
+ if ($p_options[PCLZIP_OPT_NO_COMPRESSION]) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "File will not be compressed");
+ // ----- Read the file content
+ $v_content_compressed = @fread($v_file, $p_header['size']);
+
+ // ----- Calculate the CRC
+ $p_header['crc'] = @crc32($v_content_compressed);
+
+ // ----- Set header parameters
+ $p_header['compressed_size'] = $p_header['size'];
+ $p_header['compression'] = 0;
+ }
+ else {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "File will be compressed");
+ // ----- Read the file content
+ $v_content = @fread($v_file, $p_header['size']);
+
+ // ----- Calculate the CRC
+ $p_header['crc'] = @crc32($v_content);
+
+ // ----- Compress the file
+ $v_content_compressed = @gzdeflate($v_content);
+
+ // ----- Set header parameters
+ $p_header['compressed_size'] = strlen($v_content_compressed);
+ $p_header['compression'] = 8;
+ }
+
+ // ----- Look for encryption
+ /*
+ if ((isset($p_options[PCLZIP_OPT_CRYPT]))
+ && ($p_options[PCLZIP_OPT_CRYPT] != "")) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "File need to be crypted ....");
+
+ // Should be a random header
+ $v_header = 'xxxxxxxxxxxx';
+ $v_content_compressed = PclZipUtilZipEncrypt($v_content_compressed,
+ $p_header['compressed_size'],
+ $v_header,
+ $p_header['crc'],
+ "test");
+
+ $p_header['compressed_size'] += 12;
+ $p_header['flag'] = 1;
+
+ // ----- Add the header to the data
+ $v_content_compressed = $v_header.$v_content_compressed;
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Size after header : ".strlen($v_content_compressed)."");
+ }
+ */
+
+ // ----- Call the header generation
+ if (($v_result = $this->privWriteFileHeader($p_header)) != 1) {
+ @fclose($v_file);
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Write the compressed (or not) content
+ @fwrite($this->zip_fd,
+ $v_content_compressed, $p_header['compressed_size']);
+
+ // ----- Close the file
+ @fclose($v_file);
+ }
+
+ // ----- Look for a directory
+ else {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "'".$p_filename."' is a folder");
+ // ----- Look for directory last '/'
+ if (@substr($p_header['stored_filename'], -1) != '/') {
+ $p_header['stored_filename'] .= '/';
+ }
+
+ // ----- Set the file properties
+ $p_header['size'] = 0;
+ //$p_header['external'] = 0x41FF0010; // Value for a folder : to be checked
+ $p_header['external'] = 0x00000010; // Value for a folder : to be checked
+
+ // ----- Call the header generation
+ if (($v_result = $this->privWriteFileHeader($p_header)) != 1)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ }
+ }
+
+ // ----- Look for post-add callback
+ if (isset($p_options[PCLZIP_CB_POST_ADD])) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "A post-callback '".$p_options[PCLZIP_CB_POST_ADD]."()') is defined for the extraction");
+
+ // ----- Generate a local information
+ $v_local_header = array();
+ $this->privConvertHeader2FileInfo($p_header, $v_local_header);
+
+ // ----- Call the callback
+ // Here I do not use call_user_func() because I need to send a reference to the
+ // header.
+ eval('$v_result = '.$p_options[PCLZIP_CB_POST_ADD].'(PCLZIP_CB_POST_ADD, $v_local_header);');
+ if ($v_result == 0) {
+ // ----- Ignored
+ $v_result = 1;
+ }
+
+ // ----- Update the informations
+ // Nothing can be modified
+ }
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : privCalculateStoredFilename()
+ // Description :
+ // Based on file descriptor properties and global options, this method
+ // calculate the filename that will be stored in the archive.
+ // Parameters :
+ // Return Values :
+ // --------------------------------------------------------------------------------
+ function privCalculateStoredFilename(&$p_filedescr, &$p_options)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZip::privCalculateStoredFilename", "filename='".$p_filedescr['filename']."'");
+ $v_result=1;
+
+ // ----- Working variables
+ $p_filename = $p_filedescr['filename'];
+ if (isset($p_options[PCLZIP_OPT_ADD_PATH])) {
+ $p_add_dir = $p_options[PCLZIP_OPT_ADD_PATH];
+ }
+ else {
+ $p_add_dir = '';
+ }
+ if (isset($p_options[PCLZIP_OPT_REMOVE_PATH])) {
+ $p_remove_dir = $p_options[PCLZIP_OPT_REMOVE_PATH];
+ }
+ else {
+ $p_remove_dir = '';
+ }
+ if (isset($p_options[PCLZIP_OPT_REMOVE_ALL_PATH])) {
+ $p_remove_all_dir = $p_options[PCLZIP_OPT_REMOVE_ALL_PATH];
+ }
+ else {
+ $p_remove_all_dir = 0;
+ }
+
+ // ----- Look for full name change
+ if (isset($p_filedescr['new_full_name'])) {
+ $v_stored_filename = $p_filedescr['new_full_name'];
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "Changing full name of '".$p_filename."' for '".$v_stored_filename."'");
+ }
+
+ // ----- Look for path and/or short name change
+ else {
+
+ // ----- Look for short name change
+ if (isset($p_filedescr['new_short_name'])) {
+ $v_path_info = pathinfo($p_filename);
+ $v_dir = '';
+ if ($v_path_info['dirname'] != '') {
+ $v_dir = $v_path_info['dirname'].'/';
+ }
+ $v_stored_filename = $v_dir.$p_filedescr['new_short_name'];
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "Changing short name of '".$p_filename."' for '".$v_stored_filename."'");
+ }
+ else {
+ // ----- Calculate the stored filename
+ $v_stored_filename = $p_filename;
+ }
+
+ // ----- Look for all path to remove
+ if ($p_remove_all_dir) {
+ $v_stored_filename = basename($p_filename);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "Remove all path selected change '".$p_filename."' for '".$v_stored_filename."'");
+ }
+ // ----- Look for partial path remove
+ else if ($p_remove_dir != "") {
+ if (substr($p_remove_dir, -1) != '/')
+ $p_remove_dir .= "/";
+
+ if ( (substr($p_filename, 0, 2) == "./")
+ || (substr($p_remove_dir, 0, 2) == "./")) {
+
+ if ( (substr($p_filename, 0, 2) == "./")
+ && (substr($p_remove_dir, 0, 2) != "./")) {
+ $p_remove_dir = "./".$p_remove_dir;
+ }
+ if ( (substr($p_filename, 0, 2) != "./")
+ && (substr($p_remove_dir, 0, 2) == "./")) {
+ $p_remove_dir = substr($p_remove_dir, 2);
+ }
+ }
+
+ $v_compare = PclZipUtilPathInclusion($p_remove_dir,
+ $v_stored_filename);
+ if ($v_compare > 0) {
+ if ($v_compare == 2) {
+ $v_stored_filename = "";
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "Path to remove is the current folder");
+ }
+ else {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "Remove path '$p_remove_dir' in file '$v_stored_filename'");
+ $v_stored_filename = substr($v_stored_filename,
+ strlen($p_remove_dir));
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "Result is '$v_stored_filename'");
+ }
+ }
+ }
+ // ----- Look for path to add
+ if ($p_add_dir != "") {
+ if (substr($p_add_dir, -1) == "/")
+ $v_stored_filename = $p_add_dir.$v_stored_filename;
+ else
+ $v_stored_filename = $p_add_dir."/".$v_stored_filename;
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Add path '$p_add_dir' in file '$p_filename' = '$v_stored_filename'");
+ }
+ }
+
+ // ----- Filename (reduce the path of stored name)
+ $v_stored_filename = PclZipUtilPathReduction($v_stored_filename);
+ $p_filedescr['stored_filename'] = $v_stored_filename;
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Stored filename will be '".$p_filedescr['stored_filename']."', strlen ".strlen($p_filedescr['stored_filename']));
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : privWriteFileHeader()
+ // Description :
+ // Parameters :
+ // Return Values :
+ // --------------------------------------------------------------------------------
+ function privWriteFileHeader(&$p_header)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZip::privWriteFileHeader", 'file="'.$p_header['filename'].'", stored as "'.$p_header['stored_filename'].'"');
+ $v_result=1;
+
+ // ----- Store the offset position of the file
+ $p_header['offset'] = ftell($this->zip_fd);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, 'File offset of the header :'.$p_header['offset']);
+
+ // ----- Transform UNIX mtime to DOS format mdate/mtime
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, 'Date : \''.date("d/m/y H:i:s", $p_header['mtime']).'\'');
+ $v_date = getdate($p_header['mtime']);
+ $v_mtime = ($v_date['hours']<<11) + ($v_date['minutes']<<5) + $v_date['seconds']/2;
+ $v_mdate = (($v_date['year']-1980)<<9) + ($v_date['mon']<<5) + $v_date['mday'];
+
+ // ----- Packed data
+ $v_binary_data = pack("VvvvvvVVVvv", 0x04034b50,
+ $p_header['version_extracted'], $p_header['flag'],
+ $p_header['compression'], $v_mtime, $v_mdate,
+ $p_header['crc'], $p_header['compressed_size'],
+ $p_header['size'],
+ strlen($p_header['stored_filename']),
+ $p_header['extra_len']);
+
+ // ----- Write the first 148 bytes of the header in the archive
+ fputs($this->zip_fd, $v_binary_data, 30);
+
+ // ----- Write the variable fields
+ if (strlen($p_header['stored_filename']) != 0)
+ {
+ fputs($this->zip_fd, $p_header['stored_filename'], strlen($p_header['stored_filename']));
+ }
+ if ($p_header['extra_len'] != 0)
+ {
+ fputs($this->zip_fd, $p_header['extra'], $p_header['extra_len']);
+ }
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : privWriteCentralFileHeader()
+ // Description :
+ // Parameters :
+ // Return Values :
+ // --------------------------------------------------------------------------------
+ function privWriteCentralFileHeader(&$p_header)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZip::privWriteCentralFileHeader", 'file="'.$p_header['filename'].'", stored as "'.$p_header['stored_filename'].'"');
+ $v_result=1;
+
+ // TBC
+ //for(reset($p_header); $key = key($p_header); next($p_header)) {
+ // //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "header[$key] = ".$p_header[$key]);
+ //}
+
+ // ----- Transform UNIX mtime to DOS format mdate/mtime
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, 'Date : \''.date("d/m/y H:i:s", $p_header['mtime']).'\'');
+ $v_date = getdate($p_header['mtime']);
+ $v_mtime = ($v_date['hours']<<11) + ($v_date['minutes']<<5) + $v_date['seconds']/2;
+ $v_mdate = (($v_date['year']-1980)<<9) + ($v_date['mon']<<5) + $v_date['mday'];
+
+ // ----- Packed data
+ $v_binary_data = pack("VvvvvvvVVVvvvvvVV", 0x02014b50,
+ $p_header['version'], $p_header['version_extracted'],
+ $p_header['flag'], $p_header['compression'],
+ $v_mtime, $v_mdate, $p_header['crc'],
+ $p_header['compressed_size'], $p_header['size'],
+ strlen($p_header['stored_filename']),
+ $p_header['extra_len'], $p_header['comment_len'],
+ $p_header['disk'], $p_header['internal'],
+ $p_header['external'], $p_header['offset']);
+
+ // ----- Write the 42 bytes of the header in the zip file
+ fputs($this->zip_fd, $v_binary_data, 46);
+
+ // ----- Write the variable fields
+ if (strlen($p_header['stored_filename']) != 0)
+ {
+ fputs($this->zip_fd, $p_header['stored_filename'], strlen($p_header['stored_filename']));
+ }
+ if ($p_header['extra_len'] != 0)
+ {
+ fputs($this->zip_fd, $p_header['extra'], $p_header['extra_len']);
+ }
+ if ($p_header['comment_len'] != 0)
+ {
+ fputs($this->zip_fd, $p_header['comment'], $p_header['comment_len']);
+ }
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : privWriteCentralHeader()
+ // Description :
+ // Parameters :
+ // Return Values :
+ // --------------------------------------------------------------------------------
+ function privWriteCentralHeader($p_nb_entries, $p_size, $p_offset, $p_comment)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZip::privWriteCentralHeader", 'nb_entries='.$p_nb_entries.', size='.$p_size.', offset='.$p_offset.', comment="'.$p_comment.'"');
+ $v_result=1;
+
+ // ----- Packed data
+ $v_binary_data = pack("VvvvvVVv", 0x06054b50, 0, 0, $p_nb_entries,
+ $p_nb_entries, $p_size,
+ $p_offset, strlen($p_comment));
+
+ // ----- Write the 22 bytes of the header in the zip file
+ fputs($this->zip_fd, $v_binary_data, 22);
+
+ // ----- Write the variable fields
+ if (strlen($p_comment) != 0)
+ {
+ fputs($this->zip_fd, $p_comment, strlen($p_comment));
+ }
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : privList()
+ // Description :
+ // Parameters :
+ // Return Values :
+ // --------------------------------------------------------------------------------
+ function privList(&$p_list)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZip::privList", "list");
+ $v_result=1;
+
+ // ----- Magic quotes trick
+ $this->privDisableMagicQuotes();
+
+ // ----- Open the zip file
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Open file in binary read mode");
+ if (($this->zip_fd = @fopen($this->zipname, 'rb')) == 0)
+ {
+ // ----- Magic quotes trick
+ $this->privSwapBackMagicQuotes();
+
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_READ_OPEN_FAIL, 'Unable to open archive \''.$this->zipname.'\' in binary read mode');
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+
+ // ----- Read the central directory informations
+ $v_central_dir = array();
+ if (($v_result = $this->privReadEndCentralDir($v_central_dir)) != 1)
+ {
+ $this->privSwapBackMagicQuotes();
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Go to beginning of Central Dir
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Offset : ".$v_central_dir['offset']."'");
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Position in file : ".ftell($this->zip_fd)."'");
+ @rewind($this->zip_fd);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Position in file : ".ftell($this->zip_fd)."'");
+ if (@fseek($this->zip_fd, $v_central_dir['offset']))
+ {
+ $this->privSwapBackMagicQuotes();
+
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_INVALID_ARCHIVE_ZIP, 'Invalid archive size');
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Position in file : ".ftell($this->zip_fd)."'");
+
+ // ----- Read each entry
+ for ($i=0; $i<$v_central_dir['entries']; $i++)
+ {
+ // ----- Read the file header
+ if (($v_result = $this->privReadCentralFileHeader($v_header)) != 1)
+ {
+ $this->privSwapBackMagicQuotes();
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ $v_header['index'] = $i;
+
+ // ----- Get the only interesting attributes
+ $this->privConvertHeader2FileInfo($v_header, $p_list[$i]);
+ unset($v_header);
+ }
+
+ // ----- Close the zip file
+ $this->privCloseFd();
+
+ // ----- Magic quotes trick
+ $this->privSwapBackMagicQuotes();
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : privConvertHeader2FileInfo()
+ // Description :
+ // This function takes the file informations from the central directory
+ // entries and extract the interesting parameters that will be given back.
+ // The resulting file infos are set in the array $p_info
+ // $p_info['filename'] : Filename with full path. Given by user (add),
+ // extracted in the filesystem (extract).
+ // $p_info['stored_filename'] : Stored filename in the archive.
+ // $p_info['size'] = Size of the file.
+ // $p_info['compressed_size'] = Compressed size of the file.
+ // $p_info['mtime'] = Last modification date of the file.
+ // $p_info['comment'] = Comment associated with the file.
+ // $p_info['folder'] = true/false : indicates if the entry is a folder or not.
+ // $p_info['status'] = status of the action on the file.
+ // Parameters :
+ // Return Values :
+ // --------------------------------------------------------------------------------
+ function privConvertHeader2FileInfo($p_header, &$p_info)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZip::privConvertHeader2FileInfo", "Filename='".$p_header['filename']."'");
+ $v_result=1;
+
+ // ----- Get the interesting attributes
+ $p_info['filename'] = $p_header['filename'];
+ $p_info['stored_filename'] = $p_header['stored_filename'];
+ $p_info['size'] = $p_header['size'];
+ $p_info['compressed_size'] = $p_header['compressed_size'];
+ $p_info['mtime'] = $p_header['mtime'];
+ $p_info['comment'] = $p_header['comment'];
+ $p_info['folder'] = (($p_header['external']&0x00000010)==0x00000010);
+ $p_info['index'] = $p_header['index'];
+ $p_info['status'] = $p_header['status'];
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : privExtractByRule()
+ // Description :
+ // Extract a file or directory depending of rules (by index, by name, ...)
+ // Parameters :
+ // $p_file_list : An array where will be placed the properties of each
+ // extracted file
+ // $p_path : Path to add while writing the extracted files
+ // $p_remove_path : Path to remove (from the file memorized path) while writing the
+ // extracted files. If the path does not match the file path,
+ // the file is extracted with its memorized path.
+ // $p_remove_path does not apply to 'list' mode.
+ // $p_path and $p_remove_path are commulative.
+ // Return Values :
+ // 1 on success,0 or less on error (see error code list)
+ // --------------------------------------------------------------------------------
+ function privExtractByRule(&$p_file_list, $p_path, $p_remove_path, $p_remove_all_path, &$p_options)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZip::privExtractByRule", "path='$p_path', remove_path='$p_remove_path', remove_all_path='".($p_remove_all_path?'true':'false')."'");
+ $v_result=1;
+
+ // ----- Magic quotes trick
+ $this->privDisableMagicQuotes();
+
+ // ----- Check the path
+ if ( ($p_path == "")
+ || ( (substr($p_path, 0, 1) != "/")
+ && (substr($p_path, 0, 3) != "../")
+ && (substr($p_path,1,2)!=":/")))
+ $p_path = "./".$p_path;
+
+ // ----- Reduce the path last (and duplicated) '/'
+ if (($p_path != "./") && ($p_path != "/"))
+ {
+ // ----- Look for the path end '/'
+ while (substr($p_path, -1) == "/")
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Destination path [$p_path] ends by '/'");
+ $p_path = substr($p_path, 0, strlen($p_path)-1);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Modified to [$p_path]");
+ }
+ }
+
+ // ----- Look for path to remove format (should end by /)
+ if (($p_remove_path != "") && (substr($p_remove_path, -1) != '/'))
+ {
+ $p_remove_path .= '/';
+ }
+ $p_remove_path_size = strlen($p_remove_path);
+
+ // ----- Open the zip file
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Open file in binary read mode");
+ if (($v_result = $this->privOpenFd('rb')) != 1)
+ {
+ $this->privSwapBackMagicQuotes();
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Read the central directory informations
+ $v_central_dir = array();
+ if (($v_result = $this->privReadEndCentralDir($v_central_dir)) != 1)
+ {
+ // ----- Close the zip file
+ $this->privCloseFd();
+ $this->privSwapBackMagicQuotes();
+
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Start at beginning of Central Dir
+ $v_pos_entry = $v_central_dir['offset'];
+
+ // ----- Read each entry
+ $j_start = 0;
+ for ($i=0, $v_nb_extracted=0; $i<$v_central_dir['entries']; $i++)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Read next file header entry : '$i'");
+
+ // ----- Read next Central dir entry
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "Position before rewind : ".ftell($this->zip_fd)."'");
+ @rewind($this->zip_fd);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "Position after rewind : ".ftell($this->zip_fd)."'");
+ if (@fseek($this->zip_fd, $v_pos_entry))
+ {
+ // ----- Close the zip file
+ $this->privCloseFd();
+ $this->privSwapBackMagicQuotes();
+
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_INVALID_ARCHIVE_ZIP, 'Invalid archive size');
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Position after fseek : ".ftell($this->zip_fd)."'");
+
+ // ----- Read the file header
+ $v_header = array();
+ if (($v_result = $this->privReadCentralFileHeader($v_header)) != 1)
+ {
+ // ----- Close the zip file
+ $this->privCloseFd();
+ $this->privSwapBackMagicQuotes();
+
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Store the index
+ $v_header['index'] = $i;
+
+ // ----- Store the file position
+ $v_pos_entry = ftell($this->zip_fd);
+
+ // ----- Look for the specific extract rules
+ $v_extract = false;
+
+ // ----- Look for extract by name rule
+ if ( (isset($p_options[PCLZIP_OPT_BY_NAME]))
+ && ($p_options[PCLZIP_OPT_BY_NAME] != 0)) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Extract with rule 'ByName'");
+
+ // ----- Look if the filename is in the list
+ for ($j=0; ($j<sizeof($p_options[PCLZIP_OPT_BY_NAME])) && (!$v_extract); $j++) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Compare with file '".$p_options[PCLZIP_OPT_BY_NAME][$j]."'");
+
+ // ----- Look for a directory
+ if (substr($p_options[PCLZIP_OPT_BY_NAME][$j], -1) == "/") {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "The searched item is a directory");
+
+ // ----- Look if the directory is in the filename path
+ if ( (strlen($v_header['stored_filename']) > strlen($p_options[PCLZIP_OPT_BY_NAME][$j]))
+ && (substr($v_header['stored_filename'], 0, strlen($p_options[PCLZIP_OPT_BY_NAME][$j])) == $p_options[PCLZIP_OPT_BY_NAME][$j])) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "The directory is in the file path");
+ $v_extract = true;
+ }
+ }
+ // ----- Look for a filename
+ elseif ($v_header['stored_filename'] == $p_options[PCLZIP_OPT_BY_NAME][$j]) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "The file is the right one.");
+ $v_extract = true;
+ }
+ }
+ }
+
+ // ----- Look for extract by ereg rule
+ else if ( (isset($p_options[PCLZIP_OPT_BY_EREG]))
+ && ($p_options[PCLZIP_OPT_BY_EREG] != "")) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Extract by ereg '".$p_options[PCLZIP_OPT_BY_EREG]."'");
+
+ if (ereg($p_options[PCLZIP_OPT_BY_EREG], $v_header['stored_filename'])) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Filename match the regular expression");
+ $v_extract = true;
+ }
+ }
+
+ // ----- Look for extract by preg rule
+ else if ( (isset($p_options[PCLZIP_OPT_BY_PREG]))
+ && ($p_options[PCLZIP_OPT_BY_PREG] != "")) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Extract with rule 'ByEreg'");
+
+ if (preg_match($p_options[PCLZIP_OPT_BY_PREG], $v_header['stored_filename'])) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Filename match the regular expression");
+ $v_extract = true;
+ }
+ }
+
+ // ----- Look for extract by index rule
+ else if ( (isset($p_options[PCLZIP_OPT_BY_INDEX]))
+ && ($p_options[PCLZIP_OPT_BY_INDEX] != 0)) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Extract with rule 'ByIndex'");
+
+ // ----- Look if the index is in the list
+ for ($j=$j_start; ($j<sizeof($p_options[PCLZIP_OPT_BY_INDEX])) && (!$v_extract); $j++) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Look if index '$i' is in [".$p_options[PCLZIP_OPT_BY_INDEX][$j]['start'].",".$p_options[PCLZIP_OPT_BY_INDEX][$j]['end']."]");
+
+ if (($i>=$p_options[PCLZIP_OPT_BY_INDEX][$j]['start']) && ($i<=$p_options[PCLZIP_OPT_BY_INDEX][$j]['end'])) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Found as part of an index range");
+ $v_extract = true;
+ }
+ if ($i>=$p_options[PCLZIP_OPT_BY_INDEX][$j]['end']) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Do not look this index range for next loop");
+ $j_start = $j+1;
+ }
+
+ if ($p_options[PCLZIP_OPT_BY_INDEX][$j]['start']>$i) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Index range is greater than index, stop loop");
+ break;
+ }
+ }
+ }
+
+ // ----- Look for no rule, which means extract all the archive
+ else {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Extract with no rule (extract all)");
+ $v_extract = true;
+ }
+
+ // ----- Check compression method
+ if ( ($v_extract)
+ && ( ($v_header['compression'] != 8)
+ && ($v_header['compression'] != 0))) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Unsupported compression method (".$v_header['compression'].")");
+ $v_header['status'] = 'unsupported_compression';
+
+ // ----- Look for PCLZIP_OPT_STOP_ON_ERROR
+ if ( (isset($p_options[PCLZIP_OPT_STOP_ON_ERROR]))
+ && ($p_options[PCLZIP_OPT_STOP_ON_ERROR]===true)) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "PCLZIP_OPT_STOP_ON_ERROR is selected, extraction will be stopped");
+
+ $this->privSwapBackMagicQuotes();
+
+ PclZip::privErrorLog(PCLZIP_ERR_UNSUPPORTED_COMPRESSION,
+ "Filename '".$v_header['stored_filename']."' is "
+ ."compressed by an unsupported compression "
+ ."method (".$v_header['compression'].") ");
+
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+ }
+
+ // ----- Check encrypted files
+ if (($v_extract) && (($v_header['flag'] & 1) == 1)) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Unsupported file encryption");
+ $v_header['status'] = 'unsupported_encryption';
+
+ // ----- Look for PCLZIP_OPT_STOP_ON_ERROR
+ if ( (isset($p_options[PCLZIP_OPT_STOP_ON_ERROR]))
+ && ($p_options[PCLZIP_OPT_STOP_ON_ERROR]===true)) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "PCLZIP_OPT_STOP_ON_ERROR is selected, extraction will be stopped");
+
+ $this->privSwapBackMagicQuotes();
+
+ PclZip::privErrorLog(PCLZIP_ERR_UNSUPPORTED_ENCRYPTION,
+ "Unsupported encryption for "
+ ." filename '".$v_header['stored_filename']
+ ."'");
+
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+ }
+
+ // ----- Look for real extraction
+ if (($v_extract) && ($v_header['status'] != 'ok')) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "No need for extract");
+ $v_result = $this->privConvertHeader2FileInfo($v_header,
+ $p_file_list[$v_nb_extracted++]);
+ if ($v_result != 1) {
+ $this->privCloseFd();
+ $this->privSwapBackMagicQuotes();
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ $v_extract = false;
+ }
+
+ // ----- Look for real extraction
+ if ($v_extract)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Extracting file '".$v_header['filename']."', index '$i'");
+
+ // ----- Go to the file position
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Position before rewind : ".ftell($this->zip_fd)."'");
+ @rewind($this->zip_fd);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Position after rewind : ".ftell($this->zip_fd)."'");
+ if (@fseek($this->zip_fd, $v_header['offset']))
+ {
+ // ----- Close the zip file
+ $this->privCloseFd();
+
+ $this->privSwapBackMagicQuotes();
+
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_INVALID_ARCHIVE_ZIP, 'Invalid archive size');
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Position after fseek : ".ftell($this->zip_fd)."'");
+
+ // ----- Look for extraction as string
+ if ($p_options[PCLZIP_OPT_EXTRACT_AS_STRING]) {
+
+ // ----- Extracting the file
+ $v_result1 = $this->privExtractFileAsString($v_header, $v_string);
+ if ($v_result1 < 1) {
+ $this->privCloseFd();
+ $this->privSwapBackMagicQuotes();
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result1);
+ return $v_result1;
+ }
+
+ // ----- Get the only interesting attributes
+ if (($v_result = $this->privConvertHeader2FileInfo($v_header, $p_file_list[$v_nb_extracted])) != 1)
+ {
+ // ----- Close the zip file
+ $this->privCloseFd();
+ $this->privSwapBackMagicQuotes();
+
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Set the file content
+ $p_file_list[$v_nb_extracted]['content'] = $v_string;
+
+ // ----- Next extracted file
+ $v_nb_extracted++;
+
+ // ----- Look for user callback abort
+ if ($v_result1 == 2) {
+ break;
+ }
+ }
+ // ----- Look for extraction in standard output
+ elseif ( (isset($p_options[PCLZIP_OPT_EXTRACT_IN_OUTPUT]))
+ && ($p_options[PCLZIP_OPT_EXTRACT_IN_OUTPUT])) {
+ // ----- Extracting the file in standard output
+ $v_result1 = $this->privExtractFileInOutput($v_header, $p_options);
+ if ($v_result1 < 1) {
+ $this->privCloseFd();
+ $this->privSwapBackMagicQuotes();
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result1);
+ return $v_result1;
+ }
+
+ // ----- Get the only interesting attributes
+ if (($v_result = $this->privConvertHeader2FileInfo($v_header, $p_file_list[$v_nb_extracted++])) != 1) {
+ $this->privCloseFd();
+ $this->privSwapBackMagicQuotes();
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Look for user callback abort
+ if ($v_result1 == 2) {
+ break;
+ }
+ }
+ // ----- Look for normal extraction
+ else {
+ // ----- Extracting the file
+ $v_result1 = $this->privExtractFile($v_header,
+ $p_path, $p_remove_path,
+ $p_remove_all_path,
+ $p_options);
+ if ($v_result1 < 1) {
+ $this->privCloseFd();
+ $this->privSwapBackMagicQuotes();
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result1);
+ return $v_result1;
+ }
+
+ // ----- Get the only interesting attributes
+ if (($v_result = $this->privConvertHeader2FileInfo($v_header, $p_file_list[$v_nb_extracted++])) != 1)
+ {
+ // ----- Close the zip file
+ $this->privCloseFd();
+ $this->privSwapBackMagicQuotes();
+
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Look for user callback abort
+ if ($v_result1 == 2) {
+ break;
+ }
+ }
+ }
+ }
+
+ // ----- Close the zip file
+ $this->privCloseFd();
+ $this->privSwapBackMagicQuotes();
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : privExtractFile()
+ // Description :
+ // Parameters :
+ // Return Values :
+ //
+ // 1 : ... ?
+ // PCLZIP_ERR_USER_ABORTED(2) : User ask for extraction stop in callback
+ // --------------------------------------------------------------------------------
+ function privExtractFile(&$p_entry, $p_path, $p_remove_path, $p_remove_all_path, &$p_options)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, 'PclZip::privExtractFile', "path='$p_path', remove_path='$p_remove_path', remove_all_path='".($p_remove_all_path?'true':'false')."'");
+ $v_result=1;
+
+ // ----- Read the file header
+ if (($v_result = $this->privReadFileHeader($v_header)) != 1)
+ {
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Found file '".$v_header['filename']."', size '".$v_header['size']."'");
+
+ // ----- Check that the file header is coherent with $p_entry info
+ if ($this->privCheckFileHeaders($v_header, $p_entry) != 1) {
+ // TBC
+ }
+
+ // ----- Look for all path to remove
+ if ($p_remove_all_path == true) {
+ // ----- Look for folder entry that not need to be extracted
+ if (($p_entry['external']&0x00000010)==0x00000010) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "The entry is a folder : need to be filtered");
+
+ $p_entry['status'] = "filtered";
+
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "All path is removed");
+ // ----- Get the basename of the path
+ $p_entry['filename'] = basename($p_entry['filename']);
+ }
+
+ // ----- Look for path to remove
+ else if ($p_remove_path != "")
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Look for some path to remove");
+ if (PclZipUtilPathInclusion($p_remove_path, $p_entry['filename']) == 2)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "The folder is the same as the removed path '".$p_entry['filename']."'");
+
+ // ----- Change the file status
+ $p_entry['status'] = "filtered";
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ $p_remove_path_size = strlen($p_remove_path);
+ if (substr($p_entry['filename'], 0, $p_remove_path_size) == $p_remove_path)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Found path '$p_remove_path' to remove in file '".$p_entry['filename']."'");
+
+ // ----- Remove the path
+ $p_entry['filename'] = substr($p_entry['filename'], $p_remove_path_size);
+
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Resulting file is '".$p_entry['filename']."'");
+ }
+ }
+
+ // ----- Add the path
+ if ($p_path != '') {
+ $p_entry['filename'] = $p_path."/".$p_entry['filename'];
+ }
+
+ // ----- Check a base_dir_restriction
+ if (isset($p_options[PCLZIP_OPT_EXTRACT_DIR_RESTRICTION])) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Check the extract directory restriction");
+ $v_inclusion
+ = PclZipUtilPathInclusion($p_options[PCLZIP_OPT_EXTRACT_DIR_RESTRICTION],
+ $p_entry['filename']);
+ if ($v_inclusion == 0) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "PCLZIP_OPT_EXTRACT_DIR_RESTRICTION is selected, file is outside restriction");
+
+ PclZip::privErrorLog(PCLZIP_ERR_DIRECTORY_RESTRICTION,
+ "Filename '".$p_entry['filename']."' is "
+ ."outside PCLZIP_OPT_EXTRACT_DIR_RESTRICTION");
+
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+ }
+
+ // ----- Look for pre-extract callback
+ if (isset($p_options[PCLZIP_CB_PRE_EXTRACT])) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "A pre-callback '".$p_options[PCLZIP_CB_PRE_EXTRACT]."()') is defined for the extraction");
+
+ // ----- Generate a local information
+ $v_local_header = array();
+ $this->privConvertHeader2FileInfo($p_entry, $v_local_header);
+
+ // ----- Call the callback
+ // Here I do not use call_user_func() because I need to send a reference to the
+ // header.
+ eval('$v_result = '.$p_options[PCLZIP_CB_PRE_EXTRACT].'(PCLZIP_CB_PRE_EXTRACT, $v_local_header);');
+ if ($v_result == 0) {
+ // ----- Change the file status
+ $p_entry['status'] = "skipped";
+ $v_result = 1;
+ }
+
+ // ----- Look for abort result
+ if ($v_result == 2) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "User callback abort the extraction");
+ // ----- This status is internal and will be changed in 'skipped'
+ $p_entry['status'] = "aborted";
+ $v_result = PCLZIP_ERR_USER_ABORTED;
+ }
+
+ // ----- Update the informations
+ // Only some fields can be modified
+ $p_entry['filename'] = $v_local_header['filename'];
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "New filename is '".$p_entry['filename']."'");
+ }
+
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Extracting file (with path) '".$p_entry['filename']."', size '$v_header[size]'");
+
+ // ----- Look if extraction should be done
+ if ($p_entry['status'] == 'ok') {
+
+ // ----- Look for specific actions while the file exist
+ if (file_exists($p_entry['filename']))
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "File '".$p_entry['filename']."' already exists");
+
+ // ----- Look if file is a directory
+ if (is_dir($p_entry['filename']))
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Existing file '".$p_entry['filename']."' is a directory");
+
+ // ----- Change the file status
+ $p_entry['status'] = "already_a_directory";
+
+ // ----- Look for PCLZIP_OPT_STOP_ON_ERROR
+ // For historical reason first PclZip implementation does not stop
+ // when this kind of error occurs.
+ if ( (isset($p_options[PCLZIP_OPT_STOP_ON_ERROR]))
+ && ($p_options[PCLZIP_OPT_STOP_ON_ERROR]===true)) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "PCLZIP_OPT_STOP_ON_ERROR is selected, extraction will be stopped");
+
+ PclZip::privErrorLog(PCLZIP_ERR_ALREADY_A_DIRECTORY,
+ "Filename '".$p_entry['filename']."' is "
+ ."already used by an existing directory");
+
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+ }
+ // ----- Look if file is write protected
+ else if (!is_writeable($p_entry['filename']))
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Existing file '".$p_entry['filename']."' is write protected");
+
+ // ----- Change the file status
+ $p_entry['status'] = "write_protected";
+
+ // ----- Look for PCLZIP_OPT_STOP_ON_ERROR
+ // For historical reason first PclZip implementation does not stop
+ // when this kind of error occurs.
+ if ( (isset($p_options[PCLZIP_OPT_STOP_ON_ERROR]))
+ && ($p_options[PCLZIP_OPT_STOP_ON_ERROR]===true)) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "PCLZIP_OPT_STOP_ON_ERROR is selected, extraction will be stopped");
+
+ PclZip::privErrorLog(PCLZIP_ERR_WRITE_OPEN_FAIL,
+ "Filename '".$p_entry['filename']."' exists "
+ ."and is write protected");
+
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+ }
+
+ // ----- Look if the extracted file is older
+ else if (filemtime($p_entry['filename']) > $p_entry['mtime'])
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Existing file '".$p_entry['filename']."' is newer (".date("l dS of F Y h:i:s A", filemtime($p_entry['filename'])).") than the extracted file (".date("l dS of F Y h:i:s A", $p_entry['mtime']).")");
+ // ----- Change the file status
+ if ( (isset($p_options[PCLZIP_OPT_REPLACE_NEWER]))
+ && ($p_options[PCLZIP_OPT_REPLACE_NEWER]===true)) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "PCLZIP_OPT_REPLACE_NEWER is selected, file will be replaced");
+ }
+ else {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "File will not be replaced");
+ $p_entry['status'] = "newer_exist";
+
+ // ----- Look for PCLZIP_OPT_STOP_ON_ERROR
+ // For historical reason first PclZip implementation does not stop
+ // when this kind of error occurs.
+ if ( (isset($p_options[PCLZIP_OPT_STOP_ON_ERROR]))
+ && ($p_options[PCLZIP_OPT_STOP_ON_ERROR]===true)) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "PCLZIP_OPT_STOP_ON_ERROR is selected, extraction will be stopped");
+
+ PclZip::privErrorLog(PCLZIP_ERR_WRITE_OPEN_FAIL,
+ "Newer version of '".$p_entry['filename']."' exists "
+ ."and option PCLZIP_OPT_REPLACE_NEWER is not selected");
+
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+ }
+ }
+ else {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Existing file '".$p_entry['filename']."' is older than the extrated one - will be replaced by the extracted one (".date("l dS of F Y h:i:s A", filemtime($p_entry['filename'])).") than the extracted file (".date("l dS of F Y h:i:s A", $p_entry['mtime']).")");
+ }
+ }
+
+ // ----- Check the directory availability and create it if necessary
+ else {
+ if ((($p_entry['external']&0x00000010)==0x00000010) || (substr($p_entry['filename'], -1) == '/'))
+ $v_dir_to_check = $p_entry['filename'];
+ else if (!strstr($p_entry['filename'], "/"))
+ $v_dir_to_check = "";
+ else
+ $v_dir_to_check = dirname($p_entry['filename']);
+
+ if (($v_result = $this->privDirCheck($v_dir_to_check, (($p_entry['external']&0x00000010)==0x00000010))) != 1) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Unable to create path for '".$p_entry['filename']."'");
+
+ // ----- Change the file status
+ $p_entry['status'] = "path_creation_fail";
+
+ // ----- Return
+ ////--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ //return $v_result;
+ $v_result = 1;
+ }
+ }
+ }
+
+ // ----- Look if extraction should be done
+ if ($p_entry['status'] == 'ok') {
+
+ // ----- Do the extraction (if not a folder)
+ if (!(($p_entry['external']&0x00000010)==0x00000010))
+ {
+ // ----- Look for not compressed file
+ if ($p_entry['compression'] == 0) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Extracting an un-compressed file");
+
+ // ----- Opening destination file
+ if (($v_dest_file = @fopen($p_entry['filename'], 'wb')) == 0)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Error while opening '".$p_entry['filename']."' in write binary mode");
+
+ // ----- Change the file status
+ $p_entry['status'] = "write_error";
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Read '".$p_entry['size']."' bytes");
+
+ // ----- Read the file by PCLZIP_READ_BLOCK_SIZE octets blocks
+ $v_size = $p_entry['compressed_size'];
+ while ($v_size != 0)
+ {
+ $v_read_size = ($v_size < PCLZIP_READ_BLOCK_SIZE ? $v_size : PCLZIP_READ_BLOCK_SIZE);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Read $v_read_size bytes");
+ $v_buffer = @fread($this->zip_fd, $v_read_size);
+ /* Try to speed up the code
+ $v_binary_data = pack('a'.$v_read_size, $v_buffer);
+ @fwrite($v_dest_file, $v_binary_data, $v_read_size);
+ */
+ @fwrite($v_dest_file, $v_buffer, $v_read_size);
+ $v_size -= $v_read_size;
+ }
+
+ // ----- Closing the destination file
+ fclose($v_dest_file);
+
+ // ----- Change the file mtime
+ touch($p_entry['filename'], $p_entry['mtime']);
+
+
+ }
+ else {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Extracting a compressed file (Compression method ".$p_entry['compression'].")");
+ // ----- TBC
+ // Need to be finished
+ if (($p_entry['flag'] & 1) == 1) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "File is encrypted");
+ /*
+ // ----- Read the encryption header
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Read 12 encryption header bytes");
+ $v_encryption_header = @fread($this->zip_fd, 12);
+
+ // ----- Read the encrypted & compressed file in a buffer
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Read '".($p_entry['compressed_size']-12)."' compressed & encrypted bytes");
+ $v_buffer = @fread($this->zip_fd, $p_entry['compressed_size']-12);
+
+ // ----- Decrypt the buffer
+ $this->privDecrypt($v_encryption_header, $v_buffer,
+ $p_entry['compressed_size']-12, $p_entry['crc']);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Buffer is '".$v_buffer."'");
+ */
+ }
+ else {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Read '".$p_entry['compressed_size']."' compressed bytes");
+ // ----- Read the compressed file in a buffer (one shot)
+ $v_buffer = @fread($this->zip_fd, $p_entry['compressed_size']);
+ }
+
+ // ----- Decompress the file
+ $v_file_content = @gzinflate($v_buffer);
+ unset($v_buffer);
+ if ($v_file_content === FALSE) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Unable to inflate compressed file");
+
+ // ----- Change the file status
+ // TBC
+ $p_entry['status'] = "error";
+
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Opening destination file
+ if (($v_dest_file = @fopen($p_entry['filename'], 'wb')) == 0) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Error while opening '".$p_entry['filename']."' in write binary mode");
+
+ // ----- Change the file status
+ $p_entry['status'] = "write_error";
+
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Write the uncompressed data
+ @fwrite($v_dest_file, $v_file_content, $p_entry['size']);
+ unset($v_file_content);
+
+ // ----- Closing the destination file
+ @fclose($v_dest_file);
+
+ // ----- Change the file mtime
+ @touch($p_entry['filename'], $p_entry['mtime']);
+ }
+
+ // ----- Look for chmod option
+ if (isset($p_options[PCLZIP_OPT_SET_CHMOD])) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "chmod option activated '".$p_options[PCLZIP_OPT_SET_CHMOD]."'");
+
+ // ----- Change the mode of the file
+ @chmod($p_entry['filename'], $p_options[PCLZIP_OPT_SET_CHMOD]);
+ }
+
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Extraction done");
+ }
+ }
+
+ // ----- Change abort status
+ if ($p_entry['status'] == "aborted") {
+ $p_entry['status'] = "skipped";
+ }
+
+ // ----- Look for post-extract callback
+ elseif (isset($p_options[PCLZIP_CB_POST_EXTRACT])) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "A post-callback '".$p_options[PCLZIP_CB_POST_EXTRACT]."()') is defined for the extraction");
+
+ // ----- Generate a local information
+ $v_local_header = array();
+ $this->privConvertHeader2FileInfo($p_entry, $v_local_header);
+
+ // ----- Call the callback
+ // Here I do not use call_user_func() because I need to send a reference to the
+ // header.
+ eval('$v_result = '.$p_options[PCLZIP_CB_POST_EXTRACT].'(PCLZIP_CB_POST_EXTRACT, $v_local_header);');
+
+ // ----- Look for abort result
+ if ($v_result == 2) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "User callback abort the extraction");
+ $v_result = PCLZIP_ERR_USER_ABORTED;
+ }
+ }
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : privExtractFileInOutput()
+ // Description :
+ // Parameters :
+ // Return Values :
+ // --------------------------------------------------------------------------------
+ function privExtractFileInOutput(&$p_entry, &$p_options)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, 'PclZip::privExtractFileInOutput', "");
+ $v_result=1;
+
+ // ----- Read the file header
+ if (($v_result = $this->privReadFileHeader($v_header)) != 1) {
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Found file '".$v_header['filename']."', size '".$v_header['size']."'");
+
+ // ----- Check that the file header is coherent with $p_entry info
+ if ($this->privCheckFileHeaders($v_header, $p_entry) != 1) {
+ // TBC
+ }
+
+ // ----- Look for pre-extract callback
+ if (isset($p_options[PCLZIP_CB_PRE_EXTRACT])) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "A pre-callback '".$p_options[PCLZIP_CB_PRE_EXTRACT]."()') is defined for the extraction");
+
+ // ----- Generate a local information
+ $v_local_header = array();
+ $this->privConvertHeader2FileInfo($p_entry, $v_local_header);
+
+ // ----- Call the callback
+ // Here I do not use call_user_func() because I need to send a reference to the
+ // header.
+ eval('$v_result = '.$p_options[PCLZIP_CB_PRE_EXTRACT].'(PCLZIP_CB_PRE_EXTRACT, $v_local_header);');
+ if ($v_result == 0) {
+ // ----- Change the file status
+ $p_entry['status'] = "skipped";
+ $v_result = 1;
+ }
+
+ // ----- Look for abort result
+ if ($v_result == 2) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "User callback abort the extraction");
+ // ----- This status is internal and will be changed in 'skipped'
+ $p_entry['status'] = "aborted";
+ $v_result = PCLZIP_ERR_USER_ABORTED;
+ }
+
+ // ----- Update the informations
+ // Only some fields can be modified
+ $p_entry['filename'] = $v_local_header['filename'];
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "New filename is '".$p_entry['filename']."'");
+ }
+
+ // ----- Trace
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Extracting file (with path) '".$p_entry['filename']."', size '$v_header[size]'");
+
+ // ----- Look if extraction should be done
+ if ($p_entry['status'] == 'ok') {
+
+ // ----- Do the extraction (if not a folder)
+ if (!(($p_entry['external']&0x00000010)==0x00000010)) {
+ // ----- Look for not compressed file
+ if ($p_entry['compressed_size'] == $p_entry['size']) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Extracting an un-compressed file");
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Reading '".$p_entry['size']."' bytes");
+
+ // ----- Read the file in a buffer (one shot)
+ $v_buffer = @fread($this->zip_fd, $p_entry['compressed_size']);
+
+ // ----- Send the file to the output
+ echo $v_buffer;
+ unset($v_buffer);
+ }
+ else {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Extracting a compressed file");
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Reading '".$p_entry['size']."' bytes");
+
+ // ----- Read the compressed file in a buffer (one shot)
+ $v_buffer = @fread($this->zip_fd, $p_entry['compressed_size']);
+
+ // ----- Decompress the file
+ $v_file_content = gzinflate($v_buffer);
+ unset($v_buffer);
+
+ // ----- Send the file to the output
+ echo $v_file_content;
+ unset($v_file_content);
+ }
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Extraction done");
+ }
+ }
+
+ // ----- Change abort status
+ if ($p_entry['status'] == "aborted") {
+ $p_entry['status'] = "skipped";
+ }
+
+ // ----- Look for post-extract callback
+ elseif (isset($p_options[PCLZIP_CB_POST_EXTRACT])) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "A post-callback '".$p_options[PCLZIP_CB_POST_EXTRACT]."()') is defined for the extraction");
+
+ // ----- Generate a local information
+ $v_local_header = array();
+ $this->privConvertHeader2FileInfo($p_entry, $v_local_header);
+
+ // ----- Call the callback
+ // Here I do not use call_user_func() because I need to send a reference to the
+ // header.
+ eval('$v_result = '.$p_options[PCLZIP_CB_POST_EXTRACT].'(PCLZIP_CB_POST_EXTRACT, $v_local_header);');
+
+ // ----- Look for abort result
+ if ($v_result == 2) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "User callback abort the extraction");
+ $v_result = PCLZIP_ERR_USER_ABORTED;
+ }
+ }
+
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : privExtractFileAsString()
+ // Description :
+ // Parameters :
+ // Return Values :
+ // --------------------------------------------------------------------------------
+ function privExtractFileAsString(&$p_entry, &$p_string)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, 'PclZip::privExtractFileAsString', "p_entry['filename']='".$p_entry['filename']."'");
+ $v_result=1;
+
+ // ----- Read the file header
+ $v_header = array();
+ if (($v_result = $this->privReadFileHeader($v_header)) != 1)
+ {
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Found file '".$v_header['filename']."', size '".$v_header['size']."'");
+
+ // ----- Check that the file header is coherent with $p_entry info
+ if ($this->privCheckFileHeaders($v_header, $p_entry) != 1) {
+ // TBC
+ }
+
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Extracting file in string (with path) '".$p_entry['filename']."', size '$v_header[size]'");
+
+ // ----- Do the extraction (if not a folder)
+ if (!(($p_entry['external']&0x00000010)==0x00000010))
+ {
+ // ----- Look for not compressed file
+// if ($p_entry['compressed_size'] == $p_entry['size'])
+ if ($p_entry['compression'] == 0) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Extracting an un-compressed file");
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Reading '".$p_entry['size']."' bytes");
+
+ // ----- Reading the file
+ $p_string = @fread($this->zip_fd, $p_entry['compressed_size']);
+ }
+ else {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Extracting a compressed file (compression method '".$p_entry['compression']."')");
+
+ // ----- Reading the file
+ $v_data = @fread($this->zip_fd, $p_entry['compressed_size']);
+
+ // ----- Decompress the file
+ if (($p_string = @gzinflate($v_data)) === FALSE) {
+ // TBC
+ }
+ }
+
+ // ----- Trace
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Extraction done");
+ }
+ else {
+ // TBC : error : can not extract a folder in a string
+ }
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : privReadFileHeader()
+ // Description :
+ // Parameters :
+ // Return Values :
+ // --------------------------------------------------------------------------------
+ function privReadFileHeader(&$p_header)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZip::privReadFileHeader", "");
+ $v_result=1;
+
+ // ----- Read the 4 bytes signature
+ $v_binary_data = @fread($this->zip_fd, 4);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Binary data is : '".sprintf("%08x", $v_binary_data)."'");
+ $v_data = unpack('Vid', $v_binary_data);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Binary signature is : '".sprintf("0x%08x", $v_data['id'])."'");
+
+ // ----- Check signature
+ if ($v_data['id'] != 0x04034b50)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Invalid File header");
+
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_BAD_FORMAT, 'Invalid archive structure');
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+
+ // ----- Read the first 42 bytes of the header
+ $v_binary_data = fread($this->zip_fd, 26);
+
+ // ----- Look for invalid block size
+ if (strlen($v_binary_data) != 26)
+ {
+ $p_header['filename'] = "";
+ $p_header['status'] = "invalid_header";
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Invalid block size : ".strlen($v_binary_data));
+
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_BAD_FORMAT, "Invalid block size : ".strlen($v_binary_data));
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+
+ // ----- Extract the values
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Header : '".$v_binary_data."'");
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Header (Hex) : '".bin2hex($v_binary_data)."'");
+ $v_data = unpack('vversion/vflag/vcompression/vmtime/vmdate/Vcrc/Vcompressed_size/Vsize/vfilename_len/vextra_len', $v_binary_data);
+
+ // ----- Get filename
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "File name length : ".$v_data['filename_len']);
+ $p_header['filename'] = fread($this->zip_fd, $v_data['filename_len']);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, 'Filename : \''.$p_header['filename'].'\'');
+
+ // ----- Get extra_fields
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Extra field length : ".$v_data['extra_len']);
+ if ($v_data['extra_len'] != 0) {
+ $p_header['extra'] = fread($this->zip_fd, $v_data['extra_len']);
+ }
+ else {
+ $p_header['extra'] = '';
+ }
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, 'Extra field : \''.bin2hex($p_header['extra']).'\'');
+
+ // ----- Extract properties
+ $p_header['version_extracted'] = $v_data['version'];
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, 'Version need to extract : ('.$p_header['version_extracted'].') \''.($p_header['version_extracted']/10).'.'.($p_header['version_extracted']%10).'\'');
+ $p_header['compression'] = $v_data['compression'];
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, 'Compression method : \''.$p_header['compression'].'\'');
+ $p_header['size'] = $v_data['size'];
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, 'Size : \''.$p_header['size'].'\'');
+ $p_header['compressed_size'] = $v_data['compressed_size'];
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, 'Compressed Size : \''.$p_header['compressed_size'].'\'');
+ $p_header['crc'] = $v_data['crc'];
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, 'CRC : \''.sprintf("0x%X", $p_header['crc']).'\'');
+ $p_header['flag'] = $v_data['flag'];
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, 'Flag : \''.$p_header['flag'].'\'');
+ $p_header['filename_len'] = $v_data['filename_len'];
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, 'Filename_len : \''.$p_header['filename_len'].'\'');
+
+ // ----- Recuperate date in UNIX format
+ $p_header['mdate'] = $v_data['mdate'];
+ $p_header['mtime'] = $v_data['mtime'];
+ if ($p_header['mdate'] && $p_header['mtime'])
+ {
+ // ----- Extract time
+ $v_hour = ($p_header['mtime'] & 0xF800) >> 11;
+ $v_minute = ($p_header['mtime'] & 0x07E0) >> 5;
+ $v_seconde = ($p_header['mtime'] & 0x001F)*2;
+
+ // ----- Extract date
+ $v_year = (($p_header['mdate'] & 0xFE00) >> 9) + 1980;
+ $v_month = ($p_header['mdate'] & 0x01E0) >> 5;
+ $v_day = $p_header['mdate'] & 0x001F;
+
+ // ----- Get UNIX date format
+ $p_header['mtime'] = mktime($v_hour, $v_minute, $v_seconde, $v_month, $v_day, $v_year);
+
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, 'Date : \''.date("d/m/y H:i:s", $p_header['mtime']).'\'');
+ }
+ else
+ {
+ $p_header['mtime'] = time();
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, 'Date is actual : \''.date("d/m/y H:i:s", $p_header['mtime']).'\'');
+ }
+
+ // TBC
+ //for(reset($v_data); $key = key($v_data); next($v_data)) {
+ // //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Attribut[$key] = ".$v_data[$key]);
+ //}
+
+ // ----- Set the stored filename
+ $p_header['stored_filename'] = $p_header['filename'];
+
+ // ----- Set the status field
+ $p_header['status'] = "ok";
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : privReadCentralFileHeader()
+ // Description :
+ // Parameters :
+ // Return Values :
+ // --------------------------------------------------------------------------------
+ function privReadCentralFileHeader(&$p_header)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZip::privReadCentralFileHeader", "");
+ $v_result=1;
+
+ // ----- Read the 4 bytes signature
+ $v_binary_data = @fread($this->zip_fd, 4);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Binary data is : '".sprintf("%08x", $v_binary_data)."'");
+ $v_data = unpack('Vid', $v_binary_data);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Binary signature is : '".sprintf("0x%08x", $v_data['id'])."'");
+
+ // ----- Check signature
+ if ($v_data['id'] != 0x02014b50)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Invalid Central Dir File signature");
+
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_BAD_FORMAT, 'Invalid archive structure');
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+
+ // ----- Read the first 42 bytes of the header
+ $v_binary_data = fread($this->zip_fd, 42);
+
+ // ----- Look for invalid block size
+ if (strlen($v_binary_data) != 42)
+ {
+ $p_header['filename'] = "";
+ $p_header['status'] = "invalid_header";
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Invalid block size : ".strlen($v_binary_data));
+
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_BAD_FORMAT, "Invalid block size : ".strlen($v_binary_data));
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+
+ // ----- Extract the values
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Header : '".$v_binary_data."'");
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Header (Hex) : '".bin2hex($v_binary_data)."'");
+ $p_header = unpack('vversion/vversion_extracted/vflag/vcompression/vmtime/vmdate/Vcrc/Vcompressed_size/Vsize/vfilename_len/vextra_len/vcomment_len/vdisk/vinternal/Vexternal/Voffset', $v_binary_data);
+
+ // ----- Get filename
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "File name length : ".$p_header['filename_len']);
+ if ($p_header['filename_len'] != 0)
+ $p_header['filename'] = fread($this->zip_fd, $p_header['filename_len']);
+ else
+ $p_header['filename'] = '';
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, 'Filename : \''.$p_header['filename'].'\'');
+
+ // ----- Get extra
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "Extra length : ".$p_header['extra_len']);
+ if ($p_header['extra_len'] != 0)
+ $p_header['extra'] = fread($this->zip_fd, $p_header['extra_len']);
+ else
+ $p_header['extra'] = '';
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, 'Extra : \''.$p_header['extra'].'\'');
+
+ // ----- Get comment
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "Comment length : ".$p_header['comment_len']);
+ if ($p_header['comment_len'] != 0)
+ $p_header['comment'] = fread($this->zip_fd, $p_header['comment_len']);
+ else
+ $p_header['comment'] = '';
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, 'Comment : \''.$p_header['comment'].'\'');
+
+ // ----- Extract properties
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, 'Version : \''.($p_header['version']/10).'.'.($p_header['version']%10).'\'');
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, 'Version need to extract : \''.($p_header['version_extracted']/10).'.'.($p_header['version_extracted']%10).'\'');
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, 'Size : \''.$p_header['size'].'\'');
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, 'Compressed Size : \''.$p_header['compressed_size'].'\'');
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, 'CRC : \''.sprintf("0x%X", $p_header['crc']).'\'');
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, 'Flag : \''.$p_header['flag'].'\'');
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, 'Offset : \''.$p_header['offset'].'\'');
+
+ // ----- Recuperate date in UNIX format
+ if ($p_header['mdate'] && $p_header['mtime'])
+ {
+ // ----- Extract time
+ $v_hour = ($p_header['mtime'] & 0xF800) >> 11;
+ $v_minute = ($p_header['mtime'] & 0x07E0) >> 5;
+ $v_seconde = ($p_header['mtime'] & 0x001F)*2;
+
+ // ----- Extract date
+ $v_year = (($p_header['mdate'] & 0xFE00) >> 9) + 1980;
+ $v_month = ($p_header['mdate'] & 0x01E0) >> 5;
+ $v_day = $p_header['mdate'] & 0x001F;
+
+ // ----- Get UNIX date format
+ $p_header['mtime'] = mktime($v_hour, $v_minute, $v_seconde, $v_month, $v_day, $v_year);
+
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, 'Date : \''.date("d/m/y H:i:s", $p_header['mtime']).'\'');
+ }
+ else
+ {
+ $p_header['mtime'] = time();
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, 'Date is actual : \''.date("d/m/y H:i:s", $p_header['mtime']).'\'');
+ }
+
+ // ----- Set the stored filename
+ $p_header['stored_filename'] = $p_header['filename'];
+
+ // ----- Set default status to ok
+ $p_header['status'] = 'ok';
+
+ // ----- Look if it is a directory
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Internal (Hex) : '".sprintf("Ox%04X", $p_header['internal'])."'");
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "External (Hex) : '".sprintf("Ox%04X", $p_header['external'])."' (".(($p_header['external']&0x00000010)==0x00000010?'is a folder':'is a file').')');
+ if (substr($p_header['filename'], -1) == '/') {
+ //$p_header['external'] = 0x41FF0010;
+ $p_header['external'] = 0x00000010;
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, 'Force folder external : \''.sprintf("Ox%04X", $p_header['external']).'\'');
+ }
+
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, 'Header of filename : \''.$p_header['filename'].'\'');
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : privCheckFileHeaders()
+ // Description :
+ // Parameters :
+ // Return Values :
+ // 1 on success,
+ // 0 on error;
+ // --------------------------------------------------------------------------------
+ function privCheckFileHeaders(&$p_local_header, &$p_central_header)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZip::privCheckFileHeaders", "");
+ $v_result=1;
+
+ // ----- Check the static values
+ // TBC
+ if ($p_local_header['filename'] != $p_central_header['filename']) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, 'Bad check "filename" : TBC To Be Completed');
+ }
+ if ($p_local_header['version_extracted'] != $p_central_header['version_extracted']) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, 'Bad check "version_extracted" : TBC To Be Completed');
+ }
+ if ($p_local_header['flag'] != $p_central_header['flag']) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, 'Bad check "flag" : TBC To Be Completed');
+ }
+ if ($p_local_header['compression'] != $p_central_header['compression']) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, 'Bad check "compression" : TBC To Be Completed');
+ }
+ if ($p_local_header['mtime'] != $p_central_header['mtime']) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, 'Bad check "mtime" : TBC To Be Completed');
+ }
+ if ($p_local_header['filename_len'] != $p_central_header['filename_len']) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, 'Bad check "filename_len" : TBC To Be Completed');
+ }
+
+ // ----- Look for flag bit 3
+ if (($p_local_header['flag'] & 8) == 8) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, 'Purpose bit flag bit 3 set !');
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, 'File size, compression size and crc found in central header');
+ $p_local_header['size'] = $p_central_header['size'];
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, 'Size : \''.$p_local_header['size'].'\'');
+ $p_local_header['compressed_size'] = $p_central_header['compressed_size'];
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, 'Compressed Size : \''.$p_local_header['compressed_size'].'\'');
+ $p_local_header['crc'] = $p_central_header['crc'];
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, 'CRC : \''.sprintf("0x%X", $p_local_header['crc']).'\'');
+ }
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : privReadEndCentralDir()
+ // Description :
+ // Parameters :
+ // Return Values :
+ // --------------------------------------------------------------------------------
+ function privReadEndCentralDir(&$p_central_dir)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZip::privReadEndCentralDir", "");
+ $v_result=1;
+
+ // ----- Go to the end of the zip file
+ $v_size = filesize($this->zipname);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Size of the file :$v_size");
+ @fseek($this->zip_fd, $v_size);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, 'Position at end of zip file : \''.ftell($this->zip_fd).'\'');
+ if (@ftell($this->zip_fd) != $v_size)
+ {
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_BAD_FORMAT, 'Unable to go to the end of the archive \''.$this->zipname.'\'');
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+
+ // ----- First try : look if this is an archive with no commentaries (most of the time)
+ // in this case the end of central dir is at 22 bytes of the file end
+ $v_found = 0;
+ if ($v_size > 26) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, 'Look for central dir with no comment');
+ @fseek($this->zip_fd, $v_size-22);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, 'Position after min central position : \''.ftell($this->zip_fd).'\'');
+ if (($v_pos = @ftell($this->zip_fd)) != ($v_size-22))
+ {
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_BAD_FORMAT, 'Unable to seek back to the middle of the archive \''.$this->zipname.'\'');
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+
+ // ----- Read for bytes
+ $v_binary_data = @fread($this->zip_fd, 4);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Binary data is : '".sprintf("%08x", $v_binary_data)."'");
+ $v_data = @unpack('Vid', $v_binary_data);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Binary signature is : '".sprintf("0x%08x", $v_data['id'])."'");
+
+ // ----- Check signature
+ if ($v_data['id'] == 0x06054b50) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Found central dir at the default position.");
+ $v_found = 1;
+ }
+
+ $v_pos = ftell($this->zip_fd);
+ }
+
+ // ----- Go back to the maximum possible size of the Central Dir End Record
+ if (!$v_found) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, 'Start extended search of end central dir');
+ $v_maximum_size = 65557; // 0xFFFF + 22;
+ if ($v_maximum_size > $v_size)
+ $v_maximum_size = $v_size;
+ @fseek($this->zip_fd, $v_size-$v_maximum_size);
+ if (@ftell($this->zip_fd) != ($v_size-$v_maximum_size))
+ {
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_BAD_FORMAT, 'Unable to seek back to the middle of the archive \''.$this->zipname.'\'');
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, 'Position after max central position : \''.ftell($this->zip_fd).'\'');
+
+ // ----- Read byte per byte in order to find the signature
+ $v_pos = ftell($this->zip_fd);
+ $v_bytes = 0x00000000;
+ while ($v_pos < $v_size)
+ {
+ // ----- Read a byte
+ $v_byte = @fread($this->zip_fd, 1);
+
+ // ----- Add the byte
+ $v_bytes = ($v_bytes << 8) | Ord($v_byte);
+
+ // ----- Compare the bytes
+ if ($v_bytes == 0x504b0506)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, 'Found End Central Dir signature at position : \''.ftell($this->zip_fd).'\'');
+ $v_pos++;
+ break;
+ }
+
+ $v_pos++;
+ }
+
+ // ----- Look if not found end of central dir
+ if ($v_pos == $v_size)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Unable to find End of Central Dir Record signature");
+
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_BAD_FORMAT, "Unable to find End of Central Dir Record signature");
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+ }
+
+ // ----- Read the first 18 bytes of the header
+ $v_binary_data = fread($this->zip_fd, 18);
+
+ // ----- Look for invalid block size
+ if (strlen($v_binary_data) != 18)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "Invalid End of Central Dir Record size : ".strlen($v_binary_data));
+
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_BAD_FORMAT, "Invalid End of Central Dir Record size : ".strlen($v_binary_data));
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+
+ // ----- Extract the values
+ ////--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "Central Dir Record : '".$v_binary_data."'");
+ ////--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "Central Dir Record (Hex) : '".bin2hex($v_binary_data)."'");
+ $v_data = unpack('vdisk/vdisk_start/vdisk_entries/ventries/Vsize/Voffset/vcomment_size', $v_binary_data);
+
+ // ----- Check the global size
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Comment length : ".$v_data['comment_size']);
+ if (($v_pos + $v_data['comment_size'] + 18) != $v_size) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "The central dir is not at the end of the archive. Some trailing bytes exists after the archive.");
+
+ // ----- Removed in release 2.2 see readme file
+ // The check of the file size is a little too strict.
+ // Some bugs where found when a zip is encrypted/decrypted with 'crypt'.
+ // While decrypted, zip has training 0 bytes
+ if (0) {
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_BAD_FORMAT,
+ 'The central dir is not at the end of the archive.'
+ .' Some trailing bytes exists after the archive.');
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+ }
+
+ // ----- Get comment
+ if ($v_data['comment_size'] != 0)
+ $p_central_dir['comment'] = fread($this->zip_fd, $v_data['comment_size']);
+ else
+ $p_central_dir['comment'] = '';
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, 'Comment : \''.$p_central_dir['comment'].'\'');
+
+ $p_central_dir['entries'] = $v_data['entries'];
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, 'Nb of entries : \''.$p_central_dir['entries'].'\'');
+ $p_central_dir['disk_entries'] = $v_data['disk_entries'];
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, 'Nb of entries for this disk : \''.$p_central_dir['disk_entries'].'\'');
+ $p_central_dir['offset'] = $v_data['offset'];
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, 'Offset of Central Dir : \''.$p_central_dir['offset'].'\'');
+ $p_central_dir['size'] = $v_data['size'];
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, 'Size of Central Dir : \''.$p_central_dir['size'].'\'');
+ $p_central_dir['disk'] = $v_data['disk'];
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, 'Disk number : \''.$p_central_dir['disk'].'\'');
+ $p_central_dir['disk_start'] = $v_data['disk_start'];
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, 'Start disk number : \''.$p_central_dir['disk_start'].'\'');
+
+ // TBC
+ //for(reset($p_central_dir); $key = key($p_central_dir); next($p_central_dir)) {
+ // //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "central_dir[$key] = ".$p_central_dir[$key]);
+ //}
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : privDeleteByRule()
+ // Description :
+ // Parameters :
+ // Return Values :
+ // --------------------------------------------------------------------------------
+ function privDeleteByRule(&$p_result_list, &$p_options)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZip::privDeleteByRule", "");
+ $v_result=1;
+ $v_list_detail = array();
+
+ // ----- Open the zip file
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Open file in binary read mode");
+ if (($v_result=$this->privOpenFd('rb')) != 1)
+ {
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Read the central directory informations
+ $v_central_dir = array();
+ if (($v_result = $this->privReadEndCentralDir($v_central_dir)) != 1)
+ {
+ $this->privCloseFd();
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Go to beginning of File
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Position in file : ".ftell($this->zip_fd)."'");
+ @rewind($this->zip_fd);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Position in file : ".ftell($this->zip_fd)."'");
+
+ // ----- Scan all the files
+ // ----- Start at beginning of Central Dir
+ $v_pos_entry = $v_central_dir['offset'];
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Position before rewind : ".ftell($this->zip_fd)."'");
+ @rewind($this->zip_fd);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Position after rewind : ".ftell($this->zip_fd)."'");
+ if (@fseek($this->zip_fd, $v_pos_entry))
+ {
+ // ----- Close the zip file
+ $this->privCloseFd();
+
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_INVALID_ARCHIVE_ZIP, 'Invalid archive size');
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Position after fseek : ".ftell($this->zip_fd)."'");
+
+ // ----- Read each entry
+ $v_header_list = array();
+ $j_start = 0;
+ for ($i=0, $v_nb_extracted=0; $i<$v_central_dir['entries']; $i++)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Read next file header entry (index '$i')");
+
+ // ----- Read the file header
+ $v_header_list[$v_nb_extracted] = array();
+ if (($v_result = $this->privReadCentralFileHeader($v_header_list[$v_nb_extracted])) != 1)
+ {
+ // ----- Close the zip file
+ $this->privCloseFd();
+
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Filename (index '$i') : '".$v_header_list[$v_nb_extracted]['stored_filename']."'");
+
+ // ----- Store the index
+ $v_header_list[$v_nb_extracted]['index'] = $i;
+
+ // ----- Look for the specific extract rules
+ $v_found = false;
+
+ // ----- Look for extract by name rule
+ if ( (isset($p_options[PCLZIP_OPT_BY_NAME]))
+ && ($p_options[PCLZIP_OPT_BY_NAME] != 0)) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Extract with rule 'ByName'");
+
+ // ----- Look if the filename is in the list
+ for ($j=0; ($j<sizeof($p_options[PCLZIP_OPT_BY_NAME])) && (!$v_found); $j++) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Compare with file '".$p_options[PCLZIP_OPT_BY_NAME][$j]."'");
+
+ // ----- Look for a directory
+ if (substr($p_options[PCLZIP_OPT_BY_NAME][$j], -1) == "/") {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "The searched item is a directory");
+
+ // ----- Look if the directory is in the filename path
+ if ( (strlen($v_header_list[$v_nb_extracted]['stored_filename']) > strlen($p_options[PCLZIP_OPT_BY_NAME][$j]))
+ && (substr($v_header_list[$v_nb_extracted]['stored_filename'], 0, strlen($p_options[PCLZIP_OPT_BY_NAME][$j])) == $p_options[PCLZIP_OPT_BY_NAME][$j])) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "The directory is in the file path");
+ $v_found = true;
+ }
+ elseif ( (($v_header_list[$v_nb_extracted]['external']&0x00000010)==0x00000010) /* Indicates a folder */
+ && ($v_header_list[$v_nb_extracted]['stored_filename'].'/' == $p_options[PCLZIP_OPT_BY_NAME][$j])) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "The entry is the searched directory");
+ $v_found = true;
+ }
+ }
+ // ----- Look for a filename
+ elseif ($v_header_list[$v_nb_extracted]['stored_filename'] == $p_options[PCLZIP_OPT_BY_NAME][$j]) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "The file is the right one.");
+ $v_found = true;
+ }
+ }
+ }
+
+ // ----- Look for extract by ereg rule
+ else if ( (isset($p_options[PCLZIP_OPT_BY_EREG]))
+ && ($p_options[PCLZIP_OPT_BY_EREG] != "")) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Extract by ereg '".$p_options[PCLZIP_OPT_BY_EREG]."'");
+
+ if (ereg($p_options[PCLZIP_OPT_BY_EREG], $v_header_list[$v_nb_extracted]['stored_filename'])) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Filename match the regular expression");
+ $v_found = true;
+ }
+ }
+
+ // ----- Look for extract by preg rule
+ else if ( (isset($p_options[PCLZIP_OPT_BY_PREG]))
+ && ($p_options[PCLZIP_OPT_BY_PREG] != "")) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Extract with rule 'ByEreg'");
+
+ if (preg_match($p_options[PCLZIP_OPT_BY_PREG], $v_header_list[$v_nb_extracted]['stored_filename'])) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Filename match the regular expression");
+ $v_found = true;
+ }
+ }
+
+ // ----- Look for extract by index rule
+ else if ( (isset($p_options[PCLZIP_OPT_BY_INDEX]))
+ && ($p_options[PCLZIP_OPT_BY_INDEX] != 0)) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Extract with rule 'ByIndex'");
+
+ // ----- Look if the index is in the list
+ for ($j=$j_start; ($j<sizeof($p_options[PCLZIP_OPT_BY_INDEX])) && (!$v_found); $j++) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Look if index '$i' is in [".$p_options[PCLZIP_OPT_BY_INDEX][$j]['start'].",".$p_options[PCLZIP_OPT_BY_INDEX][$j]['end']."]");
+
+ if (($i>=$p_options[PCLZIP_OPT_BY_INDEX][$j]['start']) && ($i<=$p_options[PCLZIP_OPT_BY_INDEX][$j]['end'])) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Found as part of an index range");
+ $v_found = true;
+ }
+ if ($i>=$p_options[PCLZIP_OPT_BY_INDEX][$j]['end']) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Do not look this index range for next loop");
+ $j_start = $j+1;
+ }
+
+ if ($p_options[PCLZIP_OPT_BY_INDEX][$j]['start']>$i) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Index range is greater than index, stop loop");
+ break;
+ }
+ }
+ }
+ else {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "No argument mean remove all file");
+ $v_found = true;
+ }
+
+ // ----- Look for deletion
+ if ($v_found)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "File '".$v_header_list[$v_nb_extracted]['stored_filename']."', index '$i' need to be deleted");
+ unset($v_header_list[$v_nb_extracted]);
+ }
+ else
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 2, "File '".$v_header_list[$v_nb_extracted]['stored_filename']."', index '$i' will not be deleted");
+ $v_nb_extracted++;
+ }
+ }
+
+ // ----- Look if something need to be deleted
+ if ($v_nb_extracted > 0) {
+
+ // ----- Creates a temporay file
+ $v_zip_temp_name = PCLZIP_TEMPORARY_DIR.uniqid('pclzip-').'.tmp';
+
+ // ----- Creates a temporary zip archive
+ $v_temp_zip = new PclZip($v_zip_temp_name);
+
+ // ----- Open the temporary zip file in write mode
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Open file in binary write mode");
+ if (($v_result = $v_temp_zip->privOpenFd('wb')) != 1) {
+ $this->privCloseFd();
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Look which file need to be kept
+ for ($i=0; $i<sizeof($v_header_list); $i++) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Keep entry index '$i' : '".$v_header_list[$i]['filename']."'");
+
+ // ----- Calculate the position of the header
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Offset='". $v_header_list[$i]['offset']."'");
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Position before rewind : ".ftell($this->zip_fd)."'");
+ @rewind($this->zip_fd);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Position after rewind : ".ftell($this->zip_fd)."'");
+ if (@fseek($this->zip_fd, $v_header_list[$i]['offset'])) {
+ // ----- Close the zip file
+ $this->privCloseFd();
+ $v_temp_zip->privCloseFd();
+ @unlink($v_zip_temp_name);
+
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_INVALID_ARCHIVE_ZIP, 'Invalid archive size');
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Position after fseek : ".ftell($this->zip_fd)."'");
+
+ // ----- Read the file header
+ $v_local_header = array();
+ if (($v_result = $this->privReadFileHeader($v_local_header)) != 1) {
+ // ----- Close the zip file
+ $this->privCloseFd();
+ $v_temp_zip->privCloseFd();
+ @unlink($v_zip_temp_name);
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Check that local file header is same as central file header
+ if ($this->privCheckFileHeaders($v_local_header,
+ $v_header_list[$i]) != 1) {
+ // TBC
+ }
+ unset($v_local_header);
+
+ // ----- Write the file header
+ if (($v_result = $v_temp_zip->privWriteFileHeader($v_header_list[$i])) != 1) {
+ // ----- Close the zip file
+ $this->privCloseFd();
+ $v_temp_zip->privCloseFd();
+ @unlink($v_zip_temp_name);
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Offset for this file is '".$v_header_list[$i]['offset']."'");
+
+ // ----- Read/write the data block
+ if (($v_result = PclZipUtilCopyBlock($this->zip_fd, $v_temp_zip->zip_fd, $v_header_list[$i]['compressed_size'])) != 1) {
+ // ----- Close the zip file
+ $this->privCloseFd();
+ $v_temp_zip->privCloseFd();
+ @unlink($v_zip_temp_name);
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ }
+
+ // ----- Store the offset of the central dir
+ $v_offset = @ftell($v_temp_zip->zip_fd);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "New offset of central dir : $v_offset");
+
+ // ----- Re-Create the Central Dir files header
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Creates the new central directory");
+ for ($i=0; $i<sizeof($v_header_list); $i++) {
+ // ----- Create the file header
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Offset of file : ".$v_header_list[$i]['offset']);
+ if (($v_result = $v_temp_zip->privWriteCentralFileHeader($v_header_list[$i])) != 1) {
+ $v_temp_zip->privCloseFd();
+ $this->privCloseFd();
+ @unlink($v_zip_temp_name);
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Transform the header to a 'usable' info
+ $v_temp_zip->privConvertHeader2FileInfo($v_header_list[$i], $p_result_list[$i]);
+ }
+
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Creates the central directory footer");
+
+ // ----- Zip file comment
+ $v_comment = '';
+ if (isset($p_options[PCLZIP_OPT_COMMENT])) {
+ $v_comment = $p_options[PCLZIP_OPT_COMMENT];
+ }
+
+ // ----- Calculate the size of the central header
+ $v_size = @ftell($v_temp_zip->zip_fd)-$v_offset;
+
+ // ----- Create the central dir footer
+ if (($v_result = $v_temp_zip->privWriteCentralHeader(sizeof($v_header_list), $v_size, $v_offset, $v_comment)) != 1) {
+ // ----- Reset the file list
+ unset($v_header_list);
+ $v_temp_zip->privCloseFd();
+ $this->privCloseFd();
+ @unlink($v_zip_temp_name);
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Close
+ $v_temp_zip->privCloseFd();
+ $this->privCloseFd();
+
+ // ----- Delete the zip file
+ // TBC : I should test the result ...
+ @unlink($this->zipname);
+
+ // ----- Rename the temporary file
+ // TBC : I should test the result ...
+ //@rename($v_zip_temp_name, $this->zipname);
+ PclZipUtilRename($v_zip_temp_name, $this->zipname);
+
+ // ----- Destroy the temporary archive
+ unset($v_temp_zip);
+ }
+
+ // ----- Remove every files : reset the file
+ else if ($v_central_dir['entries'] != 0) {
+ $this->privCloseFd();
+
+ if (($v_result = $this->privOpenFd('wb')) != 1) {
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ if (($v_result = $this->privWriteCentralHeader(0, 0, 0, '')) != 1) {
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ $this->privCloseFd();
+ }
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : privDirCheck()
+ // Description :
+ // Check if a directory exists, if not it creates it and all the parents directory
+ // which may be useful.
+ // Parameters :
+ // $p_dir : Directory path to check.
+ // Return Values :
+ // 1 : OK
+ // -1 : Unable to create directory
+ // --------------------------------------------------------------------------------
+ function privDirCheck($p_dir, $p_is_dir=false)
+ {
+ $v_result = 1;
+
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZip::privDirCheck", "entry='$p_dir', is_dir='".($p_is_dir?"true":"false")."'");
+
+ // ----- Remove the final '/'
+ if (($p_is_dir) && (substr($p_dir, -1)=='/'))
+ {
+ $p_dir = substr($p_dir, 0, strlen($p_dir)-1);
+ }
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Looking for entry '$p_dir'");
+
+ // ----- Check the directory availability
+ if ((is_dir($p_dir)) || ($p_dir == ""))
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, "'$p_dir' is a directory");
+ return 1;
+ }
+
+ // ----- Extract parent directory
+ $p_parent_dir = dirname($p_dir);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Parent directory is '$p_parent_dir'");
+
+ // ----- Just a check
+ if ($p_parent_dir != $p_dir)
+ {
+ // ----- Look for parent directory
+ if ($p_parent_dir != "")
+ {
+ if (($v_result = $this->privDirCheck($p_parent_dir)) != 1)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ }
+ }
+
+ // ----- Create the directory
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Create directory '$p_dir'");
+ if (!@mkdir($p_dir, 0777))
+ {
+ // ----- Error log
+ PclZip::privErrorLog(PCLZIP_ERR_DIR_CREATE_FAIL, "Unable to create directory '$p_dir'");
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result, "Directory '$p_dir' created");
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : privMerge()
+ // Description :
+ // If $p_archive_to_add does not exist, the function exit with a success result.
+ // Parameters :
+ // Return Values :
+ // --------------------------------------------------------------------------------
+ function privMerge(&$p_archive_to_add)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZip::privMerge", "archive='".$p_archive_to_add->zipname."'");
+ $v_result=1;
+
+ // ----- Look if the archive_to_add exists
+ if (!is_file($p_archive_to_add->zipname))
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Archive to add does not exist. End of merge.");
+
+ // ----- Nothing to merge, so merge is a success
+ $v_result = 1;
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Look if the archive exists
+ if (!is_file($this->zipname))
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Archive does not exist, duplicate the archive_to_add.");
+
+ // ----- Do a duplicate
+ $v_result = $this->privDuplicate($p_archive_to_add->zipname);
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Open the zip file
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Open file in binary read mode");
+ if (($v_result=$this->privOpenFd('rb')) != 1)
+ {
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Read the central directory informations
+ $v_central_dir = array();
+ if (($v_result = $this->privReadEndCentralDir($v_central_dir)) != 1)
+ {
+ $this->privCloseFd();
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Go to beginning of File
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Position in zip : ".ftell($this->zip_fd)."'");
+ @rewind($this->zip_fd);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Position in zip : ".ftell($this->zip_fd)."'");
+
+ // ----- Open the archive_to_add file
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Open archive_to_add in binary read mode");
+ if (($v_result=$p_archive_to_add->privOpenFd('rb')) != 1)
+ {
+ $this->privCloseFd();
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Read the central directory informations
+ $v_central_dir_to_add = array();
+ if (($v_result = $p_archive_to_add->privReadEndCentralDir($v_central_dir_to_add)) != 1)
+ {
+ $this->privCloseFd();
+ $p_archive_to_add->privCloseFd();
+
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Go to beginning of File
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Position in archive_to_add : ".ftell($p_archive_to_add->zip_fd)."'");
+ @rewind($p_archive_to_add->zip_fd);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Position in archive_to_add : ".ftell($p_archive_to_add->zip_fd)."'");
+
+ // ----- Creates a temporay file
+ $v_zip_temp_name = PCLZIP_TEMPORARY_DIR.uniqid('pclzip-').'.tmp';
+
+ // ----- Open the temporary file in write mode
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Open file in binary read mode");
+ if (($v_zip_temp_fd = @fopen($v_zip_temp_name, 'wb')) == 0)
+ {
+ $this->privCloseFd();
+ $p_archive_to_add->privCloseFd();
+
+ PclZip::privErrorLog(PCLZIP_ERR_READ_OPEN_FAIL, 'Unable to open temporary file \''.$v_zip_temp_name.'\' in binary write mode');
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+
+ // ----- Copy the files from the archive to the temporary file
+ // TBC : Here I should better append the file and go back to erase the central dir
+ $v_size = $v_central_dir['offset'];
+ while ($v_size != 0)
+ {
+ $v_read_size = ($v_size < PCLZIP_READ_BLOCK_SIZE ? $v_size : PCLZIP_READ_BLOCK_SIZE);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "Read $v_read_size bytes");
+ $v_buffer = fread($this->zip_fd, $v_read_size);
+ @fwrite($v_zip_temp_fd, $v_buffer, $v_read_size);
+ $v_size -= $v_read_size;
+ }
+
+ // ----- Copy the files from the archive_to_add into the temporary file
+ $v_size = $v_central_dir_to_add['offset'];
+ while ($v_size != 0)
+ {
+ $v_read_size = ($v_size < PCLZIP_READ_BLOCK_SIZE ? $v_size : PCLZIP_READ_BLOCK_SIZE);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "Read $v_read_size bytes");
+ $v_buffer = fread($p_archive_to_add->zip_fd, $v_read_size);
+ @fwrite($v_zip_temp_fd, $v_buffer, $v_read_size);
+ $v_size -= $v_read_size;
+ }
+
+ // ----- Store the offset of the central dir
+ $v_offset = @ftell($v_zip_temp_fd);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "New offset of central dir : $v_offset");
+
+ // ----- Copy the block of file headers from the old archive
+ $v_size = $v_central_dir['size'];
+ while ($v_size != 0)
+ {
+ $v_read_size = ($v_size < PCLZIP_READ_BLOCK_SIZE ? $v_size : PCLZIP_READ_BLOCK_SIZE);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "Read $v_read_size bytes");
+ $v_buffer = @fread($this->zip_fd, $v_read_size);
+ @fwrite($v_zip_temp_fd, $v_buffer, $v_read_size);
+ $v_size -= $v_read_size;
+ }
+
+ // ----- Copy the block of file headers from the archive_to_add
+ $v_size = $v_central_dir_to_add['size'];
+ while ($v_size != 0)
+ {
+ $v_read_size = ($v_size < PCLZIP_READ_BLOCK_SIZE ? $v_size : PCLZIP_READ_BLOCK_SIZE);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "Read $v_read_size bytes");
+ $v_buffer = @fread($p_archive_to_add->zip_fd, $v_read_size);
+ @fwrite($v_zip_temp_fd, $v_buffer, $v_read_size);
+ $v_size -= $v_read_size;
+ }
+
+ // ----- Merge the file comments
+ $v_comment = $v_central_dir['comment'].' '.$v_central_dir_to_add['comment'];
+
+ // ----- Calculate the size of the (new) central header
+ $v_size = @ftell($v_zip_temp_fd)-$v_offset;
+
+ // ----- Swap the file descriptor
+ // Here is a trick : I swap the temporary fd with the zip fd, in order to use
+ // the following methods on the temporary fil and not the real archive fd
+ $v_swap = $this->zip_fd;
+ $this->zip_fd = $v_zip_temp_fd;
+ $v_zip_temp_fd = $v_swap;
+
+ // ----- Create the central dir footer
+ if (($v_result = $this->privWriteCentralHeader($v_central_dir['entries']+$v_central_dir_to_add['entries'], $v_size, $v_offset, $v_comment)) != 1)
+ {
+ $this->privCloseFd();
+ $p_archive_to_add->privCloseFd();
+ @fclose($v_zip_temp_fd);
+ $this->zip_fd = null;
+
+ // ----- Reset the file list
+ unset($v_header_list);
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Swap back the file descriptor
+ $v_swap = $this->zip_fd;
+ $this->zip_fd = $v_zip_temp_fd;
+ $v_zip_temp_fd = $v_swap;
+
+ // ----- Close
+ $this->privCloseFd();
+ $p_archive_to_add->privCloseFd();
+
+ // ----- Close the temporary file
+ @fclose($v_zip_temp_fd);
+
+ // ----- Delete the zip file
+ // TBC : I should test the result ...
+ @unlink($this->zipname);
+
+ // ----- Rename the temporary file
+ // TBC : I should test the result ...
+ //@rename($v_zip_temp_name, $this->zipname);
+ PclZipUtilRename($v_zip_temp_name, $this->zipname);
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : privDuplicate()
+ // Description :
+ // Parameters :
+ // Return Values :
+ // --------------------------------------------------------------------------------
+ function privDuplicate($p_archive_filename)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZip::privDuplicate", "archive_filename='$p_archive_filename'");
+ $v_result=1;
+
+ // ----- Look if the $p_archive_filename exists
+ if (!is_file($p_archive_filename))
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Archive to duplicate does not exist. End of duplicate.");
+
+ // ----- Nothing to duplicate, so duplicate is a success.
+ $v_result = 1;
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Open the zip file
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Open file in binary read mode");
+ if (($v_result=$this->privOpenFd('wb')) != 1)
+ {
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Open the temporary file in write mode
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Open file in binary read mode");
+ if (($v_zip_temp_fd = @fopen($p_archive_filename, 'rb')) == 0)
+ {
+ $this->privCloseFd();
+
+ PclZip::privErrorLog(PCLZIP_ERR_READ_OPEN_FAIL, 'Unable to open archive file \''.$p_archive_filename.'\' in binary write mode');
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, PclZip::errorCode(), PclZip::errorInfo());
+ return PclZip::errorCode();
+ }
+
+ // ----- Copy the files from the archive to the temporary file
+ // TBC : Here I should better append the file and go back to erase the central dir
+ $v_size = filesize($p_archive_filename);
+ while ($v_size != 0)
+ {
+ $v_read_size = ($v_size < PCLZIP_READ_BLOCK_SIZE ? $v_size : PCLZIP_READ_BLOCK_SIZE);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Read $v_read_size bytes");
+ $v_buffer = fread($v_zip_temp_fd, $v_read_size);
+ @fwrite($this->zip_fd, $v_buffer, $v_read_size);
+ $v_size -= $v_read_size;
+ }
+
+ // ----- Close
+ $this->privCloseFd();
+
+ // ----- Close the temporary file
+ @fclose($v_zip_temp_fd);
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : privErrorLog()
+ // Description :
+ // Parameters :
+ // --------------------------------------------------------------------------------
+ function privErrorLog($p_error_code=0, $p_error_string='')
+ {
+ if (PCLZIP_ERROR_EXTERNAL == 1) {
+ PclError($p_error_code, $p_error_string);
+ }
+ else {
+ $this->error_code = $p_error_code;
+ $this->error_string = $p_error_string;
+ }
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : privErrorReset()
+ // Description :
+ // Parameters :
+ // --------------------------------------------------------------------------------
+ function privErrorReset()
+ {
+ if (PCLZIP_ERROR_EXTERNAL == 1) {
+ PclErrorReset();
+ }
+ else {
+ $this->error_code = 0;
+ $this->error_string = '';
+ }
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : privDecrypt()
+ // Description :
+ // Parameters :
+ // Return Values :
+ // --------------------------------------------------------------------------------
+ function privDecrypt($p_encryption_header, &$p_buffer, $p_size, $p_crc)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, 'PclZip::privDecrypt', "size=".$p_size."");
+ $v_result=1;
+
+ // ----- To Be Modified ;-)
+ $v_pwd = "test";
+
+ $p_buffer = PclZipUtilZipDecrypt($p_buffer, $p_size, $p_encryption_header,
+ $p_crc, $v_pwd);
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : privDisableMagicQuotes()
+ // Description :
+ // Parameters :
+ // Return Values :
+ // --------------------------------------------------------------------------------
+ function privDisableMagicQuotes()
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, 'PclZip::privDisableMagicQuotes', "");
+ $v_result=1;
+
+ // ----- Look if function exists
+ if ( (!function_exists("get_magic_quotes_runtime"))
+ || (!function_exists("set_magic_quotes_runtime"))) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Functions *et_magic_quotes_runtime are not supported");
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Look if already done
+ if ($this->magic_quotes_status != -1) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "magic_quote already disabled");
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Get and memorize the magic_quote value
+ $this->magic_quotes_status = @get_magic_quotes_runtime();
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Current magic_quotes_runtime status is '".($this->magic_quotes_status==0?'disable':'enable')."'");
+
+ // ----- Disable magic_quotes
+ if ($this->magic_quotes_status == 1) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Disable magic_quotes");
+ @set_magic_quotes_runtime(0);
+ }
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : privSwapBackMagicQuotes()
+ // Description :
+ // Parameters :
+ // Return Values :
+ // --------------------------------------------------------------------------------
+ function privSwapBackMagicQuotes()
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, 'PclZip::privSwapBackMagicQuotes', "");
+ $v_result=1;
+
+ // ----- Look if function exists
+ if ( (!function_exists("get_magic_quotes_runtime"))
+ || (!function_exists("set_magic_quotes_runtime"))) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Functions *et_magic_quotes_runtime are not supported");
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Look if something to do
+ if ($this->magic_quotes_status != -1) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "magic_quote not modified");
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+
+ // ----- Swap back magic_quotes
+ if ($this->magic_quotes_status == 1) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Enable back magic_quotes");
+ @set_magic_quotes_runtime($this->magic_quotes_status);
+ }
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ }
+ // End of class
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : PclZipUtilPathReduction()
+ // Description :
+ // Parameters :
+ // Return Values :
+ // --------------------------------------------------------------------------------
+ function PclZipUtilPathReduction($p_dir)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZipUtilPathReduction", "dir='$p_dir'");
+ $v_result = "";
+
+ // ----- Look for not empty path
+ if ($p_dir != "") {
+ // ----- Explode path by directory names
+ $v_list = explode("/", $p_dir);
+
+ // ----- Study directories from last to first
+ $v_skip = 0;
+ for ($i=sizeof($v_list)-1; $i>=0; $i--) {
+ // ----- Look for current path
+ if ($v_list[$i] == ".") {
+ // ----- Ignore this directory
+ // Should be the first $i=0, but no check is done
+ }
+ else if ($v_list[$i] == "..") {
+ $v_skip++;
+ }
+ else if ($v_list[$i] == "") {
+ // ----- First '/' i.e. root slash
+ if ($i == 0) {
+ $v_result = "/".$v_result;
+ if ($v_skip > 0) {
+ // ----- It is an invalid path, so the path is not modified
+ // TBC
+ $v_result = $p_dir;
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 3, "Invalid path is unchanged");
+ $v_skip = 0;
+ }
+ }
+ // ----- Last '/' i.e. indicates a directory
+ else if ($i == (sizeof($v_list)-1)) {
+ $v_result = $v_list[$i];
+ }
+ // ----- Double '/' inside the path
+ else {
+ // ----- Ignore only the double '//' in path,
+ // but not the first and last '/'
+ }
+ }
+ else {
+ // ----- Look for item to skip
+ if ($v_skip > 0) {
+ $v_skip--;
+ }
+ else {
+ $v_result = $v_list[$i].($i!=(sizeof($v_list)-1)?"/".$v_result:"");
+ }
+ }
+ }
+
+ // ----- Look for skip
+ if ($v_skip > 0) {
+ while ($v_skip > 0) {
+ $v_result = '../'.$v_result;
+ $v_skip--;
+ }
+ }
+ }
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : PclZipUtilPathInclusion()
+ // Description :
+ // This function indicates if the path $p_path is under the $p_dir tree. Or,
+ // said in an other way, if the file or sub-dir $p_path is inside the dir
+ // $p_dir.
+ // The function indicates also if the path is exactly the same as the dir.
+ // This function supports path with duplicated '/' like '//', but does not
+ // support '.' or '..' statements.
+ // Parameters :
+ // Return Values :
+ // 0 if $p_path is not inside directory $p_dir
+ // 1 if $p_path is inside directory $p_dir
+ // 2 if $p_path is exactly the same as $p_dir
+ // --------------------------------------------------------------------------------
+ function PclZipUtilPathInclusion($p_dir, $p_path)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZipUtilPathInclusion", "dir='$p_dir', path='$p_path'");
+ $v_result = 1;
+
+ // ----- Look for path beginning by ./
+ if ( ($p_dir == '.')
+ || ((strlen($p_dir) >=2) && (substr($p_dir, 0, 2) == './'))) {
+ $p_dir = PclZipUtilTranslateWinPath(getcwd(), FALSE).'/'.substr($p_dir, 1);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Replacing ./ by full path in p_dir '".$p_dir."'");
+ }
+ if ( ($p_path == '.')
+ || ((strlen($p_path) >=2) && (substr($p_path, 0, 2) == './'))) {
+ $p_path = PclZipUtilTranslateWinPath(getcwd(), FALSE).'/'.substr($p_path, 1);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Replacing ./ by full path in p_path '".$p_path."'");
+ }
+
+ // ----- Explode dir and path by directory separator
+ $v_list_dir = explode("/", $p_dir);
+ $v_list_dir_size = sizeof($v_list_dir);
+ $v_list_path = explode("/", $p_path);
+ $v_list_path_size = sizeof($v_list_path);
+
+ // ----- Study directories paths
+ $i = 0;
+ $j = 0;
+ while (($i < $v_list_dir_size) && ($j < $v_list_path_size) && ($v_result)) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Working on dir($i)='".$v_list_dir[$i]."' and path($j)='".$v_list_path[$j]."'");
+
+ // ----- Look for empty dir (path reduction)
+ if ($v_list_dir[$i] == '') {
+ $i++;
+ continue;
+ }
+ if ($v_list_path[$j] == '') {
+ $j++;
+ continue;
+ }
+
+ // ----- Compare the items
+ if (($v_list_dir[$i] != $v_list_path[$j]) && ($v_list_dir[$i] != '') && ( $v_list_path[$j] != '')) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Items ($i,$j) are different");
+ $v_result = 0;
+ }
+
+ // ----- Next items
+ $i++;
+ $j++;
+ }
+
+ // ----- Look if everything seems to be the same
+ if ($v_result) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Look for tie break");
+ // ----- Skip all the empty items
+ while (($j < $v_list_path_size) && ($v_list_path[$j] == '')) $j++;
+ while (($i < $v_list_dir_size) && ($v_list_dir[$i] == '')) $i++;
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Looking on dir($i)='".($i < $v_list_dir_size?$v_list_dir[$i]:'')."' and path($j)='".($j < $v_list_path_size?$v_list_path[$j]:'')."'");
+
+ if (($i >= $v_list_dir_size) && ($j >= $v_list_path_size)) {
+ // ----- There are exactly the same
+ $v_result = 2;
+ }
+ else if ($i < $v_list_dir_size) {
+ // ----- The path is shorter than the dir
+ $v_result = 0;
+ }
+ }
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : PclZipUtilCopyBlock()
+ // Description :
+ // Parameters :
+ // $p_mode : read/write compression mode
+ // 0 : src & dest normal
+ // 1 : src gzip, dest normal
+ // 2 : src normal, dest gzip
+ // 3 : src & dest gzip
+ // Return Values :
+ // --------------------------------------------------------------------------------
+ function PclZipUtilCopyBlock($p_src, $p_dest, $p_size, $p_mode=0)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZipUtilCopyBlock", "size=$p_size, mode=$p_mode");
+ $v_result = 1;
+
+ if ($p_mode==0)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Src offset before read :".(@ftell($p_src)));
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Dest offset before write :".(@ftell($p_dest)));
+ while ($p_size != 0)
+ {
+ $v_read_size = ($p_size < PCLZIP_READ_BLOCK_SIZE ? $p_size : PCLZIP_READ_BLOCK_SIZE);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "Read $v_read_size bytes");
+ $v_buffer = @fread($p_src, $v_read_size);
+ @fwrite($p_dest, $v_buffer, $v_read_size);
+ $p_size -= $v_read_size;
+ }
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Src offset after read :".(@ftell($p_src)));
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Dest offset after write :".(@ftell($p_dest)));
+ }
+ else if ($p_mode==1)
+ {
+ while ($p_size != 0)
+ {
+ $v_read_size = ($p_size < PCLZIP_READ_BLOCK_SIZE ? $p_size : PCLZIP_READ_BLOCK_SIZE);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "Read $v_read_size bytes");
+ $v_buffer = @gzread($p_src, $v_read_size);
+ @fwrite($p_dest, $v_buffer, $v_read_size);
+ $p_size -= $v_read_size;
+ }
+ }
+ else if ($p_mode==2)
+ {
+ while ($p_size != 0)
+ {
+ $v_read_size = ($p_size < PCLZIP_READ_BLOCK_SIZE ? $p_size : PCLZIP_READ_BLOCK_SIZE);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "Read $v_read_size bytes");
+ $v_buffer = @fread($p_src, $v_read_size);
+ @gzwrite($p_dest, $v_buffer, $v_read_size);
+ $p_size -= $v_read_size;
+ }
+ }
+ else if ($p_mode==3)
+ {
+ while ($p_size != 0)
+ {
+ $v_read_size = ($p_size < PCLZIP_READ_BLOCK_SIZE ? $p_size : PCLZIP_READ_BLOCK_SIZE);
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 4, "Read $v_read_size bytes");
+ $v_buffer = @gzread($p_src, $v_read_size);
+ @gzwrite($p_dest, $v_buffer, $v_read_size);
+ $p_size -= $v_read_size;
+ }
+ }
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : PclZipUtilRename()
+ // Description :
+ // This function tries to do a simple rename() function. If it fails, it
+ // tries to copy the $p_src file in a new $p_dest file and then unlink the
+ // first one.
+ // Parameters :
+ // $p_src : Old filename
+ // $p_dest : New filename
+ // Return Values :
+ // 1 on success, 0 on failure.
+ // --------------------------------------------------------------------------------
+ function PclZipUtilRename($p_src, $p_dest)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZipUtilRename", "source=$p_src, destination=$p_dest");
+ $v_result = 1;
+
+ // ----- Try to rename the files
+ if (!@rename($p_src, $p_dest)) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Fail to rename file, try copy+unlink");
+
+ // ----- Try to copy & unlink the src
+ if (!@copy($p_src, $p_dest)) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Fail to copy file");
+ $v_result = 0;
+ }
+ else if (!@unlink($p_src)) {
+ //--(MAGIC-PclTrace)--//PclTraceFctMessage(__FILE__, __LINE__, 5, "Fail to unlink old filename");
+ $v_result = 0;
+ }
+ }
+
+ // ----- Return
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : PclZipUtilOptionText()
+ // Description :
+ // Translate option value in text. Mainly for debug purpose.
+ // Parameters :
+ // $p_option : the option value.
+ // Return Values :
+ // The option text value.
+ // --------------------------------------------------------------------------------
+ function PclZipUtilOptionText($p_option)
+ {
+ //--(MAGIC-PclTrace)--//PclTraceFctStart(__FILE__, __LINE__, "PclZipUtilOptionText", "option='".$p_option."'");
+
+ $v_list = get_defined_constants();
+ for (reset($v_list); $v_key = key($v_list); next($v_list)) {
+ $v_prefix = substr($v_key, 0, 10);
+ if (( ($v_prefix == 'PCLZIP_OPT')
+ || ($v_prefix == 'PCLZIP_CB_')
+ || ($v_prefix == 'PCLZIP_ATT'))
+ && ($v_list[$v_key] == $p_option)) {
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_key);
+ return $v_key;
+ }
+ }
+
+ $v_result = 'Unknown';
+
+ //--(MAGIC-PclTrace)--//PclTraceFctEnd(__FILE__, __LINE__, $v_result);
+ return $v_result;
+ }
+ // --------------------------------------------------------------------------------
+
+ // --------------------------------------------------------------------------------
+ // Function : PclZipUtilTranslateWinPath()
+ // Description :
+ // Translate windows path by replacing '\' by '/' and optionally removing
+ // drive letter.
+ // Parameters :
+ // $p_path : path to translate.
+ // $p_remove_disk_letter : true | false
+ // Return Values :
+ // The path translated.
+ // --------------------------------------------------------------------------------
+ function PclZipUtilTranslateWinPath($p_path, $p_remove_disk_letter=true)
+ {
+ if (stristr(php_uname(), 'windows')) {
+ // ----- Look for potential disk letter
+ if (($p_remove_disk_letter) && (($v_position = strpos($p_path, ':')) != false)) {
+ $p_path = substr($p_path, $v_position+1);
+ }
+ // ----- Change potential windows directory separator
+ if ((strpos($p_path, '\\') > 0) || (substr($p_path, 0,1) == '\\')) {
+ $p_path = strtr($p_path, '\\', '/');
+ }
+ }
+ return $p_path;
+ }
+ // --------------------------------------------------------------------------------
+
+
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/inc/repo.class.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/inc/repo.class.php
new file mode 100644
index 000000000..04dc75675
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/inc/repo.class.php
@@ -0,0 +1,51 @@
+<?php
+class repo_indexmenu_plugin {
+ /**
+ * Send a zipped theme
+ *
+ * @author Samuele Tognini <samuele@netsons.org>
+ */
+
+ function send_theme ($file) {
+ require_once(DOKU_PLUGIN.'indexmenu/syntax/indexmenu.php');
+ $idxm=new syntax_plugin_indexmenu_indexmenu();
+ //clean the file name
+ $file=cleanID($file);
+ //check config
+ if(!$idxm->getConf('be_repo') || empty($file)) return false;
+ $repodir=INDEXMENU_IMG_ABSDIR."/repository";
+ $zipfile=$repodir."/$file.zip";
+ $localtheme=INDEXMENU_IMG_ABSDIR."/$file/";
+ //theme does not exists
+ if (!file_exists($localtheme)) return false;
+ if (!io_mkdir_p($repodir)) return false;
+ $lm=@filemtime($zipfile);
+ //no cached zip or older than 1 day
+ if ($lm < time()-(60*60*24)) {
+ //create the zip
+ require_once(DOKU_PLUGIN."indexmenu/inc/pclzip.lib.php");
+ @unlink($zipfile);
+ $zip=new PclZip($zipfile);
+ $status=$zip->add($localtheme,PCLZIP_OPT_REMOVE_ALL_PATH);
+ //error
+ if ($status == 0) return false;
+ }
+ $len = (int) filesize($zipfile);
+ //don't send large zips
+ if ($len > 2*1024*1024) return false;
+ //headers
+ header('Cache-Control: must-revalidate, no-transform, post-check=0, pre-check=0');
+ header('Pragma: public');
+ header('Content-Type: application/zip');
+ header('Content-Disposition: attachment; filename="'.basename($zipfile).'";');
+ header("Content-Transfer-Encoding: binary");
+ //send zip
+ $fp=@fopen($zipfile, 'rb');
+ if ($fp) {
+ $ct=@fread($fp, $len);
+ print $ct;
+ }
+ @fclose($fp);
+ return true;
+ }
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/indexmenu-full.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/indexmenu-full.js
new file mode 100644
index 000000000..7c60ceffe
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/indexmenu-full.js
@@ -0,0 +1,640 @@
+/*----------------------------------------------------|
+ | dTree 2.05 | www.destroydrop.com/javascript/tree/ |
+ |---------------------------------------------------|
+ | Copyright (c) 2002-2003 Geir Landro |
+ | |
+ | This script can be used freely as long as all |
+ | copyright messages are intact. |
+ | |
+ | Updated: 17.04.2003 |
+ |---------------------------------------------------|
+ | Modified for Dokuwiki by |
+ | Samuele Tognini <samuele@netsons.org> |
+ | under GPL 2 license |
+ | (http://www.gnu.org/licenses/gpl.html) |
+ | Updated: 29.08.2009 |
+ |---------------------------------------------------|
+ | indexmenu | wiki.splitbrain.org/plugin:indexmenu |
+ |--------------------------------------------------*/
+
+// Node object
+function Node(dokuid, id, pid, name, hns, isdir, ajax) {
+ this.dokuid = dokuid;
+ this.id = id;
+ this.pid = pid;
+ this.name = name;
+ this.hns = hns;
+ this.isdir = isdir;
+ this.ajax = ajax;
+ this._io = 0;
+ this._is = false;
+ this._ls = false;
+ this._hc = ajax;
+ this._ai = 0;
+ this._p = false;
+ this._lv = 0;
+ this._ok = false;
+ this._cp=false;
+}
+// Tree object
+function dTree(objName,theme) {
+ var objExt = indexmenu_findExt(theme);
+ this.config = {
+ urlbase:DOKU_BASE+'doku.php?id=',
+ plugbase:DOKU_BASE+'lib/plugins/indexmenu',
+ useCookies: true,
+ scroll:true,
+ toc:true,
+ maxjs:1,
+ jsajax:'',
+ sepchar:':',
+ theme:theme
+ };
+ var objImg=this.config.plugbase+'/images/'+theme+'/';
+ this.icon = {
+ root: objImg + 'base.'+objExt,
+ folder: objImg + 'folder.'+objExt,
+ folderH: objImg + 'folderh.'+objExt,
+ folderOpen: objImg + 'folderopen.'+objExt,
+ folderHOpen: objImg + 'folderhopen.'+objExt,
+ node: objImg + 'page.'+objExt,
+ empty: objImg + 'empty.'+objExt,
+ line: objImg + 'line.'+objExt,
+ join: objImg + 'join.'+objExt,
+ joinBottom: objImg + 'joinbottom.'+objExt,
+ plus: objImg + 'plus.'+objExt,
+ plusBottom: objImg + 'plusbottom.'+objExt,
+ minus: objImg + 'minus.'+objExt,
+ minusBottom: objImg + 'minusbottom.'+objExt,
+ nlPlus: objImg + 'nolines_plus.'+objExt,
+ nlMinus: objImg + 'nolines_minus.'+objExt
+ };
+ this.obj = objName;
+ this.aNodes = [];
+ this.aIndent = [];
+ this.root = new Node(false,-1);
+ this.selectedNode = null;
+ this.selectedFound = false;
+ this.completed = false;
+ this.scrllTmr=0;
+ this.pageid=window.indexmenu_ID||'';
+ this.fajax=false;
+}
+
+// Adds a new node to the node array
+dTree.prototype.add = function(dokuid, id, pid, name, hns, isdir, ajax) {
+ this.aNodes[this.aNodes.length] = new Node(dokuid, id, pid, name, hns, isdir, ajax);
+};
+
+// Open/close all nodes
+dTree.prototype.openAll = function() {
+ if (!this.getCookie('co' + this.obj)) {
+ this.oAll(true);
+ }
+};
+
+// Outputs the tree to the page
+dTree.prototype.toString = function() {
+ var str='';
+ if (this.config.scroll) {str += '<div id="cdtree_'+this.obj+'" class="dtree" style="position:relative;overflow:hidden;width:100%;">';}
+ str += '<div id="dtree_'+this.obj+'" class="dtree '+this.config.theme+'" style="overflow:';
+ if (this.config.scroll) { str += 'visible;position:relative;width:100%"';} else {str += 'hidden;"';}
+ str += '>';
+ if (DOKUid('dtree_'+this.obj)) {str += '<div class="error">Indexmenu id conflict</div>';}
+ if (this.config.toc) {
+ str += '<div id="t' + this.obj + '" class="indexmenu_tocbullet '+this.config.theme+'" style="display:none;" title="Table of contents"></div>';
+ str += '<div id="toc_' + this.obj + '" style="display:none;"></div>';
+ }
+ if (this.config.useCookies) { this.selectedNode = this.getSelected(); }
+ str += this.addNode(this.root)+'</div>';
+ if (this.config.scroll) {
+ str += '<div id="z' + this.obj + '" class="indexmenu_rarrow"></div>';
+ str += '<div id="left_'+this.obj+'" class="indexmenu_larrow" style="display:none;" title="Click to scroll back" onmousedown="javascript:'+this.obj+'.scroll(\'r\',1);" onmouseup="javascript:'+this.obj+'.stopscroll();"></div>';
+ str += '</div>';
+ }
+ this.completed = true;
+ this.divdisplay('nojs_',0);
+ return str;
+};
+
+// Creates the tree structure
+dTree.prototype.addNode = function(pNode) {
+ var str = '',cn,n=pNode._ai,l=pNode._lv+1;
+ for (n; n<this.aNodes.length; n++) {
+ if (this.aNodes[n].pid == pNode.id) {
+ cn = this.aNodes[n];
+ cn._p = pNode;
+ cn._ai = n;
+ cn._lv=l;
+ this.setCS(cn);
+ if (cn._hc && !cn._io && this.config.useCookies) {cn._io = this.isOpen(cn.id);}
+ if (this.pageid == (!cn.hns && cn.dokuid || cn.hns)) {
+ cn._cp=true;
+ } else if (cn.id == this.selectedNode && !this.selectedFound) {
+ cn._is = true;
+ this.selectedNode = n;
+ this.selectedFound = true;
+ }
+ if (!cn._hc && cn.isdir && !cn.ajax && !cn.hns) {
+ if (cn._ls) {str += this.noderr(cn, n);}
+ } else {
+ str += this.node(cn, n);
+ }
+ if (cn._ls) {break;}
+ }
+ }
+ return str;
+};
+
+dTree.prototype.noderr = function(node, nodeId) {
+ var str = '<div class="dTreeNode">' + this.indent(node, nodeId);
+ str += '<div class="emptynode" title="Empty"></div></div>';
+ return str;
+};
+
+// Creates the node icon, url and text
+dTree.prototype.node = function(node, nodeId) {
+ var h=1,jsfnc,str;
+ jsfnc='onmouseover="'+this.obj+'.show_feat(\''+nodeId+'\');" onmousedown="return indexmenu_checkcontextm(\''+nodeId+'\','+this.obj+',event);" oncontextmenu="return indexmenu_stopevt(event)"';
+ if (node._lv > this.config.maxjs) {h=0;} else {node._ok=true;}
+ str = '<div class="dTreeNode">' + this.indent(node, nodeId);
+ node.icon = (this.root.id == node.pid) ? this.icon.root : ((node.hns) ? this.icon.folderH : ((node._hc) ? this.icon.folder : this.icon.node));
+ node.iconOpen = (node._hc) ? ((node.hns) ? this.icon.folderHOpen : this.icon.folderOpen) : this.icon.node;
+ if (this.root.id == node.pid) {
+ node.icon = this.icon.root;
+ node.iconOpen = this.icon.root;
+ }
+ str += '<img id="i' + this.obj + nodeId + '" src="' + ((node._io) ? node.iconOpen : node.icon) + '" alt="" />';
+ if (!node._hc || node.hns) {
+ str += '<a id="s' + this.obj + nodeId + '" class="' + ((node._cp) ? 'navSel' : ((node._is) ? 'nodeSel' : (node._hc) ? 'nodeFdUrl' : 'nodeUrl')) ;
+ str += '" href="' + this.config.urlbase;
+ (node.hns) ? str +=node.hns : str += node.dokuid;
+ str += '"' + ' title="' + node.name + '"' +jsfnc;
+ str += ' onclick="javascript: ' + this.obj + '.s(' + nodeId + ');"';
+ str += '>'+node.name+'</a>';
+ }
+ else if (node.pid != this.root.id) {
+ str += '<a id="s' + this.obj + nodeId + '" href="javascript: ' + this.obj + '.o(' + nodeId + '); " class="node"' + jsfnc + '>'+node.name+'</a>';
+ } else {
+ str += node.name;
+ }
+ str += '</div>';
+ if (node._hc) {
+ str += '<div id="d' + this.obj + nodeId + '" class="clip" style="display:' + ((this.root.id == node.pid || node._io) ? 'block' : 'none') + ';">';
+ if (h) {str += this.addNode(node);}
+ str += '</div>';
+ }
+ this.aIndent.pop();
+ return str;
+};
+
+// Adds the empty and line icons
+dTree.prototype.indent = function(node, nodeId) {
+ var n,str = '';
+ if (this.root.id != node.pid) {
+ for (n=0; n<this.aIndent.length; n++) {
+ str += '<img src="' + ( (this.aIndent[n] == 1) ? this.icon.line : this.icon.empty ) + '" alt="" />';
+ }
+ if (node._ls) {
+ this.aIndent.push(0);
+ } else {
+ this.aIndent.push(1);
+ }
+ if (node._hc) {
+ str += '<a href="javascript: ' + this.obj + '.o(' + nodeId+');"><img id="j' + this.obj + nodeId + '" src="';
+ str += ( (node._io) ? ((node._ls) ? this.icon.minusBottom : this.icon.minus) : ((node._ls) ? this.icon.plusBottom : this.icon.plus ) );
+ str += '" alt="" /></a>';
+ } else {str += '<img src="' + ((node._ls) ? this.icon.joinBottom : this.icon.join) + '" alt="" />';}
+ }
+ return str;
+};
+
+// Checks if a node has any children and if it is the last sibling
+dTree.prototype.setCS = function(node) {
+ var lastId,n;
+ for (n=0; n<this.aNodes.length; n++) {
+ if (this.aNodes[n].pid == node.id) {node._hc = true;}
+ if (this.aNodes[n].pid == node.pid) {lastId = this.aNodes[n].id;}
+ }
+ if (lastId==node.id) {node._ls = true;}
+};
+
+// Returns the selected node
+dTree.prototype.getSelected = function() {
+ var sn = this.getCookie('cs' + this.obj);
+ return (sn) ? sn : null;
+};
+
+// Highlights the selected node
+dTree.prototype.s = function(id) {
+ var eOld,eNew,cn = this.aNodes[id];
+ if (this.selectedNode != id) {
+ eNew = DOKUid("s" + this.obj + id);
+ if (!eNew ) {return;}
+ if (this.selectedNode || this.selectedNode===0) {
+ eOld = DOKUid("s" + this.obj + this.selectedNode);
+ eOld.className = "node";
+ }
+ eNew.className = "nodeSel";
+ this.selectedNode = id;
+ if (this.config.useCookies) {this.setCookie('cs' + this.obj, cn.id);}
+ }
+};
+
+// Toggle Open or close
+dTree.prototype.o = function(id) {
+ var cn = this.aNodes[id];
+ this.nodeStatus(!cn._io, id, cn._ls);
+ cn._io = !cn._io;
+ if (this.config.useCookies) {this.updateCookie();}
+ this.divdisplay('z',0);
+ this.resizescroll("block");
+};
+
+// Open or close all nodes
+dTree.prototype.oAll = function(status) {
+ for (var n=0; n<this.aNodes.length; n++) {
+ if (this.aNodes[n]._hc && this.aNodes[n].pid != this.root.id) {
+ this.nodeStatus(status, n, this.aNodes[n]._ls);
+ this.aNodes[n]._io = status;
+ }
+ }
+ if (this.config.useCookies) {this.updateCookie();}
+};
+
+// Opens the tree to a specific node
+dTree.prototype.openTo = function(nId, bSelect, bFirst) {
+ var n,cn;
+ if (!bFirst) {
+ for (n=0; n<this.aNodes.length; n++) {
+ if (this.aNodes[n].id == nId) {
+ nId=n;
+ break;
+ }
+ }
+ }
+ this.fill(this.aNodes[nId].pid);
+ cn=this.aNodes[nId];
+ if (cn.pid==this.root.id || !cn._p) {return;}
+ cn._io = 1;
+ if (this.completed && cn._hc) {this.nodeStatus(true, cn._ai, cn._ls);}
+ if (cn._is) {
+ (this.completed) ? this.s(cn._ai) : this._sn=cn._ai;
+ }
+ this.openTo(cn._p._ai, false, true);
+};
+
+dTree.prototype.getOpenTo = function(nodes) {
+ if (nodes === '') {
+ this.openAll();
+ } else if (!this.config.useCookies ||!this.getCookie('co' + this.obj)) {
+ for (var n=0; n<nodes.length; n++) {
+ this.openTo(nodes[n],false,true);
+ }
+ }
+};
+
+// Change the status of a node(open or closed)
+dTree.prototype.nodeStatus = function(status, id, bottom) {
+ if (status && !this.fill(id)) {return;}
+ var eJoin,eIcon;
+ eJoin= DOKUid('j' + this.obj + id);
+ eIcon= DOKUid('i' + this.obj + id);
+ eIcon.src = (status) ? this.aNodes[id].iconOpen : this.aNodes[id].icon;
+ eJoin.src = ((status)?((bottom)?this.icon.minusBottom:this.icon.minus):((bottom)?this.icon.plusBottom:this.icon.plus));
+ DOKUid('d' + this.obj + id).style.display = (status) ? 'block': 'none';
+};
+
+// [Cookie] Clears a cookie
+dTree.prototype.clearCookie = function() {
+ var now,yday;
+ now = new Date();
+ yday = new Date(now.getTime() - 1000 * 60 * 60 * 24);
+ this.setCookie('co'+this.obj, 'cookieValue', yday);
+ this.setCookie('cs'+this.obj, 'cookieValue', yday);
+};
+
+// [Cookie] Sets value in a cookie
+dTree.prototype.setCookie = function(cookieName, cookieValue, expires, path, domain, secure) {
+ document.cookie =
+ escape(cookieName) + '=' + escape(cookieValue) +
+ (expires ? '; expires=' + expires.toGMTString() : '') +
+ ';path=/' +
+ (domain ? '; domain=' + domain : '') +
+ (secure ? '; secure' : '');
+};
+
+// [Cookie] Gets a value from a cookie
+dTree.prototype.getCookie = function(cookieName) {
+ var cookieValue = '',pN,posValue,endPos;
+ pN = document.cookie.indexOf(escape(cookieName) + '=');
+ if (pN != -1) {
+ posValue = pN + (escape(cookieName) + '=').length;
+ endPos = document.cookie.indexOf(';', posValue);
+ if (endPos != -1) {cookieValue = unescape(document.cookie.substring(posValue, endPos));}
+ else {cookieValue = unescape(document.cookie.substring(posValue));}
+ }
+ return (cookieValue);
+};
+
+// [Cookie] Returns ids of open nodes as a string
+dTree.prototype.updateCookie = function() {
+ var str = '',n;
+ for (n=0; n<this.aNodes.length; n++) {
+ if (this.aNodes[n]._io && this.aNodes[n].pid != this.root.id) {
+ if (str) {str += '.';}
+ str += this.aNodes[n].id;
+ }
+ }
+ this.setCookie('co' + this.obj, str);
+};
+
+// [Cookie] Checks if a node id is in a cookie
+dTree.prototype.isOpen = function(id) {
+ var n,aOpen = this.getCookie('co' + this.obj).split('.');
+ for (n=0; n<aOpen.length; n++){
+ if (aOpen[n] == id) {return true;}
+ }
+ return false;
+};
+
+dTree.prototype.openCurNS = function (max){
+ var r,cn,match,t,i,n,cnsa,cna,cns=this.pageid;
+ r=new RegExp ("\\b"+this.config.sepchar+"\\b","g");
+ match=cns.match(r)||-1;
+ if (max>0 && match.length >= max) {
+ t = cns.split(this.config.sepchar);
+ n = (this.aNodes[0].dokuid == '') ? 0 :this.aNodes[0].dokuid.split(this.config.sepchar).length;
+ t.splice(max + n,t.length);
+ cnsa=t.join(this.config.sepchar);
+ }
+ for (i=0; i<this.aNodes.length; i++){
+ cn=this.aNodes[i];
+ if (cns == cn.dokuid || cns == cn.hns) {
+ this.openTo(cn.id,false,true);
+ this.fajax=false;
+ if (cn.pid >= 0) {
+ addInitEvent(this.scroll("l",4,cn.pid,1));
+ }
+ break;
+ }
+ if (cnsa == cn.dokuid || cnsa == cn.hns) {
+ cna=cn;
+ this.fajax=true;
+ }
+ }
+ if (cna) {this.openTo(cna.id,false,true);}
+};
+
+dTree.prototype.fill = function(id) {
+ if (id == -1 || this.aNodes[id]._ok ) {return true;}
+ var n=id,eLoad,node,a,rd,ln,eDiv;
+ if (this.aNodes[n].ajax) {
+ eLoad=DOKUid('l' + this.obj);
+ node=DOKUid('s'+this.obj+n);
+ if (!eLoad) {eLoad=indexmenu_createPicker('l' + this.obj);}
+ eLoad.innerHTML='Loading ...';
+ DOKUid('s'+this.obj+n).parentNode.appendChild(eLoad);
+ eLoad.style.width='auto';
+ eLoad.style.display='inline';
+ this.getAjax(n);
+ return true;
+ }
+ rd = [];
+ while (!this.aNodes[n]._ok) {
+ rd[rd.length]=n;
+ n=this.aNodes[n].pid;
+ }
+ for (ln=rd.length-1; ln>=0; ln--) {
+ id=rd[ln];
+ a=this.aNodes[id];
+ eDiv=DOKUid('d' + this.obj + id);
+ if (!eDiv) {return false;}
+ this.aIndent = [];
+ n=a;
+ while (n.pid>=0) {
+ if (n._ls) {
+ this.aIndent.unshift(0);
+ } else {
+ this.aIndent.unshift(1);
+ }
+ n=n._p;
+ }
+ eDiv.innerHTML=this.addNode(a);
+ a._ok=true;
+ }
+ return true;
+};
+
+dTree.prototype.openCookies = function() {
+ var n,cn,aOpen = this.getCookie('co' + this.obj).split('.');
+ for (n=0; n<aOpen.length; n++){
+ if (aOpen[n] === "") {break;}
+ cn = this.aNodes[aOpen[n]];
+ if (!cn._ok) {this.nodeStatus(true, aOpen[n], cn._ls);cn._io = 1;}
+ }
+};
+
+dTree.prototype.scroll = function (where,s,n,i){
+ if (!this.config.scroll) {return false;}
+ var w,dtree,dtreel,nodeId;
+ dtree=DOKUid('dtree_'+this.obj);
+ dtreel=parseInt(dtree.offsetLeft,0);
+ if (where=="r") {
+ DOKUid('left_'+this.obj).style.border="thin inset";
+ this.scrollRight(dtreel,s);
+ } else {
+ nodeId=DOKUid('s'+this.obj+n);
+ w = parseInt(dtree.parentNode.offsetWidth - nodeId.offsetWidth - nodeId.offsetLeft,0);
+ if (this.config.toc) {w=w-11;}
+ if (dtreel <= w) {return;}
+ this.resizescroll("none");
+ this.stopscroll();
+ this.scrollLeft(dtreel,s,w-3,i);
+ }
+};
+
+dTree.prototype.scrollLeft = function (lft,s,w,i){
+ if(lft < w - i -10) {
+ this.divdisplay('z',0);
+ this.scrllTmr=0;
+ return;
+ }
+ var self=this;
+ DOKUid('dtree_'+self.obj).style.left = lft + "px";
+ this.scrllTmr=setTimeout(function (){self.scrollLeft(lft - s,s+i,w,i);},20);
+};
+
+//Scroll Back
+dTree.prototype.scrollRight = function (lft,s){
+ if(lft >= s) {
+ this.divdisplay('left_',0);
+ this.stopscroll();
+ return;
+ }
+ var self=this;
+ DOKUid('dtree_'+self.obj).style.left = lft + "px";
+ if (lft>-15) {s=1;}
+ this.scrllTmr=setTimeout(function (){self.scrollRight(lft+s,s+1);},20);
+};
+
+dTree.prototype.stopscroll = function (){
+ DOKUid('left_'+this.obj).style.border="none";
+ clearTimeout(this.scrllTmr);
+ this.scrllTmr=0;
+};
+
+dTree.prototype.show_feat = function (n){
+ var w,div,id,dtree,dtreel,self,node=DOKUid('s'+this.obj+n);
+ self=this;
+ if (this.config.toc && node.className != "node") {
+ div=DOKUid('t'+this.obj);
+ id =(this.aNodes[n].hns) ? this.aNodes[n].hns : this.aNodes[n].dokuid;
+ div.onmousedown=function (){indexmenu_createTocMenu('req=toc&id='+decodeURIComponent(id),'picker_'+self.obj,'t'+self.obj);};
+ node.parentNode.appendChild(div);
+ if (div.style.display=="none") {div.style.display="inline";}
+ }
+ if (this.config.scroll) {
+ div=DOKUid('z'+this.obj);
+ div.onmouseover=function(){div.style.border="none";self.scroll("l",1,n,0);};
+ div.onmousedown=function(){div.style.border="thin inset";self.scroll("l",4,n,1);};
+ div.onmouseout=function(){div.style.border="none";self.stopscroll();};
+ div.onmouseup=div.onmouseover;
+ dtree=DOKUid('dtree_'+this.obj);
+ dtreel=parseInt(dtree.offsetLeft,0);
+ w = parseInt(dtree.parentNode.offsetWidth - node.offsetWidth - node.offsetLeft + 1,0);
+ if (dtreel > w) {
+ div.style.display="none";
+ div.style.top = node.offsetTop+"px";
+ div.style.left = parseInt(node.offsetLeft + node.offsetWidth + w - 12,0)+"px";
+ div.style.display="block";
+ }
+ }
+};
+
+dTree.prototype.resizescroll = function (status){
+ var dtree,w,h,left=DOKUid('left_'+this.obj);
+ if (!left) {return;}
+ if (left.style.display==status) {
+ dtree=DOKUid('dtree_'+this.obj);
+ w=parseInt(dtree.offsetHeight/3,0);
+ h= parseInt(w/50,0)*50;
+ if (h < 50) {h=50;}
+ left.style.height=h+"px";
+ left.style.top = w+"px";
+ if (status=="none") {left.style.display="block";}
+ }
+};
+
+// Toggle Open or close
+dTree.prototype.getAjax = function(n) {
+ var node,req,curns,selft=this;
+ node=selft.aNodes[n];
+ // We use SACK to do the AJAX requests
+ var Ajax = new sack(DOKU_BASE+'lib/plugins/indexmenu/ajax.php');
+ req='req=index&idx='+node.dokuid+decodeURIComponent(this.config.jsajax);
+ curns=this.pageid.substring(0,this.pageid.lastIndexOf(this.config.sepchar));
+ Ajax.encodeURIString=false;
+ Ajax.onCompletion = function(){
+ var i,ajxnodes,ajxnode,plus;
+ plus=selft.aNodes.length -1;
+ eval(this.response);
+ if (!isArray(ajxnodes) || ajxnodes.length < 1) {
+ ajxnodes=[['', 1, 0, '', 0, 1, 0]];
+ }
+ node.ajax=false;
+ for(i=0;i<ajxnodes.length;i++){
+ ajxnode=ajxnodes[i];
+ ajxnode[2]=(ajxnode[2]==0) ? node.id : ajxnode[2] + plus;
+ ajxnode[1] += plus;
+ selft.add(ajxnode[0],ajxnode[1],ajxnode[2],ajxnode[3],ajxnode[4],ajxnode[5],ajxnode[6]);
+ }
+ if (selft.fajax) {
+ selft.fajax=false;
+ selft.openCurNS(0);
+ } else {
+ selft.openTo(node.id,false,true);
+ }
+ DOKUid('l'+selft.obj).style.display='none';
+ };
+ if (this.fajax) {
+ req +='&nss='+curns+'&max=1';
+ }
+ Ajax.encodeURIString = false;
+ Ajax.runAJAX(encodeURI(req));
+};
+
+//Load custom css
+dTree.prototype.loadCss = function() {
+ var oLink = document.createElement("link");
+ oLink.href = this.config.plugbase+'/images/'+this.config.theme+'/style.css';
+ oLink.rel = "stylesheet";
+ oLink.type = "text/css";
+ document.getElementsByTagName('head')[0].appendChild(oLink);
+};
+
+//Right click
+dTree.prototype.contextmenu = function(n,e) {
+ var li,id,html,type,node,self,cmenu,cdtree,rmenu,X=0,Y=0,i;
+ cdtree= DOKUid("cdtree_" + this.obj);
+ rmenu=DOKUid('r' + this.obj);
+ if(!rmenu) { return true; }
+ indexmenu_mouseposition(rmenu,e);
+ cmenu=window.indexmenu_contextmenu[0];
+ node =this.aNodes[n];
+ self=this;
+ rmenu.innerHTML='<div class="indexmenu_rmenuhead" title="'+node.name+'">'+node.name+"</div>";
+ for (i=0; i<cmenu.length; i++,i++,i++,i++) {
+ if (((!node._hc || node.hns) && !cmenu[i+2])||(node._hc && !node.hns && !cmenu[i+3])) {continue;}
+ html=cmenu[i];
+ if (cmenu[i+1]) {
+ type='li';
+ id =(node.hns) ? node.hns : node.dokuid;
+ html='<a title="'+cmenu[i]+'" href="'+eval(cmenu[i+1])+'">'+html+'</a>';
+ } else {
+ type='span';
+ rmenu.appendChild(document.createElement('ul'));
+ }
+ li=document.createElement(type);
+ li.innerHTML=html;
+ rmenu.lastChild.appendChild(li);
+ }
+ rmenu.style.display='inline';
+ return false;
+};
+
+dTree.prototype.divdisplay = function(obj,v) {
+ var o=DOKUid(obj+this.obj);
+ if (!o) {return false;}
+ (v) ? o.style.display='inline': o.style.display='none' ;
+};
+
+dTree.prototype.init = function(s,c,n,nav,max) {
+ if (s) {this.loadCss();}
+ if (!c) {this.openCookies();}
+ if (n) {this.getOpenTo(n.split(" "));}
+ if (nav) {this.openCurNS(max);}
+ if (window.indexmenu_contextmenu) {
+ var self = this;
+ indexmenu_createPicker('r'+ this.obj,'indexmenu_rmenu '+this.config.theme);
+ DOKUid('r'+ this.obj).oncontextmenu=indexmenu_stopevt;
+ addEvent(document, 'click', function() {self.divdisplay('r',0);});
+ }
+};
+
+// If Push and pop is not implemented by the browser
+if (!Array.prototype.push) {
+ Array.prototype.push = function array_push() {
+ for(var i=0;i<arguments.length;i++){
+ this[this.length]=arguments[i];
+ }
+ return this.length;
+ };
+}
+if (!Array.prototype.pop) {
+ Array.prototype.pop = function array_pop() {
+ var lstEl = this[this.length-1];
+ this.length = Math.max(this.length-1,0);
+ return lstEl;
+ };
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/indexmenu.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/indexmenu.js
new file mode 100644
index 000000000..ce5937c3d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/indexmenu.js
@@ -0,0 +1,18 @@
+/*----------------------------------------------------|
+ | dTree 2.05 | www.destroydrop.com/javascript/tree/ |
+ |---------------------------------------------------|
+ | Copyright (c) 2002-2003 Geir Landro |
+ | |
+ | This script can be used freely as long as all |
+ | copyright messages are intact. |
+ | |
+ | Updated: 17.04.2003 |
+ |---------------------------------------------------|
+ | Modified for Dokuwiki by |
+ | Samuele Tognini <samuele@netsons.org> |
+ | under GPL 2 license |
+ | (http://www.gnu.org/licenses/gpl.html) |
+ | Updated: 29.08.2009 |
+ |---------------------------------------------------|
+ | indexmenu | wiki.splitbrain.org/plugin:indexmenu |
+ |--------------------------------------------------*/ function Node(g,f,e,d,c,b,a){this.dokuid=g;this.id=f;this.pid=e;this.name=d;this.hns=c;this.isdir=b;this.ajax=a;this._io=0;this._is=false;this._ls=false;this._hc=a;this._ai=0;this._p=false;this._lv=0;this._ok=false;this._cp=false;}function dTree(d,c){var b=indexmenu_findExt(c);this.config={urlbase:DOKU_BASE+"doku.php?id=",plugbase:DOKU_MEDIA+"lib/plugins/indexmenu",useCookies:true,scroll:true,toc:true,maxjs:1,jsajax:"",sepchar:":",theme:c};var a=this.config.plugbase+"/images/"+c+"/";this.icon={root:a+"base."+b,folder:a+"folder."+b,folderH:a+"folderh."+b,folderOpen:a+"folderopen."+b,folderHOpen:a+"folderhopen."+b,node:a+"page."+b,empty:a+"empty."+b,line:a+"line."+b,join:a+"join."+b,joinBottom:a+"joinbottom."+b,plus:a+"plus."+b,plusBottom:a+"plusbottom."+b,minus:a+"minus."+b,minusBottom:a+"minusbottom."+b,nlPlus:a+"nolines_plus."+b,nlMinus:a+"nolines_minus."+b};this.obj=d;this.aNodes=[];this.aIndent=[];this.root=new Node(false,-1);this.selectedNode=null;this.selectedFound=false;this.completed=false;this.scrllTmr=0;this.pageid=window.indexmenu_ID||"";this.fajax=false;}dTree.prototype.add=(function(g,f,e,d,c,b,a){this.aNodes[this.aNodes.length]=new Node(g,f,e,d,c,b,a);});dTree.prototype.openAll=(function(){if(!this.getCookie("co"+this.obj)){this.oAll(true);}});dTree.prototype.toString=(function(){var str="";if(this.config.scroll){str+="<div id=\"cdtree_"+this.obj+"\" class=\"dtree\" style=\"position:relative;overflow:hidden;width:100%;\">";}str+="<div id=\"dtree_"+this.obj+"\" class=\"dtree "+this.config.theme+"\" style=\"overflow:";if(this.config.scroll){str+="visible;position:relative;width:100%\"";}else{str+="hidden;\"";}str+=">";if(DOKUid("dtree_"+this.obj)){str+="<div class=\"error\">Indexmenu id conflict</div>";}if(this.config.toc){str+="<div id=\"t"+this.obj+"\" class=\"indexmenu_tocbullet "+this.config.theme+"\" style=\"display:none;\" title=\"Table of contents\"></div>";str+="<div id=\"toc_"+this.obj+"\" style=\"display:none;\"></div>";}if(this.config.useCookies){this.selectedNode=this.getSelected();}str+=this.addNode(this.root)+"</div>";if(this.config.scroll){str+="<div id=\"z"+this.obj+"\" class=\"indexmenu_rarrow\"></div>";str+="<div id=\"left_"+this.obj+"\" class=\"indexmenu_larrow\" style=\"display:none;\" title=\"Click to scroll back\" onmousedown=\"javascript:"+this.obj+".scroll('r',1);\" onmouseup=\"javascript:"+this.obj+".stopscroll();\"></div>";str+="</div>";}this.completed=true;this.divdisplay("nojs_",0);return str;});dTree.prototype.addNode=(function(pNode){var str="",cn,n=pNode._ai,l=pNode._lv+1;for(n;n<this.aNodes.length;n++){if(this.aNodes[n].pid==pNode.id){cn=this.aNodes[n];cn._p=pNode;cn._ai=n;cn._lv=l;this.setCS(cn);if(cn._hc&&!cn._io&&this.config.useCookies){cn._io=this.isOpen(cn.id);}if(this.pageid==(!cn.hns&&cn.dokuid||cn.hns)){cn._cp=true;}else{if(cn.id==this.selectedNode&&!this.selectedFound){cn._is=true;this.selectedNode=n;this.selectedFound=true;}}if(!cn._hc&&cn.isdir&&!cn.ajax&&!cn.hns){if(cn._ls){str+=this.noderr(cn,n);}}else{str+=this.node(cn,n);}if(cn._ls){break;}}}return str;});dTree.prototype.noderr=(function(node,nodeId){var str="<div class=\"dTreeNode\">"+this.indent(node,nodeId);str+="<div class=\"emptynode\" title=\"Empty\"></div></div>";return str;});dTree.prototype.node=(function(node,nodeId){var h=1,jsfnc,str;jsfnc="onmouseover=\""+this.obj+".show_feat('"+nodeId+"');\" onmousedown=\"return indexmenu_checkcontextm('"+nodeId+"',"+this.obj+",event);\" oncontextmenu=\"return indexmenu_stopevt(event)\"";if(node._lv>this.config.maxjs){h=0;}else{node._ok=true;}str="<div class=\"dTreeNode\">"+this.indent(node,nodeId);node.icon=(this.root.id==node.pid)?this.icon.root:((node.hns)?this.icon.folderH:((node._hc)?this.icon.folder:this.icon.node));node.iconOpen=(node._hc)?((node.hns)?this.icon.folderHOpen:this.icon.folderOpen):this.icon.node;if(this.root.id==node.pid){node.icon=this.icon.root;node.iconOpen=this.icon.root;}str+="<img id=\"i"+this.obj+nodeId+"\" src=\""+((node._io)?node.iconOpen:node.icon)+"\" alt=\"\" />";if(!node._hc||node.hns){str+="<a id=\"s"+this.obj+nodeId+"\" class=\""+((node._cp)?"navSel":((node._is)?"nodeSel":(node._hc)?"nodeFdUrl":"nodeUrl"));str+="\" href=\""+this.config.urlbase;(node.hns)?str+=node.hns:str+=node.dokuid;str+="\" title=\""+node.name+"\""+jsfnc;str+=" onclick=\"javascript: "+this.obj+".s("+nodeId+");\"";str+=">"+node.name+"</a>";}else{if(node.pid!=this.root.id){str+="<a id=\"s"+this.obj+nodeId+"\" href=\"javascript: "+this.obj+".o("+nodeId+"); \" class=\"node\""+jsfnc+">"+node.name+"</a>";}else{str+=node.name;}}str+="</div>";if(node._hc){str+="<div id=\"d"+this.obj+nodeId+"\" class=\"clip\" style=\"display:"+((this.root.id==node.pid||node._io)?"block":"none")+";\">";if(h){str+=this.addNode(node);}str+="</div>";}this.aIndent.pop();return str;});dTree.prototype.indent=(function(node,nodeId){var n,str="";if(this.root.id!=node.pid){for(n=0;n<this.aIndent.length;n++){str+="<img src=\""+((this.aIndent[n]==1)?this.icon.line:this.icon.empty)+"\" alt=\"\" />";}if(node._ls){this.aIndent.push(0);}else{this.aIndent.push(1);}if(node._hc){str+="<a href=\"javascript: "+this.obj+".o("+nodeId+");\"><img id=\"j"+this.obj+nodeId+"\" src=\"";str+=((node._io)?((node._ls)?this.icon.minusBottom:this.icon.minus):((node._ls)?this.icon.plusBottom:this.icon.plus));str+="\" alt=\"\" /></a>";}else{str+="<img src=\""+((node._ls)?this.icon.joinBottom:this.icon.join)+"\" alt=\"\" />";}}return str;});dTree.prototype.setCS=(function(node){var lastId,n;for(n=0;n<this.aNodes.length;n++){if(this.aNodes[n].pid==node.id){node._hc=true;}if(this.aNodes[n].pid==node.pid){lastId=this.aNodes[n].id;}}if(lastId==node.id){node._ls=true;}});dTree.prototype.getSelected=(function(){var sn=this.getCookie("cs"+this.obj);return(sn)?sn:null;});dTree.prototype.s=(function(id){var eOld,eNew,cn=this.aNodes[id];if(this.selectedNode!=id){eNew=DOKUid("s"+this.obj+id);if(!eNew){return;}if(this.selectedNode||this.selectedNode===0){eOld=DOKUid("s"+this.obj+this.selectedNode);eOld.className="node";}eNew.className="nodeSel";this.selectedNode=id;if(this.config.useCookies){this.setCookie("cs"+this.obj,cn.id);}}});dTree.prototype.o=(function(id){var cn=this.aNodes[id];this.nodeStatus(!cn._io,id,cn._ls);cn._io=!cn._io;if(this.config.useCookies){this.updateCookie();}this.divdisplay("z",0);this.resizescroll("block");});dTree.prototype.oAll=(function(status){for(var n=0;n<this.aNodes.length;n++){if(this.aNodes[n]._hc&&this.aNodes[n].pid!=this.root.id){this.nodeStatus(status,n,this.aNodes[n]._ls);this.aNodes[n]._io=status;}}if(this.config.useCookies){this.updateCookie();}});dTree.prototype.openTo=(function(nId,bSelect,bFirst){var n,cn;if(!bFirst){for(n=0;n<this.aNodes.length;n++){if(this.aNodes[n].id==nId){nId=n;break;}}}this.fill(this.aNodes[nId].pid);cn=this.aNodes[nId];if(cn.pid==this.root.id||!cn._p){return;}cn._io=1;if(this.completed&&cn._hc){this.nodeStatus(true,cn._ai,cn._ls);}if(cn._is){(this.completed)?this.s(cn._ai):this._sn=cn._ai;}this.openTo(cn._p._ai,false,true);});dTree.prototype.getOpenTo=(function(nodes){if(nodes===""){this.openAll();}else{if(!this.config.useCookies||!this.getCookie("co"+this.obj)){for(var n=0;n<nodes.length;n++){this.openTo(nodes[n],false,true);}}}});dTree.prototype.nodeStatus=(function(status,id,bottom){if(status&&!this.fill(id)){return;}var eJoin,eIcon;eJoin=DOKUid("j"+this.obj+id);eIcon=DOKUid("i"+this.obj+id);eIcon.src=(status)?this.aNodes[id].iconOpen:this.aNodes[id].icon;eJoin.src=((status)?((bottom)?this.icon.minusBottom:this.icon.minus):((bottom)?this.icon.plusBottom:this.icon.plus));DOKUid("d"+this.obj+id).style.display=(status)?"block":"none";});dTree.prototype.clearCookie=(function(){var now,yday;now=new Date();yday=new Date(now.getTime()-86400000);this.setCookie("co"+this.obj,"cookieValue",yday);this.setCookie("cs"+this.obj,"cookieValue",yday);});dTree.prototype.setCookie=(function(cookieName,cookieValue,expires,path,domain,secure){document.cookie=escape(cookieName)+"="+escape(cookieValue)+(expires?"; expires="+expires.toGMTString():"")+";path=/"+(domain?"; domain="+domain:"")+(secure?"; secure":"");});dTree.prototype.getCookie=(function(cookieName){var cookieValue="",pN,posValue,endPos;pN=document.cookie.indexOf(escape(cookieName)+"=");if(pN!=-1){posValue=pN+(escape(cookieName)+"=").length;endPos=document.cookie.indexOf(";",posValue);if(endPos!=-1){cookieValue=unescape(document.cookie.substring(posValue,endPos));}else{cookieValue=unescape(document.cookie.substring(posValue));}}return(cookieValue);});dTree.prototype.updateCookie=(function(){var str="",n;for(n=0;n<this.aNodes.length;n++){if(this.aNodes[n]._io&&this.aNodes[n].pid!=this.root.id){if(str){str+=".";}str+=this.aNodes[n].id;}}this.setCookie("co"+this.obj,str);});dTree.prototype.isOpen=(function(id){var n,aOpen=this.getCookie("co"+this.obj).split(".");for(n=0;n<aOpen.length;n++){if(aOpen[n]==id){return true;}}return false;});dTree.prototype.openCurNS=(function(max){var r,cn,match,t,i,n,cnsa,cna,cns=this.pageid;r=new RegExp("\\b"+this.config.sepchar+"\\b","g");match=cns.match(r)||-1;if(max>0&&match.length>=max){t=cns.split(this.config.sepchar);n=(this.aNodes[0].dokuid=="")?0:this.aNodes[0].dokuid.split(this.config.sepchar).length;t.splice(max+n,t.length);cnsa=t.join(this.config.sepchar);}for(i=0;i<this.aNodes.length;i++){cn=this.aNodes[i];if(cns==cn.dokuid||cns==cn.hns){this.openTo(cn.id,false,true);this.fajax=false;if(cn.pid>=0){addInitEvent(this.scroll("l",4,cn.pid,1));}break;}if(cnsa==cn.dokuid||cnsa==cn.hns){cna=cn;this.fajax=true;}}if(cna){this.openTo(cna.id,false,true);}});dTree.prototype.fill=(function(id){if(id==-1||this.aNodes[id]._ok){return true;}var n=id,eLoad,node,a,rd,ln,eDiv;if(this.aNodes[n].ajax){eLoad=DOKUid("l"+this.obj);node=DOKUid("s"+this.obj+n);if(!eLoad){eLoad=indexmenu_createPicker("l"+this.obj);}eLoad.innerHTML="Loading ...";DOKUid("s"+this.obj+n).parentNode.appendChild(eLoad);eLoad.style.width="auto";eLoad.style.display="inline";this.getAjax(n);return true;}rd=[];while(!this.aNodes[n]._ok){rd[rd.length]=n;n=this.aNodes[n].pid;}for(ln=rd.length-1;ln>=0;ln--){id=rd[ln];a=this.aNodes[id];eDiv=DOKUid("d"+this.obj+id);if(!eDiv){return false;}this.aIndent=[];n=a;while(n.pid>=0){if(n._ls){this.aIndent.unshift(0);}else{this.aIndent.unshift(1);}n=n._p;}eDiv.innerHTML=this.addNode(a);a._ok=true;}return true;});dTree.prototype.openCookies=(function(){var n,cn,aOpen=this.getCookie("co"+this.obj).split(".");for(n=0;n<aOpen.length;n++){if(aOpen[n]===""){break;}cn=this.aNodes[aOpen[n]];if(!cn._ok){this.nodeStatus(true,aOpen[n],cn._ls);cn._io=1;}}});dTree.prototype.scroll=(function(where,s,n,i){if(!this.config.scroll){return false;}var w,dtree,dtreel,nodeId;dtree=DOKUid("dtree_"+this.obj);dtreel=parseInt(dtree.offsetLeft,0);if(where=="r"){DOKUid("left_"+this.obj).style.border="thin inset";this.scrollRight(dtreel,s);}else{nodeId=DOKUid("s"+this.obj+n);w=parseInt(dtree.parentNode.offsetWidth-nodeId.offsetWidth-nodeId.offsetLeft,0);if(this.config.toc){w=w-11;}if(dtreel<=w){return;}this.resizescroll("none");this.stopscroll();this.scrollLeft(dtreel,s,w-3,i);}});dTree.prototype.scrollLeft=(function(lft,s,w,i){if(lft<w-i-10){this.divdisplay("z",0);this.scrllTmr=0;return;}var self=this;DOKUid("dtree_"+self.obj).style.left=lft+"px";this.scrllTmr=setTimeout((function(){self.scrollLeft(lft-s,s+i,w,i);}),20);});dTree.prototype.scrollRight=(function(lft,s){if(lft>=s){this.divdisplay("left_",0);this.stopscroll();return;}var self=this;DOKUid("dtree_"+self.obj).style.left=lft+"px";if(lft>-15){s=1;}this.scrllTmr=setTimeout((function(){self.scrollRight(lft+s,s+1);}),20);});dTree.prototype.stopscroll=(function(){DOKUid("left_"+this.obj).style.border="none";clearTimeout(this.scrllTmr);this.scrllTmr=0;});dTree.prototype.show_feat=(function(n){var w,div,id,dtree,dtreel,self,node=DOKUid("s"+this.obj+n);self=this;if(this.config.toc&&node.className!="node"){div=DOKUid("t"+this.obj);id=(this.aNodes[n].hns)?this.aNodes[n].hns:this.aNodes[n].dokuid;div.onmousedown=(function(){indexmenu_createTocMenu("req=toc&id="+decodeURIComponent(id),"picker_"+self.obj,"t"+self.obj);});node.parentNode.appendChild(div);if(div.style.display=="none"){div.style.display="inline";}}if(this.config.scroll){div=DOKUid("z"+this.obj);div.onmouseover=(function(){div.style.border="none";self.scroll("l",1,n,0);});div.onmousedown=(function(){div.style.border="thin inset";self.scroll("l",4,n,1);});div.onmouseout=(function(){div.style.border="none";self.stopscroll();});div.onmouseup=div.onmouseover;dtree=DOKUid("dtree_"+this.obj);dtreel=parseInt(dtree.offsetLeft,0);w=parseInt(dtree.parentNode.offsetWidth-node.offsetWidth-node.offsetLeft+1,0);if(dtreel>w){div.style.display="none";div.style.top=node.offsetTop+"px";div.style.left=parseInt(node.offsetLeft+node.offsetWidth+w-12,0)+"px";div.style.display="block";}}});dTree.prototype.resizescroll=(function(status){var dtree,w,h,left=DOKUid("left_"+this.obj);if(!left){return;}if(left.style.display==status){dtree=DOKUid("dtree_"+this.obj);w=parseInt(dtree.offsetHeight/3,0);h=parseInt(w/50,0)*50;if(h<50){h=50;}left.style.height=h+"px";left.style.top=w+"px";if(status=="none"){left.style.display="block";}}});dTree.prototype.getAjax=(function(n){var node,req,curns,selft=this;node=selft.aNodes[n];var Ajax=new sack(DOKU_BASE+"lib/plugins/indexmenu/ajax.php");req="req=index&idx="+node.dokuid+decodeURIComponent(this.config.jsajax);curns=this.pageid.substring(0,this.pageid.lastIndexOf(this.config.sepchar));Ajax.encodeURIString=false;Ajax.onCompletion=(function(){var i,ajxnodes,ajxnode,plus;plus=selft.aNodes.length-1;eval(this.response);if(!isArray(ajxnodes)||ajxnodes.length<1){ajxnodes=[["",1,0,"",0,1,0]];}node.ajax=false;for(i=0;i<ajxnodes.length;i++){ajxnode=ajxnodes[i];ajxnode[2]=(ajxnode[2]==0)?node.id:ajxnode[2]+plus;ajxnode[1]+=plus;selft.add(ajxnode[0],ajxnode[1],ajxnode[2],ajxnode[3],ajxnode[4],ajxnode[5],ajxnode[6]);}if(selft.fajax){selft.fajax=false;selft.openCurNS(0);}else{selft.openTo(node.id,false,true);}DOKUid("l"+selft.obj).style.display="none";});if(this.fajax){req+="&nss="+curns+"&max=1";}Ajax.encodeURIString=false;Ajax.runAJAX(encodeURI(req));});dTree.prototype.loadCss=(function(){var oLink=document.createElement("link");oLink.href=this.config.plugbase+"/images/"+this.config.theme+"/style.css";oLink.rel="stylesheet";oLink.type="text/css";document.getElementsByTagName("head")[0].appendChild(oLink);});dTree.prototype.contextmenu=(function(n,e){var li,id,html,type,node,self,cmenu,cdtree,rmenu,X=0,Y=0,i;cdtree=DOKUid("cdtree_"+this.obj);rmenu=DOKUid("r"+this.obj);if(!rmenu){return true;}indexmenu_mouseposition(rmenu,e);cmenu=window.indexmenu_contextmenu[0];node=this.aNodes[n];self=this;rmenu.innerHTML="<div class=\"indexmenu_rmenuhead\" title=\""+node.name+"\">"+node.name+"</div>";for(i=0;i<cmenu.length;i++,i++,i++,i++){if(((!node._hc||node.hns)&&!cmenu[i+2])||(node._hc&&!node.hns&&!cmenu[i+3])){continue;}html=cmenu[i];if(cmenu[i+1]){type="li";id=(node.hns)?node.hns:node.dokuid;html="<a title=\""+cmenu[i]+"\" href=\""+eval(cmenu[i+1])+"\">"+html+"</a>";}else{type="span";rmenu.appendChild(document.createElement("ul"));}li=document.createElement(type);li.innerHTML=html;rmenu.lastChild.appendChild(li);}rmenu.style.display="inline";return false;});dTree.prototype.divdisplay=(function(obj,v){var o=DOKUid(obj+this.obj);if(!o){return false;}(v)?o.style.display="inline":o.style.display="none";});dTree.prototype.init=(function(s,c,n,nav,max){if(s){this.loadCss();}if(!c){this.openCookies();}if(n){this.getOpenTo(n.split(" "));}if(nav){this.openCurNS(max);}if(window.indexmenu_contextmenu){var self=this;indexmenu_createPicker("r"+this.obj,"indexmenu_rmenu "+this.config.theme);DOKUid("r"+this.obj).oncontextmenu=indexmenu_stopevt;addEvent(document,"click",(function(){self.divdisplay("r",0);}));}});if(!Array.prototype.push){Array.prototype.push=(function array_push(){for(var i=0;i<arguments.length;i++){this[this.length]=arguments[i];}return this.length;});}if(!Array.prototype.pop){Array.prototype.pop=(function array_pop(){var lstEl=this[this.length-1];this.length=Math.max(this.length-1,0);return lstEl;});}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/jsmenu/admmenu.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/jsmenu/admmenu.js
new file mode 100644
index 000000000..2ea6e32f2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/jsmenu/admmenu.js
@@ -0,0 +1,71 @@
+/* Right Context Menu configuration for admin users:
+ Menu is built from four array items: title, link, show if page or headpage, show if namespace.
+ Link is not created if it's 0, otherwise it's evaluated.
+ Second array is displayed only in edit mode.
+
+ Some usefull variables:
+ node.hns = headpage id;
+ node.isdir = node is namespace;
+ node.dokuid = the DW id (namespace parent in case of headpage);
+ id = the DW id of the selected node (headpage id in case of headpage);
+ this.config.urlbase = Url Base;
+ this.config.sepchar = Url separator;
+*/
+
+var indexmenu_contextmenu=new Array(
+ //Standard right menu
+ new Array(
+ '<b><em>Page action:</em></b>',0,1,0,
+ '<b><em>Namespace action:</em></b>',0,0,1,
+ 'New page here','"javascript: indexmenu_reqpage(\'"+this.config.urlbase+"\',\'"+this.config.sepchar+"\',\'"+node.dokuid+"\');"',1,1,
+ 'Headpage here','"javascript: indexmenu_reqpage(\'"+this.config.urlbase+"\',\'"+this.config.sepchar+"\',\'"+node.dokuid+"\',\'"+node.name+"\');"',0,1,
+ 'Edit','indexmenu_getid(this.config.urlbase,id)+"do=edit"',1,0,
+ 'Search','"javascript: indexmenu_srchpage(\'"+this.config.urlbase+"\',\'"+this.config.sepchar+"\',\'"+node.isdir+"\',\'"+node.dokuid+"\');"',1,1,
+ 'Toc preview','"javascript: indexmenu_createTocMenu(\'req=toc&id="+id+"\',\'picker_"+this.obj+"\',\'s"+this.obj+node.id+"\');"',1,0,
+ 'Revisions','indexmenu_getid(this.config.urlbase,id)+"do=revisions"',1,0,
+ 'Purge cache','indexmenu_getid(this.config.urlbase,id)+"purge=true"',1,0,
+ 'Acls','indexmenu_getid(this.config.urlbase,id)+"do=admin&dokupage=acl"',1,1
+ ),
+
+ //Right menu in edit mode.
+ new Array(
+ '<b><em>Edit action:</em></b>',0,1,0,
+ 'Insert as DWlink','"javascript: indexmenu_insertTags(\'"+id+"\',\'"+this.config.sepchar+"\');"+this.obj+".divdisplay(\'r\',0);"',1,0
+ )
+ );
+
+/*Custom User Functions
+Insert your custom functions here.
+*/
+function indexmenu_reqpage(b,s,id,n) {
+ var r,u=b;
+ if (n) {
+ r = id + s + n;
+ } else {
+ r = prompt("Insert the pagename to create","");
+ if (!r) {return;}
+ r = id + s + r;
+ }
+ if (r) window.location.href = indexmenu_getid(u,r);
+}
+
+function indexmenu_srchpage(u,s,isdir,nid) {
+ var r = prompt("Insert keyword(s) to search for within this namespace","");
+ if (r)
+ {
+ var fnid = nid;
+ if (isdir == "0") {
+ fnid = fnid.substring(0,nid.lastIndexOf(s));
+ }
+ var b=u,re = new RegExp(s, 'g');
+ fnid = fnid.replace(re, ":");
+ b += (u.indexOf("?id=") < 0) ? '?id=': '';
+ window.location.href = indexmenu_getid(b,r+" @"+fnid)+"do=search";
+ }
+}
+
+function indexmenu_getid(u,id) {
+ var url=(u||'')+encodeURI(id||'');
+ url += (u.indexOf("?") < 0) ? '?': '&';
+ return url;
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/jsmenu/menu.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/jsmenu/menu.js
new file mode 100644
index 000000000..d92956141
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/jsmenu/menu.js
@@ -0,0 +1,56 @@
+/* Right Context Menu configuration for anonymous users:
+ Menu is built from four array items: title, link, show if page or headpage, show if namespace.
+ Link is not created if it's 0, otherwise it's evaluated.
+ Second array is displayed only in edit mode.
+
+ Some usefull variables:
+ node.hns = headpage id;
+ node.isdir = node is namespace;
+ node.dokuid = the DW id (namespace parent in case of headpage);
+ id = the DW id of the selected node (headpage id in case of headpage);
+ this.config.urlbase = Url Base;
+ this.config.sepchar = Url separator;
+*/
+
+var indexmenu_contextmenu=new Array(
+ //Standard right menu
+ new Array(
+ '<b><em>Page action:</em></b>',0,1,0,
+ '<b><em>Namespace action:</em></b>',0,0,1,
+ 'Search','"javascript: indexmenu_srchpage(\'"+this.config.urlbase+"\',\'"+this.config.sepchar+"\',\'"+node.isdir+"\',\'"+node.dokuid+"\');"',1,1,
+ 'Toc preview','"javascript: indexmenu_createTocMenu(\'req=toc&id="+id+"\',\'picker_"+this.obj+"\',\'s"+this.obj+node.id+"\');"',1,0,
+ 'Revisions','indexmenu_getid(this.config.urlbase,id)+"do=revisions"',1,0
+ ),
+
+ //Right menu in edit mode.
+ new Array(
+ '<b><em>Edit action:</em></b>',0,1,0,
+ 'Insert as DWlink','"javascript: indexmenu_insertTags(\'"+id+"\',\'"+this.config.sepchar+"\');"+this.obj+".divdisplay(\'r\',0);"',1,0,
+ 'Edit','indexmenu_getid(this.config.urlbase,id)+"do=edit"',1,0
+ )
+ );
+
+/*Custom User Functions
+Insert your custom functions here.
+*/
+
+function indexmenu_srchpage(u,s,isdir,nid) {
+ var r = prompt("Insert keyword(s) to search for within this namespace","");
+ if (r)
+ {
+ var fnid = nid;
+ if (isdir == "0") {
+ fnid = fnid.substring(0,nid.lastIndexOf(s));
+ }
+ var b=u,re = new RegExp(s, 'g');
+ fnid = fnid.replace(re, ":");
+ b += (u.indexOf("?id=") < 0) ? '?id=': '';
+ window.location.href = indexmenu_getid(b,r+" @"+fnid)+"do=search";
+ }
+}
+
+function indexmenu_getid(u,id) {
+ var url=(u||'')+encodeURI(id||'');
+ url += (u.indexOf("?") < 0) ? '?': '&';
+ return url;
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/jsmenu/usrmenu.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/jsmenu/usrmenu.js
new file mode 100644
index 000000000..d58ae3802
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/jsmenu/usrmenu.js
@@ -0,0 +1,69 @@
+/* Right Context Menu configuration for authenticated users:
+ Menu is built from four array items: title, link, show if page or headpage, show if namespace.
+ Link is not created if it's 0, otherwise it's evaluated.
+ Second array is displayed only in edit mode.
+
+ Some usefull variables:
+ node.hns = headpage id;
+ node.isdir = node is namespace;
+ node.dokuid = the DW id (namespace parent in case of headpage);
+ id = the DW id of the selected node (headpage id in case of headpage);
+ this.config.urlbase = Url Base;
+ this.config.sepchar = Url separator;
+*/
+
+var indexmenu_contextmenu=new Array(
+ //Standard right menu
+ new Array(
+ '<b><em>Page action:</em></b>',0,1,0,
+ '<b><em>Namespace action:</em></b>',0,0,1,
+ 'New page here','"javascript: indexmenu_reqpage(\'"+this.config.urlbase+"\',\'"+this.config.sepchar+"\',\'"+node.dokuid+"\');"',1,1,
+ 'Headpage here','"javascript: indexmenu_reqpage(\'"+this.config.urlbase+"\',\'"+this.config.sepchar+"\',\'"+node.dokuid+"\',\'"+node.name+"\');"',0,1,
+ 'Edit','indexmenu_getid(this.config.urlbase,id)+"do=edit"',1,0,
+ 'Search','"javascript: indexmenu_srchpage(\'"+this.config.urlbase+"\',\'"+this.config.sepchar+"\',\'"+node.isdir+"\',\'"+node.dokuid+"\');"',1,1,
+ 'Toc preview','"javascript: indexmenu_createTocMenu(\'req=toc&id="+id+"\',\'picker_"+this.obj+"\',\'s"+this.obj+node.id+"\');"',1,0,
+ 'Revisions','indexmenu_getid(this.config.urlbase,id)+"do=revisions"',1,0
+ ),
+
+ //Right menu in edit mode.
+ new Array(
+ '<b><em>Edit action:</em></b>',0,1,0,
+ 'Insert as DWlink','"javascript: indexmenu_insertTags(\'"+id+"\',\'"+this.config.sepchar+"\');"+this.obj+".divdisplay(\'r\',0);"',1,0
+ )
+ );
+
+/*Custom User Functions
+Insert your custom functions here.
+*/
+function indexmenu_reqpage(b,s,id,n) {
+ var r,u=b;
+ if (n) {
+ r = id + s + n;
+ } else {
+ r = prompt("Insert the pagename to create","");
+ if (!r) {return;}
+ r = id + s + r;
+ }
+ if (r) window.location.href = indexmenu_getid(u,r);
+}
+
+function indexmenu_srchpage(u,s,isdir,nid) {
+ var r = prompt("Insert keyword(s) to search for within this namespace","");
+ if (r)
+ {
+ var fnid = nid;
+ if (isdir == "0") {
+ fnid = fnid.substring(0,nid.lastIndexOf(s));
+ }
+ var b=u,re = new RegExp(s, 'g');
+ fnid = fnid.replace(re, ":");
+ b += (u.indexOf("?id=") < 0) ? '?id=': '';
+ window.location.href = indexmenu_getid(b,r+" @"+fnid)+"do=search";
+ }
+}
+
+function indexmenu_getid(u,id) {
+ var url=(u||'')+encodeURI(id||'');
+ url += (u.indexOf("?") < 0) ? '?': '&';
+ return url;
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/lang/de/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/lang/de/lang.php
new file mode 100644
index 000000000..29d939aa5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/lang/de/lang.php
@@ -0,0 +1,27 @@
+<?php
+/**
+ * German language for indexmenu plugin
+ *
+ * @license: GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author: Fabian Pfannes <fpfannes@web.de>
+ */
+$lang['menu'] = 'Indexmenu Werkzeuge';
+$lang['fetch'] = 'Anzeigen';
+$lang['install'] = 'Installieren';
+$lang['delete'] = 'L&ouml;schen';
+$lang['check'] = '&Uuml;berpr&uuml;fen';
+$lang['no_repos'] = 'Keine URL f&uuml;r ein Template Repository vorhanden.';
+$lang['disabled'] = 'Deaktiviert';
+$lang['conn_err'] = 'Verbindung fehlgeschlagen';
+$lang['dir_err'] = 'Fehler bei der Erzeugung eines Verzeichnises. Designvorlage konnte nicht gespeichert werden';
+$lang['down_err'] = 'Fehler beim Herunterladen der Designvorlage';
+$lang['zip_err'] = 'Fehler beim Erstellen oder Entpacken der Zip-Datei';
+$lang['install_ok'] = 'Designvorlage wurde erfolgreich erstellt. Die neue Designvorlage ist in der Werkzeugleiste der Konfigurationsseite oder &uuml;ber die js#theme_name Option verf&uuml;gbar.';
+$lang['install_no'] = 'Verbindungsfehler. Sie k&ouml;nnen aber versuchen die Designvorlage <a href="http://samuele.netsons.org/dokuwiki/lib/plugins/indexmenu/upload/">hier</a> hochzuladen.';
+$lang['delete_ok'] = 'Designvorlage wurde erfolgreich gel&ouml;scht.';
+$lang['delete_no'] = 'Beim L&ouml;schen ist ein Fehler aufgetreten';
+$lang['upload'] = 'Hochladen';
+$lang['checkupdates'] = 'Plugin updaten';
+$lang['noupdates'] = "Indexmenu muss nicht upgedated werden. Sie haben bereits die aktuellste Version:";
+$lang['infos'] = 'Sie k&ouml;nnen eine neue Designvorlage erstellen. Beachten Sie die Anleitung im <a href="http://wiki.splitbrain.org/plugin:indexmenu#theme_tutorial">Designvorlagen Tutorial</a. <br />Wenn Sie den "Hochladen" Button unter der Desingvorlage dr&uuml;cken k&ouml;nnen Sie viele Leute gl&uuml;cklich machen :-) dadurch, dass Sie sie im &ouml;ffentliche Indexmenu Repository bereitstellen.';
+$lang['showsort']="Indexmenu Sortierungsnummer: ";
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/lang/en/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/lang/en/lang.php
new file mode 100644
index 000000000..e298caa44
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/lang/en/lang.php
@@ -0,0 +1,28 @@
+<?php
+/**
+ * English language for indexmenu plugin
+ *
+ * @license: GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author: Samuele Tognini <samuele@netsons.org>
+ */
+$lang['menu'] = 'Indexmenu Utilities';
+$lang['fetch'] = 'Show';
+$lang['install'] = 'Install';
+$lang['delete'] = 'Delete';
+$lang['check'] = 'Check';
+$lang['no_repos'] = 'No theme repository url configured.';
+$lang['disabled'] = 'Disabled';
+$lang['conn_err'] = 'Connection error';
+$lang['dir_err'] = 'Unable to create temporary folder to receive theme';
+$lang['down_err'] = 'Unable to receive theme';
+$lang['zip_err'] = 'Zip creation or extraction error';
+$lang['install_ok'] = 'theme installed successfully. The new theme is avaiable in the toolbar of the edit page or with the js#theme_name option.';
+$lang['install_no'] = 'Connection error. However you can try to upload manually your theme from <a href="http://samuele.netsons.org/dokuwiki/lib/plugins/indexmenu/upload/">here</a>.';
+$lang['delete_ok'] = 'Theme is successfully deleted.';
+$lang['delete_no'] = 'An error occurred during theme deleting';
+$lang['upload'] = 'Share';
+$lang['checkupdates'] = 'Plugin updates';
+$lang['noupdates'] = "Indexmenu does not need to be update. You have already the last release:";
+$lang['infos'] = 'You can create your theme following the instructions at the <a href="http://wiki.splitbrain.org/plugin:indexmenu#theme_tutorial">Theme Tutorial</a> page. <br />Then you could make more people happy :-) sending it to the public indexmenu repository, with the "share" button under that theme.';
+$lang['showsort']="Indexmenu sort number: ";
+$lang['donation_text'] = 'The indexmenu plugin is not sponsored by anyone but i develop and support it for free during my spare time. If you gain something thanks to it or you want to support its development, you can consider to make a donation.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/lang/es/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/lang/es/lang.php
new file mode 100644
index 000000000..114237f32
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/lang/es/lang.php
@@ -0,0 +1,28 @@
+<?php
+/**
+ * spanish language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Digna Gonz谩lez Otero <digna.gonzalezotero [at] gmail [dot] com>
+ */
+
+$lang['menu'] = 'Utilidades de Indexmenu';
+$lang['fetch'] = 'Mostrar';
+$lang['install'] = 'Instalar';
+$lang['delete'] = 'Eliminar';
+$lang['check'] = 'Seleccionar';
+$lang['no_repos'] = 'Url de repositorio de temas no configurado.';
+$lang['disabled'] = 'Desactivado';
+$lang['conn_err'] = 'Error de conexi贸n';
+$lang['dir_err'] = 'Imposible crear directorio temporar para almacenar el tema';
+$lang['down_err'] = 'Imposible recibir el tema';
+$lang['zip_err'] = 'Error de creaci贸n o extracci贸n de Zip';
+$lang['install_ok'] = 'Tema instalado satisfactoriamente. El nuevo tema est谩 disponible en la barra de herramientas de la p谩gina a editar o con el comando js#nombre_del_tema opci贸n.';
+$lang['install_no'] = 'Error de conexi贸n. Puedes intentar subir tu tema manualmente desde <a href="http://samuele.netsons.org/dokuwiki/lib/plugins/indexmenu/upload/">here</a>.';
+$lang['delete_ok'] = 'Tema eliminado correctamente.';
+$lang['delete_no'] = 'Ocurri贸 un error durante la eliminaci贸n del tema';
+$lang['upload'] = 'Compartir';
+$lang['checkupdates'] = 'Actualizaciones de plugin';
+$lang['noupdates'] = "No es necesario actualizar Indexmenu. Ya tienes la 煤ltima versi贸n:";
+$lang['infos'] = 'Puedes crear tu tema siguiendo las instrucciones de la p谩gina <a href="http://wiki.splitbrain.org/plugin:indexmenu#theme_tutorial">Theme Tutorial</a>. <br />Despu茅s puedes hacer feliz a m谩s gente:-) envi谩ndolo al repositorio p煤blico de indexmenu, con el bot贸n de "compartir" bajo ese tema.';
+$lang['showsort']="N煤mero de posici贸n de Indexmenu: ";
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/lang/it/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/lang/it/lang.php
new file mode 100644
index 000000000..4f6bbcd54
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/lang/it/lang.php
@@ -0,0 +1,29 @@
+<?php
+/**
+ * Italian language for indexmenu plugin
+ *
+ * @license: GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author: Samuele Tognini <samuele@netsons.org>
+ */
+$lang['menu'] = "Utility di Indexmenu";
+$lang['fetch'] = 'Mostra';
+$lang['install'] = 'Installa';
+$lang['delete'] = 'Elimina';
+$lang['check'] = 'Controlla';
+$lang['no_repos'] = 'Non &egrave stato configurato nessun indirizzo web per il repository dei temi.';
+$lang['disabled'] = 'Disabilitato';
+$lang['conn_err'] = 'Errore di connessione';
+$lang['local_themes'] = 'Temi installati';
+$lang['dir_err'] = 'Impossibile creare la directory temporanea per scaricare il tema';
+$lang['down_err'] = 'Impossibile scaricare il tema';
+$lang['zip_err'] = 'Errore durante la creazione o scompattazione dell\' archivio';
+$lang['install_ok'] = "tema installato correttamente. Il nuovo tema &egrave accessibile dalla toolbar degli strumenti quando crei una pagina oppure tramite l' opzione js#nome_tema di indexmenu.";
+$lang['install_no'] = 'Errore durante l\' upload. Puoi comunque provare ad inviarmi manualmente il tema da <a href="http://samuele.netsons.org/dokuwiki/lib/plugins/indexmenu/upload/">qui</a>.';
+$lang['delete_ok'] = 'Rimozione del tema avvenuta con successo';
+$lang['delete_no'] = "Errore durante la rimozione del tema";
+$lang['upload'] = 'Condividi';
+$lang['checkupdates'] = 'Aggiornamenti plugin';
+$lang['noupdates'] = "Indexmenu non ha bisogno di essere aggiornato. Hai gi&agrave l'ultima release:";
+$lang['infos'] = 'Puoi creare il tuo tema seguendo le istruzioni nella pagina del <a href="http://wiki.splitbrain.org/plugin:indexmenu#theme_tutorial">Tutorial dei temi</a>.<br /> Poi potreste rendere felice pi&ugrave gente :-) inviandolo nel repository pubblico di indexemnu tramite il pulsante "condividi" sotto il tema della lista.';
+$lang['showsort']="Ordinamento in indexmenu: ";
+$lang['donation_text'] = 'Il plugin indexmenu non &egrave sponsorizzato da nessuno, ma lo sviluppo e supporto gratuitamente nel mio tempo libero. Se guadagni qualcosa grazie a questo plugin o vuoi supportarne lo sviluppo, puoi farlo con una donazione.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/nojsindex-full.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/nojsindex-full.js
new file mode 100644
index 000000000..34c8266cc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/nojsindex-full.js
@@ -0,0 +1,101 @@
+/**
+ * Javascript for index view
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+
+indexmenu_nojs = {
+ /**
+ * Delay in ms before showing the throbber.
+ * Used to skip the throbber for fast AJAX calls.
+ */
+ throbber_delay: 500,
+
+ /**
+ * Attach event handlers to all "folders" below the given element
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ treeattach: function(iobj){
+ var obj=DOKUid('nojs_'+iobj[0]);
+ if (!obj) return;
+
+ var items = getElementsByClass('indexmenu_idx',obj,'a');
+ for(var i=0; i<items.length; i++){
+ var elem = items[i];
+
+ // attach action to make the link clickable by AJAX
+ addEvent(elem,'click',function(e){ return indexmenu_nojs.toggle(e,this,iobj[1]); });
+ }
+ },
+
+ /**
+ * Open or close a subtree using AJAX
+ * The contents of subtrees are "cached" untill the page is reloaded.
+ * A "loading" indicator is shown only when the AJAX call is slow.
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Ben Coburn <btcoburn@silicodon.net>
+ * Modified by Samuele Tognini <samuele@netsons.org> for the indexmenu plugin
+ */
+ toggle: function(e,clicky,jsajax){
+ var listitem = clicky.parentNode.parentNode;
+
+ // if already open, close by removing the sublist
+ var sublists = listitem.getElementsByTagName('ul');
+ if(sublists.length && listitem.className=='open'){
+ sublists[0].style.display='none';
+ listitem.className='closed';
+ e.preventDefault();
+ return false;
+ }
+
+ // just show if already loaded
+ if(sublists.length && listitem.className=='closed'){
+ sublists[0].style.display='';
+ listitem.className='open';
+ e.preventDefault();
+ return false;
+ }
+
+ // prepare an AJAX call to fetch the subtree
+ var ajax = new sack(DOKU_BASE+'lib/plugins/indexmenu/ajax.php');
+ ajax.AjaxFailedAlert = '';
+ ajax.encodeURIString = false;
+ if(ajax.failed) return true;
+
+ //prepare the new ul
+ var ul = document.createElement('ul');
+ ul.className = 'idx';
+ timeout = window.setTimeout(function(){
+ // show the throbber as needed
+ ul.innerHTML = '<li><img src="'+DOKU_BASE+'lib/images/throbber.gif" alt="loading..." title="loading..." /></li>';
+ listitem.appendChild(ul);
+ listitem.className='open';
+ }, this.throbber_delay);
+ ajax.elementObj = ul;
+ ajax.afterCompletion = function(){
+ window.clearTimeout(timeout);
+ indexmenu_nojs.treeattach(ul);
+ if (listitem.className!='open') {
+ listitem.appendChild(ul);
+ listitem.className='open';
+ }
+ };
+ ajax.runAJAX(encodeURI('req=index&nojs=1&'+clicky.search.substr(1)+'&max=1'+decodeURIComponent(jsajax)));
+ e.preventDefault();
+ return false;
+ },
+
+ /* Find all nojs indexmenu objects */
+ treefind: function () {
+ var aobj=indexmenu_nojsqueue;
+ if (!aobj) return;
+
+ for (var i in aobj) {
+ indexmenu_nojs.treeattach(aobj[i]);
+ }
+ }
+};
+
+indexmenu_nojs.treefind();
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/nojsindex.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/nojsindex.js
new file mode 100644
index 000000000..ac437321e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/nojsindex.js
@@ -0,0 +1 @@
+indexmenu_nojs={throbber_delay:500,treeattach:(function(iobj){var obj=DOKUid("nojs_"+iobj[0]);if(!obj){return;}var items=getElementsByClass("indexmenu_idx",obj,"a");for(var i=0;i<items.length;i++){var elem=items[i];addEvent(elem,"click",(function(e){return indexmenu_nojs.toggle(e,this,iobj[1]);}));}}),toggle:(function(e,clicky,jsajax){var listitem=clicky.parentNode.parentNode;var sublists=listitem.getElementsByTagName("ul");if(sublists.length&&listitem.className=="open"){sublists[0].style.display="none";listitem.className="closed";e.preventDefault();return false;}if(sublists.length&&listitem.className=="closed"){sublists[0].style.display="";listitem.className="open";e.preventDefault();return false;}var ajax=new sack(DOKU_BASE+"lib/plugins/indexmenu/ajax.php");ajax.AjaxFailedAlert="";ajax.encodeURIString=false;if(ajax.failed){return true;}var ul=document.createElement("ul");ul.className="idx";timeout=window.setTimeout((function(){ul.innerHTML="<li><img src=\""+DOKU_BASE+"lib/images/throbber.gif\" alt=\"loading...\" title=\"loading...\" /></li>";listitem.appendChild(ul);listitem.className="open";}),this.throbber_delay);ajax.elementObj=ul;ajax.afterCompletion=(function(){window.clearTimeout(timeout);indexmenu_nojs.treeattach(ul);if(listitem.className!="open"){listitem.appendChild(ul);listitem.className="open";}});ajax.runAJAX(encodeURI("req=index&nojs=1&"+clicky.search.substr(1)+"&max=1"+decodeURIComponent(jsajax)));e.preventDefault();return false;}),treefind:(function(){var aobj=indexmenu_nojsqueue;if(!aobj){return;}for(var i in aobj){indexmenu_nojs.treeattach(aobj[i]);}})};indexmenu_nojs.treefind();
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/script.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/script.js
new file mode 100644
index 000000000..411f0fde5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/script.js
@@ -0,0 +1,152 @@
+/* Queue of loaded script files */
+var indexmenu_jsqueue = [];
+/* Queue of loaded css files */
+var indexmenu_cssqueue = [];
+/* Queue of nojs trees */
+var indexmenu_nojsqueue = [];
+
+function indexmenu_findExt(path){
+ var ext = "gif";
+ var cext = path.lastIndexOf(".");
+ if ( cext > -1){
+ cext++;
+ cext = path.substring(cext, path.length).toLowerCase();
+ if ((cext == "png") || (cext == "jpg")) {ext = cext;}
+ }
+ return ext;
+}
+
+function indexmenu_createTocMenu(get,picker,btn) {
+ var toc_picker = DOKUid(picker);
+ if (!toc_picker) {
+ toc_picker=indexmenu_createPicker(picker);
+ toc_picker.className='dokuwiki indexmenu_toc';
+ toc_picker.innerHTML='<a href="#"><img src="'+DOKU_BASE+'lib/plugins/indexmenu/images/close.gif" class="indexmenu_close" /></a><div />';
+ addEvent(toc_picker.firstChild, 'click',function (event) {event.stopPropagation();return indexmenu_showPicker(picker)});
+ } else {
+ toc_picker.style.display = 'none';
+ }
+ indexmenu_ajaxmenu(get,toc_picker,DOKUid(btn),toc_picker.childNodes[1]);
+}
+
+function indexmenu_ajaxmenu(get,picker,btn,container,oncomplete) {
+ var indx_list;
+ if (container) {
+ indx_list = container;
+ } else {
+ indx_list = picker;
+ }
+ if (!indexmenu_showPicker(picker,btn)) return;
+ // We use SACK to do the AJAX requests
+ var ajax = new sack(DOKU_BASE+'lib/plugins/indexmenu/ajax.php');
+ ajax.encodeURIString=false;
+ ajax.onLoading = function () {
+ indx_list.innerHTML='<div class="tocheader">Loading .....</div>';
+ };
+
+ // define callback
+ ajax.onCompletion = function(){
+ var data = this.response;
+ indx_list.innerHTML="";
+ if (isFunction(oncomplete)) {
+ oncomplete(data,indx_list);
+ } else {
+ indx_list.innerHTML=data;
+ }
+ };
+
+ ajax.runAJAX(encodeURI(get));
+}
+
+function indexmenu_createPicker(id,cl) {
+ var indx_list = document.createElement('div');
+ indx_list.className = cl || 'picker';
+ indx_list.id=id;
+ indx_list.style.position = 'absolute';
+ indx_list.style.display = 'none';
+ var body = document.getElementsByTagName('body')[0];
+ body.appendChild(indx_list);
+ return indx_list;
+}
+
+function indexmenu_showPicker(pickerid,btn){
+ var x = 3, y = 3, picker = DOKUid(pickerid);
+ if(picker.style.display == 'none'){
+ x += findPosX(btn);
+ y += findPosY(btn);
+ if (picker.id != 'picker_plugin_indexmenu') {
+ x += btn.offsetWidth-3;
+ } else {
+ y += btn.offsetHeight;
+ }
+ picker.style.display = 'block';
+ picker.style.left = x+'px';
+ picker.style.top = y+'px';
+ return true;
+ }else{
+ picker.style.display = 'none';
+ return false;
+ }
+}
+
+function indexmenu_loadtoolbar(){
+ var toolbar = DOKUid('tool__bar');
+ if(!toolbar) return;
+ indexmenu_loadJs(DOKU_BASE+'lib/plugins/indexmenu/edit.js');
+}
+
+function indexmenu_loadJs(f){
+ var basef = f.replace(/^.*[\/\\]/g, '');
+ if (indexmenu_notinarray(indexmenu_jsqueue,basef)) {
+ var oLink = document.createElement("script");
+ oLink.src = f;
+ oLink.type = "text/javascript";
+ oLink.charset="utf-8";
+ indexmenu_jsqueue.push(basef);
+ document.getElementsByTagName("head")[0].appendChild(oLink);
+ }
+}
+
+function indexmenu_checkcontextm(n,obj,e){
+ var k=0;
+ e=e||event;
+ if ((e.which == 3 || e.button == 2) || (window.opera && e.which == 1 && e.ctrlKey)) {
+ obj.contextmenu (n,e);
+ indexmenu_stopevt(e);
+ }
+}
+
+function indexmenu_stopevt(e) {
+ if (!window.indexmenu_contextmenu) {
+ return true;
+ }
+ e=e||event;
+ e.preventdefault? e.preventdefault() : e.returnValue = false;
+ return false;
+}
+
+function indexmenu_notinarray(array,val) {
+ for (var i = 0; i < array.length; i++) {
+ if (array[i] == val) {
+ return false;
+ }
+ }
+ return true;
+}
+
+function indexmenu_mouseposition(obj,e) {
+/*http://www.quirksmode.org/js/events_properties.html*/
+ if (!e) e = window.event;
+ if (e.pageX || e.pageY) {
+ X = e.pageX;
+ Y = e.pageY;
+ }
+ else if (e.clientX || e.clientY) {
+ X = e.clientX + document.body.scrollLeft + document.documentElement.scrollLeft;
+ Y = e.clientY + document.body.scrollTop + document.documentElement.scrollTop;
+ }
+ obj.style.left=X-5+'px';
+ obj.style.top=Y-5+'px';
+}
+
+addInitEvent(indexmenu_loadtoolbar);
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/style.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/style.css
new file mode 100644
index 000000000..a70cbebfe
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/style.css
@@ -0,0 +1,190 @@
+/*--------------------------------------------------|
+| dTree 2.05 | www.destroydrop.com/javascript/tree/ |
+|---------------------------------------------------|
+| Copyright (c) 2002-2003 Geir Landro |
+|--------------------------------------------------*/
+/*
+ a.nodeFdUrl Namespace with url link (headpage) js
+ a.node Namespace without url link js
+ a.nodeUrl Page js
+ a.nodeSel Last visited page js
+ a.navSel Current page js
+ a.indexmenu_idx_head link style of a namespace with url (headpage) nojs
+ a.indexmenu_idx link style of a namespace without url nojs
+ */
+
+/* dtree properties. No need to change*/
+
+.dtree {
+ font-family: Verdana, Geneva, Arial, Helvetica, sans-serif;
+ font-size: 11px;
+ color: __text__;
+ white-space: nowrap;
+ line-height: normal;
+}
+
+.dtree img {
+ border: 0px;
+ vertical-align: top;
+}
+
+/*Properties that can be edit*/
+
+/*Link properties*/
+.dtree a {
+ color: __existing__;
+ text-decoration: none;
+}
+
+/*Link properties*/
+.dtree a.node, .dtree a.nodeSel a.navSel {
+ white-space: nowrap;
+ padding: 1px 2px 1px 2px;
+}
+/* Last visited page highlighting*/
+.dtree a.nodeSel {
+ background-color: __background_alt__;
+}
+/* Current page highlighting*/
+.dtree a.navSel {
+ background-color: __highlight__;
+}
+
+/*
+.dtree .clip {
+}
+*/
+
+/*nojs tree div*/
+.indexmenu_nojs {
+ display: block;
+}
+
+/*Namespace without page link in nojs mode. !important has to be used*/
+div.li a.indexmenu_idx {
+ color: __missing__ !important;
+ text-decoration: none !important;
+ font-weight: bold;
+}
+
+/*Namespace with page link (headpage) in nojs mode. !important has to be used*/
+
+div.li a.indexmenu_idx_head {
+ font-weight: bold;
+}
+
+
+/*Style for admin panel interface*/
+div.dokuwiki div.indexmenu_list_themes {
+ clear: both;
+ border-top: 2px solid __border__;
+ padding-left: 1em;
+}
+
+/*Mouseover property*/
+.dtree a.nodeFdUrl:hover, .dtree a.nodeSel:hover, a.navSel:hover, .dtree a.nodeUrl:hover {
+ color: __existing__;
+ text-decoration: underline;
+ background-color: __background_alt__;
+}
+/*Mouseover property*/
+.dtree a.node:hover {
+ text-decoration: none;
+}
+
+/*tocbullet property*/
+.dtree .indexmenu_tocbullet {
+ position:absolute;
+ background: transparent url(images/toc_bullet.gif) no-repeat scroll;
+ vertical-align: middle;
+ width: 11px;
+ height: 11px;
+}
+
+/*scrolling arrow property*/
+.dtree .indexmenu_larrow {
+ position:absolute;
+ filter:alpha(opacity=60);-moz-opacity:.60;opacity:.60;
+ background:transparent url(images/larrow.gif) repeat-y scroll;
+ padding-left:22px;
+ z-index:100;
+}
+
+/*toc property*/
+.indexmenu_toc {
+ font-size: 80%;
+ line-height: 1.2em;
+ white-space: normal;
+ overflow: hidden;
+ width: 200px !important;
+ z-index:100 !important;
+ word-wrap: break-word;
+}
+
+.indexmenu_toc .indexmenu_toc_inside {
+ border: 1px solid __border__;
+ background-color: __background__;
+ text-align: left;
+ padding: 0.5em 0 0.7em 0;
+ max-height: 300px;
+ height: expression( this.scrollHeight > 300 ? "300px" : "auto" );
+ overflow: auto;
+}
+
+.dtree .indexmenu_rarrow {
+ position:absolute;
+ background:white url(images/rarrow.gif) no-repeat scroll;
+ width:11px;
+ height:15px;
+}
+
+.indexmenu_rmenu {
+ position: absolute;
+ z-index: 100;
+ background-color: #fff;
+ border: 1px solid black;
+ font-size: 80%;
+ line-height: 100%;
+ padding-bottom: 5px;
+}
+
+.indexmenu_rmenuhead {
+ background-color: #CCFFCC;
+ border-bottom: 1px solid __text__;
+ color: __text__;
+ font-size: 90%;
+ margin: 0pt;
+ text-align: center;
+ padding: 1px 5px;
+ vertical-align: middle;
+ overflow: hidden;
+ width: 80px;
+}
+
+.indexmenu_rmenu ul,.indexmenu_rmenu li {
+ list-style-type: none !important;
+ list-style-image: none !important;
+ color: #000 !important;
+ margin: 2px !important;
+ text-align: center;
+}
+
+.indexmenu_rmenu a:hover {
+ background-color: #000 !important;
+ color: #fff !important;
+}
+
+.indexmenu_opts {
+ font-size: 80%;
+}
+
+.dtree .emptynode {
+ background: transparent url(images/empty.gif) no-repeat scroll;
+ display: inline;
+ padding: 1px 8px;
+ width: 16px;
+ height: 16px;
+ vertical-align: top;
+ /* needed by ie7 */
+ zoom: 1;
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/syntax/indexmenu.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/syntax/indexmenu.php
new file mode 100644
index 000000000..a1653437d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/syntax/indexmenu.php
@@ -0,0 +1,720 @@
+<?php
+/**
+ * Info Indexmenu: Displays the index of a specified namespace.
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Samuele Tognini <samuele@netsons.org>
+ *
+ */
+
+if(!defined('DOKU_INC')) define('DOKU_INC',realpath(dirname(__FILE__).'/../../').'/');
+if(!defined('DOKU_PLUGIN')) define('DOKU_PLUGIN',DOKU_INC.'lib/plugins/');
+if(!defined('INDEXMENU_IMG_ABSDIR')) define('INDEXMENU_IMG_ABSDIR',DOKU_PLUGIN."indexmenu/images");
+require_once(DOKU_PLUGIN.'syntax.php');
+require_once(DOKU_INC.'inc/search.php');
+
+/**
+ * All DokuWiki plugins to extend the parser/rendering mechanism
+ * need to inherit from this class
+ */
+class syntax_plugin_indexmenu_indexmenu extends DokuWiki_Syntax_Plugin {
+
+ var $sort=false;
+ var $msort=false;
+ var $rsort=false;
+ var $nsort=false;
+
+ /**
+ * return some info
+ */
+ function getInfo(){
+ return array(
+ 'author' => 'Samuele Tognini',
+ 'email' => 'samuele@netsons.org',
+ 'date' => rtrim(io_readFile(DOKU_PLUGIN.'indexmenu/VERSION.txt')),
+ 'name' => 'Indexmenu',
+ 'desc' => 'Insert the index of a specified namespace.',
+ 'url' => 'http://wiki.splitbrain.org/plugin:indexmenu'
+ );
+ }
+
+ /**
+ * What kind of syntax are we?
+ */
+ function getType(){
+ return 'substition';
+ }
+
+ function getPType(){
+ return 'block';
+ }
+
+ /**
+ * Where to sort in?
+ */
+ function getSort(){
+ return 138;
+ }
+
+ /**
+ * Connect pattern to lexer
+ */
+ function connectTo($mode) {
+ $this->Lexer->addSpecialPattern('{{indexmenu>.+?}}',$mode,'plugin_indexmenu_indexmenu');
+ }
+
+ /**
+ * Handle the match
+ */
+ function handle($match, $state, $pos, &$handler){
+ $theme="default";
+ $ns=".";
+ $level = -1;
+ $nons = true;
+ $gen_id='random';
+ $maxjs=0;
+ $max=0;
+ $jsajax='';
+ $nss=array();
+ $match = substr($match,12,-2);
+ //split namespace,level,theme
+ $match = preg_split('/\|/u', $match, 2);
+ //split options
+ $opts=preg_split('/ /u',$match[1]);
+ //Context option
+ $context = in_array('context',$opts);
+ //split optional namespaces
+ $nss_temp=preg_split("/ /u",$match[0],-1,PREG_SPLIT_NO_EMPTY);
+ //Array optional namespace => level
+ for ($i = 1; $i < count($nss_temp); $i++) {
+ $nsss=preg_split("/#/u",$nss_temp[$i]);
+ if (!$context) {
+ $nsss[0] = $this->_parse_ns($nsss[0]);
+ }
+ $nss[]=array($nsss[0],(is_numeric($nsss[1])) ? $nsss[1] : $level);
+ }
+ //split main requested namespace
+ if (preg_match('/(.*)#(\S*)/u',$nss_temp[0],$ns_opt)) {
+ //split level
+ $ns = $ns_opt[1];
+ if (is_numeric($ns_opt[2])) $level=$ns_opt[2];
+ } else {
+ $ns = $nss_temp[0];
+ }
+ if (!$context) {
+ $ns = $this->_parse_ns($ns);
+ }
+ //nocookie option (disable for uncached pages)
+ $nocookie=$context||in_array('nocookie',$opts);
+ //noscroll option
+ $noscroll=in_array('noscroll',$opts);
+ //Open at current namespace option
+ $navbar=in_array('navbar',$opts);
+ //no namespaces options
+ $nons = in_array('nons',$opts);
+ //no pages option
+ $nopg = in_array('nopg',$opts);
+ //disable toc preview
+ $notoc = in_array('notoc',$opts);
+ //Main sort method
+ if (in_array('tsort',$opts)) {
+ $sort='t';
+ } elseif (in_array('dsort',$opts)) {
+ $sort='d';
+ } else $sort=0;
+ //Directory sort
+ $nsort=in_array('nsort',$opts);
+ //Metadata sort method
+ if ($msort = in_array('msort',$opts)) {
+ $msort='indexmenu_n';
+ } elseif (preg_match('/msort#(\S+)/u',$match[1],$msort_tmp) >0) $msort=str_replace(':',' ',$msort_tmp[1]);
+ //reverse sort
+ $rsort=in_array('rsort',$opts);
+ //javascript option
+ if (!$js= in_array('js',$opts)) {
+ //split theme
+ if (preg_match('/js#(\S*)/u',$match[1],$tmp_theme) > 0) {
+ if (is_dir(INDEXMENU_IMG_ABSDIR."/".$tmp_theme[1])) {
+ $theme=$tmp_theme[1];
+ }
+ $js=true;
+ }
+ }
+ //id generation method
+ if (preg_match('/id#(\S+)/u',$match[1],$id) >0) $gen_id=$id[1];
+ //max option
+ if (preg_match('/max#(\d+)($|\s+|#(\d+))/u',$match[1],$maxtmp) >0) {
+ $max=$maxtmp[1];
+ if ($maxtmp[3]) $jsajax = "&max=".$maxtmp[3];
+ //disable cookie to avoid javascript errors
+ $nocookie=true;
+ }
+ if ($sort) $jsajax .= "&sort=".$sort;
+ if ($msort) $jsajax .= "&msort=".$msort;
+ if ($rsort) $jsajax .= "&rsort=1";
+ if ($nsort) $jsajax .= "&nsort=1";
+ if ($nopg) $jsajax .= "&nopg=1";
+ //max js option
+ if (preg_match('/maxjs#(\d+)/u',$match[1],$maxtmp) >0) $maxjs=$maxtmp[1];
+ //js options
+ $js_opts=compact('theme','gen_id','nocookie','navbar','noscroll','maxjs','notoc','jsajax','context');
+ return array($ns,
+ $js_opts,
+ $sort,
+ $msort,
+ $rsort,
+ $nsort,
+ array('level' => $level,
+ 'nons' => $nons,
+ 'nopg' => $nopg,
+ 'nss' => $nss,
+ 'max' => $max,
+ 'js' => $js,
+ 'skip_index' => $this->getConf('skip_index'),
+ 'skip_file' => $this->getConf('skip_file'),
+ 'headpage' => $this->getConf('headpage'),
+ 'hide_headpage' => $this->getConf('hide_headpage')
+ )
+ );
+ }
+
+ /**
+ * Render output
+ */
+ function render($mode, &$renderer, $data) {
+ global $ACT;
+ global $conf;
+ global $INFO;
+ if($mode == 'xhtml'){
+ if ($ACT == 'preview') {
+ //Check user permission to display indexmenu in a preview page
+ if( $this->getConf('only_admins') &&
+ $conf['useacl'] &&
+ $INFO['perm'] < AUTH_ADMIN)
+ return false;
+ //disable cookies
+ $data[1]['nocookie']=true;
+ }
+ //Navbar with nojs
+ if ($data[1]['navbar'] && !$data[6]['js']) {
+ if (!isset($data[0])) $data[0]='..';
+ $data[6]['nss'][]=array(getNS($INFO['id']));
+ $renderer->info['cache'] = FALSE;
+ }
+
+ if ($data[1]['context']) {
+ //resolve current id relative namespaces
+ $data[0]=$this->_parse_ns($data[0],$INFO['id']);
+ foreach ($data[6]['nss'] as $key=>$value) {
+ $data[6]['nss'][$key][0] = $this->_parse_ns($value[0],$INFO['id']);
+ }
+ $renderer->info['cache'] = FALSE;
+ }
+ $n = $this->_indexmenu($data);
+ if (!@$n) {
+ $n = $this->getConf('empty_msg');
+ $n = str_replace('{{ns}}',cleanID($data[0]),$n);
+ $n = p_render('xhtml',p_get_instructions($n),$info);
+ }
+ $renderer->doc .= $n;
+ return true;
+ } else if ($mode == 'metadata') {
+ if (!($data[1]['navbar'] && !$data[6]['js']) && !$data[1]['context']) {
+ //this is an indexmenu page that needs the PARSER_CACHE_USE event trigger;
+ $renderer->meta['indexmenu'] = TRUE;
+ }
+ $renderer->doc .= ((empty($data[0])) ? $conf['title'] : nons($data[0])) ." index\n\n";
+ unset($renderer->persistent['indexmenu']);
+ return true;
+ } else {
+ return false;
+ }
+ }
+
+ /**
+ * Return the index
+ * @author Samuele Tognini <samuele@netsons.org>
+ *
+ * This function is a simple hack of Dokuwiki html_index($ns)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function _indexmenu($myns) {
+ global $conf;
+ $ns = $myns[0];
+ $js_opts=$myns[1];
+ $this->sort = $myns[2];
+ $this->msort = $myns[3];
+ $this->rsort = $myns[4];
+ $this->nsort = $myns[5];
+ $opts = $myns[6];
+ $output=false;
+ $data = array();
+ $js_name="indexmenu_";
+ $fsdir="/".utf8_encodeFN(str_replace(':','/',$ns));
+ if ($this->sort || $this->msort || $this->rsort) {
+ $custsrch=$this->_search($data,$conf['datadir'],array($this,'_search_index'),$opts,$fsdir);
+ } else {
+ search($data,$conf['datadir'],array($this,'_search_index'),$opts,$fsdir);
+ }
+ if (!$data) return false;
+
+ // Id generation method
+ if (is_numeric($js_opts['gen_id'])) {
+ $js_name .= $js_opts['gen_id'];
+ } elseif ($js_opts['gen_id'] == 'ns') {
+ $js_name .= sprintf("%u",crc32($ns));
+ } else {
+ $js_name .= uniqid(rand());
+ }
+
+ //javascript index
+ if ($opts['js']) {
+ $ns = str_replace('/',':',$ns);
+ $output_tmp=$this->_jstree($data,$ns,$js_opts,$js_name,$opts['max']);
+ //remove unwanted nodes from standard index
+ $this->_clean_data($data);
+ } else {
+ $output .= "<script type='text/javascript' charset='utf-8'>\n";
+ $output .= "<!--//--><![CDATA[//><!--\n";
+ $output .= "indexmenu_nojsqueue.push(new Array('".$js_name."','".utf8_encodeFN($js_opts['jsajax'])."'));\n";
+ $output .= "addInitEvent(function(){indexmenu_loadJs(DOKU_BASE+'lib/plugins/indexmenu/nojsindex.js');});\n";
+ $output .= "//--><!]]>\n";
+ $output .= "</script>\n";
+ }
+ //Nojs dokuwiki index
+ $output.="\n".'<div id="nojs_'.$js_name.'" class="indexmenu_nojs"';
+ $output.=">\n";
+ $output.=html_buildlist($data,'idx',array($this,"_html_list_index"),"html_li_index");
+ $output.="</div>\n";
+ $output.=$output_tmp;
+ return $output;
+ }
+
+ /**
+ * Build the browsable index of pages using javascript
+ *
+ * @author Samuele Tognini <samuele@netsons.org>
+ */
+ function _jstree($data,$ns,$js_opts,$js_name,$max) {
+ global $conf;
+ $hns=false;
+ if (empty($data)) return false;
+ //Render requested ns as root
+ $headpage=$this->getConf('headpage');
+ if (empty($ns) && !empty($headpage)) $headpage.=','.$conf['start'];
+ $title=$this->_getTitle($ns,$headpage,$hns);
+ if (empty($title)) {
+ (empty($ns)) ? $title = htmlspecialchars($conf['title'],ENT_QUOTES) : $title=$ns;
+ }
+ $out = "<script type='text/javascript' charset='utf-8'>\n";
+ $out .= "<!--//--><![CDATA[//><!--\n";
+ $out .= "var $js_name = new dTree('".$js_name."','".$js_opts['theme']."');\n";
+ $sepchar = idfilter(':');
+ $out .= "$js_name.config.urlbase='".substr(wl(":"), 0, -1)."';\n";
+ $out .= "$js_name.config.sepchar='".$sepchar."';\n";
+ if ($js_opts['notoc']) $out .="$js_name.config.toc=false;\n";
+ if ($js_opts['nocookie']) $out .="$js_name.config.useCookies=false;\n";
+ if ($js_opts['noscroll']) $out .="$js_name.config.scroll=false;\n";
+ if ($js_opts['maxjs'] > 0) $out .= "$js_name.config.maxjs=".$js_opts['maxjs'].";\n";
+ if (!empty($js_opts['jsajax'])) $out .= "$js_name.config.jsajax='".utf8_encodeFN($js_opts['jsajax'])."';\n";
+ $out .= $js_name.".add('".idfilter(cleanID($ns))."',0,-1,'".$title."'";
+ if ($hns) $out .= ",'".idfilter(cleanID($hns))."'";
+ $out .= ");\n";
+ $anodes = $this->_jsnodes($data,$js_name);
+ $out .= $anodes[0];
+ $out .= "document.write(".$js_name.");\n";
+ $out .= "addInitEvent(function(){".$js_name.".init(";
+ $out .= (int) is_file(INDEXMENU_IMG_ABSDIR.'/'.$js_opts['theme'].'/style.css').",";
+ $out .= (int) $js_opts['nocookie'].",";
+ $out .= '"'.$anodes[1].'",';
+ $out .= (int) $js_opts['navbar'].",$max";
+ $out .= ");});\n";
+ $out .= "//--><!]]>\n";
+ $out .= "</script>\n";
+ return $out;
+ }
+
+ /**
+ * Return array of javascript nodes and nodes to open.
+ *
+ * @author Samuele Tognini <samuele@netsons.org>
+ */
+ function _jsnodes($data,$js_name,$noajax=1) {
+ if (empty($data)) return false;
+ //Array of nodes to check
+ $q=array('0');
+ //Current open node
+ $node=0;
+ $out='';
+ $extra='';
+ if ($noajax) {
+ $jscmd=$js_name.".add";
+ $com=";\n";
+ } else {
+ $jscmd="new Array ";
+ $com=",";
+ }
+ foreach ($data as $i=>$item){
+ $i++;
+ //Remove already processed nodes (greater level = lower level)
+ while ($item['level'] <= $data[end($q)-1]['level']) {
+ array_pop($q);
+ }
+
+ //till i found its father node
+ if ($item['level']==1) {
+ //root node
+ $father='0';
+ } else {
+ //Father node
+ $father=end($q);
+ }
+ //add node and its options
+ if ($item['type'] == 'd' ) {
+ //Search the lowest open node of a tree branch in order to open it.
+ if ($item['open']) ($item['level'] < $data[$node]['level']) ? $node=$i : $extra .= "$i ";
+ //insert node in last position
+ array_push($q,$i);
+ }
+ $out .= $jscmd."('".idfilter($item['id'])."',$i,".$father.",'".$item['title']."'";
+ //hns
+ ($item['hns']) ? $out .= ",'".idfilter($item['hns'])."'" : $out .= ",0";
+ ($item['type'] == 'd' || $item['type']=='l') ? $out .= ",1" : $out .= ",0";
+ //MAX option
+ ($item['type']=='l') ? $out .= ",1" : $out .= ",0";
+ $out .= ")".$com;
+ }
+ $extra=rtrim($extra,' ');
+ return array($out,$extra);
+ }
+ /**
+ * Get page title, checking for headpages
+ *
+ * @author Samuele Tognini <samuele@netsons.org>
+ */
+ function _getTitle ($ns,$headpage,&$hns) {
+ global $conf;
+ $hns=false;
+ $title=noNS($ns);
+ if (empty($headpage)) return $title;
+ $ahp=explode(",",$headpage);
+ foreach ($ahp as $hp) {
+ switch ($hp) {
+ case ":inside:":
+ $page=$ns.":".noNS($ns);
+ break;
+ case ":same:":
+ $page=$ns;
+ break;
+ //it's an inside start
+ case ":start:":
+ $page=ltrim($ns.":".$conf['start'],":");
+ break;
+ //inside pages
+ default:
+ $page=$ns.":".$hp;
+ }
+ //check headpage
+ if (@file_exists(wikiFN($page)) && auth_quickaclcheck($page) >= AUTH_READ) {
+ if ($conf['useheading'] && $title_tmp=p_get_first_heading($page,FALSE)) $title=$title_tmp;
+ $title=htmlspecialchars($title,ENT_QUOTES);
+ $hns=$page;
+ //headpage found, exit for
+ break;
+ }
+ }
+ return $title;
+ }
+
+ /**
+ * Parse namespace request
+ *
+ * @author Samuele Tognini <samuele@netsons.org>
+ */
+ function _parse_ns ($ns,$id=FALSE) {
+ if (!$id) {
+ global $ID;
+ $id = $ID;
+ }
+ //Just for old reelases compatibility
+ if (empty($ns) || $ns == '..') $ns=":..";
+ return resolve_id(getNS($id),$ns);
+ }
+
+ /**
+ * Clean index data from unwanted nodes in nojs mode.
+ *
+ * @author Samuele Tognini <samuele@netsons.org>
+ */
+ function _clean_data(&$data) {
+ foreach ($data as $i=>$item) {
+ //closed node
+ if ($item['type'] == "d" && !$item['open']) {
+ $a=$i+1;
+ $level=$data[$i]['level'];
+ //search and remove every lower and closed nodes
+ while ($data[$a]['level'] > $level && !$data[$a]['open']) {
+ unset($data[$a]);
+ $a++;
+ }
+ }
+ $i++;
+ }
+ }
+
+ /**
+ * Build the browsable index of pages
+ *
+ * $opts['ns'] is the current namespace
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * modified by Samuele Tognini <samuele@netsons.org>
+ */
+ function _search_index(&$data,$base,$file,$type,$lvl,$opts){
+ global $conf;
+ $hns=false;
+ $return=false;
+ $isopen=false;
+ $skip_index=$opts['skip_index'];
+ $skip_file=$opts['skip_file'];
+ $headpage=$opts['headpage'];
+ $id = pathID($file);
+ if($type == 'd'){
+ // Skip folders in plugin conf
+ if (!empty($skip_index) &&
+ preg_match($skip_index, $id))
+ return false;
+ //check ACL (for sneaky_index namespaces too).
+ if ($this->getConf('sneaky_index') && auth_quickaclcheck($id.':') < AUTH_READ) return false;
+ //Open requested level
+ if ($opts['level'] > $lvl || $opts['level'] == -1) $isopen=true;
+ //Search optional namespaces
+ if (!empty($opts['nss'])){
+ $nss=$opts['nss'];
+ for ($a=0; $a<count($nss);$a++) {
+ if (preg_match("/^".$id."($|:.+)/i",$nss[$a][0],$match)) {
+ //It contains an optional namespace
+ $isopen=true;
+ } elseif (preg_match("/^".$nss[$a][0]."(:.*)/i",$id,$match)) {
+ //It's inside an optional namespace
+ if ($nss[$a][1] == -1 || substr_count($match[1],":") < $nss[$a][1]) {
+ $isopen=true;
+ } else {
+ $isopen=false;
+ }
+ }
+ }
+ }
+ if ($opts['nons']) {
+ return $isopen;
+ } elseif ($opts['max'] >0 && !$isopen && $lvl >= $opts['max']) {
+ $isopen=false;
+ //Stop recursive searching
+ $return=false;
+ //change type
+ $type="l";
+ } elseif ($opts['js']) {
+ $return=true;
+ } else {
+ $return=$isopen;
+ }
+ //Set title and headpage
+ $title=$this->_getTitle($id,$headpage,$hns);
+ if (!$hns && $opts['nopg']) $hns=$id.":".$conf['start'];
+ } else {
+ //Nopg.Dont show pages
+ if ($opts['nopg']) return false;
+ $return=true;
+ //Nons.Set all pages at first level
+ if ($opts['nons']) $lvl=1;
+ //don't add
+ if (substr($file,-4) != '.txt') return false;
+ //check hiddens and acl
+ if (isHiddenPage($id) || auth_quickaclcheck($id) < AUTH_READ) return false;
+ //Skip files in plugin conf
+ if (!empty($skip_file) &&
+ preg_match($skip_file, $id))
+ return false;
+ //Skip headpages to hide
+ if (!$opts['nons'] &&
+ !empty($headpage) &&
+ $opts['hide_headpage']) {
+ if ($id==$conf['start']) return false;
+ $ahp=explode(",",$headpage);
+ foreach ($ahp as $hp) {
+ switch ($hp) {
+ case ":inside:":
+ if (noNS($id)==noNS(getNS($id))) return false;
+ break;
+ case ":same:":
+ if (@is_dir(dirname(wikiFN($id))."/".utf8_encodeFN(noNS($id)))) return false;
+ break;
+ //it' s an inside start
+ case ":start:":
+ if (noNS($id)==$conf['start']) return false;
+ break;
+ default:
+ if (noNS($id)==cleanID($hp)) return false;
+ }
+ }
+ }
+ //Set title
+ if (!$conf['useheading'] || !$title=p_get_first_heading($id,FALSE)) $title=noNS($id);
+ $title=htmlspecialchars($title,ENT_QUOTES);
+ }
+
+ $item = array( 'id' => $id,
+ 'type' => $type,
+ 'level' => $lvl,
+ 'open' => $isopen,
+ 'title' => $title,
+ 'hns' => $hns,
+ 'file' => $file,
+ 'return' => $return
+ );
+ $item['sort'] = $this->_setorder($item);
+ $data[] = $item;
+ return $return;
+ }
+
+
+ /**
+ * Index item formatter
+ *
+ * User function for html_buildlist()
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * modified by Samuele Tognini <samuele@netsons.org>
+ */
+ function _html_list_index($item){
+ $ret = '';
+ //namespace
+ if($item['type']=='d' || $item['type']=='l'){
+ $link=$item['id'];
+ $more='idx='.$item['id'];
+ //namespace link
+ if ($item['hns']) {
+ $link=$item['hns'];
+ $tagid="indexmenu_idx_head";
+ $more='';
+ } else {
+ //namespace with headpage
+ $tagid="indexmenu_idx";
+ if ($item['open']) $tagid.=' open';
+ }
+ $ret .= '<a href="'.wl($link,$more).'" class="'.$tagid.'">';
+ $ret .= $item['title'];
+ $ret .= '</a>';
+ }else{
+ //page link
+ $ret .= html_wikilink(':'.$item['id']);
+ }
+ return $ret;
+ }
+
+
+ /**
+ * recurse direcory
+ *
+ * This function recurses into a given base directory
+ * and calls the supplied function for each file and directory
+ *
+ * @param array ref $data The results of the search are stored here
+ * @param string $base Where to start the search
+ * @param callback $func Callback (function name or arayy with object,method)
+ * @param string $dir Current directory beyond $base
+ * @param int $lvl Recursion Level
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * modified by Samuele Tognini <samuele@netsons.org>
+ */
+ function _search(&$data,$base,$func,$opts,$dir='',$lvl=1){
+ $dirs = array();
+ $files = array();
+ $files_tmp=array();
+ $dirs_tmp=array();
+
+ //read in directories and files
+ $dh = @opendir($base.'/'.$dir);
+ if(!$dh) return;
+ while(($file = readdir($dh)) !== false){
+ //skip hidden files and upper dirs
+ if(preg_match('/^[\._]/',$file)) continue;
+ if(is_dir($base.'/'.$dir.'/'.$file)){
+ $dirs[] = $dir.'/'.$file;
+ continue;
+ }
+ $files[] = $dir.'/'.$file;
+ }
+ closedir($dh);
+ //Sort dirs
+ if ($this->nsort) {
+ foreach($dirs as $dir){
+ search_callback($func,$dirs_tmp,$base,$dir,'d',$lvl,$opts);
+ }
+ usort($dirs_tmp,array($this,"_cmp"));
+ foreach ($dirs_tmp as $dir) {
+ $data[]=$dir;
+ if ($dir['return']) $this->_search($data,$base,$func,$opts,$dir['file'],$lvl+1);
+ }
+ } else {
+ sort($dirs);
+ foreach($dirs as $dir){
+ if (search_callback($func,$data,$base,$dir,'d',$lvl,$opts)) $this->_search($data,$base,$func,$opts,$dir,$lvl+1);
+ }
+ }
+ //Sort files
+ foreach($files as $file){
+ search_callback($func,$files_tmp,$base,$file,'f',$lvl,$opts);
+ }
+ usort($files_tmp,array($this,"_cmp"));
+ if (empty($dirs) && empty($files_tmp)) {
+ $v=end($data);
+ if (!$v['hns']) array_pop($data);
+ } else {
+ $data=array_merge($data,$files_tmp);
+ }
+ return true;
+ }
+
+ /**
+ * Sort nodes
+ *
+ */
+ function _cmp($a, $b) {
+ if ($this->rsort) {
+ return strnatcasecmp($b['sort'], $a['sort']);
+ } else {
+ return strnatcasecmp($a['sort'], $b['sort']);
+ }
+ }
+
+
+ /**
+ * Add sort information to item.
+ *
+ * @author Samuele Tognini <samuele@netsons.org>
+ */
+ function _setorder($item) {
+ $sort=false;
+ if ($item['type']=='d') {
+ //Fake order info when nsort is not requested
+ ($this->nsort) ? $page=$item['hns'] : $sort=0;
+ }
+ if ($item['type']=='f') $page=$item['id'];
+ if ($page) {
+ if ($this->msort) $sort=p_get_metadata($page,$this->msort);
+ if (!$sort && $this->sort) {
+ switch ($this->sort) {
+ case 't':
+ $sort=$item['title'];
+ break;
+ case 'd':
+ $sort=@filectime(wikiFN($page));
+ break;
+ }
+ }
+ }
+ if ($sort===false) $sort=noNS($item['id']);
+ return $sort;
+ }
+} //Indexmenu class end
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/syntax/tag.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/syntax/tag.php
new file mode 100644
index 000000000..962f7efca
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/indexmenu/syntax/tag.php
@@ -0,0 +1,70 @@
+<?php
+
+/**
+ * Info Indexmenu tag: Tag a page with a sort number.
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Samuele Tognini <samuele@netsons.org>
+ *
+ */
+
+if(!defined('DOKU_INC')) define('DOKU_INC',realpath(dirname(__FILE__).'/../../').'/');
+if(!defined('DOKU_PLUGIN')) define('DOKU_PLUGIN',DOKU_INC.'lib/plugins/');
+require_once(DOKU_PLUGIN.'syntax.php');
+
+/**
+ * All DokuWiki plugins to extend the parser/rendering mechanism
+ * need to inherit from this class
+ */
+class syntax_plugin_indexmenu_tag extends DokuWiki_Syntax_Plugin {
+
+ /**
+ * return some info
+ */
+ function getInfo(){
+ return array(
+ 'author' => 'Samuele Tognini',
+ 'email' => 'samuele@netsons.org',
+ 'date' => rtrim(io_readFile(DOKU_PLUGIN.'indexmenu/VERSION.txt')),
+ 'name' => 'Indexmenu tag',
+ 'desc' => 'Indexmenu tag plugin.',
+ 'url' => 'http://wiki.splitbrain.org/plugin:indexmenu'
+ );
+ }
+
+ /**
+ * What kind of syntax are we?
+ */
+ function getType(){
+ return 'substition';
+ }
+
+ /**
+ * Where to sort in?
+ */
+ function getSort(){
+ return 139;
+ }
+
+ /**
+ * Connect pattern to lexer
+ */
+ function connectTo($mode) {
+ $this->Lexer->addSpecialPattern('{{indexmenu_n>.+?}}',$mode,'plugin_indexmenu_tag');
+ }
+
+ /**
+ * Handle the match
+ */
+ function handle($match, $state, $pos, &$handler){
+ $match = substr($match,14,-2);
+ return array($match);
+ }
+
+ /**
+ * Render output
+ */
+ function render($mode, &$renderer, $data) {
+ if (is_numeric($data[0])) $renderer->meta['indexmenu_n'] = $data[0];;
+ }
+} \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/info/syntax.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/info/syntax.php
new file mode 100644
index 000000000..dc0a7d2fc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/info/syntax.php
@@ -0,0 +1,259 @@
+<?php
+/**
+ * Info Plugin: Displays information about various DokuWiki internals
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Esther Brunner <wikidesign@gmail.com>
+ */
+// must be run within Dokuwiki
+if(!defined('DOKU_INC')) die();
+
+if(!defined('DOKU_PLUGIN')) define('DOKU_PLUGIN',DOKU_INC.'lib/plugins/');
+require_once(DOKU_PLUGIN.'syntax.php');
+
+/**
+ * All DokuWiki plugins to extend the parser/rendering mechanism
+ * need to inherit from this class
+ */
+class syntax_plugin_info extends DokuWiki_Syntax_Plugin {
+
+ /**
+ * return some info
+ */
+ function getInfo(){
+ return array(
+ 'author' => 'Andreas Gohr',
+ 'email' => 'andi@splitbrain.org',
+ 'date' => '2008-09-12',
+ 'name' => 'Info Plugin',
+ 'desc' => 'Displays information about various DokuWiki internals',
+ 'url' => 'http://dokuwiki.org/plugin:info',
+ );
+ }
+
+ /**
+ * What kind of syntax are we?
+ */
+ function getType(){
+ return 'substition';
+ }
+
+ /**
+ * What about paragraphs?
+ */
+ function getPType(){
+ return 'block';
+ }
+
+ /**
+ * Where to sort in?
+ */
+ function getSort(){
+ return 155;
+ }
+
+
+ /**
+ * Connect pattern to lexer
+ */
+ function connectTo($mode) {
+ $this->Lexer->addSpecialPattern('~~INFO:\w+~~',$mode,'plugin_info');
+ }
+
+
+ /**
+ * Handle the match
+ */
+ function handle($match, $state, $pos, &$handler){
+ $match = substr($match,7,-2); //strip ~~INFO: from start and ~~ from end
+ return array(strtolower($match));
+ }
+
+ /**
+ * Create output
+ */
+ function render($format, &$renderer, $data) {
+ if($format == 'xhtml'){
+ //handle various info stuff
+ switch ($data[0]){
+ case 'version':
+ $renderer->doc .= getVersion();
+ break;
+ case 'syntaxmodes':
+ $renderer->doc .= $this->_syntaxmodes_xhtml();
+ break;
+ case 'syntaxtypes':
+ $renderer->doc .= $this->_syntaxtypes_xhtml();
+ break;
+ case 'syntaxplugins':
+ $this->_plugins_xhtml('syntax', $renderer);
+ break;
+ case 'adminplugins':
+ $this->_plugins_xhtml('admin', $renderer);
+ break;
+ case 'actionplugins':
+ $this->_plugins_xhtml('action', $renderer);
+ break;
+ case 'rendererplugins':
+ $this->_plugins_xhtml('renderer', $renderer);
+ break;
+ case 'helperplugins':
+ $this->_plugins_xhtml('helper', $renderer);
+ break;
+ case 'helpermethods':
+ $this->_helpermethods_xhtml($renderer);
+ break;
+ default:
+ $renderer->doc .= "no info about ".htmlspecialchars($data[0]);
+ }
+ return true;
+ }
+ return false;
+ }
+
+ /**
+ * list all installed plugins
+ *
+ * uses some of the original renderer methods
+ */
+ function _plugins_xhtml($type, &$renderer){
+ global $lang;
+ $renderer->doc .= '<ul>';
+
+ $plugins = plugin_list($type);
+ $plginfo = array();
+
+ // remove subparts
+ foreach($plugins as $p){
+ if (!$po =& plugin_load($type,$p)) continue;
+ list($name,$part) = explode('_',$p,2);
+ $plginfo[$name] = $po->getInfo();
+ }
+
+ // list them
+ foreach($plginfo as $info){
+ $renderer->doc .= '<li><div class="li">';
+ $renderer->externallink($info['url'],$info['name']);
+ $renderer->doc .= ' ';
+ $renderer->doc .= '<em>'.$info['date'].'</em>';
+ $renderer->doc .= ' ';
+ $renderer->doc .= $lang['by'];
+ $renderer->doc .= ' ';
+ $renderer->emaillink($info['email'],$info['author']);
+ $renderer->doc .= '<br />';
+ $renderer->doc .= strtr(hsc($info['desc']),array("\n"=>"<br />"));
+ $renderer->doc .= '</div></li>';
+ unset($po);
+ }
+
+ $renderer->doc .= '</ul>';
+ }
+
+ /**
+ * list all installed plugins
+ *
+ * uses some of the original renderer methods
+ */
+ function _helpermethods_xhtml(&$renderer){
+ global $lang;
+
+ $plugins = plugin_list('helper');
+ foreach($plugins as $p){
+ if (!$po =& plugin_load('helper',$p)) continue;
+
+ if (!method_exists($po, 'getMethods')) continue;
+ $methods = $po->getMethods();
+ $info = $po->getInfo();
+
+ $hid = $this->_addToTOC($info['name'], 2, $renderer);
+ $doc = '<h2><a name="'.$hid.'" id="'.$hid.'">'.hsc($info['name']).'</a></h2>';
+ $doc .= '<div class="level2">';
+ $doc .= '<p>'.strtr(hsc($info['desc']), array("\n"=>"<br />")).'</p>';
+ $doc .= '<pre class="code">$'.$p." =& plugin_load('helper', '".$p."');</pre>";
+ $doc .= '</div>';
+ foreach ($methods as $method){
+ $title = '$'.$p.'->'.$method['name'].'()';
+ $hid = $this->_addToTOC($title, 3, $renderer);
+ $doc .= '<h3><a name="'.$hid.'" id="'.$hid.'">'.hsc($title).'</a></h3>';
+ $doc .= '<div class="level3">';
+ $doc .= '<table class="inline"><tbody>';
+ $doc .= '<tr><th>Description</th><td colspan="2">'.$method['desc'].
+ '</td></tr>';
+ if ($method['params']){
+ $c = count($method['params']);
+ $doc .= '<tr><th rowspan="'.$c.'">Parameters</th><td>';
+ $params = array();
+ foreach ($method['params'] as $desc => $type){
+ $params[] = hsc($desc).'</td><td>'.hsc($type);
+ }
+ $doc .= join($params, '</td></tr><tr><td>').'</td></tr>';
+ }
+ if ($method['return']){
+ $doc .= '<tr><th>Return value</th><td>'.hsc(key($method['return'])).
+ '</td><td>'.hsc(current($method['return'])).'</td></tr>';
+ }
+ $doc .= '</tbody></table>';
+ $doc .= '</div>';
+ }
+ unset($po);
+
+ $renderer->doc .= $doc;
+ }
+ }
+
+ /**
+ * lists all known syntax types and their registered modes
+ */
+ function _syntaxtypes_xhtml(){
+ global $PARSER_MODES;
+ $doc = '';
+
+ $doc .= '<table class="inline"><tbody>';
+ foreach($PARSER_MODES as $mode => $modes){
+ $doc .= '<tr>';
+ $doc .= '<td class="leftalign">';
+ $doc .= $mode;
+ $doc .= '</td>';
+ $doc .= '<td class="leftalign">';
+ $doc .= join(', ',$modes);
+ $doc .= '</td>';
+ $doc .= '</tr>';
+ }
+ $doc .= '</tbody></table>';
+ return $doc;
+ }
+
+ /**
+ * lists all known syntax modes and their sorting value
+ */
+ function _syntaxmodes_xhtml(){
+ $modes = p_get_parsermodes();
+ $doc = '';
+
+ foreach ($modes as $mode){
+ $doc .= $mode['mode'].' ('.$mode['sort'].'), ';
+ }
+ return $doc;
+ }
+
+ /**
+ * Adds a TOC item
+ */
+ function _addToTOC($text, $level, &$renderer){
+ global $conf;
+
+ if (($level >= $conf['toptoclevel']) && ($level <= $conf['maxtoclevel'])){
+ $hid = $renderer->_headerToLink($text, 'true');
+ $renderer->toc[] = array(
+ 'hid' => $hid,
+ 'title' => $text,
+ 'type' => 'ul',
+ 'level' => $level - $conf['toptoclevel'] + 1
+ );
+ }
+ return $hid;
+ }
+}
+
+//Setup VIM: ex: et ts=4 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/images/important.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/images/important.png
new file mode 100644
index 000000000..dc8c8a457
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/images/important.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/images/note.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/images/note.png
new file mode 100644
index 000000000..df1e0a926
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/images/note.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/images/tb_important.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/images/tb_important.png
new file mode 100644
index 000000000..d853518de
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/images/tb_important.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/images/tb_note.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/images/tb_note.png
new file mode 100644
index 000000000..f5c931603
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/images/tb_note.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/images/tb_tip.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/images/tb_tip.png
new file mode 100644
index 000000000..f127e91c5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/images/tb_tip.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/images/tb_warning.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/images/tb_warning.png
new file mode 100644
index 000000000..892c83393
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/images/tb_warning.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/images/tip.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/images/tip.png
new file mode 100644
index 000000000..2000f2085
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/images/tip.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/images/warning.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/images/warning.png
new file mode 100644
index 000000000..3c8a37df5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/images/warning.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/info.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/info.txt
new file mode 100644
index 000000000..5226345f4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/info.txt
@@ -0,0 +1,8 @@
+# General Plugin Info do not edit
+
+author Olivier Cort猫s / Eric Hameleers / Christopher Smith / Aur茅lien Bompard
+email olive@deep-ocean.net
+date 2009-06-15
+name Note Plugin
+desc Add Note/Important/Tip/Warning Capability (DIV+CSS box)
+url http://www.dokuwiki.org/plugin:note
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/script.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/script.js
new file mode 100644
index 000000000..c21120727
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/script.js
@@ -0,0 +1,36 @@
+/* Add Note buttons to the toolbar */
+/* from http://wiki.splitbrain.org/wiki:tips:toolbarbutton */
+
+/* Disabled because this does not allow to select a text and turn it into a note like the type:format does
+var notes_arr = new Array(); // key = insertion string , value = icon filename.
+notes_arr['<note></note>\\n']='tb_note.png';
+notes_arr['<note tip></note>\\n']='tb_tip.png';
+notes_arr['<note important></note>\\n']='tb_important.png';
+notes_arr['<note warning></note>\\n']='tb_warning.png';
+
+toolbar[toolbar.length] = {"type":"picker",
+ "title":"Notes",
+ "icon":"../../plugins/note/images/tb_note.png",
+ "key":"",
+ "list": notes_arr,
+ "icobase":"../plugins/note/images"};
+*/
+
+if(toolbar){
+ toolbar[toolbar.length] = {"type":"format", "title":"note", "key":"",
+ "icon":"../../plugins/note/images/tb_note.png",
+ "open":"<note>", "close":"</note>"
+ };
+ toolbar[toolbar.length] = {"type":"format", "title":"tip", "key":"",
+ "icon":"../../plugins/note/images/tb_tip.png",
+ "open":"<note tip>", "close":"</note>"
+ };
+ toolbar[toolbar.length] = {"type":"format", "title":"important", "key":"",
+ "icon":"../../plugins/note/images/tb_important.png",
+ "open":"<note important>", "close":"</note>"
+ };
+ toolbar[toolbar.length] = {"type":"format", "title":"warning", "key":"",
+ "icon":"../../plugins/note/images/tb_warning.png",
+ "open":"<note warning>", "close":"</note>"
+ };
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/style.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/style.css
new file mode 100644
index 000000000..8b97dea06
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/style.css
@@ -0,0 +1,41 @@
+.noteclassic, .noteimportant, .notewarning, .notetip {
+ margin: 2em;
+ margin-left: auto;
+ margin-right: auto;
+ width: 70% !important;
+ min-height: 40px;
+ clear: both;
+ text-align: justify;
+ vertical-align: middle;
+ border-collapse: collapse;
+ padding: 15px 20px 15px 80px;
+ background-position: 20px 50%;
+ background-repeat: no-repeat;
+ -moz-border-radius: 20px;
+ -khtml-border-radius: 20px;
+ border-radius: 20px;
+}
+
+.noteclassic {
+ /*border: 1px solid #99D;*/
+ background-color: #eef;
+ background-image: url(images/note.png);
+}
+
+.noteimportant {
+ /*border: 1px solid #ff0;*/
+ background-color: #ffc;
+ background-image: url(images/important.png);
+}
+
+.notewarning {
+ /*border: 1px solid #d99;*/
+ background-color: #fdd;
+ background-image: url(images/warning.png);
+}
+
+.notetip {
+ /*border: 1px solid #9d9;*/
+ background-color: #dfd;
+ background-image: url(images/tip.png);
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/syntax.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/syntax.php
new file mode 100644
index 000000000..368d0d412
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/note/syntax.php
@@ -0,0 +1,191 @@
+<?php
+/**
+ * Add Note capability to dokuwiki
+ *
+ * <note>This is note</note>
+ * <note classic>This is note</note>
+ * <note important>This is an important note</note>
+ * <note warning>This is a big warning</note>
+ * <note tip>This is a tip</note>
+ *
+ * by Olivier Cort猫s <olive@deep-ocean.net>
+ * under the terms of the GNU GPL v2.
+ *
+ * Originaly derived from the work of :
+ * Stephane Chamberland <stephane.chamberland@ec.gc.ca> (Side Notes PlugIn)
+ * Carl-Christian Salvesen <calle@ioslo.net> (Graphviz plugin)
+ *
+ * Contributions by Eric Hameleers <alien [at] slackware [dot] com> :
+ * use <div> instead of <table>,
+ * contain the images and stylesheet inside the plugin,
+ * permit nesting of notes,
+ *
+ * Contributed by Christopher Smith <chris [at] jalakai [dot] co [dot] uk>
+ * fix some parsing problems and a security hole.
+ * make note types case independent
+ * simplify code reading
+ * modernise the plugin for changes/fixes/improvements to the underlying Dokuwiki plugin class,
+ * improve efficiency.
+ *
+ * Contributed by Aur茅lien Bompard <aurelien [at] bompard [dot] org>
+ * support for the ODT output format.
+ *
+ * @license GNU_GPL_v2
+ * @author Olivier Cortes <olive@deep-ocean.net>
+ */
+
+if(!defined('DOKU_INC')) define('DOKU_INC',realpath(dirname(__FILE__).'/../../').'/');
+if(!defined('DOKU_PLUGIN')) define('DOKU_PLUGIN',DOKU_INC.'lib/plugins/');
+require_once(DOKU_PLUGIN.'syntax.php');
+
+
+class syntax_plugin_note extends DokuWiki_Syntax_Plugin {
+
+ var $notes = array(
+ 'noteimportant' => array('important', 'importante'),
+ 'notewarning' => array('warning','bloquante','critique'),
+ 'notetip' => array('tip','tuyau','id茅e'),
+ 'noteclassic' => array('','classic','classique')
+ );
+
+ var $default = 'noteclassic';
+
+ function getInfo(){
+ return confToHash(dirname(__FILE__).'/info.txt');
+ }
+
+
+ function getType(){ return 'container'; }
+ function getPType(){ return 'normal'; }
+ function getAllowedTypes() {
+ return array('container','substition','protected','disabled','formatting','paragraphs');
+ }
+ function getSort(){ return 195; }
+
+ // override default accepts() method to allow nesting
+ // - ie, to get the plugin accepts its own entry syntax
+ function accepts($mode) {
+ if ($mode == substr(get_class($this), 7)) return true;
+ return parent::accepts($mode);
+ }
+
+ function connectTo($mode) {
+ $this->Lexer->addEntryPattern('<note.*?>(?=.*?</note>)',$mode,'plugin_note');
+ }
+ function postConnect() {
+ $this->Lexer->addExitPattern('</note>','plugin_note');
+ }
+
+ function handle($match, $state, $pos, &$handler){
+
+ switch ($state) {
+
+ case DOKU_LEXER_ENTER :
+ $note = strtolower(trim(substr($match,5,-1)));
+
+ foreach( $this->notes as $class => $names ) {
+ if (in_array($note, $names))
+ return array($state, $class);
+ }
+
+ return array($state, $this->default);
+
+ case DOKU_LEXER_UNMATCHED :
+ return array($state, $match);
+
+ default:
+ return array($state);
+ }
+ }
+
+ function render($mode, &$renderer, $indata) {
+
+ if($mode == 'xhtml'){
+
+ list($state, $data) = $indata;
+
+ switch ($state) {
+ case DOKU_LEXER_ENTER :
+ $renderer->doc .= '<p><div class="'.$data.'">';
+ break;
+
+ case DOKU_LEXER_UNMATCHED :
+ $renderer->doc .= $renderer->_xmlEntities($data);
+ break;
+
+ case DOKU_LEXER_EXIT :
+ $renderer->doc .= "\n</div></p>";
+ break;
+ }
+ return true;
+
+ } elseif ($mode == 'odt'){
+
+ list($state, $data) = $indata;
+
+ switch ($state) {
+ case DOKU_LEXER_ENTER :
+ $type = substr($data, 4);
+ if ($type == "classic") {
+ $type = "note"; // the icon for classic notes is named note.png
+ }
+ $colors = array("note"=>"#eeffff", "warning"=>"#ffdddd", "important"=>"#ffffcc", "tip"=>"#ddffdd");
+ $renderer->autostyles["pluginnote"] = '
+ <style:style style:name="pluginnote" style:family="table">
+ <style:table-properties style:width="15cm" table:align="center" style:shadow="#808080 0.18cm 0.18cm"/>
+ </style:style>';
+ $renderer->autostyles["pluginnote.A"] = '
+ <style:style style:name="pluginnote.A" style:family="table-column">
+ <style:table-column-properties style:column-width="1.5cm"/>
+ </style:style>';
+ $renderer->autostyles["pluginnote.B"] = '
+ <style:style style:name="pluginnote.B" style:family="table-column">
+ <style:table-column-properties style:column-width="13.5cm"/>
+ </style:style>';
+ $renderer->autostyles["pluginnote".$type.".A1"] = '
+ <style:style style:name="pluginnote'.$type.'.A1" style:family="table-cell">
+ <style:table-cell-properties style:vertical-align="middle" fo:padding="0.1cm" fo:border-left="0.002cm solid #000000" fo:border-right="none" fo:border-top="0.002cm solid #000000" fo:border-bottom="0.002cm solid #000000" fo:background-color="'.$colors[$type].'"/>
+ </style:style>';
+ $renderer->autostyles["pluginnote".$type.".B1"] = '
+ <style:style style:name="pluginnote'.$type.'.B1" style:family="table-cell">
+ <style:table-cell-properties style:vertical-align="middle" fo:padding="0.3cm" fo:border-left="none" fo:border-right="0.002cm solid #000000" fo:border-top="0.002cm solid #000000" fo:border-bottom="0.002cm solid #000000" fo:background-color="'.$colors[$type].'"/>
+ </style:style>';
+ // Content
+ $renderer->p_close();
+ $renderer->doc .= '<table:table table:name="" table:style-name="pluginnote">';
+ $renderer->doc .= '<table:table-column table:style-name="pluginnote.A"/>';
+ $renderer->doc .= '<table:table-column table:style-name="pluginnote.B"/>';
+ $renderer->doc .= '<table:table-row>';
+ $renderer->doc .= '<table:table-cell table:style-name="pluginnote'.$type.'.A1" office:value-type="string">';
+ // Don't use p_open, as it's not the same style-name
+ $renderer->doc .= '<text:p text:style-name="Table_20_Contents">';
+ $src = DOKU_PLUGIN."note/images/".$type.".png";
+ $renderer->_odtAddImage($src);
+ $renderer->doc .= '</text:p>';
+ $renderer->doc .= '</table:table-cell>';
+ $renderer->doc .= '<table:table-cell table:style-name="pluginnote'.$type.'.B1" office:value-type="string">';
+ $renderer->p_open();
+ break;
+
+ case DOKU_LEXER_UNMATCHED :
+ $renderer->cdata($data);
+ break;
+
+ case DOKU_LEXER_EXIT :
+ $renderer->p_close();
+ $renderer->doc .= '</table:table-cell>';
+ $renderer->doc .= '</table:table-row>';
+ $renderer->doc .= '</table:table>';
+ $renderer->p_open();
+ break;
+ }
+ return true;
+ }
+
+ // unsupported $mode
+ return false;
+ }
+}
+
+//Setup VIM: ex: et ts=4 enc=utf-8 :
+?>
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/ChangeLog.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/ChangeLog.txt
new file mode 100644
index 000000000..4c9835a1d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/ChangeLog.txt
@@ -0,0 +1,255 @@
+2010-07-17 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * action.php, syntax.php: Better handling of the cache system The
+ template used for the ODT export is now added in the page's
+ dependencies, so the cached ODT page will not be used if the
+ template has been updated.
+
+2010-05-24 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * lang/ru/lang.php, lang/ru/settings.php: Add translation by Yuri
+ Timofeev
+
+2010-05-12 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * lang/zh/lang.php, lang/zh/settings.php: Add Chinese translation by
+ lainme
+
+2010-04-04 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * ChangeLog, ChangeLog.txt: Add .txt to the ChangeLog for our
+ Windows-based friends
+
+2010-04-04 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * ChangeLog: Cleanup changelog
+
+2010-04-04 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * renderer.php: Fix a small syntax error
+
+2010-04-04 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * renderer.php: Better tag replacement in the template ODT file
+
+2010-04-04 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * README.txt, info.txt: Prepare for a new release
+
+2010-04-04 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * renderer.php: Add options to cut off a part of the ODT template
+ In an ODT file, the only styles that will be saved are the styles
+ which are applied to some content in the document. If you want to
+ create an empty ODT document for this plugin, and still want to
+ define styles which will be applied to the wiki content, you have to
+ write some dummy text, apply the styles to this text, and surround
+ the text in the DOKUWIKI-ODT-CUT-START and DOKUWIKI-ODT-CUT-STOP
+ tags. This way, the styles will be retained in the ODT file, but the
+ dummy text will be removed on export.
+
+2010-04-04 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * renderer.php: Better tag replacement in the template ODT file
+
+2010-04-04 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * renderer.php: Better tag replacement in the template ODT file
+
+2010-04-04 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * renderer.php: replace with unicode entities instead of HTML (not
+ always defined)
+
+2009-08-15 Martin Braure de Calignon <braure@free.fr>
+
+ * syntax.php: Fix button link to export old revisions
+
+2009-07-02 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * ChangeLog, info.txt: prepare for release
+
+2009-07-02 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * ChangeLog, renderer.php: Fixes from Andy Webber (thanks !)
+
+2009-06-07 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * ChangeLog, renderer.php: use $['savedir'] as suggested on the wiki
+ page
+
+2009-06-07 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * ChangeLog, info.txt: release
+
+2009-06-07 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * renderer.php: add patch by Korsani
+
+2009-06-07 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * renderer.php: typo
+
+2009-02-11 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * info.txt, renderer.php: Make the renderer class a singleton Only
+ make a single instance of the renderer. This is needed for Dokuwiki
+ >= rc2009-02-06. For details, see:
+ http://bugs.splitbrain.org/index.php?do=details&task_id=1598
+
+2009-02-09 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * renderer.php: Revert "Create zipper on-demand" This reverts
+ commit 5e746e84b62fb0fdcd5607d7f9abc636a7710e2e.
+
+2009-02-08 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * renderer.php: Create zipper on-demand
+
+2009-01-15 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * ChangeLog, info.txt: prepare for new release
+
+2009-01-15 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * ChangeLog, renderer.php: don't compress mimetype declaration
+
+2008-11-11 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * renderer.php: more safeguards. wiki:syntax now passes validators
+
+2008-09-28 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * lang/ja/lang.php, lang/ja/settings.php: Add Japanese translation
+ from Ikuo Obataya. Thanks !
+
+2008-05-07 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * ChangeLog, info.txt: new release
+
+2008-05-07 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * ChangeLog: update ChangeLog
+
+2008-05-07 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * lang/es/lang.php, lang/es/settings.php: complete spanish
+ translation
+
+2008-05-07 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * renderer.php, syntax.php: leftover from history cleaning
+
+2008-05-07 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * lang/es/lang.php: spanish translation, 1st part
+
+2008-05-06 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * ChangeLog: add changelog in changelog...
+
+2008-05-05 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * ChangeLog: add ChangeLog (thanks to http://josefsson.org/git2cl/)
+
+
+2008-05-05 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * README.txt, info.txt: update metadata for new release
+
+2008-05-05 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * renderer.php: use new headers/caching system if available
+
+2008-04-22 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * renderer.php: choose appropriate ZipLib file
+
+2008-04-21 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * renderer.php: dokuwiki's future temp dir is data/tmp, not
+ data/temp
+
+2008-04-08 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * README.txt, TODO, TODO.txt, info.txt: ready for release
+
+2008-04-08 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * lang/it/lang.php: add italian translation
+
+2008-04-07 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * README.txt, TODO, info.txt: get ready for release
+
+2008-03-08 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * .gitignore: ignore Makefile
+
+2008-03-06 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * renderer.php: fix quotes
+
+2008-03-06 root <root@shiva.c-s.fr>
+
+ * renderer.php: extract template before checking for the styles
+
+2008-03-04 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * syntax.php: leftover
+
+2008-03-05 root <root@shiva.c-s.fr>
+
+ * syntax.php: Revert "leftover" This reverts commit
+ 93d7c67d705f3a6a5847554b15384dc5a1a50de6.
+
+2008-03-05 root <root@shiva.c-s.fr>
+
+ * renderer.php: fix <code> tag in list items
+
+2008-03-04 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * syntax.php: leftover
+
+2008-03-04 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * renderer.php: cleanup for patch
+
+2008-03-04 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * README.txt, info.txt: even better doc
+
+2008-03-04 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * README.txt: better doc
+
+2008-03-04 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * README.txt: doc
+
+2008-03-03 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * renderer.php: spit into the fields plugin
+
+2008-03-01 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * TODO, renderer.php, styles.xml, syntax.php: closer to upstream
+
+2008-03-01 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * TODO, admin.php, conf/default.php, conf/metadata.php,
+ lang/de/lang.php, lang/en/lang.php, lang/en/settings.php,
+ lang/fr/lang.php, lang/fr/settings.php, renderer.php: niiice :)
+
+2008-02-29 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * admin.php, lang/en/lang.php: bla
+
+2008-02-28 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * admin.php, lang/en/lang.php: niice
+
+2008-05-07 Aur茅lien Bompard <aurelien@bompard.org>
+
+ * initial commit from existing repo
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/README.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/README.txt
new file mode 100644
index 000000000..3d4c97f8d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/README.txt
@@ -0,0 +1,16 @@
+====== Dokuwiki ODT plugin ======
+
+This plugin lets you export wiki pages to ODT, the OpenDocument Text format as
+used by many applications, included OpenOffice.org
+
+Further documentation on the OpenDocument format is available here :
+http://en.wikipedia.org/wiki/OpenDocument
+
+
+===== Official documentation =====
+
+For installation and usage documentation, please refer to
+http://www.dokuwiki.org/plugin:odt
+
+This version of the plugin works with Dokuwiki 2007-06-26 and later.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/ZipLib.class.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/ZipLib.class.php
new file mode 100644
index 000000000..20e74a0e8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/ZipLib.class.php
@@ -0,0 +1,391 @@
+<?php
+
+/**
+ * @author bouchon
+ * @link http://dev.maxg.info
+ * @link http://forum.maxg.info
+ *
+ * Modified for Dokuwiki
+ * @author Christopher Smith <chris@jalakai.co.uk>
+ */
+class ZipLib
+{
+
+ var $datasec, $ctrl_dir = array();
+ var $eof_ctrl_dir = "\x50\x4b\x05\x06\x00\x00\x00\x00";
+ var $old_offset = 0; var $dirs = Array(".");
+
+ function get_List($zip_name)
+ {
+ $zip = @fopen($zip_name, 'rb');
+ if(!$zip) return(0);
+ $centd = $this->ReadCentralDir($zip,$zip_name);
+
+ @rewind($zip);
+ @fseek($zip, $centd['offset']);
+
+ for ($i=0; $i<$centd['entries']; $i++)
+ {
+ $header = $this->ReadCentralFileHeaders($zip);
+ $header['index'] = $i;$info['filename'] = $header['filename'];
+ $info['stored_filename'] = $header['stored_filename'];
+ $info['size'] = $header['size'];$info['compressed_size']=$header['compressed_size'];
+ $info['crc'] = strtoupper(dechex( $header['crc'] ));
+ $info['mtime'] = $header['mtime']; $info['comment'] = $header['comment'];
+ $info['folder'] = ($header['external']==0x41FF0010||$header['external']==16)?1:0;
+ $info['index'] = $header['index'];$info['status'] = $header['status'];
+ $ret[]=$info; unset($header);
+ }
+ return $ret;
+ }
+
+ function Add($files,$compact)
+ {
+ if(!is_array($files[0])) $files=Array($files);
+
+ for($i=0;$files[$i];$i++){
+ $fn = $files[$i];
+ if(!in_Array(dirname($fn[0]),$this->dirs))
+ $this->add_Dir(dirname($fn[0]));
+ if(basename($fn[0]))
+ $ret[basename($fn[0])]=$this->add_File($fn[1],$fn[0],$compact);
+ }
+ return $ret;
+ }
+
+ /**
+ * Zips recursively the $folder directory, from the $basedir directory
+ */
+ function Compress($folder, $basedir=null, $parent=null)
+ {
+ $full_path = $basedir."/".$parent.$folder;
+ $zip_path = $parent.$folder;
+ if ($zip_path) {
+ $zip_path .= "/";
+ $this->add_dir($zip_path);
+ }
+ $dir = opendir($full_path);
+ while (false !== ($filename = readdir($dir))) {
+ if ($filename != "." && $filename != "..") {
+ if (is_dir($full_path."/".$filename)) {
+ $this->Compress($filename, $basedir, $zip_path);
+ } else {
+ $content = join('', file($full_path.'/'.$filename));
+ $this->add_File($content, $zip_path.$filename);
+ }
+ }
+ }
+ }
+
+ /**
+ * Returns the Zip file
+ */
+ function get_file()
+ {
+ $data = implode('', $this -> datasec);
+ $ctrldir = implode('', $this -> ctrl_dir);
+
+ return $data . $ctrldir . $this -> eof_ctrl_dir .
+ pack('v', sizeof($this -> ctrl_dir)).pack('v', sizeof($this -> ctrl_dir)).
+ pack('V', strlen($ctrldir)) . pack('V', strlen($data)) . "\x00\x00";
+ }
+
+ function add_dir($name)
+ {
+ $name = str_replace("\\", "/", $name);
+ $fr = "\x50\x4b\x03\x04\x0a\x00\x00\x00\x00\x00\x00\x00\x00\x00";
+
+ $fr .= pack("V",0).pack("V",0).pack("V",0).pack("v", strlen($name) );
+ $fr .= pack("v", 0 ).$name.pack("V", 0).pack("V", 0).pack("V", 0);
+ $this -> datasec[] = $fr;
+
+ $new_offset = strlen(implode("", $this->datasec));
+
+ $cdrec = "\x50\x4b\x01\x02\x00\x00\x0a\x00\x00\x00\x00\x00\x00\x00\x00\x00";
+ $cdrec .= pack("V",0).pack("V",0).pack("V",0).pack("v", strlen($name) );
+ $cdrec .= pack("v", 0 ).pack("v", 0 ).pack("v", 0 ).pack("v", 0 );
+ $ext = "\xff\xff\xff\xff";
+ $cdrec .= pack("V", 16 ).pack("V", $this -> old_offset ).$name;
+
+ $this -> ctrl_dir[] = $cdrec;
+ $this -> old_offset = $new_offset;
+ $this -> dirs[] = $name;
+ }
+
+ /**
+ * Add a file named $name from a string $data
+ */
+ function add_File($data, $name, $compact = 1)
+ {
+ $name = str_replace('\\', '/', $name);
+ $dtime = dechex($this->DosTime());
+
+ $hexdtime = '\x' . $dtime[6] . $dtime[7].'\x'.$dtime[4] . $dtime[5]
+ . '\x' . $dtime[2] . $dtime[3].'\x'.$dtime[0].$dtime[1];
+ eval('$hexdtime = "' . $hexdtime . '";');
+
+ if($compact)
+ $fr = "\x50\x4b\x03\x04\x14\x00\x00\x00\x08\x00".$hexdtime;
+ else $fr = "\x50\x4b\x03\x04\x0a\x00\x00\x00\x00\x00".$hexdtime;
+ $unc_len = strlen($data); $crc = crc32($data);
+
+ if($compact){
+ $zdata = gzcompress($data); $c_len = strlen($zdata);
+ $zdata = substr(substr($zdata, 0, strlen($zdata) - 4), 2);
+ }else{
+ $zdata = $data;
+ }
+ $c_len=strlen($zdata);
+ $fr .= pack('V', $crc).pack('V', $c_len).pack('V', $unc_len);
+ $fr .= pack('v', strlen($name)).pack('v', 0).$name.$zdata;
+
+ $fr .= pack('V', $crc).pack('V', $c_len).pack('V', $unc_len);
+
+ $this -> datasec[] = $fr;
+ $new_offset = strlen(implode('', $this->datasec));
+ if($compact)
+ $cdrec = "\x50\x4b\x01\x02\x00\x00\x14\x00\x00\x00\x08\x00";
+ else $cdrec = "\x50\x4b\x01\x02\x14\x00\x0a\x00\x00\x00\x00\x00";
+ $cdrec .= $hexdtime.pack('V', $crc).pack('V', $c_len).pack('V', $unc_len);
+ $cdrec .= pack('v', strlen($name) ).pack('v', 0 ).pack('v', 0 );
+ $cdrec .= pack('v', 0 ).pack('v', 0 ).pack('V', 32 );
+ $cdrec .= pack('V', $this -> old_offset );
+
+ $this -> old_offset = $new_offset;
+ $cdrec .= $name;
+ $this -> ctrl_dir[] = $cdrec;
+ return true;
+ }
+
+ function DosTime() {
+ $timearray = getdate();
+ if ($timearray['year'] < 1980) {
+ $timearray['year'] = 1980; $timearray['mon'] = 1;
+ $timearray['mday'] = 1; $timearray['hours'] = 0;
+ $timearray['minutes'] = 0; $timearray['seconds'] = 0;
+ }
+ return (($timearray['year'] - 1980) << 25) | ($timearray['mon'] << 21) | ($timearray['mday'] << 16) | ($timearray['hours'] << 11) |
+ ($timearray['minutes'] << 5) | ($timearray['seconds'] >> 1);
+ }
+
+ /**
+ * Extract a zip file $zn to the $to directory
+ */
+ function Extract ( $zn, $to, $index = Array(-1) )
+ {
+ if(!@is_dir($to)) @mkdir($to,0777);
+ $ok = 0; $zip = @fopen($zn,'rb');
+ if(!$zip) return(-1);
+ $cdir = $this->ReadCentralDir($zip,$zn);
+ $pos_entry = $cdir['offset'];
+
+ if(!is_array($index)){ $index = array($index); }
+ for($i=0; isset($index[$i]);$i++){
+ if(intval($index[$i])!=$index[$i]||$index[$i]>$cdir['entries'])
+ return(-1);
+ }
+
+ for ($i=0; $i<$cdir['entries']; $i++)
+ {
+ @fseek($zip, $pos_entry);
+ $header = $this->ReadCentralFileHeaders($zip);
+ $header['index'] = $i; $pos_entry = ftell($zip);
+ @rewind($zip); fseek($zip, $header['offset']);
+ if(in_array("-1",$index)||in_array($i,$index))
+ $stat[$header['filename']]=$this->ExtractFile($header, $to, $zip);
+
+ }
+ fclose($zip);
+ return $stat;
+ }
+
+ function ReadFileHeader($zip, $header)
+ {
+ $binary_data = fread($zip, 30);
+ $data = unpack('vchk/vid/vversion/vflag/vcompression/vmtime/vmdate/Vcrc/Vcompressed_size/Vsize/vfilename_len/vextra_len', $binary_data);
+
+ $header['filename'] = fread($zip, $data['filename_len']);
+ if ($data['extra_len'] != 0) {
+ $header['extra'] = fread($zip, $data['extra_len']);
+ } else { $header['extra'] = ''; }
+
+ $header['compression'] = $data['compression'];
+ $header['flag'] = $data['flag'];
+ $header['mdate'] = $data['mdate'];$header['mtime'] = $data['mtime'];
+ if (($header['flag'] & 8) != 8) { // Purpose bit flag bit 3 not set, overwrite the central header values
+ foreach (array('size','compressed_size','crc') as $hd) {
+ $header[$hd] = $data[$hd];
+ }
+ }
+
+ if ($header['mdate'] && $header['mtime']){
+ $hour=($header['mtime']&0xF800)>>11;$minute=($header['mtime']&0x07E0)>>5;
+ $seconde=($header['mtime']&0x001F)*2;$year=(($header['mdate']&0xFE00)>>9)+1980;
+ $month=($header['mdate']&0x01E0)>>5;$day=$header['mdate']&0x001F;
+ $header['mtime'] = mktime($hour, $minute, $seconde, $month, $day, $year);
+ }else{$header['mtime'] = time();}
+
+ $header['stored_filename'] = $header['filename'];
+ $header['status'] = "ok";
+ return $header;
+ }
+
+ function ReadCentralFileHeaders($zip){
+ $binary_data = fread($zip, 46);
+ $header = unpack('vchkid/vid/vversion/vversion_extracted/vflag/vcompression/vmtime/vmdate/Vcrc/Vcompressed_size/Vsize/vfilename_len/vextra_len/vcomment_len/vdisk/vinternal/Vexternal/Voffset', $binary_data);
+
+ if ($header['filename_len'] != 0)
+ $header['filename'] = fread($zip,$header['filename_len']);
+ else $header['filename'] = '';
+
+ if ($header['extra_len'] != 0)
+ $header['extra'] = fread($zip, $header['extra_len']);
+ else $header['extra'] = '';
+
+ if ($header['comment_len'] != 0)
+ $header['comment'] = fread($zip, $header['comment_len']);
+ else $header['comment'] = '';
+
+ if ($header['mdate'] && $header['mtime'])
+ {
+ $hour = ($header['mtime'] & 0xF800) >> 11;
+ $minute = ($header['mtime'] & 0x07E0) >> 5;
+ $seconde = ($header['mtime'] & 0x001F)*2;
+ $year = (($header['mdate'] & 0xFE00) >> 9) + 1980;
+ $month = ($header['mdate'] & 0x01E0) >> 5;
+ $day = $header['mdate'] & 0x001F;
+ $header['mtime'] = mktime($hour, $minute, $seconde, $month, $day, $year);
+ } else {
+ $header['mtime'] = time();
+ }
+ $header['stored_filename'] = $header['filename'];
+ $header['status'] = 'ok';
+ if (substr($header['filename'], -1) == '/')
+ $header['external'] = 0x41FF0010;
+ return $header;
+ }
+
+ function ReadCentralDir($zip,$zip_name)
+ {
+ $size = filesize($zip_name);
+ if ($size < 277) $maximum_size = $size;
+ else $maximum_size=277;
+
+ @fseek($zip, $size-$maximum_size);
+ $pos = ftell($zip); $bytes = 0x00000000;
+
+ while ($pos < $size)
+ {
+ $byte = @fread($zip, 1);
+ $bytes=(($bytes << 8) & 0xFFFFFFFF) | Ord($byte);
+ if ($bytes == 0x504b0506){ $pos++; break; } $pos++;
+ }
+
+ $data=unpack('vdisk/vdisk_start/vdisk_entries/ventries/Vsize/Voffset/vcomment_size',
+ fread($zip, 18));
+
+ if ($data['comment_size'] != 0)
+ $centd['comment'] = fread($zip, $data['comment_size']);
+ else $centd['comment'] = ''; $centd['entries'] = $data['entries'];
+ $centd['disk_entries'] = $data['disk_entries'];
+ $centd['offset'] = $data['offset'];$centd['disk_start'] = $data['disk_start'];
+ $centd['size'] = $data['size']; $centd['disk'] = $data['disk'];
+ return $centd;
+ }
+
+ function ExtractFile($header,$to,$zip)
+ {
+ $header = $this->readfileheader($zip, $header);
+
+ if(substr($to,-1)!="/") $to.="/";
+ if(substr($header['filename'],-1)=="/")
+ {
+// @mkdir($to.$header['filename']); --CS
+ $this->_mkdir($to.$header['filename']); //-- CS
+ return +2;
+ }
+
+// $pth = explode("/",dirname($header['filename']));
+// for($i=0,$tmp="";isset($pth[$i]);$i++){
+// if(!$pth[$i]) continue;
+// if(!is_dir($to.$tmp.$pth[$i])) @mkdir($to.$pth[$i],0777);
+// $tmp.=$pth[$i]."/";
+// }
+ if (!$this->_mkdir($to.dirname($header['filename']))) return (-1); //--CS
+
+ if (!array_key_exists("external", $header) || (!($header['external']==0x41FF0010)&&!($header['external']==16)))
+ {
+ if ($header['compression']==0)
+ {
+ $fp = @fopen($to.$header['filename'], 'wb');
+ if(!$fp) return(-1);
+ $size = $header['compressed_size'];
+
+ while ($size != 0)
+ {
+ $read_size = ($size < 2048 ? $size : 2048);
+ $buffer = fread($zip, $read_size);
+ $binary_data = pack('a'.$read_size, $buffer);
+ @fwrite($fp, $binary_data, $read_size);
+ $size -= $read_size;
+ }
+ fclose($fp);
+ touch($to.$header['filename'], $header['mtime']);
+
+ }else{
+ if (!is_dir(dirname($to.$header['filename']))) $this->_mkdir(dirname($to.$header['filename'])); //-CS
+ $fp = fopen($to.$header['filename'].'.gz','wb');
+ if(!$fp) return(-1);
+ $binary_data = pack('va1a1Va1a1', 0x8b1f, Chr($header['compression']),
+ Chr(0x00), time(), Chr(0x00), Chr(3));
+
+ fwrite($fp, $binary_data, 10);
+ $size = $header['compressed_size'];
+
+ while ($size != 0)
+ {
+ $read_size = ($size < 1024 ? $size : 1024);
+ $buffer = fread($zip, $read_size);
+ $binary_data = pack('a'.$read_size, $buffer);
+ @fwrite($fp, $binary_data, $read_size);
+ $size -= $read_size;
+ }
+
+ $binary_data = pack('VV', $header['crc'], $header['size']);
+ fwrite($fp, $binary_data,8); fclose($fp);
+
+ $gzp = @gzopen($to.$header['filename'].'.gz','rb');
+ if(!$gzp){
+ @gzclose($gzp); @unlink($to.$header['filename']);
+ die("Archive is compressed whereas ZLIB is not enabled.");
+ }
+ $fp = @fopen($to.$header['filename'],'wb');
+ if(!$fp) return(-1);
+ $size = $header['size'];
+
+ while ($size != 0)
+ {
+ $read_size = ($size < 2048 ? $size : 2048);
+ $buffer = gzread($gzp, $read_size);
+ $binary_data = pack('a'.$read_size, $buffer);
+ @fwrite($fp, $binary_data, $read_size);
+ $size -= $read_size;
+ }
+ fclose($fp); gzclose($gzp);
+
+ touch($to.$header['filename'], $header['mtime']);
+ @unlink($to.$header['filename'].'.gz');
+
+ }}
+ return true;
+ }
+
+ //--CS start
+ // centralize mkdir calls and use dokuwiki io functions
+ function _mkdir($d) {
+ return io_mkdir_p($d); // --AB
+ //return ap_mkdir($d);
+ }
+ //--CS end
+}
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/action.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/action.php
new file mode 100644
index 000000000..0ca861518
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/action.php
@@ -0,0 +1,41 @@
+<?php
+/**
+ * ODT Plugin: Exports to ODT
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Aurelien Bompard <aurelien@bompard.org>
+ */
+// must be run within Dokuwiki
+if(!defined('DOKU_INC')) die();
+
+if(!defined('DOKU_PLUGIN')) define('DOKU_PLUGIN',DOKU_INC.'lib/plugins/');
+require_once(DOKU_PLUGIN.'action.php');
+
+/**
+ * Add the template as a page dependency for the caching system
+ */
+class action_plugin_odt extends DokuWiki_Action_Plugin {
+
+ function register($controller) {
+ $controller->register_hook('PARSER_CACHE_USE','BEFORE', $this, 'handle_cache_prepare');
+ }
+
+ function handle_cache_prepare(&$event, $param) {
+ global $conf, $ID;
+ $cache =& $event->data;
+ // only the ODT rendering mode needs caching tweaks
+ if ($cache->mode != "odt") return;
+ $odt_meta = p_get_metadata($ID, 'relation odt');
+ $template_name = $odt_meta["template"];
+ if (!$template_name) {
+ return;
+ }
+ $template_path = $conf['mediadir'].'/'.$this->getConf("tpl_dir")."/".$template_name;
+ if (file_exists($template_path)) {
+ $cache->depends['files'][] = $template_path;
+ }
+ }
+
+}
+
+//Setup VIM: ex: et ts=4 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/conf/default.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/conf/default.php
new file mode 100644
index 000000000..d69966874
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/conf/default.php
@@ -0,0 +1,7 @@
+<?php
+/**
+ * @author Aurelien Bompard <aurelien@bompard.org>
+ */
+
+// Directory of the templates in the media manager
+$conf['tpl_dir'] = 'odt';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/conf/metadata.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/conf/metadata.php
new file mode 100644
index 000000000..7614f3c73
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/conf/metadata.php
@@ -0,0 +1,8 @@
+<?php
+/**
+ * Options for the odt plugin
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+
+$meta['tpl_dir'] = array('string');
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/info.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/info.txt
new file mode 100644
index 000000000..3006078be
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/info.txt
@@ -0,0 +1,8 @@
+# General Plugin Info do not edit
+
+author Andreas Gohr, Aurelien Bompard
+email andi@splitbrain.org, aurelien@bompard.org
+date 2010-07-24
+name Open Document Plugin
+desc Export the current Wiki page to a OpenOffice ODT file
+url http://www.dokuwiki.org/plugin:odt
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/lang/de/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/lang/de/lang.php
new file mode 100644
index 000000000..607ff99e3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/lang/de/lang.php
@@ -0,0 +1,9 @@
+<?php
+
+// settings must be present and set appropriately for the language
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+
+// export button
+$lang['view'] = 'Ins Open-Document-Format exportieren';
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/lang/en/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/lang/en/lang.php
new file mode 100644
index 000000000..4a6d50985
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/lang/en/lang.php
@@ -0,0 +1,12 @@
+<?php
+
+// settings must be present and set appropriately for the language
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+
+// export button
+$lang['view'] = 'Export page to Open Document format';
+
+// template not found in the directory
+$lang['tpl_not_found'] = 'WARNING : the ODT template "%s" was not found in the templates directory "%s". Using the default template.';
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/lang/es/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/lang/es/lang.php
new file mode 100644
index 000000000..f86a80426
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/lang/es/lang.php
@@ -0,0 +1,19 @@
+<?php
+/**
+ * Spanish language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Rodolfo Pilas <rodolfo@pilas.net>
+ */
+
+
+// settings must be present and set appropriately for the language
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+
+// export button
+$lang['view'] = 'Exportar p&aacute;gina en formato Open Document';
+
+// template not found in the directory
+$lang['tpl_not_found'] = 'ATENCION : la plantilla ODT "%s" no ha sido encontrada en el directorio de plantillas "%s". Se usa la plantilla por defecto.';
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/lang/fr/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/lang/fr/lang.php
new file mode 100644
index 000000000..4290573ec
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/lang/fr/lang.php
@@ -0,0 +1,12 @@
+<?php
+
+// settings must be present and set appropriately for the language
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+
+// export button
+$lang['view'] = 'Exporter la page au format Open Document';
+
+// template not found in the directory
+$lang['tpl_not_found'] = 'ATTENTION: le mod猫le ODT "%s" n\'a pas 茅t茅 trouv茅 dans le r茅pertoire de mod猫les "%s". L\'export est r茅alis茅 avec le mod猫le par d茅faut.';
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/lang/it/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/lang/it/lang.php
new file mode 100644
index 000000000..45e171be5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/lang/it/lang.php
@@ -0,0 +1,7 @@
+<?php
+/**
+ * Options for odt plugin
+ * @author Diego Pierotto <ita.translations@tiscali.it>
+ */
+
+$lang['view'] = 'Esporta pagina in formato Open Document';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/lang/ja/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/lang/ja/lang.php
new file mode 100644
index 000000000..6df1be2d0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/lang/ja/lang.php
@@ -0,0 +1,12 @@
+锘<?php
+
+// settings must be present and set appropriately for the language
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+
+// export button
+$lang['view'] = '銇撱伄銉氥兗銈搞倰Open Document銉曘偐銉笺優銉冦儓銇т繚瀛';
+
+// template not found in the directory
+$lang['tpl_not_found'] = '娉ㄦ剰锛歄DT銉嗐兂銉椼儸銉笺儓 "%s" 銇儐銉炽儣銉兗銉堛儑銈c儸銈儓銉 "%s"銇腑銇亗銈娿伨銇涖倱銇с仐銇熴傘儑銉曘偐銉儓銇儐銉炽儣銉兗銉堛倰浣跨敤銇椼伨銇欍';
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/lang/ru/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/lang/ru/lang.php
new file mode 100644
index 000000000..9eaaf2c43
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/lang/ru/lang.php
@@ -0,0 +1,12 @@
+<?php
+
+// settings must be present and set appropriately for the language
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+
+// export button
+$lang['view'] = '协泻褋锌芯褉褌 褋褌褉邪薪懈褑褘 胁 褎芯褉屑邪褌 Open Document';
+
+// template not found in the directory
+$lang['tpl_not_found'] = '袙袧袠袦袗袧袠袝: ODT 褕邪斜谢芯薪 "%s" 薪械 薪邪泄写械薪 胁 泻邪褌邪谢芯谐械 写谢褟 褕邪斜谢芯薪芯胁 "%s". 袠褋锌芯谢褜蟹褍械褌褋褟 褕邪斜谢芯薪 锌芯 褍屑芯谢褔邪薪懈褞.';
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/lang/zh/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/lang/zh/lang.php
new file mode 100644
index 000000000..808b248e0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/lang/zh/lang.php
@@ -0,0 +1,12 @@
+<?php
+
+// settings must be present and set appropriately for the language
+$lang['encoding'] = 'utf-8';
+$lang['direction'] = 'ltr';
+
+// export button
+$lang['view'] = '灏嗛〉闈㈠鍑轰负寮鏀炬枃妗f牸寮';
+
+// template not found in the directory
+$lang['tpl_not_found'] = '璀﹀憡锛氭ā鏉跨洰褰曚腑娌℃湁鎵惧埌ODT妯℃澘 鈥%s鈥濄;
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/odt.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/odt.png
new file mode 100644
index 000000000..4ea3cec8f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/odt.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/renderer.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/renderer.php
new file mode 100644
index 000000000..5525e4926
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/renderer.php
@@ -0,0 +1,1232 @@
+<?php
+/**
+ * ODT Plugin: Exports to ODT
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Aurelien Bompard <aurelien@bompard.org>
+ */
+// must be run within Dokuwiki
+if(!defined('DOKU_INC')) die();
+
+require_once DOKU_INC.'inc/parser/renderer.php';
+
+// ZipLib.class.php
+$dw_version = preg_replace('/[^\d]/', '', getversion());
+if (version_compare($dw_version, "20070626") and
+ version_compare(PHP_VERSION,'5.0.0','>')) {
+ // If strictly newer than 2007-06-26 and use PHP5, fixes to ZipLib are
+ // included in Dokuwiki's ZipLib
+ require_once DOKU_INC.'inc/ZipLib.class.php';
+} else { // for DW up to 2007-06-26, we need the patched version
+ require_once 'ZipLib.class.php';
+}
+
+/**
+ * The Renderer
+ */
+class renderer_plugin_odt extends Doku_Renderer {
+ var $ZIP = null;
+ var $meta;
+ var $store = '';
+ var $footnotes = array();
+ var $manifest = array();
+ var $headers = array();
+ var $template = "";
+ var $fields = array();
+ var $in_list_item = false;
+ var $in_paragraph = false;
+ // Automatic styles. Will always be added to content.xml and styles.xml
+ var $autostyles = array(
+ "pm1"=>'
+ <style:page-layout style:name="pm1">
+ <style:page-layout-properties fo:page-width="21cm" fo:page-height="29.7cm" style:num-format="1" style:print-orientation="portrait" fo:margin-top="2cm" fo:margin-bottom="2cm" fo:margin-left="2cm" fo:margin-right="2cm" style:writing-mode="lr-tb" style:footnote-max-height="0cm">
+ <style:footnote-sep style:width="0.018cm" style:distance-before-sep="0.1cm" style:distance-after-sep="0.1cm" style:adjustment="left" style:rel-width="25%" style:color="#000000"/>
+ </style:page-layout-properties>
+ <style:header-style/>
+ <style:footer-style/>
+ </style:page-layout>',
+ "sub"=>'
+ <style:style style:name="sub" style:family="text">
+ <style:text-properties style:text-position="-33% 80%"/>
+ </style:style>',
+ "sup"=>'
+ <style:style style:name="sup" style:family="text">
+ <style:text-properties style:text-position="33% 80%"/>
+ </style:style>',
+ "del"=>'
+ <style:style style:name="del" style:family="text">
+ <style:text-properties style:text-line-through-style="solid"/>
+ </style:style>',
+ "underline"=>'
+ <style:style style:name="underline" style:family="text">
+ <style:text-properties style:text-underline-style="solid"
+ style:text-underline-width="auto" style:text-underline-color="font-color"/>
+ </style:style>',
+ "media"=>'
+ <style:style style:name="media" style:family="graphic" style:parent-style-name="Graphics">
+ <style:graphic-properties style:run-through="foreground" style:wrap="parallel" style:number-wrapped-paragraphs="no-limit"
+ style:wrap-contour="false" style:vertical-pos="top" style:vertical-rel="baseline" style:horizontal-pos="left"
+ style:horizontal-rel="paragraph"/>
+ </style:style>',
+ "medialeft"=>'
+ <style:style style:name="medialeft" style:family="graphic" style:parent-style-name="Graphics">
+ <style:graphic-properties style:run-through="foreground" style:wrap="parallel" style:number-wrapped-paragraphs="no-limit"
+ style:wrap-contour="false" style:horizontal-pos="left" style:horizontal-rel="paragraph"/>
+ </style:style>',
+ "mediaright"=>'
+ <style:style style:name="mediaright" style:family="graphic" style:parent-style-name="Graphics">
+ <style:graphic-properties style:run-through="foreground" style:wrap="parallel" style:number-wrapped-paragraphs="no-limit"
+ style:wrap-contour="false" style:horizontal-pos="right" style:horizontal-rel="paragraph"/>
+ </style:style>',
+ "mediacenter"=>'
+ <style:style style:name="mediacenter" style:family="graphic" style:parent-style-name="Graphics">
+ <style:graphic-properties style:run-through="foreground" style:wrap="none" style:horizontal-pos="center"
+ style:horizontal-rel="paragraph"/>
+ </style:style>',
+ "tablealigncenter"=>'
+ <style:style style:name="tablealigncenter" style:family="paragraph" style:parent-style-name="Table_20_Contents">
+ <style:paragraph-properties fo:text-align="center"/>
+ </style:style>',
+ "tablealignright"=>'
+ <style:style style:name="tablealignright" style:family="paragraph" style:parent-style-name="Table_20_Contents">
+ <style:paragraph-properties fo:text-align="end"/>
+ </style:style>',
+ "tablealignleft"=>'
+ <style:style style:name="tablealignleft" style:family="paragraph" style:parent-style-name="Table_20_Contents">
+ <style:paragraph-properties fo:text-align="left"/>
+ </style:style>',
+ "tableheader"=>'
+ <style:style style:name="tableheader" style:family="table-cell">
+ <style:table-cell-properties fo:padding="0.05cm" fo:border-left="0.002cm solid #000000" fo:border-right="0.002cm solid #000000" fo:border-top="0.002cm solid #000000" fo:border-bottom="0.002cm solid #000000"/>
+ </style:style>',
+ "tablecell"=>'
+ <style:style style:name="tablecell" style:family="table-cell">
+ <style:table-cell-properties fo:padding="0.05cm" fo:border-left="0.002cm solid #000000" fo:border-right="0.002cm solid #000000" fo:border-top="0.002cm solid #000000" fo:border-bottom="0.002cm solid #000000"/>
+ </style:style>',
+ "legendcenter"=>'
+ <style:style style:name="legendcenter" style:family="paragraph" style:parent-style-name="Illustration">
+ <style:paragraph-properties fo:text-align="center"/>
+ </style:style>',
+ );
+ // Regular styles. May not be present if in template mode, in which case they will be added to styles.xml
+ var $styles = array(
+ "Source_20_Text"=>'
+ <style:style style:name="Source_20_Text" style:display-name="Source Text" style:family="text">
+ <style:text-properties style:font-name="Bitstream Vera Sans Mono" style:font-name-asian="Bitstream Vera Sans Mono" style:font-name-complex="Bitstream Vera Sans Mono"/>
+ </style:style>',
+ "Preformatted_20_Text"=>'
+ <style:style style:name="Preformatted_20_Text" style:display-name="Preformatted Text" style:family="paragraph" style:parent-style-name="Standard" style:class="html">
+ <style:paragraph-properties fo:margin-top="0cm" fo:margin-bottom="0cm"/>
+ <style:text-properties style:font-name="Bitstream Vera Sans Mono" style:font-name-asian="Bitstream Vera Sans Mono" style:font-name-complex="Bitstream Vera Sans Mono"/>
+ </style:style>',
+ "Horizontal_20_Line"=>'
+ <style:style style:name="Horizontal_20_Line" style:display-name="Horizontal Line" style:family="paragraph" style:parent-style-name="Standard" style:next-style-name="Text_20_body" style:class="html">
+ <style:paragraph-properties fo:margin-top="0cm" fo:margin-bottom="0.5cm" style:border-line-width-bottom="0.002cm 0.035cm 0.002cm" fo:padding="0cm" fo:border-left="none" fo:border-right="none" fo:border-top="none" fo:border-bottom="0.04cm double #808080" text:number-lines="false" text:line-number="0" style:join-border="false"/>
+ <style:text-properties fo:font-size="6pt" style:font-size-asian="6pt" style:font-size-complex="6pt"/>
+ </style:style>',
+ "Footnote"=>'
+ <style:style style:name="Footnote" style:family="paragraph" style:parent-style-name="Standard" style:class="extra">
+ <style:paragraph-properties fo:margin-left="0.5cm" fo:margin-right="0cm" fo:text-indent="-0.5cm" style:auto-text-indent="false" text:number-lines="false" text:line-number="0"/>
+ <style:text-properties fo:font-size="10pt" style:font-size-asian="10pt" style:font-size-complex="10pt"/>
+ </style:style>',
+ "Emphasis"=>'
+ <style:style style:name="Emphasis" style:family="text">
+ <style:text-properties fo:font-style="italic" style:font-style-asian="italic" style:font-style-complex="italic"/>
+ </style:style>',
+ "Strong_20_Emphasis"=>'
+ <style:style style:name="Strong_20_Emphasis" style:display-name="Strong Emphasis" style:family="text">
+ <style:text-properties fo:font-weight="bold" style:font-weight-asian="bold" style:font-weight-complex="bold"/>
+ </style:style>',
+ );
+ // Font definitions. May not be present if in template mode, in which case they will be added to styles.xml
+ var $fonts = array(
+ "StarSymbol"=>'<style:font-face style:name="StarSymbol" svg:font-family="StarSymbol"/>', // for bullets
+ "Bitstream Vera Sans Mono"=>'<style:font-face style:name="Bitstream Vera Sans Mono" svg:font-family="\'Bitstream Vera Sans Mono\'" style:font-family-generic="modern" style:font-pitch="fixed"/>', // for source code
+ );
+
+ /**
+ * Return version info
+ */
+ function getInfo(){
+ return confToHash(dirname(__FILE__).'/info.txt');
+ }
+
+ /**
+ * Returns the format produced by this renderer.
+ */
+ function getFormat(){
+ return "odt";
+ }
+
+ /**
+ * Do not make multiple instances of this class
+ */
+ function isSingleton(){
+ return true;
+ }
+
+
+ /**
+ * Initialize the rendering
+ */
+ function document_start() {
+ global $ID;
+
+ // If older or equal to 2007-06-26, we need to disable caching
+ $dw_version = preg_replace('/[^\d]/', '', getversion());
+ if (version_compare($dw_version, "20070626", "<=")) {
+ $this->info["cache"] = false;
+ }
+
+ // prepare the zipper
+ $this->ZIP = new ZipLib();
+
+ // prepare meta data
+ $this->meta = array(
+ 'meta:generator' => 'DokuWiki '.getversion(),
+ 'meta:initial-creator' => 'Generated',
+ 'meta:creation-date' => date('Y-m-d\\TH::i:s', null), //FIXME
+ 'dc:creator' => 'Generated',
+ 'dc:date' => date('Y-m-d\\TH::i:s', null),
+ 'dc:language' => 'en-US',
+ 'meta:editing-cycles' => '1',
+ 'meta:editing-duration' => 'PT0S',
+ );
+
+ // send the content type header, new method after 2007-06-26 (handles caching)
+ $output_filename = str_replace(':','-',$ID).".odt";
+ if (version_compare($dw_version, "20070626")) {
+ // store the content type headers in metadata
+ $headers = array(
+ 'Content-Type' => 'application/vnd.oasis.opendocument.text',
+ 'Content-Disposition' => 'attachment; filename="'.$output_filename.'";',
+ );
+ p_set_metadata($ID,array('format' => array('odt' => $headers) ));
+ } else { // older method
+ header('Content-Type: application/vnd.oasis.opendocument.text');
+ header('Content-Disposition: attachment; filename="'.$output_filename.'";');
+ }
+ }
+
+ /**
+ * Prepare meta.xml
+ */
+ function _odtMeta(){
+ $value = '<' . '?xml version="1.0" encoding="UTF-8"?' . ">\n";
+ $value .= '<office:document-meta ';
+ $value .= 'xmlns:office="urn:oasis:names:tc:opendocument:xmlns:office:1.0" ';
+ $value .= 'xmlns:xlink="http://www.w3.org/1999/xlink" ';
+ $value .= 'xmlns:dc="http://purl.org/dc/elements/1.1/" ';
+ $value .= 'xmlns:meta="urn:oasis:names:tc:opendocument:xmlns:meta:1.0" ';
+ $value .= 'office:version="1.0">';
+ $value .= '<office:meta>';
+ # FIXME
+ # foreach($meta as $meta_key => $meta_value)
+ # $value .= '<' . $meta_key . '>' . ODUtils::encode($meta_value) . '</' . $meta_key . '>';
+ $value .= '</office:meta>';
+ $value .= '</office:document-meta>';
+ $this->ZIP->add_File($value,'meta.xml');
+ }
+
+ /**
+ * Prepare manifest.xml
+ */
+ function _odtManifest(){
+ $value = '<' . '?xml version="1.0" encoding="UTF-8"?' . ">\n";
+ $value .= '<manifest:manifest xmlns:manifest="urn:oasis:names:tc:opendocument:xmlns:manifest:1.0">';
+ $value .= '<manifest:file-entry manifest:media-type="application/vnd.oasis.opendocument.text" manifest:full-path="/"/>';
+ $value .= '<manifest:file-entry manifest:media-type="text/xml" manifest:full-path="settings.xml"/>';
+ $value .= '<manifest:file-entry manifest:media-type="text/xml" manifest:full-path="meta.xml"/>';
+ $value .= '<manifest:file-entry manifest:media-type="text/xml" manifest:full-path="content.xml"/>';
+ $value .= '<manifest:file-entry manifest:media-type="text/xml" manifest:full-path="styles.xml"/>';
+
+ foreach($this->manifest as $path => $type){
+ $value .= '<manifest:file-entry manifest:media-type="'.$this->_xmlEntities($type).
+ '" manifest:full-path="'.$this->_xmlEntities($path).'"/>';
+ }
+
+ $value .= '</manifest:manifest>';
+ $this->ZIP->add_File($value,'META-INF/manifest.xml');
+ }
+
+ /**
+ * Prepare settings.xml
+ */
+ function _odtSettings(){
+ $value = '<' . '?xml version="1.0" encoding="UTF-8"?' . ">\n";
+ $value .= '<office:document-settings xmlns:office="urn:oasis:names:tc:opendocument:xmlns:office:1.0" xmlns:xlink="http://www.w3.org/1999/xlink" xmlns:config="urn:oasis:names:tc:opendocument:xmlns:config:1.0" office:version="1.0"><office:settings><config:config-item-set config:name="dummy-settings"><config:config-item config:name="MakeValidatorHappy" config:type="boolean">true</config:config-item></config:config-item-set></office:settings></office:document-settings>';
+ $this->ZIP->add_File($value,'settings.xml');
+ }
+
+
+
+
+ /**
+ * Closes the document
+ */
+ function document_end(){
+ global $conf;
+ if ($this->template) { // template chosen
+ if (file_exists($conf['mediadir'].'/'.$this->getConf("tpl_dir")."/".$this->template)) { //template found
+ $this->document_end_template();
+ } else { // template chosen but not found : warn the user and use the default template
+ $this->doc = '<text:p text:style-name="Text_20_body"><text:span text:style-name="Strong_20_Emphasis">'
+ .$this->_xmlEntities( sprintf($this->getLang('tpl_not_found'),$this->template,$this->getConf("tpl_dir")) )
+ .'</text:span></text:p>'.$this->doc;
+ $this->document_end_scratch();
+ }
+ } else {
+ $this->document_end_scratch();
+ }
+ $this->doc = $this->ZIP->get_file();
+ }
+
+
+ /**
+ * Closes the document when not using a template
+ */
+ function document_end_scratch(){
+ $autostyles = $this->_odtAutoStyles();
+ $userfields = $this->_odtUserFields();
+
+ // add defaults
+ $this->ZIP->add_File('application/vnd.oasis.opendocument.text', 'mimetype', 0);
+
+ $this->_odtMeta();
+ $this->_odtSettings();
+
+ $value = '<' . '?xml version="1.0" encoding="UTF-8"?' . ">\n";
+ $value .= '<office:document-content ';
+ $value .= 'xmlns:office="urn:oasis:names:tc:opendocument:xmlns:office:1.0" ';
+ $value .= 'xmlns:style="urn:oasis:names:tc:opendocument:xmlns:style:1.0" ';
+ $value .= 'xmlns:text="urn:oasis:names:tc:opendocument:xmlns:text:1.0" ';
+ $value .= 'xmlns:table="urn:oasis:names:tc:opendocument:xmlns:table:1.0" ';
+ $value .= 'xmlns:draw="urn:oasis:names:tc:opendocument:xmlns:drawing:1.0" ';
+ $value .= 'xmlns:fo="urn:oasis:names:tc:opendocument:xmlns:xsl-fo-compatible:1.0" ';
+ $value .= 'xmlns:xlink="http://www.w3.org/1999/xlink" ';
+ $value .= 'xmlns:dc="http://purl.org/dc/elements/1.1/" ';
+ $value .= 'xmlns:meta="urn:oasis:names:tc:opendocument:xmlns:meta:1.0" ';
+ $value .= 'xmlns:number="urn:oasis:names:tc:opendocument:xmlns:datastyle:1.0" ';
+ $value .= 'xmlns:svg="urn:oasis:names:tc:opendocument:xmlns:svg-compatible:1.0" ';
+ $value .= 'xmlns:chart="urn:oasis:names:tc:opendocument:xmlns:chart:1.0" ';
+ $value .= 'xmlns:dr3d="urn:oasis:names:tc:opendocument:xmlns:dr3d:1.0" ';
+ $value .= 'xmlns:math="http://www.w3.org/1998/Math/MathML" ';
+ $value .= 'xmlns:form="urn:oasis:names:tc:opendocument:xmlns:form:1.0" ';
+ $value .= 'xmlns:script="urn:oasis:names:tc:opendocument:xmlns:script:1.0" ';
+ $value .= 'xmlns:dom="http://www.w3.org/2001/xml-events" ';
+ $value .= 'xmlns:xforms="http://www.w3.org/2002/xforms" ';
+ $value .= 'xmlns:xsd="http://www.w3.org/2001/XMLSchema" ';
+ $value .= 'xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" ';
+ $value .= 'office:version="1.0">';
+ $value .= '<office:scripts/>';
+ $value .= '<office:font-face-decls>';
+ $value .= '<style:font-face style:name="Tahoma1" svg:font-family="Tahoma"/>';
+ $value .= '<style:font-face style:name="Lucida Sans Unicode" svg:font-family="&apos;Lucida Sans Unicode&apos;" style:font-pitch="variable"/>';
+ $value .= '<style:font-face style:name="Tahoma" svg:font-family="Tahoma" style:font-pitch="variable"/>';
+ $value .= '<style:font-face style:name="Times New Roman" svg:font-family="&apos;Times New Roman&apos;" style:font-family-generic="roman" style:font-pitch="variable"/>';
+ $value .= '</office:font-face-decls>';
+ $value .= $autostyles;
+ $value .= '<office:body>';
+ $value .= '<office:text>';
+ $value .= '<office:forms form:automatic-focus="false" form:apply-design-mode="false"/>';
+ $value .= '<text:sequence-decls>';
+ $value .= '<text:sequence-decl text:display-outline-level="0" text:name="Illustration"/>';
+ $value .= '<text:sequence-decl text:display-outline-level="0" text:name="Table"/>';
+ $value .= '<text:sequence-decl text:display-outline-level="0" text:name="Text"/>';
+ $value .= '<text:sequence-decl text:display-outline-level="0" text:name="Drawing"/>';
+ $value .= '</text:sequence-decls>';
+ $value .= $userfields;
+ $value .= $this->doc;
+ $value .= '</office:text>';
+ $value .= '</office:body>';
+ $value .= '</office:document-content>';
+
+ $this->ZIP->add_File($value,'content.xml');
+
+ $value = io_readFile(DOKU_PLUGIN.'odt/styles.xml');
+ $value = str_replace('<office:automatic-styles/>', $autostyles, $value);
+ $this->ZIP->add_File($value,'styles.xml');
+
+ // build final manifest
+ $this->_odtManifest();
+ }
+
+ /**
+ * Closes the document using a template
+ */
+ function document_end_template(){
+ global $conf, $ID; // for the temp dir
+
+ // Temp dir
+ if (is_dir($conf['tmpdir'])) {
+ $temp_dir = $conf['tmpdir']; // version > 20070626
+ } else {
+ $temp_dir = $conf['savedir'].'/cache/tmp'; // version <= 20070626
+ }
+ $this->temp_dir = $temp_dir."/odt/".str_replace(':','-',$ID);
+ if (is_dir($this->temp_dir)) { $this->io_rm_rf($this->temp_dir); }
+ io_mkdir_p($this->temp_dir);
+
+ // Extract template
+ $template_path = $conf['mediadir'].'/'.$this->getConf("tpl_dir")."/".$this->template;
+ $this->ZIP->Extract($template_path, $this->temp_dir);
+
+ // Prepare content
+ $autostyles = $this->_odtAutoStyles();
+ $missingstyles = $this->_odtStyles();
+ $missingfonts = $this->_odtFonts();
+ $userfields = $this->_odtUserFields();
+
+ // Insert content
+ $old_content = io_readFile($this->temp_dir.'/content.xml');
+ if (strpos($old_content, 'DOKUWIKI-ODT-INSERT') !== FALSE) { // Replace the mark
+ $this->_odtReplaceInFile('/<text:p[^>]*>DOKUWIKI-ODT-INSERT<\/text:p>/',
+ $this->doc, $this->temp_dir.'/content.xml', true);
+ } else { // Append to the template
+ $this->_odtReplaceInFile('</office:text>', $this->doc.'</office:text>', $this->temp_dir.'/content.xml');
+ }
+
+ // Cut off unwanted content
+ if (strpos($old_content, 'DOKUWIKI-ODT-CUT-START') !== FALSE
+ && strpos($old_content, 'DOKUWIKI-ODT-CUT-STOP') !== FALSE) {
+ $this->_odtReplaceInFile('/DOKUWIKI-ODT-CUT-START.*DOKUWIKI-ODT-CUT-STOP/',
+ '', $this->temp_dir.'/content.xml', true);
+ }
+
+ // Insert userfields
+ if (strpos($old_content, "text:user-field-decls") === FALSE) { // no existing userfields
+ $this->_odtReplaceInFile('/<office:text([^>]*)>/U', '<office:text\1>'.$userfields, $this->temp_dir.'/content.xml', TRUE);
+ } else {
+ $this->_odtReplaceInFile('</text:user-field-decls>', substr($userfields,23), $this->temp_dir.'/content.xml');
+ }
+
+ // Insert styles & fonts
+ $this->_odtReplaceInFile('</office:automatic-styles>', substr($autostyles, 25), $this->temp_dir.'/content.xml');
+ $this->_odtReplaceInFile('</office:automatic-styles>', substr($autostyles, 25), $this->temp_dir.'/styles.xml');
+ $this->_odtReplaceInFile('</office:styles>', $missingstyles.'</office:styles>', $this->temp_dir.'/styles.xml');
+ $this->_odtReplaceInFile('</office:font-face-decls>', $missingfonts.'</office:font-face-decls>', $this->temp_dir.'/styles.xml');
+
+ // Build the Zip
+ $this->ZIP->Compress(null, $this->temp_dir, null);
+ $this->io_rm_rf($this->temp_dir);
+ }
+
+ function _odtReplaceInFile($from, $to, $file, $regexp=FALSE) {
+ $value = io_readFile($file);
+ if ($regexp) {
+ $value = preg_replace($from, $to, $value);
+ } else {
+ $value = str_replace($from, $to, $value);
+ }
+ $file_f = fopen($file, 'w');
+ fwrite($file_f, $value);
+ fclose($file_f);
+ }
+
+ /**
+ * Recursively deletes a directory (equivalent to the "rm -rf" command)
+ * Found in comments on http://www.php.net/rmdir
+ */
+ function io_rm_rf($f) {
+ if (is_dir($f)) {
+ foreach(glob($f.'/*') as $sf) {
+ if (is_dir($sf) && !is_link($sf)) {
+ $this->io_rm_rf($sf);
+ } else {
+ unlink($sf);
+ }
+ }
+ } else { // avoid nasty consequenses if something wrong is given
+ die("Error: not a directory - $f");
+ }
+ rmdir($f);
+ }
+
+ // not supported - use OpenOffice builtin tools instead!
+ function render_TOC() { return ''; }
+
+ function toc_additem($id, $text, $level) {}
+
+ function _odtAutoStyles() {
+ $value = '<office:automatic-styles>';
+ foreach ($this->autostyles as $stylename=>$stylexml) {
+ $value .= $stylexml;
+ }
+ $value .= '</office:automatic-styles>';
+ return $value;
+ }
+
+ function _odtUserFields() {
+ $value = '<text:user-field-decls>';
+ foreach ($this->fields as $fname=>$fvalue) {
+ $value .= '<text:user-field-decl office:value-type="string" text:name="'.$fname.'" office:string-value="'.$fvalue.'"/>';
+ }
+ $value .= '</text:user-field-decls>';
+ return $value;
+ }
+
+ /* Add missing styles in the template */
+ function _odtStyles() {
+ $value = '';
+ $existing_styles = io_readFile($this->temp_dir.'/styles.xml');
+ foreach ($this->styles as $stylename=>$stylexml) {
+ if (strpos($existing_styles, 'style:name="'.$stylename.'"') === FALSE) {
+ $value .= $stylexml;
+ }
+ }
+ // Loop on bullet/numerotation styles
+ if (strpos($existing_styles, 'style:name="List_20_1"') === FALSE) {
+ $value .= '<text:list-style style:name="List_20_1" style:display-name="List 1">';
+ for ($i=1;$i<=10;$i++) {
+ $value .= '<text:list-level-style-bullet text:level="'.$i.'" text:style-name="Numbering_20_Symbols" text:bullet-char="鈥">
+ <style:list-level-properties text:space-before="'.(0.4*($i-1)).'cm" text:min-label-width="0.4cm"/>
+ <style:text-properties style:font-name="StarSymbol"/>
+ </text:list-level-style-bullet>';
+ }
+ $value .= '</text:list-style>';
+ }
+ if (strpos($existing_styles, 'style:name="Numbering_20_1"') === FALSE) {
+ $value .= '<text:list-style style:name="Numbering_20_1" style:display-name="Numbering 1">';
+ for ($i=1;$i<=10;$i++) {
+ $value .= '<text:list-level-style-number text:level="'.$i.'" text:style-name="Numbering_20_Symbols" style:num-suffix="." style:num-format="1">
+ <style:list-level-properties text:space-before="'.(0.5*($i-1)).'cm" text:min-label-width="0.5cm"/>
+ </text:list-level-style-number>';
+ }
+ $value .= '</text:list-style>';
+ }
+ return $value;
+ }
+
+ /* Add missing fonts in the template */
+ function _odtFonts() {
+ $value = '';
+ $existing_styles = io_readFile($this->temp_dir.'/styles.xml');
+ foreach ($this->fonts as $name=>$xml) {
+ if (strpos($existing_styles, 'style:name="'.$name.'"') === FALSE) {
+ $value .= $xml;
+ }
+ }
+ return $value;
+ }
+
+ function cdata($text) {
+ $this->doc .= $this->_xmlEntities($text);
+ }
+
+ function p_open($style='Text_20_body'){
+ if (!$this->in_paragraph) { // opening a paragraph inside another paragraph is illegal
+ $this->in_paragraph = true;
+ $this->doc .= '<text:p text:style-name="'.$style.'">';
+ }
+ }
+
+ function p_close(){
+ if ($this->in_paragraph) {
+ $this->in_paragraph = false;
+ $this->doc .= '</text:p>';
+ }
+ }
+
+ function header($text, $level, $pos){
+ $hid = $this->_headerToLink($text,true);
+ $this->doc .= '<text:h text:style-name="Heading_20_'.$level.'" text:outline-level="'.$level.'">';
+ $this->doc .= '<text:bookmark-start text:name="'.$hid.'"/>';
+ $this->doc .= $this->_xmlEntities($text);
+ $this->doc .= '<text:bookmark-end text:name="'.$hid.'"/>';
+ $this->doc .= '</text:h>';
+ }
+
+ function hr() {
+ $this->doc .= '<text:p text:style-name="Horizontal_20_Line"/>';
+ }
+
+ function linebreak() {
+ $this->doc .= '<text:line-break/>';
+ }
+
+ function strong_open() {
+ $this->doc .= '<text:span text:style-name="Strong_20_Emphasis">';
+ }
+
+ function strong_close() {
+ $this->doc .= '</text:span>';
+ }
+
+ function emphasis_open() {
+ $this->doc .= '<text:span text:style-name="Emphasis">';
+ }
+
+ function emphasis_close() {
+ $this->doc .= '</text:span>';
+ }
+
+ function underline_open() {
+ $this->doc .= '<text:span text:style-name="underline">';
+ }
+
+ function underline_close() {
+ $this->doc .= '</text:span>';
+ }
+
+ function monospace_open() {
+ $this->doc .= '<text:span text:style-name="Source_20_Text">';
+ }
+
+ function monospace_close() {
+ $this->doc .= '</text:span>';
+ }
+
+ function subscript_open() {
+ $this->doc .= '<text:span text:style-name="sub">';
+ }
+
+ function subscript_close() {
+ $this->doc .= '</text:span>';
+ }
+
+ function superscript_open() {
+ $this->doc .= '<text:span text:style-name="sup">';
+ }
+
+ function superscript_close() {
+ $this->doc .= '</text:span>';
+ }
+
+ function deleted_open() {
+ $this->doc .= '<text:span text:style-name="del">';
+ }
+
+ function deleted_close() {
+ $this->doc .= '</text:span>';
+ }
+
+ /*
+ * Tables
+ */
+ function table_open($maxcols = NULL, $numrows = NULL){
+ $this->doc .= '<table:table>';
+ for($i=0; $i<$maxcols; $i++){
+ $this->doc .= '<table:table-column />';
+ }
+ }
+
+ function table_close(){
+ $this->doc .= '</table:table>';
+ }
+
+ function tablerow_open(){
+ $this->doc .= '<table:table-row>';
+ }
+
+ function tablerow_close(){
+ $this->doc .= '</table:table-row>';
+ }
+
+ function tableheader_open($colspan = 1, $align = "left"){
+ $this->doc .= '<table:table-cell office:value-type="string" table:style-name="tableheader" ';
+ //$this->doc .= ' table:style-name="tablealign'.$align.'"';
+ if ( $colspan > 1 ) {
+ $this->doc .= ' table:number-columns-spanned="'.$colspan.'"';
+ }
+ $this->doc .= '>';
+ $this->p_open('Table_20_Heading');
+ }
+
+ function tableheader_close(){
+ $this->p_close();
+ $this->doc .= '</table:table-cell>';
+ }
+
+ function tablecell_open($colspan = 1, $align = "left"){
+ $this->doc .= '<table:table-cell office:value-type="string" table:style-name="tablecell" ';
+ if ( $colspan > 1 ) {
+ $this->doc .= ' table:number-columns-spanned="'.$colspan.'"';
+ }
+ $this->doc .= '>';
+ if (!$align) $align = "left";
+ $style = "tablealign".$align;
+ $this->p_open($style);
+ }
+
+ function tablecell_close(){
+ $this->p_close();
+ $this->doc .= '</table:table-cell>';
+ }
+
+ /**
+ * Callback for footnote start syntax
+ *
+ * All following content will go to the footnote instead of
+ * the document. To achieve this the previous rendered content
+ * is moved to $store and $doc is cleared
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function footnote_open() {
+
+ // move current content to store and record footnote
+ $this->store = $this->doc;
+ $this->doc = '';
+ }
+
+ /**
+ * Callback for footnote end syntax
+ *
+ * All rendered content is moved to the $footnotes array and the old
+ * content is restored from $store again
+ *
+ * @author Andreas Gohr
+ */
+ function footnote_close() {
+ // recover footnote into the stack and restore old content
+ $footnote = $this->doc;
+ $this->doc = $this->store;
+ $this->store = '';
+
+ // check to see if this footnote has been seen before
+ $i = array_search($footnote, $this->footnotes);
+
+ if ($i === false) {
+ $i = count($this->footnotes);
+ // its a new footnote, add it to the $footnotes array
+ $this->footnotes[$i] = $footnote;
+
+ $this->doc .= '<text:note text:id="ftn'.$i.'" text:note-class="footnote">';
+ $this->doc .= '<text:note-citation>'.($i+1).'</text:note-citation>';
+ $this->doc .= '<text:note-body>';
+ $this->doc .= '<text:p text:style-name="Footnote">';
+ $this->doc .= $footnote;
+ $this->doc .= '</text:p>';
+ $this->doc .= '</text:note-body>';
+ $this->doc .= '</text:note>';
+
+ } else {
+ // seen this one before - just reference it FIXME: style isn't correct yet
+ $this->doc .= '<text:note-ref text:note-class="footnote" text:ref-name="ftn'.$i.'">'.($i+1).'</text:note-ref>';
+ }
+ }
+
+ function listu_open() {
+ $this->p_close();
+ $this->doc .= '<text:list text:style-name="List_20_1">';
+ }
+
+ function listu_close() {
+ $this->doc .= '</text:list>';
+ }
+
+ function listo_open() {
+ $this->p_close();
+ $this->doc .= '<text:list text:style-name="Numbering_20_1">';
+ }
+
+ function listo_close() {
+ $this->doc .= '</text:list>';
+ }
+
+ function listitem_open($level) {
+ $this->in_list_item = true;
+ $this->doc .= '<text:list-item>';
+ }
+
+ function listitem_close() {
+ $this->in_list_item = false;
+ $this->doc .= '</text:list-item>';
+ }
+
+ function listcontent_open() {
+ $this->doc .= '<text:p text:style-name="Text_20_body">';
+ }
+
+ function listcontent_close() {
+ $this->doc .= '</text:p>';
+ }
+
+ function unformatted($text) {
+ $this->doc .= $this->_xmlEntities($text);
+ }
+
+ function acronym($acronym) {
+ $this->doc .= $this->_xmlEntities($acronym);
+ }
+
+ function smiley($smiley) {
+ if ( array_key_exists($smiley, $this->smileys) ) {
+ $src = DOKU_INC."lib/images/smileys/".$this->smileys[$smiley];
+ $this->_odtAddImage($src);
+ } else {
+ $this->doc .= $this->_xmlEntities($smiley);
+ }
+ }
+
+ function entity($entity) {
+ # UTF-8 entity decoding is broken in PHP <5
+ if (version_compare(phpversion(), "5.0.0") and array_key_exists($entity, $this->entities) ) {
+ # decoding may fail for missing Multibyte-Support in entity_decode
+ $dec = @html_entity_decode($this->entities[$entity],ENT_NOQUOTES,'UTF-8');
+ if($dec){
+ $this->doc .= $this->_xmlEntities($dec);
+ }else{
+ $this->doc .= $this->_xmlEntities($entity);
+ }
+ } else {
+ $this->doc .= $this->_xmlEntities($entity);
+ }
+ }
+
+ function multiplyentity($x, $y) {
+ $this->doc .= $x.'脳'.$y;
+ }
+
+ function singlequoteopening() {
+ global $lang;
+ $this->doc .= $lang['singlequoteopening'];
+ }
+
+ function singlequoteclosing() {
+ global $lang;
+ $this->doc .= $lang['singlequoteclosing'];
+ }
+
+ function apostrophe() {
+ global $lang;
+ $this->doc .= $lang['apostrophe'];
+ }
+
+ function doublequoteopening() {
+ global $lang;
+ $this->doc .= $lang['doublequoteopening'];
+ }
+
+ function doublequoteclosing() {
+ global $lang;
+ $this->doc .= $lang['doublequoteclosing'];
+ }
+
+ function php($text, $wrapper='dummy') {
+ $this->monospace_open();
+ $this->doc .= $this->_xmlEntities($text);
+ $this->monospace_close();
+ }
+ function phpblock($text) {
+ $this->file($text);
+ }
+
+ function html($text, $wrapper='dummy') {
+ $this->monospace_open();
+ $this->doc .= $this->_xmlEntities($text);
+ $this->monospace_close();
+ }
+ function htmlblock($text) {
+ $this->file($text);
+ }
+
+ /**
+ * static call back to replace spaces
+ */
+ function _preserveSpace($matches){
+ $spaces = $matches[1];
+ $len = strlen($spaces);
+ return '<text:s text:c="'.$len.'"/>';
+ }
+
+ function preformatted($text) {
+ $text = $this->_xmlEntities($text);
+ if (strpos($text, "\n") !== FALSE and strpos($text, "\n") == 0) {
+ // text starts with a newline, remove it
+ $text = substr($text,1);
+ }
+ $text = str_replace("\n",'<text:line-break/>',$text);
+ $text = preg_replace_callback('/( +)/',array('renderer_plugin_odt','_preserveSpace'),$text);
+
+ if ($this->in_list_item) { // if we're in a list item, we must close the <text:p> tag
+ $this->doc .= '</text:p>';
+ $this->doc .= '<text:p text:style-name="Preformatted_20_Text">';
+ $this->doc .= $text;
+ $this->doc .= '</text:p>';
+ $this->doc .= '<text:p>';
+ } else {
+ $this->doc .= '<text:p text:style-name="Preformatted_20_Text">';
+ $this->doc .= $text;
+ $this->doc .= '</text:p>';
+ }
+ }
+
+ function file($text) {
+ $this->preformatted($text);
+ }
+
+ function quote_open() {
+ if (!$this->in_paragraph) { // only start a new par if we're not already in one
+ $this->p_open();
+ }
+ $this->doc .= "&gt;";
+ }
+
+ function quote_close() {
+ if ($this->in_paragraph) { // only close the paragraph if we're actually in one
+ $this->p_close();
+ }
+ }
+
+ function code($text, $language = NULL) {
+ // FIXME we could convert GeSHi HTML and CSS classes to ODT XML here
+ $this->preformatted($text);
+ }
+
+ function internalmedia ($src, $title=NULL, $align=NULL, $width=NULL,
+ $height=NULL, $cache=NULL, $linking=NULL) {
+ global $conf;
+ global $ID;
+ resolve_mediaid(getNS($ID),$src, $exists);
+ list($ext,$mime) = mimetype($src);
+
+ if(substr($mime,0,5) == 'image'){
+ $file = mediaFN($src);
+ $this->_odtAddImage($file, $width, $height, $align, $title);
+ }else{
+ // FIXME build absolute medialink and call externallink()
+ $this->code('FIXME internalmedia: '.$src);
+ }
+ }
+
+ function externalmedia ($src, $title=NULL, $align=NULL, $width=NULL,
+ $height=NULL, $cache=NULL, $linking=NULL) {
+ global $conf;
+ global $ID;
+ list($ext,$mime) = mimetype($src);
+
+ if(substr($mime,0,5) == 'image'){
+ if($width) {
+ $width = 'svg:width="'.(($width/96.0)*2.54).'cm"';
+ } else {
+ $width = 'svg:rel-width="100%"';
+ }
+ if($height) {
+ $height = 'svg:height="'.(($height/96.0)*2.54).'cm"';
+ } else {
+ $height = 'svg:rel-height="100%"';
+ }
+
+ $style = 'media'.$align;
+ if($align){
+ $anchor = 'paragraph';
+ }else{
+ $anchor = 'as-char';
+ }
+
+ $this->doc .= '<draw:frame draw:style-name="'.$style.'" draw:name="'.$this->_xmlEntities($title).'"
+ text:anchor-type="'.$anchor.'" draw:z-index="0"
+ '.$width.' '.$height.' >';
+ $this->doc .= '<draw:image xlink:href="'.$this->_xmlEntities($src).'"
+ xlink:type="simple" xlink:show="embed" xlink:actuate="onLoad"/>';
+ $this->doc .= '</draw:frame>';
+ }else{
+ $this->externallink($src,$title);
+ }
+ }
+
+ function camelcaselink($link) {
+ $this->internallink($link,$link);
+ }
+
+ function reference($id, $name = NULL) {
+ $this->doc .= '<text:a xlink:type="simple" xlink:href="#'.$id.'"';
+ if ($name) {
+ $this->doc .= '>'.$this->_xmlEntities($name).'</text:a>';
+ } else {
+ $this->doc .= '/>';
+ }
+ }
+
+ /**
+ * Render an internal Wiki Link
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function internallink($id, $name = NULL) {
+ global $conf;
+ global $ID;
+ // default name is based on $id as given
+ $default = $this->_simpleTitle($id);
+ // now first resolve and clean up the $id
+ resolve_pageid(getNS($ID),$id,$exists);
+ $name = $this->_getLinkTitle($name, $default, $isImage, $id);
+
+ // build the absolute URL (keeping a hash if any)
+ list($id,$hash) = explode('#',$id,2);
+ $url = wl($id,'',true);
+ if($hash) $url .='#'.$hash;
+
+ if ($ID == $id) {
+ $this->reference($hash, $name);
+ } else {
+ $this->_doLink($url,$name);
+ }
+ }
+
+ /**
+ * Add external link
+ */
+ function externallink($url, $name = NULL) {
+ global $conf;
+
+ $name = $this->_getLinkTitle($name, $url, $isImage);
+
+ $this->_doLink($url,$name);
+ }
+
+ /**
+ * Just print local links
+ *
+ * @fixme add image handling
+ */
+ function locallink($hash, $name = NULL){
+ $name = $this->_getLinkTitle($name, $hash, $isImage);
+ $this->doc .= $name;
+ }
+
+ /**
+ * InterWiki links
+ */
+ function interwikilink($match, $name = NULL, $wikiName, $wikiUri) {
+ $name = $this->_getLinkTitle($name, $wikiUri, $isImage);
+ $url = $this-> _resolveInterWiki($wikiName,$wikiUri);
+ $this->_doLink($url,$name);
+ }
+
+ /**
+ * Just print WindowsShare links
+ *
+ * @fixme add image handling
+ */
+ function windowssharelink($url, $name = NULL) {
+ $name = $this->_getLinkTitle($name, $url, $isImage);
+ $this->doc .= $name;
+ }
+
+ /**
+ * Just print email links
+ *
+ * @fixme add image handling
+ */
+ function emaillink($address, $name = NULL) {
+ $name = $this->_getLinkTitle($name, $address, $isImage);
+ $this->_doLink("mailto:".$address,$name);
+ }
+
+ /**
+ * Add a hyperlink, handling Images correctly
+ *
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function _doLink($url,$name){
+ $url = $this->_xmlEntities($url);
+ if(is_array($name)){
+ // Images
+ if($url) $this->doc .= '<draw:a xlink:type="simple" xlink:href="'.$url.'">';
+
+ if($name['type'] == 'internalmedia'){
+ $this->internalmedia($name['src'],
+ $name['title'],
+ $name['align'],
+ $name['width'],
+ $name['height'],
+ $name['cache'],
+ $name['linking']);
+ }
+
+ if($url) $this->doc .= '</draw:a>';
+ }else{
+ // Text
+ if($url) $this->doc .= '<text:a xlink:type="simple" xlink:href="'.$url.'">';
+ $this->doc .= $name; // we get the name already XML encoded
+ if($url) $this->doc .= '</text:a>';
+ }
+ }
+
+ /**
+ * Construct a title and handle images in titles
+ *
+ * @author Harry Fuecks <hfuecks@gmail.com>
+ */
+ function _getLinkTitle($title, $default, & $isImage, $id=null) {
+ global $conf;
+
+ $isImage = false;
+ if ( is_null($title) ) {
+ if ($conf['useheading'] && $id) {
+ $heading = p_get_first_heading($id);
+ if ($heading) {
+ return $this->_xmlEntities($heading);
+ }
+ }
+ return $this->_xmlEntities($default);
+ } else if ( is_string($title) ) {
+ return $this->_xmlEntities($title);
+ } else if ( is_array($title) ) {
+ $isImage = true;
+ return $title;
+ }
+ }
+
+ /**
+ * Creates a linkid from a headline
+ *
+ * @param string $title The headline title
+ * @param boolean $create Create a new unique ID?
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+ function _headerToLink($title,$create=false) {
+ $title = str_replace(':','',cleanID($title));
+ $title = ltrim($title,'0123456789._-');
+ if(empty($title)) $title='section';
+
+ if($create){
+ // make sure tiles are unique
+ $num = '';
+ while(in_array($title.$num,$this->headers)){
+ ($num) ? $num++ : $num = 1;
+ }
+ $title = $title.$num;
+ $this->headers[] = $title;
+ }
+
+ return $title;
+ }
+
+
+ function _xmlEntities($value) {
+ return str_replace( array('&','"',"'",'<','>'), array('&#38;','&#34;','&#39;','&#60;','&#62;'), $value);
+ }
+
+ function rss ($url,$params){
+ global $lang;
+ global $conf;
+
+ require_once(DOKU_INC.'inc/FeedParser.php');
+ $feed = new FeedParser();
+ $feed->feed_url($url);
+
+ //disable warning while fetching
+ if (!defined('DOKU_E_LEVEL')) { $elvl = error_reporting(E_ERROR); }
+ $rc = $feed->init();
+ if (!defined('DOKU_E_LEVEL')) { error_reporting($elvl); }
+
+ //decide on start and end
+ if($params['reverse']){
+ $mod = -1;
+ $start = $feed->get_item_quantity()-1;
+ $end = $start - ($params['max']);
+ $end = ($end < -1) ? -1 : $end;
+ }else{
+ $mod = 1;
+ $start = 0;
+ $end = $feed->get_item_quantity();
+ $end = ($end > $params['max']) ? $params['max'] : $end;;
+ }
+
+ $this->listu_open();
+ if($rc){
+ for ($x = $start; $x != $end; $x += $mod) {
+ $item = $feed->get_item($x);
+ $this->listitem_open(0);
+ $this->listcontent_open();
+ $this->externallink($item->get_permalink(),
+ $item->get_title());
+ if($params['author']){
+ $author = $item->get_author(0);
+ if($author){
+ $name = $author->get_name();
+ if(!$name) $name = $author->get_email();
+ if($name) $this->cdata(' '.$lang['by'].' '.$name);
+ }
+ }
+ if($params['date']){
+ $this->cdata(' ('.$item->get_date($conf['dformat']).')');
+ }
+ if($params['details']){
+ $this->cdata(strip_tags($item->get_description()));
+ }
+ $this->listcontent_close();
+ $this->listitem_close();
+ }
+ }else{
+ $this->listitem_open(0);
+ $this->listcontent_open();
+ $this->emphasis_open();
+ $this->cdata($lang['rssfailed']);
+ $this->emphasis_close();
+ $this->externallink($url);
+ $this->listcontent_close();
+ $this->listitem_close();
+ }
+ $this->listu_close();
+ }
+
+
+ function _odtAddImage($src, $width = NULL, $height = NULL, $align = NULL, $title = NULL, $style = NULL){
+ list($ext,$mime) = mimetype($src);
+ $name = 'Pictures/'.md5($src).'.'.$ext;
+ if(!$this->manifest[$name]){
+ $this->manifest[$name] = $mime;
+ $this->ZIP->add_File(io_readfile($src,false),$name,0);
+ }
+ // make sure width and height is available
+ // FIXME we don't have the dimension of an external file
+ // (except it's cached, but this is not the default) there seems
+ // to be no way to specify "use original image" in ODF - thus
+ // a hardcoded default size of 200 pixel here
+ if(!$width || !$height){
+ $info = getimagesize($src);
+ if(!$width){
+ $width = $info[0];
+ $height = $info[1];
+ }else{
+ $height = round(($width * $info[1]) / $info[0]);
+ }
+ }
+
+ // convert from pixel to centimeters
+ $width = (($width/96.0)*2.54);
+ $height = (($height/96.0)*2.54);
+ // Don't be wider than the page
+ if ($width >= 17){ // FIXME : this assumes A4 page format with 2cm margins
+ $width = $width.'cm" style:rel-width="100%';
+ $height = $height.'cm" style:rel-height="scale';
+ } else {
+ $width = $width.'cm';
+ $height = $height.'cm';
+ }
+
+ if($align){
+ $anchor = 'paragraph';
+ }else{
+ $anchor = 'as-char';
+ }
+
+ if (!$style or !array_key_exists($style, $this->autostyles)) {
+ $style = 'media'.$align;
+ }
+
+ if ($title) {
+ $this->doc .= '<draw:frame draw:style-name="'.$style.'" draw:name="'.$this->_xmlEntities($title).' Legend"
+ text:anchor-type="'.$anchor.'" draw:z-index="0" svg:width="'.$width.'">';
+ $this->doc .= '<draw:text-box>';
+ $this->doc .= '<text:p text:style-name="legendcenter">';
+ }
+ $this->doc .= '<draw:frame draw:style-name="'.$style.'" draw:name="'.$this->_xmlEntities($title).'"
+ text:anchor-type="'.$anchor.'" draw:z-index="0"
+ svg:width="'.$width.'" svg:height="'.$height.'" >';
+ $this->doc .= '<draw:image xlink:href="'.$this->_xmlEntities($name).'"
+ xlink:type="simple" xlink:show="embed" xlink:actuate="onLoad"/>';
+ $this->doc .= '</draw:frame>';
+ if ($title) {
+ $this->doc .= $this->_xmlEntities($title).'</text:p></draw:text-box></draw:frame>';
+ }
+ }
+
+}
+
+//Setup VIM: ex: et ts=4 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/styles.xml b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/styles.xml
new file mode 100644
index 000000000..c328464f3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/styles.xml
@@ -0,0 +1,158 @@
+<?xml version="1.0"?>
+<!--
+ This file defines how the document is styled. This was extracted from a ODT file
+ created in OpenOffice 2.0 and could probably be much simpler.
+
+ You probably want to replace this file with a style fitting your corporate identity.
+
+ To do so, export the wiki:syntax page, edit it's style definitions (be sure to keep
+ the names) and save the resulting file. Extract the resulting file with unzip and
+ copy the styles.xml over this one.
+-->
+<office:document-styles xmlns:office="urn:oasis:names:tc:opendocument:xmlns:office:1.0" xmlns:style="urn:oasis:names:tc:opendocument:xmlns:style:1.0" xmlns:text="urn:oasis:names:tc:opendocument:xmlns:text:1.0" xmlns:table="urn:oasis:names:tc:opendocument:xmlns:table:1.0" xmlns:draw="urn:oasis:names:tc:opendocument:xmlns:drawing:1.0" xmlns:fo="urn:oasis:names:tc:opendocument:xmlns:xsl-fo-compatible:1.0" xmlns:xlink="http://www.w3.org/1999/xlink" xmlns:dc="http://purl.org/dc/elements/1.1/" xmlns:meta="urn:oasis:names:tc:opendocument:xmlns:meta:1.0" xmlns:number="urn:oasis:names:tc:opendocument:xmlns:datastyle:1.0" xmlns:svg="urn:oasis:names:tc:opendocument:xmlns:svg-compatible:1.0" xmlns:chart="urn:oasis:names:tc:opendocument:xmlns:chart:1.0" xmlns:dr3d="urn:oasis:names:tc:opendocument:xmlns:dr3d:1.0" xmlns:math="http://www.w3.org/1998/Math/MathML" xmlns:form="urn:oasis:names:tc:opendocument:xmlns:form:1.0" xmlns:script="urn:oasis:names:tc:opendocument:xmlns:script:1.0" xmlns:ooo="http://openoffice.org/2004/office" xmlns:ooow="http://openoffice.org/2004/writer" xmlns:oooc="http://openoffice.org/2004/calc" xmlns:dom="http://www.w3.org/2001/xml-events" office:version="1.0">
+ <office:font-face-decls>
+ <style:font-face style:name="StarSymbol" svg:font-family="StarSymbol"/>
+ <style:font-face style:name="Bitstream Vera Sans Mono" svg:font-family="'Bitstream Vera Sans Mono'" style:font-family-generic="modern" style:font-pitch="fixed"/>
+ <style:font-face style:name="Bitstream Vera Sans" svg:font-family="'Bitstream Vera Sans'" style:font-family-generic="roman" style:font-pitch="variable"/>
+ <style:font-face style:name="Bitstream Vera Sans1" svg:font-family="'Bitstream Vera Sans'" style:font-family-generic="swiss" style:font-pitch="variable"/>
+ <style:font-face style:name="Bitstream Vera Sans2" svg:font-family="'Bitstream Vera Sans'" style:font-family-generic="system" style:font-pitch="variable"/>
+ </office:font-face-decls>
+ <office:styles>
+ <style:default-style style:family="graphic">
+ <style:graphic-properties draw:shadow-offset-x="0.3cm" draw:shadow-offset-y="0.3cm" draw:start-line-spacing-horizontal="0.283cm" draw:start-line-spacing-vertical="0.283cm" draw:end-line-spacing-horizontal="0.283cm" draw:end-line-spacing-vertical="0.283cm" style:flow-with-text="false"/>
+ <style:paragraph-properties style:text-autospace="ideograph-alpha" style:line-break="strict" style:writing-mode="lr-tb" style:font-independent-line-spacing="false">
+ <style:tab-stops/>
+ </style:paragraph-properties>
+ <style:text-properties style:use-window-font-color="true" fo:font-size="12pt" style:font-size-asian="12pt" style:font-size-complex="12pt"/>
+ </style:default-style>
+ <style:default-style style:family="paragraph">
+ <style:paragraph-properties fo:hyphenation-ladder-count="no-limit" style:text-autospace="ideograph-alpha" style:punctuation-wrap="hanging" style:line-break="strict" style:tab-stop-distance="1.251cm" style:writing-mode="page"/>
+ <style:text-properties style:use-window-font-color="true" style:font-name="Bitstream Vera Sans" fo:font-size="12pt" style:font-name-asian="Bitstream Vera Sans2" style:font-size-asian="12pt" style:font-name-complex="Bitstream Vera Sans2" style:font-size-complex="12pt" fo:hyphenate="false" fo:hyphenation-remain-char-count="2" fo:hyphenation-push-char-count="2"/>
+ </style:default-style>
+ <style:default-style style:family="table">
+ <style:table-properties table:border-model="collapsing"/>
+ </style:default-style>
+ <style:default-style style:family="table-row">
+ <style:table-row-properties fo:keep-together="auto"/>
+ </style:default-style>
+ <style:style style:name="Standard" style:family="paragraph" style:class="text"/>
+ <style:style style:name="Text_20_body" style:display-name="Text body" style:family="paragraph" style:parent-style-name="Standard" style:class="text">
+ <style:paragraph-properties fo:margin-top="0cm" fo:margin-bottom="0.212cm" fo:text-align="justify" style:justify-single-word="false"/>
+ </style:style>
+ <style:style style:name="Heading" style:family="paragraph" style:parent-style-name="Standard" style:next-style-name="Text_20_body" style:class="text">
+ <style:paragraph-properties fo:margin-top="0.423cm" fo:margin-bottom="0.212cm" fo:keep-with-next="always"/>
+ <style:text-properties style:font-name="Bitstream Vera Sans1" fo:font-size="14pt" style:font-name-asian="Bitstream Vera Sans2" style:font-size-asian="14pt" style:font-name-complex="Bitstream Vera Sans2" style:font-size-complex="14pt"/>
+ </style:style>
+ <style:style style:name="Heading_20_1" style:display-name="Heading 1" style:family="paragraph" style:parent-style-name="Heading" style:next-style-name="Text_20_body" style:class="text" style:default-outline-level="1">
+ <style:text-properties style:font-name="Bitstream Vera Sans" fo:font-size="24pt" fo:font-weight="bold" style:font-name-asian="Bitstream Vera Sans2" style:font-size-asian="24pt" style:font-weight-asian="bold" style:font-name-complex="Bitstream Vera Sans2" style:font-size-complex="24pt" style:font-weight-complex="bold"/>
+ </style:style>
+ <style:style style:name="Heading_20_2" style:display-name="Heading 2" style:family="paragraph" style:parent-style-name="Heading" style:next-style-name="Text_20_body" style:class="text" style:default-outline-level="2">
+ <style:text-properties style:font-name="Bitstream Vera Sans" fo:font-size="18pt" fo:font-weight="bold" style:font-name-asian="Bitstream Vera Sans2" style:font-size-asian="18pt" style:font-weight-asian="bold" style:font-name-complex="Bitstream Vera Sans2" style:font-size-complex="18pt" style:font-weight-complex="bold"/>
+ </style:style>
+ <style:style style:name="Heading_20_3" style:display-name="Heading 3" style:family="paragraph" style:parent-style-name="Heading" style:next-style-name="Text_20_body" style:class="text" style:default-outline-level="3">
+ <style:text-properties style:font-name="Bitstream Vera Sans" fo:font-size="14pt" fo:font-weight="bold" style:font-name-asian="Bitstream Vera Sans2" style:font-size-asian="14pt" style:font-weight-asian="bold" style:font-name-complex="Bitstream Vera Sans2" style:font-size-complex="14pt" style:font-weight-complex="bold"/>
+ </style:style>
+ <style:style style:name="Heading_20_4" style:display-name="Heading 4" style:family="paragraph" style:parent-style-name="Heading" style:next-style-name="Text_20_body" style:class="text" style:default-outline-level="4">
+ <style:text-properties style:font-name="Bitstream Vera Sans" fo:font-size="12pt" fo:font-weight="bold" style:font-name-asian="Bitstream Vera Sans2" style:font-size-asian="12pt" style:font-weight-asian="bold" style:font-name-complex="Bitstream Vera Sans2" style:font-size-complex="12pt" style:font-weight-complex="bold"/>
+ </style:style>
+ <style:style style:name="Heading_20_5" style:display-name="Heading 5" style:family="paragraph" style:parent-style-name="Heading" style:next-style-name="Text_20_body" style:class="text" style:default-outline-level="5">
+ <style:text-properties style:font-name="Bitstream Vera Sans" fo:font-size="10pt" fo:font-weight="bold" style:font-name-asian="Bitstream Vera Sans2" style:font-size-asian="10pt" style:font-weight-asian="bold" style:font-name-complex="Bitstream Vera Sans2" style:font-size-complex="10pt" style:font-weight-complex="bold"/>
+ </style:style>
+ <style:style style:name="List" style:family="paragraph" style:parent-style-name="Text_20_body" style:class="list"/>
+ <style:style style:name="Table_20_Contents" style:display-name="Table Contents" style:family="paragraph" style:parent-style-name="Standard" style:class="extra">
+ <style:paragraph-properties text:number-lines="false" text:line-number="0"/>
+ </style:style>
+ <style:style style:name="Table_20_Heading" style:display-name="Table Heading" style:family="paragraph" style:parent-style-name="Table_20_Contents" style:class="extra">
+ <style:paragraph-properties fo:text-align="center" style:justify-single-word="false" text:number-lines="false" text:line-number="0"/>
+ <style:text-properties fo:font-weight="bold" style:font-weight-asian="bold" style:font-weight-complex="bold"/>
+ </style:style>
+ <style:style style:name="Caption" style:family="paragraph" style:parent-style-name="Standard" style:class="extra">
+ <style:paragraph-properties fo:margin-top="0.212cm" fo:margin-bottom="0.212cm" text:number-lines="false" text:line-number="0"/>
+ <style:text-properties fo:font-size="12pt" fo:font-style="italic" style:font-size-asian="12pt" style:font-style-asian="italic" style:font-size-complex="12pt" style:font-style-complex="italic"/>
+ </style:style>
+ <style:style style:name="Index" style:family="paragraph" style:parent-style-name="Standard" style:class="index">
+ <style:paragraph-properties text:number-lines="false" text:line-number="0"/>
+ </style:style>
+ <style:style style:name="Quotations" style:family="paragraph" style:parent-style-name="Standard" style:class="html">
+ <style:paragraph-properties fo:margin-left="1cm" fo:margin-right="1cm" fo:margin-top="0cm" fo:margin-bottom="0.499cm" fo:text-indent="0cm" style:auto-text-indent="false"/>
+ </style:style>
+ <style:style style:name="Preformatted_20_Text" style:display-name="Preformatted Text" style:family="paragraph" style:parent-style-name="Standard" style:class="html">
+ <style:paragraph-properties fo:margin-top="0cm" fo:margin-bottom="0cm"/>
+ <style:text-properties style:font-name="Bitstream Vera Sans Mono" fo:font-size="10pt" style:font-name-asian="Bitstream Vera Sans Mono" style:font-size-asian="10pt" style:font-name-complex="Bitstream Vera Sans Mono" style:font-size-complex="10pt"/>
+ </style:style>
+ <style:style style:name="Horizontal_20_Line" style:display-name="Horizontal Line" style:family="paragraph" style:parent-style-name="Standard" style:next-style-name="Text_20_body" style:class="html">
+ <style:paragraph-properties fo:margin-top="0cm" fo:margin-bottom="0.499cm" style:border-line-width-bottom="0.002cm 0.035cm 0.002cm" fo:padding="0cm" fo:border-left="none" fo:border-right="none" fo:border-top="none" fo:border-bottom="0.039cm double #808080" text:number-lines="false" text:line-number="0" style:join-border="false"/>
+ <style:text-properties fo:font-size="6pt" style:font-size-asian="6pt" style:font-size-complex="6pt"/>
+ </style:style>
+ <style:style style:name="Footnote" style:family="paragraph" style:parent-style-name="Standard" style:class="extra">
+ <style:paragraph-properties fo:margin-left="0.5cm" fo:margin-right="0cm" fo:text-indent="-0.5cm" style:auto-text-indent="false" text:number-lines="false" text:line-number="0"/>
+ <style:text-properties fo:font-size="10pt" style:font-size-asian="10pt" style:font-size-complex="10pt"/>
+ </style:style>
+ <style:style style:name="Numbering_20_Symbols" style:display-name="Numbering Symbols" style:family="text"/>
+ <style:style style:name="Bullet_20_Symbols" style:display-name="Bullet Symbols" style:family="text">
+ <style:text-properties style:font-name="StarSymbol" fo:font-size="9pt" style:font-name-asian="StarSymbol" style:font-size-asian="9pt" style:font-name-complex="StarSymbol" style:font-size-complex="9pt"/>
+ </style:style>
+ <style:style style:name="Internet_20_link" style:display-name="Internet link" style:family="text">
+ <style:text-properties fo:color="#000080" style:text-underline-style="solid" style:text-underline-width="auto" style:text-underline-color="font-color"/>
+ </style:style>
+ <style:style style:name="Emphasis" style:family="text">
+ <style:text-properties fo:font-style="italic" style:font-style-asian="italic" style:font-style-complex="italic"/>
+ </style:style>
+ <style:style style:name="Strong_20_Emphasis" style:display-name="Strong Emphasis" style:family="text">
+ <style:text-properties fo:font-weight="bold" style:font-weight-asian="bold" style:font-weight-complex="bold"/>
+ </style:style>
+ <style:style style:name="Source_20_Text" style:display-name="Source Text" style:family="text">
+ <style:text-properties style:font-name="Bitstream Vera Sans Mono" style:font-name-asian="Bitstream Vera Sans Mono" style:font-name-complex="Bitstream Vera Sans Mono"/>
+ </style:style>
+ <style:style style:name="Graphics" style:family="graphic">
+ <style:graphic-properties text:anchor-type="paragraph" svg:x="0cm" svg:y="0cm" style:wrap="dynamic" style:number-wrapped-paragraphs="no-limit" style:wrap-contour="false" style:vertical-pos="top" style:vertical-rel="paragraph" style:horizontal-pos="center" style:horizontal-rel="paragraph"/>
+ </style:style>
+ <text:outline-style>
+ <text:outline-level-style text:level="1" style:num-format="">
+ <style:list-level-properties text:min-label-distance="0.381cm"/>
+ </text:outline-level-style>
+ <text:outline-level-style text:level="2" style:num-format="">
+ <style:list-level-properties text:min-label-distance="0.381cm"/>
+ </text:outline-level-style>
+ <text:outline-level-style text:level="3" style:num-format="">
+ <style:list-level-properties text:min-label-distance="0.381cm"/>
+ </text:outline-level-style>
+ <text:outline-level-style text:level="4" style:num-format="">
+ <style:list-level-properties text:min-label-distance="0.381cm"/>
+ </text:outline-level-style>
+ <text:outline-level-style text:level="5" style:num-format="">
+ <style:list-level-properties text:min-label-distance="0.381cm"/>
+ </text:outline-level-style>
+ <text:outline-level-style text:level="6" style:num-format="">
+ <style:list-level-properties text:min-label-distance="0.381cm"/>
+ </text:outline-level-style>
+ <text:outline-level-style text:level="7" style:num-format="">
+ <style:list-level-properties text:min-label-distance="0.381cm"/>
+ </text:outline-level-style>
+ <text:outline-level-style text:level="8" style:num-format="">
+ <style:list-level-properties text:min-label-distance="0.381cm"/>
+ </text:outline-level-style>
+ <text:outline-level-style text:level="9" style:num-format="">
+ <style:list-level-properties text:min-label-distance="0.381cm"/>
+ </text:outline-level-style>
+ <text:outline-level-style text:level="10" style:num-format="">
+ <style:list-level-properties text:min-label-distance="0.381cm"/>
+ </text:outline-level-style>
+ </text:outline-style>
+
+ <text:list-style style:name="Numbering_20_1" style:display-name="Numbering 1"/>
+ <text:list-style style:name="List_20_1" style:display-name="List 1"/>
+
+ <text:notes-configuration text:note-class="footnote" style:num-format="1" text:start-value="0" text:footnotes-position="page" text:start-numbering-at="document"/>
+ <text:notes-configuration text:note-class="endnote" style:num-format="i" text:start-value="0"/>
+ <text:linenumbering-configuration text:number-lines="false" text:offset="0.499cm" style:num-format="1" text:number-position="left" text:increment="5"/>
+
+ </office:styles>
+
+ <office:automatic-styles/>
+
+ <office:master-styles>
+ <style:master-page style:name="Standard" style:page-layout-name="pm1"/>
+ </office:master-styles>
+</office:document-styles>
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/syntax.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/syntax.php
new file mode 100644
index 000000000..a8d0832d7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/odt/syntax.php
@@ -0,0 +1,96 @@
+<?php
+/**
+ * ODT Plugin: Exports to ODT
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Aurelien Bompard <aurelien@bompard.org>
+ */
+// must be run within Dokuwiki
+if(!defined('DOKU_INC')) die();
+
+if(!defined('DOKU_PLUGIN')) define('DOKU_PLUGIN',DOKU_INC.'lib/plugins/');
+require_once(DOKU_PLUGIN.'syntax.php');
+
+class syntax_plugin_odt extends DokuWiki_Syntax_Plugin {
+
+ /**
+ * return some info
+ */
+ function getInfo(){
+ return confToHash(dirname(__FILE__).'/info.txt');
+ }
+
+ /**
+ * What kind of syntax are we?
+ */
+ function getType(){
+ return 'substition';
+ }
+
+ /**
+ * What about paragraphs?
+ */
+ function getPType(){
+ return 'normal';
+ }
+
+ /**
+ * Where to sort in?
+ */
+ function getSort(){
+ return 319; // Before image detection, which uses {{...}} and is 320
+ }
+
+ /**
+ * Connect pattern to lexer
+ */
+ function connectTo($mode) {
+ $this->Lexer->addSpecialPattern('~~ODT~~',$mode,'plugin_odt');
+ $this->Lexer->addSpecialPattern('{{odt>.+?}}',$mode,'plugin_odt');
+ }
+
+ /**
+ * Handle the match
+ */
+ function handle($match, $state, $pos, &$handler){
+ // Export button
+ if ($match == '~~ODT~~') { return array(); }
+ // Extended info
+ $match = substr($match,6,-2); //strip markup
+ $extinfo = explode(':',$match);
+ $info_type = $extinfo[0];
+ if (count($extinfo) < 2) { // no value
+ $info_value = '';
+ } elseif (count($extinfo) == 2) {
+ $info_value = $extinfo[1];
+ } else { // value may contain colons
+ $info_value = implode(array_slice($extinfo,1), ':');
+ }
+ return array($info_type, $info_value);
+ }
+
+ /**
+ * Create output
+ */
+ function render($format, &$renderer, $data) {
+ global $ID, $REV;
+ if (!$data) { // Export button
+ if($format != 'xhtml') return false;
+ $renderer->doc .= '<a href="'.exportlink($ID, 'odt', ($REV != '' ? 'rev='.$REV : '')).'" title="'.$this->getLang('view').'">';
+ $renderer->doc .= '<img src="'.DOKU_BASE.'lib/plugins/odt/odt.png" align="right" alt="'.$this->getLang('view').'" width="48" height="48" />';
+ $renderer->doc .= '</a>';
+ return true;
+ } else { // Extended info
+ list($info_type, $info_value) = $data;
+ if ($info_type == "template") { // Template-based export
+ $renderer->template = $info_value;
+ p_set_metadata($ID, array("relation"=> array("odt"=>array("template"=>$info_value))));
+ }
+ }
+ return false;
+ }
+
+}
+
+//Setup VIM: ex: et ts=4 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/admin.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/admin.php
new file mode 100644
index 000000000..b24b1ff6e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/admin.php
@@ -0,0 +1,202 @@
+<?php
+// must be run within Dokuwiki
+if(!defined('DOKU_INC')) die();
+
+if(!defined('DOKU_PLUGIN')) define('DOKU_PLUGIN',DOKU_INC.'lib/plugins/');
+require_once(DOKU_PLUGIN.'admin.php');
+require_once(DOKU_INC.'inc/changelog.php');
+
+/**
+ * All DokuWiki plugins to extend the admin function
+ * need to inherit from this class
+ */
+class admin_plugin_revert extends DokuWiki_Admin_Plugin {
+ var $cmd;
+ // some vars which might need tuning later
+ var $max_lines = 800; // lines to read from changelog
+ var $max_revs = 20; // numer of old revisions to check
+
+
+ /**
+ * Constructor
+ */
+ function admin_plugin_revert(){
+ $this->setupLocale();
+ }
+
+ /**
+ * return some info
+ */
+ function getInfo(){
+ return array(
+ 'author' => 'Andreas Gohr',
+ 'email' => 'andi@splitbrain.org',
+ 'date' => '2008-12-10',
+ 'name' => 'Revert Manager',
+ 'desc' => 'Allows you to mass revert recent edits',
+ 'url' => 'http://dokuwiki.org/plugin:revert',
+ );
+ }
+
+ /**
+ * access for managers
+ */
+ function forAdminOnly(){
+ return false;
+ }
+
+ /**
+ * return sort order for position in admin menu
+ */
+ function getMenuSort() {
+ return 40;
+ }
+
+ /**
+ * handle user request
+ */
+ function handle() {
+ }
+
+ /**
+ * output appropriate html
+ */
+ function html() {
+
+ echo $this->plugin_locale_xhtml('intro');
+
+ $this->_searchform();
+
+ if(is_array($_REQUEST['revert']) && checkSecurityToken()){
+ $this->_revert($_REQUEST['revert'],$_REQUEST['filter']);
+ }elseif(isset($_REQUEST['filter'])){
+ $this->_list($_REQUEST['filter']);
+ }
+ }
+
+ /**
+ * Display the form for searching spam pages
+ */
+ function _searchform(){
+ global $lang;
+ echo '<form action="" method="post"><div class="no">';
+ echo '<label>'.$this->getLang('filter').': </label>';
+ echo '<input type="text" name="filter" class="edit" value="'.hsc($_REQUEST['filter']).'" />';
+ echo '<input type="submit" class="button" value="'.$lang['btn_search'].'" />';
+ echo ' <span>'.$this->getLang('note1').'</span>';
+ echo '</div></form><br /><br />';
+ }
+
+ /**
+ * Start the reversion process
+ */
+ function _revert($revert,$filter){
+ global $conf;
+
+ echo '<hr /><br />';
+ echo '<p>'.$this->getLang('revstart').'</p>';
+
+ echo '<ul>';
+ foreach($revert as $id){
+ global $REV;
+
+ // find the last non-spammy revision
+ $data = '';
+ $old = getRevisions($id, 0, $this->max_revs);
+ if(count($old)){
+ foreach($old as $REV){
+ $data = rawWiki($id,$REV);
+ if(strpos($data,$filter) === false) break;
+ }
+ }
+
+ if($data){
+ saveWikiText($id,$data,'old revision restored',false);
+ printf('<li><div class="li">'.$this->getLang('reverted').'</div></li>',$id,$REV);
+ }else{
+ saveWikiText($id,'','',false);
+ printf('<li><div class="li">'.$this->getLang('removed').'</div></li>',$id);
+ }
+ @set_time_limit(10);
+ flush();
+ }
+ echo '</ul>';
+
+ echo '<p>'.$this->getLang('revstop').'</p>';
+ }
+
+ /**
+ * List recent edits matching the given filter
+ */
+ function _list($filter){
+ global $conf;
+ echo '<hr /><br />';
+ echo '<form action="" method="post"><div class="no">';
+ echo '<input type="hidden" name="filter" value="'.hsc($filter).'" />';
+ formSecurityToken();
+
+ $recents = getRecents(0,$this->max_lines);
+ echo '<ul>';
+
+
+ $cnt = 0;
+ foreach($recents as $recent){
+ if($filter){
+ if(strpos(rawWiki($recent['id']),$filter) === false) continue;
+ }
+
+ $cnt++;
+ $date = strftime($conf['dformat'],$recent['date']);
+
+ echo ($recent['type']===DOKU_CHANGE_TYPE_MINOR_EDIT) ? '<li class="minor">' : '<li>';
+ echo '<div class="li">';
+ echo '<input type="checkbox" name="revert[]" value="'.hsc($recent['id']).'" checked="checked" id="revert__'.$cnt.'" />';
+ echo '<label for="revert__'.$cnt.'">'.$date.'</label> ';
+
+ echo '<a href="'.wl($recent['id'],"do=diff").'">';
+ $p = array();
+ $p['src'] = DOKU_BASE.'lib/images/diff.png';
+ $p['width'] = 15;
+ $p['height'] = 11;
+ $p['title'] = $lang['diff'];
+ $p['alt'] = $lang['diff'];
+ $att = buildAttributes($p);
+ echo "<img $att />";
+ echo '</a> ';
+
+ echo '<a href="'.wl($recent['id'],"do=revisions").'">';
+ $p = array();
+ $p['src'] = DOKU_BASE.'lib/images/history.png';
+ $p['width'] = 12;
+ $p['height'] = 14;
+ $p['title'] = $lang['btn_revs'];
+ $p['alt'] = $lang['btn_revs'];
+ $att = buildAttributes($p);
+ echo "<img $att />";
+ echo '</a> ';
+
+ echo html_wikilink(':'.$recent['id'],(useHeading('navigation'))?NULL:$recent['id']);
+ echo ' &ndash; '.htmlspecialchars($recent['sum']);
+
+ echo ' <span class="user">';
+ echo $recent['user'].' '.$recent['ip'];
+ echo '</span>';
+
+ echo '</div>';
+ echo '</li>';
+
+ @set_time_limit(10);
+ flush();
+ }
+ echo '</ul>';
+
+ echo '<p>';
+ echo '<input type="submit" class="button" value="'.$this->getLang('revert').'" /> ';
+ printf($this->getLang('note2'),hsc($filter));
+ echo '</p>';
+
+ echo '</div></form>';
+ }
+
+}
+//Setup VIM: ex: et ts=4 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/af/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/af/lang.php
new file mode 100644
index 000000000..1fff08db3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/af/lang.php
@@ -0,0 +1,5 @@
+<?php
+/**
+ * Afrikaans language file
+ *
+ */
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ar/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ar/lang.php
new file mode 100644
index 000000000..cdab0cf43
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ar/lang.php
@@ -0,0 +1,6 @@
+<?php
+/**
+ * Arabic language file
+ *
+ * @author Yaman Hokan <always.smile.yh@hotmail.com>
+ */
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/bg/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/bg/intro.txt
new file mode 100644
index 000000000..ab7308d6d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/bg/intro.txt
@@ -0,0 +1,4 @@
+====== 袙褗蟹褋褌邪薪芯胁褟胁邪薪械 ======
+
+孝邪蟹懈 褋褌褉邪薪懈褑邪 锌芯屑邪谐邪 锌褉懈 邪胁褌芯屑邪褌懈褔薪芯褌芯 胁褗蟹褋褌邪薪芯胁褟胁邪薪械 芯褌 褋锌邪屑-邪褌邪泻邪. 袟邪 写邪 薪邪屑械褉懈褌械 褋锌懈褋褗泻 褋褗褋 褋锌邪屑薪邪褌懈 褋褌褉邪薪懈褑懈, 胁褗胁械写械褌械 褌械泻褋褌 蟹邪 褌褗褉褋械薪械(锌褉. 褋锌邪屑 锌褉械锌褉邪褌泻邪), 褋谢械写 褌芯胁邪 锌芯褌胁褗褉写械褌械, 褔械 薪邪屑械褉械薪懈褌械 褋褌褉邪薪懈褑懈 褋邪 薪邪懈褋褌懈薪邪 褋锌邪屑 懈 胁褗蟹褋褌邪薪芯胁械褌械 褉械写邪泻褑懈懈褌械.
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/bg/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/bg/lang.php
new file mode 100644
index 000000000..6f0ff0672
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/bg/lang.php
@@ -0,0 +1,15 @@
+<?php
+/**
+ * bulgarian language file
+ * @author Nikolay Vladimirov <nikolay@vladimiroff.com>
+ * @author Viktor Usunov <usun0v@mail.bg>
+ */
+$lang['menu'] = '袙褗蟹褋褌邪薪芯胁褟胁邪薪械';
+$lang['filter'] = '孝褗褉褋械薪械 薪邪 褋锌邪屑薪邪褌邪 褋褌褉邪薪懈褑懈';
+$lang['revert'] = '袠蟹斜褉邪薪懈 褋褌褉邪薪懈褑懈 蟹邪 胁褗蟹褋褌邪薪芯胁褟胁邪薪械';
+$lang['reverted'] = '%s 胁褗褉薪邪褌 写芯 胁械褉褋懈褟 %s';
+$lang['removed'] = '%s 锌褉械屑邪褏薪邪褌';
+$lang['revstart'] = '袩褉芯褑械褋褗褌 薪邪 胁褗蟹褋褌邪薪芯胁褟胁邪薪械 蟹邪锌芯褔薪邪. 孝芯胁邪 屑芯卸械 写邪 芯褌薪械屑械 屑薪芯谐芯 胁褉械屑械. 袗泻芯 褋泻褉懈锌褌褗褌 褋械 锌褉芯褋褉芯褔懈 锌褉械写懈 写邪 蟹邪胁褗褉褕懈, 褌褉褟斜胁邪 写邪 胁褗蟹褋褌邪薪芯胁褟胁邪褌械 薪邪 锌芯-屑邪谢泻懈 锌邪褉褔械褌邪.';
+$lang['revstop'] = '袩褉芯褑械褋褗褌 薪邪 胁褗蟹褋褌邪薪芯胁褟胁邪薪械 蟹邪胁褗褉褕懈 褍褋锌械褕薪芯.';
+$lang['note1'] = '袟邪斜械谢械卸泻邪: 蟹邪 褌褗褉褋械薪械褌芯 懈屑邪褌 蟹薪邪褔械薪懈械 屑邪谢泻懈/谐芯谢械屑懈 斜褍泻胁懈';
+$lang['note2'] = '袟邪斜械谢械卸泻邪: 褋褌褉邪薪懈褑邪褌邪 褖械 斜褗写械 胁褗蟹胁褗褉薪邪褌邪 斜械蟹 写邪 褋褗写褗褉卸邪 褋锌邪屑 褌械褉屑懈薪褗褌 <i>%s</i>.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ca-valencia/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ca-valencia/intro.txt
new file mode 100644
index 000000000..fed2cb9cc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ca-valencia/intro.txt
@@ -0,0 +1,4 @@
+====== Gestor de reversions ======
+
+Esta p脿gina ajuda en la reversi贸 autom脿tica d'atacs de spam. Per a
+trobar una llista de p脿gines que tinguen spam introdu茂xca una cadena de busca (p. e. una URL de spam), confirme que les p脿gines trobades tenen realment spam i revertixca les edicions.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ca-valencia/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ca-valencia/lang.php
new file mode 100644
index 000000000..77dd5808e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ca-valencia/lang.php
@@ -0,0 +1,15 @@
+<?php
+/**
+ * valencian language file
+ * @author Bernat Arlandis <berarma@ya.com>
+ * @author Bernat Arlandis <berarma@llenguaitecnologia.com>
+ */
+$lang['menu'] = 'Gestor de reversions';
+$lang['filter'] = 'Buscar p脿gines en spam';
+$lang['revert'] = 'Revertir p脿gines seleccionades';
+$lang['reverted'] = '%s revertides a la versi贸 %s';
+$lang['removed'] = '%s llevades';
+$lang['revstart'] = 'El proc茅s de reversi贸 ha comen莽at. A莽貌 pot dur prou de temps. Si es talla abans d\'acabar, haur脿 de revertir per parts.';
+$lang['revstop'] = 'El proc茅s de reversi贸 ha finalisat correctament.';
+$lang['note1'] = 'Nota: esta busca 茅s sensible a may煤scules';
+$lang['note2'] = 'Nota: esta p脿gina es revertir脿 a l\'煤ltima versi贸 que no continga el spam definit pel terme <i>%s</i>.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ca/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ca/intro.txt
new file mode 100644
index 000000000..0af2e8e6d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ca/intro.txt
@@ -0,0 +1,3 @@
+====== Gesti贸 de reversions ======
+
+Aquesta p脿gina us ajuda a revertir autom脿ticament els canvis que siguin producte d'un atac amb brossa. Per trobar la llista de p脿gines atacades, cerqueu una cadena adequada (p. ex. un URL de propaganda), confirmeu que les p脿gines trobades contenen realment brossa i llavors revertiu-les a revisions anteriors. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ca/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ca/lang.php
new file mode 100644
index 000000000..b8800911f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ca/lang.php
@@ -0,0 +1,18 @@
+<?php
+/**
+ * Catalan language file
+ *
+ * @author Carles Bellver <carles.bellver@gmail.com>
+ * @author carles.bellver@gmail.com
+ * @author carles.bellver@cent.uji.es
+ * @author Carles Bellver <carles.bellver@cent.uji.es>
+ */
+$lang['menu'] = 'Gesti贸 de reversions';
+$lang['filter'] = 'Cerca p脿gines brossa';
+$lang['revert'] = 'Reverteix les p脿gines seleccionades';
+$lang['reverted'] = 'S\'ha revertit %s a la revisi贸 %s';
+$lang['removed'] = 'S\'ha suprimit %s';
+$lang['revstart'] = 'S\'ha iniciat el proc茅s de reversi贸. Aix貌 pot trigar una bona estona. Si s\'excedeix el temps d\'espera m脿xim del servidor, haureu de tornar a intentar-ho per parts.';
+$lang['revstop'] = 'El proc茅s de reversi贸 ha acabat amb 猫xit.';
+$lang['note1'] = 'Nota: aquesta cerca distingeix entre maj煤scules i min煤scules.';
+$lang['note2'] = 'Nota: la p脿gina es revertir脿 a la darrera versi贸 que no contingui el terme brossa especificat <em>%s</em>.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/cs/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/cs/intro.txt
new file mode 100644
index 000000000..bbc0df25a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/cs/intro.txt
@@ -0,0 +1,3 @@
+====== Obnova zaspamovan媒ch str谩nek ======
+
+Tato str谩nka pom暖啪e p艡i automatick茅 obnov臎 po spamov茅m 煤toku. Pro nalezen铆 seznamu zaspamovan媒ch str谩nek ned艡铆v zadejte hledan媒 v媒raz (nap艡. spamov茅 URL) a pak potvr膹te, 啪e nalezen茅 str谩nky opravdu obsahuj铆 spam a mohou b媒t obnoveny.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/cs/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/cs/lang.php
new file mode 100644
index 000000000..cdfe0e96c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/cs/lang.php
@@ -0,0 +1,22 @@
+<?php
+/**
+ * Czech language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ *
+ * @author Bohumir Zamecnik <bohumir@zamecnik.org>
+ * @author Zbynek Krivka <zbynek.krivka@seznam.cz>
+ * @author tomas@valenta.cz
+ */
+$lang['menu'] = 'Obnova zaspamovan媒ch str谩nek';
+$lang['filter'] = 'Hledat zaspamovan茅 str谩nky';
+$lang['revert'] = 'Obnovit vybran茅 str谩nky';
+$lang['reverted'] = '%s vr谩cena do verze %s';
+$lang['removed'] = '%s odstran臎na';
+$lang['revstart'] = 'Obnova str谩nek za膷ala. Tento proces m暖啪e trvat dlouho. Pokud
+skriptu vypr拧铆 膷as, budete muset obnovovat po men拧铆ch bloc铆ch
+str谩nek.';
+$lang['revstop'] = 'Proces obnovy str谩nek byl 煤sp臎拧n臎 dokon膷en.';
+$lang['note1'] = 'Pozn谩mka: toto vyhled谩v谩n铆 je citliv茅 na velikost p铆smen';
+$lang['note2'] = 'Pozn谩mka: tato str谩nka bude obnovena na posledn铆 verze, kter谩
+neobsahovala dan茅 spamov茅 slovo <i>%s</i>.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/da/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/da/intro.txt
new file mode 100644
index 000000000..fdb0c5f32
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/da/intro.txt
@@ -0,0 +1,3 @@
+===== Gendannelsesstyring =====
+
+Denne side hj忙lper dig med at gendanne sider efter et angreb af u酶nskede indl忙g. For at finde en liste af sider, der muligvis er blevet 忙ndret, s氓 skriv en s酶gestreng (for eksempel. en u酶nsket netadresse) og bekr忙ft, at de fundne sider virkeligt er u酶nskede og gendan 忙ndringerne. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/da/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/da/lang.php
new file mode 100644
index 000000000..97e15f361
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/da/lang.php
@@ -0,0 +1,19 @@
+<?php
+/**
+ * Danish language file
+ *
+ * @author Kalle Sommer Nielsen <kalle@php.net>
+ * @author Esben Laursen <hyber@hyber.dk>
+ * @author Harith <haj@berlingske.dk>
+ * @author Daniel Ejsing-Duun <dokuwiki@zilvador.dk>
+ * @author Erik Bj酶rn Pedersen <erik.pedersen@shaw.ca>
+ */
+$lang['menu'] = 'Gendannelsesstyring';
+$lang['filter'] = 'S酶g efter u酶nskede sider';
+$lang['revert'] = 'Gendan valgte sider';
+$lang['reverted'] = '%s gendannet til 忙ndring %s';
+$lang['removed'] = '%s fjernet';
+$lang['revstart'] = 'Gendannelsesforl酶bet er startet. Dette kan tage et stykke tid. Hvis kodefilen giver "time out" f酶r processen f忙rdigg酶res, skal du gendanne i mindre dele.';
+$lang['revstop'] = 'Gendannelsesforl酶bet fuldf酶rt uden fejl';
+$lang['note1'] = 'Bem忙rk: Der er forskel p氓 store og sm氓 bogstaver i s酶gningen';
+$lang['note2'] = 'Bem忙rk: Denne side vil blive gendannet til den seneste udgave, der ikke indeholder det givne u酶nskede udtryk <i>%s</i>.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/de-informal/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/de-informal/lang.php
new file mode 100644
index 000000000..fd6e81c8a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/de-informal/lang.php
@@ -0,0 +1,14 @@
+<?php
+/**
+ * German (informal) language file
+ *
+ * @author Alexander Fischer <tbanus@os-forge.net>
+ * @author Juergen Schwarzer <jschwarzer@freenet.de>
+ */
+$lang['menu'] = 'Zur眉ckstellungsmanager';
+$lang['revert'] = 'Stelle ausgew盲hlte Seiten zur眉ck.';
+$lang['removed'] = '%s entfernt';
+$lang['revstart'] = 'Zur眉ckstellungsprozess gestartet. Dies kann eine l盲ngere Zeit dauern. Wenn das Skript vor Fertigstellung stopt, sollten Sie es in kleineren St眉cken versuchen.';
+$lang['revstop'] = 'Zur眉ckstellungsprozess erfolgreich beendet.';
+$lang['note1'] = 'Beachte: Diese Suche ber眉cksichtigt Gross- und Kleinschreibung';
+$lang['note2'] = 'Beachte: Diese Seite wid zur眉ckgestellt auf die letzte Version, die nicht den Spam-Ausdruck <i>%s</i> enth盲lt.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/de/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/de/intro.txt
new file mode 100644
index 000000000..d5a092155
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/de/intro.txt
@@ -0,0 +1,3 @@
+====== Seiten wieder herstellen ======
+
+Dieses Plugin dient der automatischen Wiederherstellung von Seiten nach einem Spam-Angriff. Geben Sie zun盲chst einen Suchbegriff (z.B. eine Spam URL) ein um eine Liste betroffener Seiten zu erhalten. Nachdem Sie sich vergewissert haben, dass die gefundenen Seiten wirklich Spam enthalten, k枚nnen Sie die Seiten wieder herstellen.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/de/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/de/lang.php
new file mode 100644
index 000000000..d26413ac1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/de/lang.php
@@ -0,0 +1,23 @@
+<?php
+/**
+ * Germanlanguage file
+ *
+ * @author Michael Klier <chi@chimeric.de>
+ * @author Leo Moll <leo@yeasoft.com>
+ * @author Florian Anderiasch <fa@art-core.org>
+ * @author Robin Kluth <commi1993@gmail.com>
+ * @author Arne Pelka <mail@arnepelka.de>
+ * @author Andreas Gohr <andi@splitbrain.org>
+ * @author Dirk Einecke <dirk@dirkeinecke.de>
+ * @author Blitzi94@gmx.de
+ * @author Robert Bogenschneider <robog@GMX.de>
+ */
+$lang['menu'] = 'Seiten wieder herstellen';
+$lang['filter'] = 'Nach betroffenen Seiten suchen';
+$lang['revert'] = 'Ausgew盲hlte Seiten wieder herstellen';
+$lang['reverted'] = '%s wieder hergestellt zu Version %s';
+$lang['removed'] = '%s entfernt';
+$lang['revstart'] = 'Wiederherstellung gestartet. Dies kann einige Zeit dauern. Wenn das Script abbricht, bevor alle Seiten wieder hergestellt wurden, reduzieren Sie die Anzahl der Seiten und wiederholen Sie den Vorgang.';
+$lang['revstop'] = 'Wiederherstellung erfolgreich abgeschlossen.';
+$lang['note1'] = 'Anmerkung: diese Suche unterscheidet Gro脽- und Kleinschreibung';
+$lang['note2'] = 'Anmerkung: die Seite wird zur letzten Version, die nicht den angegebenen Spam Begriff <i>%s</i> enth盲lt, wieder hergestellt.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/el/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/el/intro.txt
new file mode 100644
index 000000000..9b583bc6b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/el/intro.txt
@@ -0,0 +1,3 @@
+====== 螒蟺慰魏伪蟿维蟽蟿伪蟽畏 魏伪魏蠈尾慰蠀位蠅谓 伪位位伪纬蠋谓 蟽蔚位委未蠅谓 ======
+
+螒蠀蟿萎 畏 蟽蔚位委未伪 蟽伪蟼 尾慰畏胃维 谓伪 伪蟺慰魏伪蟿伪蟽蟿萎蟽蔚蟿蔚 伪蠀蟿蠈渭伪蟿伪 蟿喂蟼 魏伪魏蠈尾慰蠀位蔚蟼 伪位位伪纬苇蟼 蟽蔚位委未蠅谓 蟺慰蠀 蟺蟻慰魏伪位慰蠉谓 慰喂 蔚蟺喂胃苇蟽蔚喂蟼 spam. 螕喂伪 谓伪 尾蟻蔚委蟿蔚 蟿喂蟼 蟽蔚位委未蔚蟼 蟺慰蠀 蟺蟻苇蟺蔚喂 谓伪 蟿蟻慰蟺慰蟺慰喂畏胃慰蠉谓, 蟺蟻蠋蟿伪 未蠋蟽蟿蔚 苇谓伪谓 蠈蟻慰 伪谓伪味萎蟿畏蟽畏蟼 (蟺.蠂. 苇谓伪谓 蟽蠉谓未蔚蟽渭慰 spam) 魏伪喂 苇蟺蔚喂蟿伪 蔚蟺喂尾蔚尾伪喂蠋蟽蟿蔚 蠈蟿喂 慰喂 蟽蔚位委未蔚蟼 蟺慰蠀 胃伪 尾蟻蔚胃慰蠉谓 蠈谓蟿蠅蟼 蟺蔚蟻喂苇蠂慰蠀谓 spam 魏伪喂 蟺蟻慰蠂蠅蟻萎蟽蟿蔚 蟽蟿畏谓 伪蟺慰魏伪蟿维蟽蟿伪蟽萎 蟿慰蠀蟼.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/el/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/el/lang.php
new file mode 100644
index 000000000..7b6fde803
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/el/lang.php
@@ -0,0 +1,20 @@
+<?php
+/**
+ * Greek language file
+ *
+ * Based on DokuWiki Version rc2007-05-24 english language file
+ * Original english language file contents included for reference
+ *
+ * @author Thanos Massias <tm@thriasio.gr>
+ * @author 螒胃伪谓维蟽喂慰蟼 螡蟿伪萎蟼 <homunculus@wana.gr>
+ * @author Konstantinos Koryllos <koryllos@gmail.com>
+ */
+$lang['menu'] = '螒蟺慰魏伪蟿维蟽蟿伪蟽畏 魏伪魏蠈尾慰蠀位蠅谓 伪位位伪纬蠋谓 蟽蔚位委未蠅谓';
+$lang['filter'] = '螒谓伪味萎蟿畏蟽畏 蟽蔚位委未蠅谓 蟺慰蠀 蟺蔚蟻喂苇蠂慰蠀谓 spam';
+$lang['revert'] = '螘蟺伪谓伪蠁慰蟻维 蟺伪位伪喂蠈蟿蔚蟻蠅谓 蔚魏未蠈蟽蔚蠅谓 蟿蠅谓 蔚蟺喂位蔚纬渭苇谓蠅谓 蟽蔚位委未蠅谓';
+$lang['reverted'] = '螚 蟽蔚位委未伪 %s 蔚蟺蔚谓伪蠁苇蟻胃畏魏蔚 蟽蟿畏谓 苇魏未慰蟽畏 %s';
+$lang['removed'] = '螚 蟽蔚位委未伪 %s 未喂伪纬蟻维蠁畏魏蔚';
+$lang['revstart'] = '螚 未喂伪未喂魏伪蟽委伪 伪蟺慰魏伪蟿维蟽蟿伪蟽畏蟼 维蟻蠂喂蟽蔚. 螒蠀蟿蠈 委蟽蠅蟼 蟺维蟻蔚喂 伪蟻魏蔚蟿蠈 蠂蟻蠈谓慰. 螘维谓 畏 蔚蠁伪蟻渭慰纬萎 蠀蟺蔚蟻尾蔚委 蟿慰 未喂伪胃苇蟽喂渭慰 蠂蟻慰谓喂魏蠈 蠈蟻喂慰 魏伪喂 蟿蔚蟻渭伪蟿喂蟽蟿蔚委 蟺蟻喂谓 蟿蔚位蔚喂蠋蟽蔚喂, 胃伪 蠂蟻蔚喂伪蟽蟿蔚委 谓伪 蔚蟺伪谓伪位维尾蔚蟿蔚 伪蠀蟿萎 蟿畏 未喂伪未喂魏伪蟽委伪 纬喂伪 渭喂魏蟻蠈蟿蔚蟻伪 蟿渭萎渭伪蟿伪.';
+$lang['revstop'] = '螚 未喂伪未喂魏伪蟽委伪 伪蟺慰魏伪蟿维蟽蟿伪蟽畏蟼 慰位慰魏位畏蟻蠋胃畏魏蔚 渭蔚 蔚蟺喂蟿蠀蠂委伪.';
+$lang['note1'] = '<br />危畏渭蔚委蠅蟽畏: 畏 伪谓伪味萎蟿畏蟽畏 蔚蟺畏蟻蔚维味蔚蟿伪喂 伪蟺蠈 蟿慰 蔚维谓 慰喂 蠂伪蟻伪魏蟿萎蟻蔚蟼 蔚委谓伪喂 蟺蔚味慰委 萎 魏蔚蠁伪位伪委慰喂';
+$lang['note2'] = '<br />危畏渭蔚委蠅蟽畏: 畏 蟽蔚位委未伪 胃伪 蔚蟺伪谓伪蠁蔚蟻胃蔚委 蟽蟿畏谓 蟺位苇慰谓 蟺蟻蠈蟽蠁伪蟿畏 苇魏未慰蟽畏 蟺慰蠀 未蔚谓 蟺蔚蟻喂苇蠂蔚喂 蟿慰谓 蠈蟻慰 <i>%s</i>.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/en/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/en/intro.txt
new file mode 100644
index 000000000..b8f355854
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/en/intro.txt
@@ -0,0 +1,3 @@
+====== Revert Manager ======
+
+This page helps you with the automatic reversion of a spam attack. To find a list of spammy pages first enter a search string (eg. a spam URL), then confirm that the found pages are really spam and revert the edits.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/en/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/en/lang.php
new file mode 100644
index 000000000..a2002f1a7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/en/lang.php
@@ -0,0 +1,23 @@
+<?php
+/**
+ * english language file
+ */
+
+// for admin plugins, the menu prompt to be displayed in the admin menu
+// if set here, the plugin doesn't need to override the getMenuText() method
+$lang['menu'] = 'Revert Manager';
+
+// custom language strings for the plugin
+
+$lang['filter'] = 'Search spammy pages';
+$lang['revert'] = 'Revert selected pages';
+$lang['reverted'] = '%s reverted to revision %s';
+$lang['removed'] = '%s removed';
+$lang['revstart'] = 'Reversion process started. This can take a long time. If the
+ script times out before finishing, you need to revert in smaller
+ chunks.';
+$lang['revstop'] = 'Reversion process finished successfully.';
+$lang['note1'] = 'Note: this search is case sensitive';
+$lang['note2'] = 'Note: the page will be reverted to the last version not containing the given spam term <i>%s</i>.';
+
+//Setup VIM: ex: et ts=4 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/eo/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/eo/intro.txt
new file mode 100644
index 000000000..14e831467
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/eo/intro.txt
@@ -0,0 +1,3 @@
+====== Administro de Restarigo ======
+
+Tiu 膲i pa臐o helpas vin pri a怒tomata restarigo el spama atako. Por trovi liston de spamecaj pa臐oj, unue mendu ser膲an liter-膲enon (ekz. spama URL), do konfirmu, ke la trovitaj pa臐oj fakte estas spamaj kaj restarigu la anta怒ajn versiojn bonajn.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/eo/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/eo/lang.php
new file mode 100644
index 000000000..7ed2a4777
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/eo/lang.php
@@ -0,0 +1,22 @@
+<?php
+/**
+ * Esperantolanguage file
+ *
+ * @author Felipe Castro <fefcas@uol.com.br>
+ * @author Felipe Castro <fefcas@gmail.com>
+ * @author Felipe Castro <fefcas (cxe) gmail (punkto) com>
+ * @author Felipo Kastro <fefcas@gmail.com>
+ * @author Robert Bogenschneider <robog@gmx.de>
+ * @author Erik Pedersen <erik pedersen@shaw.ca>
+ * @author Erik Pedersen <erik.pedersen@shaw.ca>
+ * @author Robert Bogenschneider <robog@GMX.de>
+ */
+$lang['menu'] = 'Administrado de restarigo';
+$lang['filter'] = 'Ser膲i spamecajn pa臐ojn';
+$lang['revert'] = 'Restarigi la elektitajn pa臐ojn';
+$lang['reverted'] = '%s estas restarigita al revizio %s';
+$lang['removed'] = '%s estas forigita';
+$lang['revstart'] = 'Restariga procezo estas ekigita. Tio povas da怒ri longan tempon. Se la skripto tro prokrastos anta怒 plenumo, vi bezonos restarigi po pli etaj blokoj.';
+$lang['revstop'] = 'Restariga procezo plenumi臐is sukcese.';
+$lang['note1'] = 'Rimarko: tiu 膲i ser膲o distingas usklecon';
+$lang['note2'] = 'Rimarko: la pa臐o restari臐os al la lasta versio ne enhavanta la menditan spaman terminon <i>%s</i>.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/es/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/es/intro.txt
new file mode 100644
index 000000000..39c5b047d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/es/intro.txt
@@ -0,0 +1,3 @@
+====== Restaurador ======
+
+Esta p谩gina te ayuda con la restauraci贸n de ataques spam. Para encontrar una lista de p谩ginas con spam introduce una cadena , luego confirma que las p谩ginas encontradas son realmente un spam y restaura la edici贸n. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/es/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/es/lang.php
new file mode 100644
index 000000000..2886528d6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/es/lang.php
@@ -0,0 +1,27 @@
+<?php
+/**
+ * Spanish language file
+ *
+ * @author Oscar M. Lage <r0sk10@gmail.com>
+ * @author Gabriel Castillo <gch@pumas.ii.unam.mx>
+ * @author oliver@samera.com.py
+ * @author Enrico Nicoletto <liverig@gmail.com>
+ * @author Manuel Meco <manuel.meco@gmail.com>
+ * @author VictorCastelan <victorcastelan@gmail.com>
+ * @author Jordan Mero hack.jord@gmail.com
+ * @author Felipe Martinez <metalmartinez@gmail.com>
+ * @author Javier Aranda <internet@javierav.com>
+ * @author Zerial <fernando@zerial.org>
+ * @author Marvin Ortega <maty1206@maryanlinux.com>
+ * @author Daniel Castro Alvarado <dancas2@gmail.com>
+ * @author Fernando J. G贸mez <fjgomez@gmail.com>
+ */
+$lang['menu'] = 'Restaurador';
+$lang['filter'] = 'Buscar p谩ginas con spam';
+$lang['revert'] = 'Restaurar las p谩ginas seleccionadas';
+$lang['reverted'] = '%s ha restaurado la revisi贸n %s';
+$lang['removed'] = '%s borrado';
+$lang['revstart'] = 'El proceso de restaurado ha comenzado. Puede llevar bastante tiempo. Si el script se para antes de acabar, deber铆as restaurar cadenas m谩s peque帽as.';
+$lang['revstop'] = 'El proceso de restaurado ha finalizado satisfactoriamente.';
+$lang['note1'] = 'Nota: la b煤squeda diferencia entre may煤sculas y min煤sculas.';
+$lang['note2'] = 'Nota: la p谩gina ser谩 restaurada a la 煤ltima versi贸n que no tenga el t茅rmino de spam dado <em>%s</em>.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/eu/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/eu/intro.txt
new file mode 100644
index 000000000..c5a5a5afa
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/eu/intro.txt
@@ -0,0 +1,3 @@
+====== Berrezartze Kudeatzailea ======
+
+Orri honek spam eraso baten berrezartze automatikoarekin laguntzen dizu. Spam-a duten orriak bilatzeko, lehenik sartu bilaketa katea (adb. spam URL bat), eta ondoren baieztatu bilatutako orriak benetan spam-a dutela, gero aldaketak berrezartzeko.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/eu/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/eu/lang.php
new file mode 100644
index 000000000..e94f07b2a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/eu/lang.php
@@ -0,0 +1,17 @@
+<?php
+/**
+ * Basque language file
+ *
+ * @author Inko Illarramendi <inko.i.a@gmail.com>
+ */
+$lang['menu'] = 'Berrezartze Kudeatzailea';
+$lang['filter'] = 'Bilatu spam duten orriak';
+$lang['revert'] = 'Berrezarri aukeratutako orriak';
+$lang['reverted'] = '%s berrezarria %s berrikuspenera';
+$lang['removed'] = '%s ezabatua';
+$lang['revstart'] = 'Berrezartze prozesua hasi da. Honek denbora luzea eraman dezake.
+Script-a denbora mugara iristen bada, zati txikiagotan berrezarri
+beharko duzu. ';
+$lang['revstop'] = 'Berrezartze prozesua arrakastaz bukatu da.';
+$lang['note1'] = 'Oharra: bilaketa honek maiuskulak eta minuskulak bereizten ditu';
+$lang['note2'] = 'Oharra: orria azken bertsiora berrezarriko da, emandako <i>%s</i> spam terminorik ez duelarik.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/fa/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/fa/intro.txt
new file mode 100644
index 000000000..0ccdb089a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/fa/intro.txt
@@ -0,0 +1,3 @@
+====== 賲丿蹖乇蹖鬲 亘乇诏卮鬲鈥屬囏 ======
+
+丕蹖賳 氐賮丨賴貙 丿乇 亘丕夭蹖丕亘蹖 氐賮丨丕鬲蹖 讴賴 亘賴 丌賳鈥屬囏 丕爻倬賲 丕乇爻丕賱 卮丿賴 丕爻鬲 讴賲讴 賲蹖鈥屫必池з嗀. 亘乇丕蹖 賲卮丕賴丿賴鈥屰 氐賮丨丕鬲 丕爻倬賲 卮丿賴貙 丕亘鬲丿丕 噩爻鬲噩賵 讴賳蹖丿貙 爻倬爻 丕夭 丕爻倬賲 卮丿賳 氐賮丨賴鈥屰 賲賵乇丿 賳馗乇 丕胤賲蹖賳丕賳 丨丕氐賱 讴賳蹖丿 賵 鬲睾蹖蹖乇丕鬲 丕毓賲丕賱 卮丿賴 乇丕 亘乇诏乇丿丕賳蹖丿. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/fa/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/fa/lang.php
new file mode 100644
index 000000000..02d2aabd2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/fa/lang.php
@@ -0,0 +1,19 @@
+<?php
+/**
+ * Persian language file
+ *
+ * @author behrad eslamifar <behrad_es@yahoo.com)
+ * @author Mohsen Firoozmandan <info@mambolearn.com>
+ * @author omidmr@gmail.com
+ * @author Omid Mottaghi <omidmr@gmail.com>
+ * @author Mohammad Reza Shoaei <shoaei@gmail.com>
+ */
+$lang['menu'] = '賲丿蹖乇蹖鬲 亘乇诏卮鬲鈥屬囏';
+$lang['filter'] = '噩爻鬲噩賵蹖 氐賮丨丕鬲 丕爻倬賲 卮丿賴';
+$lang['revert'] = '亘丕夭诏乇丿丕賳蹖 氐賮丨丕鬲 丕賳鬲禺丕亘 卮丿賴';
+$lang['reverted'] = '%s 亘賴 賳诏丕乇卮 %s 亘丕夭诏乇丿丕賳丿賴 卮丿';
+$lang['removed'] = '%s 丨匕賮 卮丿';
+$lang['revstart'] = '丿乇 丨丕賱 亘丕夭诏乇丿丕賳丿賳. 賲賲讴賳 丕爻鬲 賲丿鬲蹖 夭賲丕賳 亘亘乇丿. 丕诏乇 丕噩乇丕蹖 亘乇賳丕賲賴貙 倬蹖卮 丕夭 丕鬲賲丕賲 亘賴 倬丕蹖丕賳 乇爻蹖丿貙 亘丕蹖丿 丿乇 亘禺卮鈥屬囏й 讴賵趩讴鈥屫臂 亘丕夭诏乇丿丕賳蹖 乇丕 丕賳噩丕賲 丿賴蹖丿.';
+$lang['revstop'] = '亘丕夭诏乇丿丕賳丿賳 亘丕 賲賵賮賯蹖鬲 亘賴 倬丕蹖丕賳 乇爻蹖丿.';
+$lang['note1'] = '鬲賵噩賴: 噩爻鬲噩賵 丨爻丕爻 亘賴 丨乇賵賮 讴賵趩讴 賵 亘夭乇诏 丕爻鬲';
+$lang['note2'] = '鬲賵噩賴: 氐賮丨賴 亘賴 丌禺乇蹖賳 賳爻禺賴鈥屫й 讴賴 丨丕賵蹖 丕爻倬賲 <i>%s</i> 賳蹖爻鬲 亘丕夭诏乇丿丕賳丿賴 禺賵丕賴丿 卮丿.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/fi/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/fi/intro.txt
new file mode 100644
index 000000000..3b3ce5daa
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/fi/intro.txt
@@ -0,0 +1,3 @@
+====== Palautuksenhallinta ======
+
+T盲m盲 sivu auttaa sinua automaattisen palautuksenhallinnan kanssa spam hy枚kk盲yksen j盲lkeen. L枚yt盲盲ksesi listan spammatyist盲 sivuista anna ensin hakusana (esim. spm URL), sen j盲lkeen varmista, ett盲 l枚ytyneet sivut todella ovat spammia ja palauta sitten sivut.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/fi/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/fi/lang.php
new file mode 100644
index 000000000..26bc6b76a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/fi/lang.php
@@ -0,0 +1,17 @@
+<?php
+/**
+ * Finnish language file
+ *
+ * @author otto@valjakko.net
+ * @author Otto Vainio <otto@valjakko.net>
+ * @author Teemu Mattila <ghcsystems@gmail.com>
+ */
+$lang['menu'] = 'Palautuksenhallinta';
+$lang['filter'] = 'Etsi spammattyj盲 sivuja';
+$lang['revert'] = 'Palauta valitut sivut';
+$lang['reverted'] = '%s palautettu versioon %s';
+$lang['removed'] = '%s poistettu';
+$lang['revstart'] = 'Palautusprosessi k盲ynnistetty. T盲m盲 voi vied盲 pidemm盲n aikaa. Jos ajo katkeaa aikakatkaisuun ennen loppua, niin sinun pit盲盲 palauttaa pienemmiss盲 osissa.';
+$lang['revstop'] = 'Palautusprosessi lopetti onnistuneesti.';
+$lang['note1'] = 'Huomioi: t盲m盲 haku on kirjainkoosta riippuva';
+$lang['note2'] = 'Huomioi: t盲m盲 sivu palautetaan viimeiseen versioon, jossa ei ole annettua spamtermi盲 <i>%s</i>';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/fr/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/fr/intro.txt
new file mode 100644
index 000000000..db61ac9cf
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/fr/intro.txt
@@ -0,0 +1,3 @@
+====== Gestion des r茅versions ======
+
+Cette page peut vous aider 脿 restaurer des pages apr猫s une attaque de spam. Pour trouver la liste des pages victimes des spammeurs, entrez un motif de recherche (tel qu'une URL de spam), puis confirmez que les pages trouv茅es contiennent du spam et annulez leurs 茅ditions.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/fr/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/fr/lang.php
new file mode 100644
index 000000000..8d17280d4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/fr/lang.php
@@ -0,0 +1,22 @@
+<?php
+/**
+ * french language file
+ * @author Delassaux Julien <julien@delassaux.fr>
+ * @author Maurice A. LeBlanc <leblancma@cooptel.qc.ca>
+ * @author Guy Brand <gb@isis.u-strasbg.fr>
+ * @author gb@isis.u-strasbg.fr
+ * @author stephane.gully@gmail.com
+ * @author Guillaume Turri <guillaume.turri@gmail.com>
+ * @author Erik Pedersen <erik.pedersen@shaw.ca>
+ * @author olivier duperray <duperray.olivier@laposte.net>
+ * @author Vincent Feltz <psycho@feltzv.fr>
+ */
+$lang['menu'] = 'Gestionnaire de r茅versions';
+$lang['filter'] = 'Trouver les pages spamm茅es ';
+$lang['revert'] = 'Annuler les 茅ditions s茅lectionn茅es';
+$lang['reverted'] = '%s restaur茅 脿 la r茅vision %s';
+$lang['removed'] = '%s supprim茅';
+$lang['revstart'] = 'Processus de r茅version d茅marr茅. Ceci peut prendre longtemps. Si le script d茅passe le d茅lai avant de terminer, vous devrez restaurer de plus petits groupes de pages.';
+$lang['revstop'] = 'Processus de r茅version termin茅 avec succ猫s.';
+$lang['note1'] = 'Note : cette recherche est insensible 脿 la casse';
+$lang['note2'] = 'Note: cette page sera r茅vis茅e 脿 la version pr茅c茅dente ne contenant pas le terme spammeur <i>%s</i>.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/gl/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/gl/intro.txt
new file mode 100644
index 000000000..81e98f1d5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/gl/intro.txt
@@ -0,0 +1,3 @@
+====== Xestor de recuperaci贸n ======
+
+Esta p谩xina axudarao a se recuperar automaticamente dun ataque de spam. Para encontrar unha listaxe de p谩xinas que conte帽an spam, primeiro debe inserir unha cadea de procura (por ex. un URL de spam) e despois confirmar que as p谩xinas encontradas conte帽en realmente spam, antes de reverter as edici贸ns.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/gl/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/gl/lang.php
new file mode 100644
index 000000000..33472090d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/gl/lang.php
@@ -0,0 +1,17 @@
+<?php
+/**
+ * Galicianlanguage file
+ *
+ * @author CiberIrmandade da Fala <infoxeral@ciberirmandade.org>
+ * @author Tagen Ata <localizacion@tagenata.com>
+ * @author Leandro Regueiro <leandro.regueiro@gmail.com>
+ */
+$lang['menu'] = 'Xestor de recuperaci贸n';
+$lang['filter'] = 'Procurar p谩xinas con spam';
+$lang['revert'] = 'Recuperar as p谩xinas seleccionadas';
+$lang['reverted'] = '%s foi revertida 谩 revisi贸n %s';
+$lang['removed'] = '%s foi eliminada';
+$lang['revstart'] = 'O proceso de recuperaci贸n foi iniciado. Isto poder铆a demorar un certo tempo. Se o script falla por ter superado o seu l铆mite de tempo antes de rematar, ter谩 que efectuar a recuperaci贸n sobre fragmentos m谩is pequenos.';
+$lang['revstop'] = 'O proceso de recuperaci贸n rematou correctamente.';
+$lang['note1'] = 'Nota: Esta procura distingue entre mai煤sculas e min煤sculas';
+$lang['note2'] = 'Nota: A p谩xina ser谩 revertida 谩 煤ltima versi贸n que non conte帽a o termo de spam <i>%s</i> indicado.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/he/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/he/intro.txt
new file mode 100644
index 000000000..44b78dfea
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/he/intro.txt
@@ -0,0 +1,3 @@
+====== 诪谞讛诇 讛砖讞讝讜专 ======
+
+讚祝 讝讛 讬住讬注 讘讬讚讱 诇砖讞讝专 讘讗讜驻谉 讗讜讟讜诪讟讬 讗讞专讬 讛转拽驻转 住驻讗诐. 讻讚讬 诇拽讘诇 讗转 专砖讬诪转 讛讚驻讬诐 注诐 讛住驻讗诐 注诇讬讱 专讗砖讬转 诪讞专讜讝转 诇讞讬驻讜砖 (诇讚讜讙诪讛 讻转讜讘转 住驻讗诐) 讗讞专 讻讱 注诇讬讱 诇讗砖专 砖讛讚驻讬诐 砖谞诪爪讗讜 讘讗诪转 诪讻讬诇讬诐 住驻讗诐 讜诇砖讞讝专 讗转 讛注专讬讻讜转.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/he/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/he/lang.php
new file mode 100644
index 000000000..e09ffeaab
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/he/lang.php
@@ -0,0 +1,16 @@
+<?php
+/**
+ * Hebrew language file
+ *
+ * @author Dotan Kamber <kamberd@yahoo.com>
+ * @author Moshe Kaplan <mokplan@gmail.com>
+ */
+$lang['menu'] = '诪谞讛诇 砖讞讝讜专';
+$lang['filter'] = '讞驻砖 讚驻讬诐 注诐 住驻讗诐';
+$lang['revert'] = '砖讞讝专 讗转 讛讚驻讬诐 讛谞讘讞专讬诐';
+$lang['reverted'] = '%s 砖讜讞讝专讜 诇讙专住讛 %s';
+$lang['removed'] = '%s 讛讜住专讜';
+$lang['revstart'] = '转讛诇讬讱 讛砖讞讝讜专 讛讞诇. 讛转讛诇讬讱 注诇讜诇 诇讛讬讜转 诪诪讜砖讱. 讗诐 转住专讬讟 诪讙讬注 诇诪讙讘诇转 驻住拽 讛讝诪谉 诇驻谞讬 砖讛住转讬讬诐 讛转讛诇讬讱 讬讛讬讛 爪讜专讱 诇讘爪注 讗转 讛砖讞讝讜专 讘诪拽讟注讬诐 拽讟谞讬诐 讬讜转专.';
+$lang['revstop'] = '转讛诇讬讱 讛砖讞讝讜专 讛讜砖诇诐 讘讛爪诇讞讛.';
+$lang['note1'] = '诇转砖讜诪转 诇讘讱: 讛讞讬驻讜砖 注专 诇讙讜讚诇 讛讗讜转讬讜转 讛诇讜注讝讬讜转.';
+$lang['note2'] = '诇转砖讜转 诇讘讱: 讛讚祝 讬砖讜讞讝专 诇讙专住讛 讛讗讞专讜谞讛 砖讗讬谞讛 诪讻讬诇讛 讗转 诪讜谞讞 讛住驻讗诐 <i>%s</i>';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/hi/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/hi/lang.php
new file mode 100644
index 000000000..d8630a281
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/hi/lang.php
@@ -0,0 +1,6 @@
+<?php
+/**
+ * Hindi language file
+ *
+ * @author Abhinav Tyagi <abhinavtyagi11@gmail.com>
+ */
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/hr/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/hr/lang.php
new file mode 100644
index 000000000..d2094b77b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/hr/lang.php
@@ -0,0 +1,7 @@
+<?php
+/**
+ * Croatian language file
+ *
+ * @author Branko Rihtman <theney@gmail.com>
+ * @author Dra啪en Odoba拧i膰 <dodobasic@gmail.com>
+ */
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/hu/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/hu/intro.txt
new file mode 100644
index 000000000..e2c2dadd9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/hu/intro.txt
@@ -0,0 +1,3 @@
+====== Vissza谩ll铆t谩s kezel艖 ======
+
+Seg铆ts茅get ny煤jtunk SPAM t谩mad谩sok ut谩ni automatikus vissza谩ll铆t谩shoz. A fert艖z枚tt oldalak keres茅s茅hez meg kell adni egy karaktersorozatot (pl. egy SPAM URL-t). A tal谩latok k枚z眉l kiv谩lasztva a val贸ban SPAM-et tartalmaz贸 oldakat, vissza谩ll铆tjuk 艖ket a lehets茅ges utols贸 SPAM mentes 谩llapotra. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/hu/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/hu/lang.php
new file mode 100644
index 000000000..58f17007d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/hu/lang.php
@@ -0,0 +1,17 @@
+<?php
+/**
+ * Hungarian language file
+ *
+ * @author Sandor TIHANYI <stihanyi+dw@gmail.com>
+ * @author Siaynoq Mage <siaynoqmage@gmail.com>
+ * @author schilling.janos@gmail.com
+ */
+$lang['menu'] = 'Vissza谩ll铆t谩s kezel艖 (anti-SPAM)';
+$lang['filter'] = 'SPAM tartalm煤 oldalak keres茅se';
+$lang['revert'] = 'Kiv谩lasztott oldalak vissza谩ll铆t谩sa';
+$lang['reverted'] = '%s a k枚vetkez艖 v谩ltozatra lett vissza谩ll铆tva: %s';
+$lang['removed'] = '%s t枚r枚lve';
+$lang['revstart'] = 'A vissza谩ll铆t谩si folyamat elindult. Ez hossz煤 ideig eltarthat. Ha id艖t煤ll茅p茅s miatt nem tud lefutni, kisebb darabbal kell pr贸b谩lkozni.';
+$lang['revstop'] = 'A vissza谩ll铆t谩si folyamat sikeresen befejez艖d枚tt.';
+$lang['note1'] = 'Megjegyz茅s: a keres茅s kisbet疟-nagybet疟re 茅rz茅keny';
+$lang['note2'] = 'Megjegyz茅s: Az oldalt az utols贸 olyan v谩ltozatra 谩ll铆tjuk vissza, ami nem tartalmazza a megadott spam kifejez茅st: <i>%s</i>.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/id-ni/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/id-ni/lang.php
new file mode 100644
index 000000000..d367340b7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/id-ni/lang.php
@@ -0,0 +1,7 @@
+<?php
+/**
+ * idni language file
+ *
+ * @author Harefa <fidelis@harefa.com>
+ * @author Yustinus Waruwu <juswaruwu@gmail.com>
+ */
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/id/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/id/lang.php
new file mode 100644
index 000000000..c3d485930
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/id/lang.php
@@ -0,0 +1,7 @@
+<?php
+/**
+ * Indonesian language file
+ *
+ * @author Irwan Butar Butar <irwansah.putra@gmail.com>
+ * @author Yustinus Waruwu <juswaruwu@gmail.com>
+ */
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/is/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/is/lang.php
new file mode 100644
index 000000000..9de404992
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/is/lang.php
@@ -0,0 +1,10 @@
+<?php
+/**
+ * Icelandic language file
+ *
+ * @author Hrannar Baldursson <hrannar.baldursson@gmail.com>
+ * @author 脫lafur Gunnlaugsson <oli@audiotools.com>
+ * @author Erik Bj酶rn Pedersen <erik.pedersen@shaw.ca>
+ */
+$lang['removed'] = '%s eytt';
+$lang['note1'] = 'Athuga冒u a冒 镁egar leita冒 er 镁谩 skiftir stafsetur m谩li, 镁a冒 a冒 segja leitarv茅lin gerir mun 谩 h谩st枚fum og l谩gst枚fum';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/it/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/it/intro.txt
new file mode 100644
index 000000000..1577af7ec
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/it/intro.txt
@@ -0,0 +1,3 @@
+====== Gestore di ripristini ======
+
+Questa pagina aiuta il controllo automatico degli attacchi spam. Per cercare una lista delle pagine con spam, inserisci innanzitutto una stringa di ricerca (ad esempio l'URL di un sito di spam), quindi conferma che le pagine trovate contengono realmente spam e ripristinale ad una versione precedente.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/it/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/it/lang.php
new file mode 100644
index 000000000..0c2b265de
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/it/lang.php
@@ -0,0 +1,20 @@
+<?php
+/**
+ * Italian language file
+ *
+ * @author Pietro Battiston toobaz@email.it
+ * @author Diego Pierotto ita.translations@tiscali.it
+ * @author ita.translations@tiscali.it
+ * @author Lorenzo Breda <lbreda@gmail.com>
+ * @author snarchio@alice.it
+ * @author robocap <robocap1@gmail.com>
+ */
+$lang['menu'] = 'Gestore di ripristini';
+$lang['filter'] = 'Cerca pagine con spam';
+$lang['revert'] = 'Ripristina le pagine selezionate';
+$lang['reverted'] = '%s ripristinata alla versione %s';
+$lang['removed'] = '%s rimossa';
+$lang['revstart'] = 'Processo di ripristino avviato. Pu貌 essere necessario molto tempo. Se lo script non fa in tempo a finire, sar脿 necessario ripristinare in blocchi pi霉 piccoli.';
+$lang['revstop'] = 'Processo di ripristino finito con successo.';
+$lang['note1'] = 'Nota: questa ricerca distingue le maiuscole';
+$lang['note2'] = 'Nota: la pagina verr脿 ripristinata all\'ultima versione non contenente la parola di spam data <i>%s</i>.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ja/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ja/intro.txt
new file mode 100644
index 000000000..995a57fd7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ja/intro.txt
@@ -0,0 +1,3 @@
+====== 寰╁厓绠$悊 ======
+
+銇撱伄銉氥兗銈搞伅銆併偣銉戙儬銇倛銈婄法闆嗐仌銈屻仧銉氥兗銈搞倰鑷嫊鐨勩伀寰╁厓銇欍倠銇熴倎銇鑳姐倰绠$悊銇椼伨銇欍 銈广儜銉犮倰鍙椼亼銇熴儦銉笺偢銈掓绱€仚銈嬨仧銈併併偣銉戙儬URL銇仼銇偔銉笺儻銉笺儔銈掑叆鍔涖仐銇︺亸銇犮仌銇勩 銇濄伄寰屻佹绱㈢祼鏋溿伀鍚伨銈屻仸銇勩倠銉氥兗銈搞亴銈广儜銉犮仌銈屻仸銇勩倠銇撱仺銈掔⒑瑾嶃仐銇︺亱銈夊京鍏冦倰琛屻亜銇俱仚銆
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ja/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ja/lang.php
new file mode 100644
index 000000000..0cd8c6f9b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ja/lang.php
@@ -0,0 +1,17 @@
+<?php
+/**
+ * japanese language file
+ * @author Yuji Takenaka <webmaster@davilin.com>
+ * @author Ikuo Obataya <i.obataya@gmail.com>
+ * @author Daniel Dupriest <kououken@gmail.com>
+ * @author Kazutaka Miyasaka <kazmiya@gmail.com>
+ */
+$lang['menu'] = '寰╁厓绠$悊';
+$lang['filter'] = '銈广儜銉犮倰鍙椼亼銇熴儦銉笺偢銈掓绱';
+$lang['revert'] = '閬告姙銇椼仧銉氥兗銈搞倰妞滅储';
+$lang['reverted'] = '%s 銇儶銉撱偢銉с兂 %s 銇稿京鍏冦仌銈屻伨銇椼仧';
+$lang['removed'] = '%s 銇墛闄ゃ仌銈屻伨銇椼仧';
+$lang['revstart'] = '寰╁厓鍑︾悊涓仹銇欍傛檪闁撱亴鎺涖亱銈嬪彲鑳芥с亴銇傘倞銇俱仚銇屻併倐銇椼偪銈ゃ儬銈€偊銉堛仐銇熷牬鍚堛伅銆佸京鍏冦倰瑜囨暟鍥炪伀鍒嗐亼銇﹁銇c仸銇忋仩銇曘亜銆';
+$lang['revstop'] = '寰╁厓鍑︾悊銇屾銇椼亸瀹屼簡銇椼伨銇椼仧銆';
+$lang['note1'] = '娉ㄦ剰锛氭绱㈣獮鍙ャ伅澶ф枃瀛椼兓灏忔枃瀛椼倰鍖哄垾銇椼伨銇';
+$lang['note2'] = '娉ㄦ剰锛氭渶鏂般伄鍐呭銇绱€仐銇熴偣銉戙儬銈兗銉兗銉 <i>%s</i> 銇屽惈銇俱倢銇︺亜銇亜銉氥兗銈搞亴寰╁厓銇曘倢銇俱仚銆';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ko/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ko/intro.txt
new file mode 100644
index 000000000..a0164dc4f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ko/intro.txt
@@ -0,0 +1,3 @@
+====== 氤店惮 甏毽瀽 ======
+
+鞀ろ尭 瓿店博鞙茧 攵韯 鞛愲彊鞙茧 氤店惮頃橂姅雿 鞚错帢鞚挫電 霃勳泙鞚 霅 靾 鞛堨姷雼堧嫟. 鞀ろ尭 瓿店博氚涭潃 韼橃澊歆 氇╇鞚 彀眷溂霠る┐ 氍胳瀽鞐挫潉 鞛呺牓頃橁赴 氚旊瀺雼堧嫟(鞓. 鞀ろ尭 URL), 攴 頉 瓴靸夒悳 韼橃澊歆霌れ澊 鞀ろ尭 瓿店博氚涭晿電旍 頇曥澑頃橁碃 氤店惮頃╇媹雼.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ko/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ko/lang.php
new file mode 100644
index 000000000..5e070de92
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ko/lang.php
@@ -0,0 +1,18 @@
+<?php
+/**
+ * Korean language file
+ *
+ * @author jk lee
+ * @author dongnak@gmail.com
+ * @author Song Younghwan <purluno@gmail.com>
+ * @author SONG Younghwan <purluno@gmail.com>
+ */
+$lang['menu'] = '氤店惮 甏毽瀽';
+$lang['filter'] = '鞀ろ尭 韼橃澊歆 瓴靸 ';
+$lang['revert'] = '靹犿儩 韼橃澊歆霌 氤店惮';
+$lang['reverted'] = '%s毳 鞚挫爠 氩勳爠 %s 鞙茧 氤店惮';
+$lang['removed'] = '%s 靷牅';
+$lang['revstart'] = '氤店惮 鞛戩梾鞚 鞁滌瀾頃╇媹雼. 鞓る灉 鞁滉皠鞚 瓯鸽Υ 靾 鞛堨姷雼堧嫟. 鞕勲霅橁赴 鞝勳棎 鞀ろ伂毽巾姼 time out鞚 氚滌儩頃滊嫟氅 雿 鞛戩潃 鞛戩梾霌る 雮橂垊鞏挫劀 氤店惮頃橁赴 氚旊瀺雼堧嫟. ';
+$lang['revstop'] = '氤店惮 鞛戩梾鞚 靹标车鞝侅溂搿 雭濍偓鞀惦媹雼.';
+$lang['note1'] = '欤检潣: 雽靻岆鞛 甑硠頃橃棳 瓴靸夗暕雼堧嫟.';
+$lang['note2'] = '欤检潣: 鞚 韼橃澊歆電 鞀ろ尭 雼柎 <i>%s</i>毳 韽暔頃橃 鞎婌潃 臧鞛 斓滉芳 瓿缄卑 氍胳劀 氩勳爠鞙茧 氤店惮霅╇媹雼. ';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/lt/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/lt/lang.php
new file mode 100644
index 000000000..103485864
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/lt/lang.php
@@ -0,0 +1,7 @@
+<?php
+/**
+ * Lithuanian language file
+ *
+ * @author audrius.klevas@gmail.com
+ * @author Arunas Vaitekunas <aras@fan.lt>
+ */
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/lv/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/lv/intro.txt
new file mode 100644
index 000000000..edcdab2cd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/lv/intro.txt
@@ -0,0 +1,3 @@
+====== Piem膿sloto lapu atjaunot膩js ======
+
+艩墨 lapa pal墨dz膿s autom膩tiski atjaunot saturu p膿c hulig膩nisma . Lai atrastu piedrazot膩s lapas ieraksti mekl膿jamo izteiksmi (piem. smaperu URL), tad apstiprini, ka atrast膩s ir "m膿slapas" un atcel izdar墨t膩s izmai艈as .
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/lv/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/lv/lang.php
new file mode 100644
index 000000000..0101f2698
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/lv/lang.php
@@ -0,0 +1,15 @@
+<?php
+/**
+ * Latvian, Lettish language file
+ *
+ * @author Aivars Mi拧ka <allefm@gmail.com>
+ */
+$lang['menu'] = 'Piem膿sloto lapu atjaunot膩js';
+$lang['filter'] = 'Mekl膿t piem膿slot膩s lapas';
+$lang['revert'] = 'Atjaunot nor膩d墨t膩s lapas ';
+$lang['reverted'] = '%s atjaunots uz %s st膩vokli';
+$lang['removed'] = '% dz膿sts';
+$lang['revstart'] = 'Atjauno拧ana uzs膩kta. Tas var aiz艈emt ilg膩ku laiku. Ja darb墨ba p膩rtr奴kst noilguma d膿募, atjauno拧ana j膩veic pa maz膩k膩m porcij膩m.';
+$lang['revstop'] = 'Atjauno拧ana veiksm墨gi pabeigta. ';
+$lang['note1'] = 'Iev膿ro: Mekl膿拧ana at拧姆ir lielos un mazos burtus.';
+$lang['note2'] = 'Iev膿ro: Lapu atjaunos ar p膿d膿jo versiju, kas nesatur uzdoto spama v膩rdu <i>%s</i>.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/mr/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/mr/intro.txt
new file mode 100644
index 000000000..efca2430d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/mr/intro.txt
@@ -0,0 +1,5 @@
+====== 啶啶班が啶︵げ 啶掂啶さ啶膏啶ムぞ啶 ======
+
+啶灌啶ぞ 啶ぞ啶ㄠぞ啶︵啶掂ぞ啶班 啶む啶啶灌 啶啶曕じ 啶灌げ啷嵿げ啷嵿く啶距う啷嵿さ啶距ぐ啷 啶澿ぞ啶侧啶侧 啶う啶 啶嗋お啷嬥啶 啶啶班が啶︵げ 啶曕ぐ啷 啶多啶むぞ.
+啶啶曕じ 啶ぞ啶ㄠぞ啶傕啷 啶ぞ啶︵ 啶え啶掂ぃ啷嵿く啶距じ啶距啷 啶啶班ぅ啶 啶忇啶距う啶 啶多が啷嵿う啶膏ぎ啷傕す 啶熰ぞ啶曕ぞ ( 啶夃う啶. 啶忇啶距う啶 啶啶曕じ URL ),
+啶 啶溹 啶ぞ啶ㄠ 啶膏ぞ啶ぁ啶む啶 啶熰 啶啶曕じ 啶呧じ啶侧啶ぞ啶氞 啶ㄠ啷嵿啷 啶曕ぐ啶 啶嗋ぃ啶 啶む啶ぞ啶む啶 啶う啶 啶班う啷嵿う 啶曕ぐ啶. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/mr/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/mr/lang.php
new file mode 100644
index 000000000..3912bb967
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/mr/lang.php
@@ -0,0 +1,18 @@
+<?php
+/**
+ * Marathi language file
+ *
+ * @author ghatothkach@hotmail.com
+ * @author Padmanabh Kulkarni <kulkarnipadmanabh@gmail.com>
+ * @author Padmanabh Kulkarni<kulkarnipadmanabh@gmail.com>
+ * @author shantanoo@gmail.com
+ */
+$lang['menu'] = '啶啶 啶う啶 啶掂啶さ啶膏啶ムぞ啶';
+$lang['filter'] = '啶啶曕じ 啶ぞ啶ㄠ 啶多啶оぞ';
+$lang['revert'] = '啶ㄠた啶掂ぁ啶侧啶侧 啶ぞ啶ㄠぞ啶む啶 啶う啶 啶班う啷嵿う 啶曕ぐ啶';
+$lang['reverted'] = '%s 啶啶班が啶︵げ啷傕え %s 啶嗋さ啷冟い啷嵿い啶苦ぎ啶о 啶嗋ぃ啶侧ぞ 啶嗋す啷';
+$lang['removed'] = '%s 啶曕ぞ啶⑧げ啶 啶嗋す啷.';
+$lang['revstart'] = '啶啶班が啶︵げ啶距啷 啶啶班啷嵿ぐ啶苦く啶 啶氞ぞ啶侧 啶澿ぞ啶侧 啶嗋す啷.啶ぞ啶侧ぞ 啶ぐ啶距 啶掂啶 啶侧ぞ啶椸 啶多啶む. 啶溹ぐ 啶膏啶曕啶班た啶啶 啶膏ぎ啷嵿お啶`啶ぞ啶嗋ぇ啶 啶む啶ぞ啶氞 啶曕ぞ啶侧ぎ啶班啶ぞ啶︵ぞ 啶夃げ啶熰啶 啶椸啶侧 啶むぐ 啶涏啶熰啶ぞ-啶涏啶熰啶ぞ 啶む啶曕ぁ啷嵿く啶距啶ぇ啷 啶啶班が啶︵げ 啶曕ぐ啶.';
+$lang['revstop'] = '啶啶班が啶︵げ啶距啷 啶啶班啷嵿ぐ啶苦く啶 啶ざ啶膏啶掂啶班啶む啶ぞ 啶啶班啶 啶澿ぞ啶侧.';
+$lang['note1'] = '啶熰啶 : 啶灌ぞ 啶多啶 啶曕啶-啶膏啶傕じ啶苦啶苦さ 啶嗋す啷 ( 啷炧啷嵿い 啶囙啶椸啶班啷啶膏ぞ啶犩 啶侧ぞ啶椸 )';
+$lang['note2'] = '啶熰啶 : 啶灌 啶ぞ啶 啶啶班が啶︵げ 啶曕ぐ啷傕え 啶溹啶ぞ 啶多啶掂啶氞啶ぞ 啶嗋さ啷冟い啷嵿い啶苦ぎ啶о <i>%s</i> 啶灌ぞ 啶︵た啶侧啶侧ぞ 啶啶曕じ 啶多が啷嵿う 啶ㄠぞ啶灌 啶む啶ぞ啶 啶う啶侧げ啷 啶溹ぞ啶堗げ.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ne/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ne/lang.php
new file mode 100644
index 000000000..4fd337532
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ne/lang.php
@@ -0,0 +1,16 @@
+<?php
+/**
+ * Nepali language file
+ *
+ * @author Saroj Kumar Dhakal <lotusnagarkot@gmail.com>
+ * @author SarojKumar Dhakal <lotusnagarkot@yahoo.com>
+ * @author Saroj Dhakal<lotusnagarkot@yahoo.com>
+ */
+$lang['menu'] = '啶啶班啶掂じ啷嵿ぅ啶苦い啷 啶掂啶さ啶膏啶ムぞ啶';
+$lang['filter'] = '啶膏啶啶ぞ啶く啷佮啷嵿い 啶啶粪啶犩す啶班 啶栢啶溹啶ㄠ啶灌啶膏 ';
+$lang['revert'] = '啶涏ぞ啶ㄠた啶忇 啶啶粪啶犩す啶班啶侧ぞ啶 啶啶班啶掂じ啷嵿ぅ啶苦い啶苦ぎ啶 啶ぐ啷嵿啶距啶ㄠ啶灌啶膏 啷';
+$lang['reverted'] = '%s 啶侧ぞ啶 %s 啶膏啶膏啶曕ぐ啶`ぎ啶 啶ぐ啷嵿啶距啶 啷';
+$lang['removed'] = '%s 啶侧ぞ啶 啶灌啶距啶 啷';
+$lang['revstart'] = '啶啶班啶掂じ啷嵿ぅ啶苦い啶苦ぎ啶 啶ぐ啷嵿啶距啶ㄠ 啶曕ぞ啶班啶 啶膏啶班 啶く啷 啷 啶じ啶侧 啶侧ぞ啶 啶膏ぎ啶 啶侧た啶 啶膏啷嵿啷 啶う啶 啶膏啶曕啶班た啶啶熰啷 啶膏ぎ啶 啶曕ぞ啷嵿ぐ啷嵿く 啶膏啶苦え啷 啶啶班啶 啶膏啶苦く啷 啶え啷 啷 啶むお啶距啶侧 啶膏ぞ啶ㄠ 啶膏ぞ啶ㄠ 啶熰啶曕啶班ぞ 啶侧た啶忇ぐ 啶啶班啶掂ぐ啷佮お啶ぞ 啶ぐ啷嵿啶距啶ㄠ 啶ぐ啷嵿え啷 啶灌啶ㄠ啶 啷';
+$lang['revstop'] = '啶啶班啶掂じ啷嵿ぅ啶苦い啶苦ぎ啶 啶ぐ啷嵿啶距啶ㄠ 啶曕ぞ啶班啶 啶膏か啶侧い啶距お啷傕ぐ啷嵿さ啶 啶膏啶苦く啷 啷';
+$lang['note1'] = '啶ㄠ啶: 啶 啶栢啶 啶掂ぐ啷嵿ぃ 啶膏ぎ啷嵿さ啷囙う啶ㄠざ啷啶 啶';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/nl/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/nl/intro.txt
new file mode 100644
index 000000000..db8f5a06c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/nl/intro.txt
@@ -0,0 +1,3 @@
+===== Herstelmanager =====
+
+Deze pagina helpt u bij het herstellen van pagina's na een spam-aanval. Vul een zoekterm in (bijvoorbeeld een spam url) om een lijst te krijgen van bekladde pagina's, bevestig dat de pagina's inderdaad spam bevatten en herstel de wijzigingen.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/nl/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/nl/lang.php
new file mode 100644
index 000000000..3b5c4e0fe
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/nl/lang.php
@@ -0,0 +1,21 @@
+<?php
+/**
+ * Dutch language file
+ *
+ * @author Wouter Schoot <wouter@schoot.org>
+ * @author John de Graaff <john@de-graaff.net>
+ * @author Niels Schoot <niels.schoot@quintiq.com>
+ * @author Dion Nicolaas <dion@nicolaas.net>
+ * @author Danny Rotsaert <danny.rotsaert@edpnet.be>
+ * @author Marijn Hofstra hofstra.m@gmail.com
+ * @author Matthias Carchon webmaster@c-mattic.be
+ */
+$lang['menu'] = 'Herstelmanager';
+$lang['filter'] = 'Zoek naar bekladde pagina\'s';
+$lang['revert'] = 'Herstel geselecteerde pagina\'s';
+$lang['reverted'] = '%s hersteld naar revisie %s';
+$lang['removed'] = '%s verwijderd';
+$lang['revstart'] = 'Herstelproces begonnen. Dit kan een lange tijd duren. Als het script een timeout genereerd voor het klaar is, moet je in kleinere selecties herstellen.';
+$lang['revstop'] = 'Herstelproces succesvol afgerond.';
+$lang['note1'] = 'NB: deze zoekopdracht is hoofdlettergevoelig';
+$lang['note2'] = 'NB: de pagina zal hersteld worden naar de laatste versie waar de opgegeven spam-term <i>%s</i> niet op voorkomt.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/no/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/no/intro.txt
new file mode 100644
index 000000000..f48b98749
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/no/intro.txt
@@ -0,0 +1,3 @@
+====== Tilbakestillingsbehandler ======
+
+Denne siden hjelper deg 氓 automatisk reversere fors酶pling av sidene. For 氓 finne en liste over fors酶plede sider, skriv inn en s酶kestreng (f.eks. en s酶ppel-URL). Bekreft deretter at de funnede sidene virkelig er fors酶plet og tilbakestill endringene.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/no/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/no/lang.php
new file mode 100644
index 000000000..262ea3fe5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/no/lang.php
@@ -0,0 +1,26 @@
+<?php
+/**
+ * Norwegianlanguage file
+ *
+ * @author Thomas Nygreen <nygreen@gmail.com>
+ * @author Arild Burud <arildb@met.no>
+ * @author Torkill Bruland <torkar-b@online.no>
+ * @author Rune M. Andersen <rune.andersen@gmail.com>
+ * @author Jakob Vad Nielsen (me@jakobnielsen.net)
+ * @author Kjell Tore N忙sgaard <kjell.t.nasgaard@ntnu.no>
+ * @author Knut Staring <knutst@gmail.com>
+ * @author Lisa Ditlefsen <lisa@vervesearch.com>
+ * @author Erik Pedersen <erik.pedersen@shaw.ca>
+ * @author Erik Bj酶rn Pedersen <erik.pedersen@shaw.ca>
+ */
+$lang['menu'] = 'Tilbakestillingsbehandler';
+$lang['filter'] = 'S酶k etter s酶ppelmeldinger';
+$lang['revert'] = 'Tilbakestill valgte sider';
+$lang['reverted'] = '%s tilbakestilt til revisjon %s';
+$lang['removed'] = '%s fjernet';
+$lang['revstart'] = 'Prosessen med tilbakestilling er startet. Hvis det skjer et
+tidsavbrudd f酶r prosessen er ferdig, m氓 du tilbakestille
+f忙rre sider om gangen.';
+$lang['revstop'] = 'Tilbakestillingen er fullf酶rt.';
+$lang['note1'] = 'Merk: s酶ket skiller mellom store og sm氓 bokstaver';
+$lang['note2'] = 'Merk: siden vil bli tilbakestilt til den siste versjonen som ikke inneholder det oppgitte s酶ppel-ordet <i>%s</i>.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/pl/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/pl/intro.txt
new file mode 100644
index 000000000..410948a2f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/pl/intro.txt
@@ -0,0 +1,3 @@
+====== Menad偶er przywracania ======
+
+Menad偶er przywracania przeznaczony jest do automatycznego naprawiania stron, kt贸re uleg艂y wandalizmom. W celu naprawienia uszkodzonych stron, wyszukaj je a nast臋pnie oznacz i przywr贸膰 poprzednie wersje.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/pl/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/pl/lang.php
new file mode 100644
index 000000000..8ad68b5f8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/pl/lang.php
@@ -0,0 +1,17 @@
+<?php
+/**
+ * polish language file
+ * @author Grzegorz 呕ur <grzegorz.zur@gmail.com>
+ * @author Mariusz Kujawski <marinespl@gmail.com>
+ * @author Maciej Kurczewski <pipijajko@gmail.com>
+ * @author S艂awomir Boczek <slawkens@gmail.com>
+ */
+$lang['menu'] = 'Menad偶er przywracania';
+$lang['filter'] = 'Wyszukaj uszkodzone strony';
+$lang['revert'] = 'Napraw zaznaczone strony';
+$lang['reverted'] = 'Stron臋 %s zast膮piono wersj膮 %s';
+$lang['removed'] = 'Stron臋 %s usuni臋to';
+$lang['revstart'] = 'Naprawa rozpocz臋ta. To mo偶e zaj膮膰 kilka minut. Je艣li strona przestanie si臋 艂adowa膰, spr贸buj ponownie zaznaczaj膮c mniejsz膮 liczb臋 stron.';
+$lang['revstop'] = 'Naprawa zako艅czona pomy艣lnie!';
+$lang['note1'] = 'Uwaga: du偶e i ma艂e litery s膮 rozr贸偶niane';
+$lang['note2'] = 'Uwaga: zostanie przywr贸cona ostatnia wersja strony niezawieraj膮ca wyra偶enia <i>%s</i>.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/pt-br/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/pt-br/intro.txt
new file mode 100644
index 000000000..5ce9890db
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/pt-br/intro.txt
@@ -0,0 +1,3 @@
+====== Gerenciador de Revers玫es ======
+
+Essa p谩gina ajuda a reverter automaticamente um ataque de spam. Para encontrar as p谩ginas que sofreram ataque, primeiro entre com um termo na busca (ex.: a URL do spam), ent茫o confirme que as p谩ginas encontradas s茫o realmente spam e reverta as edi莽玫es.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/pt-br/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/pt-br/lang.php
new file mode 100644
index 000000000..93c8bf4e1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/pt-br/lang.php
@@ -0,0 +1,25 @@
+<?php
+/**
+ * Portuguese language file
+ *
+ * @author Frederico Gon莽alves Guimar茫es <frederico@teia.bio.br>
+ * @author Felipe Castro <fefcas@gmail.com>
+ * @author Lucien Raven <lucienraven@yahoo.com.br>
+ * @author Enrico Nicoletto <liverig@gmail.com>
+ * @author Fl谩vio Veras <flaviove@gmail.com>
+ * @author Jeferson Propheta <jeferson.propheta@gmail.com>
+ * @author jair.henrique@gmail.com
+ * @author Luis Dantas <luis@dantas.com>
+ * @author Frederico Guimar茫es <frederico@teia.bio.br>
+ * @author Jair Henrique <jair.henrique@gmail.com>
+ * @author Luis Dantas <luisdantas@gmail.com>
+ */
+$lang['menu'] = 'Gerenciador de revers玫es';
+$lang['filter'] = 'Procura por p谩ginas com spam';
+$lang['revert'] = 'Reverte as p谩ginas selecionadas';
+$lang['reverted'] = '%s revertida para a revis茫o %s';
+$lang['removed'] = '% removida';
+$lang['revstart'] = 'O processo de revers茫o foi iniciado. Isso pode levar muito tempo. Se o tempo de execu莽茫o do script expirar antes dele encerrar, voc锚 dever谩 tentar novamente usando blocos menores.';
+$lang['revstop'] = 'O processo de revers茫o terminou com sucesso.';
+$lang['note1'] = 'Nota: esta busca diferencia mai煤sculas/min煤sculas';
+$lang['note2'] = 'Nota: a p谩gina ser谩 revertida para a 煤ltima vers茫o que n茫o cont茅m o termo de spam <i>%s</i>.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/pt/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/pt/intro.txt
new file mode 100644
index 000000000..7adfe5f21
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/pt/intro.txt
@@ -0,0 +1,3 @@
+====== Gerir Revers玫es ======
+
+Esta p谩gina ajuda a reverter automaticamente de um ataque spam. Para encontrar as p谩ginas afectadas insira primeiro um texto de pesquisa (i.e spam URL), confirme as p谩ginas encontradas como sendo resultantes de um ataque spam e reverta essas edi莽玫es. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/pt/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/pt/lang.php
new file mode 100644
index 000000000..4ad114efe
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/pt/lang.php
@@ -0,0 +1,18 @@
+<?php
+/**
+ * Portuguese language file
+ *
+ * @author Jos茅 Monteiro <Jose.Monteiro@DoWeDo-IT.com>
+ * @author Enrico Nicoletto <liverig@gmail.com>
+ * @author Fil <fil@meteopt.com>
+ * @author Andr茅 Neves <drakferion@gmail.com>
+ */
+$lang['menu'] = 'Gestor de Revers玫es';
+$lang['filter'] = 'Pesquisar por p谩ginas "spammy"';
+$lang['revert'] = 'Reverter p谩ginas seleccionadas';
+$lang['reverted'] = '%s revertida para revis茫o %s';
+$lang['removed'] = '%s removidas.';
+$lang['revstart'] = 'Processo de revers茫o iniciado. A sua execu莽茫o pode demorar. Se der timeout antes de terminar ent茫o 茅 preciso escolher quantidades menores de p谩ginas a reverter.';
+$lang['revstop'] = 'Processo de revers茫o bem sucedido.';
+$lang['note1'] = 'Nota: a pesquisa 茅 case-sensitive';
+$lang['note2'] = 'Nota: a p谩gina ser谩 revertida para a vers茫o anterior que n茫o cont茅m os termos spam pesquisados: <i>%s</i>.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ro/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ro/intro.txt
new file mode 100644
index 000000000..3a030359b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ro/intro.txt
@@ -0,0 +1,3 @@
+====== Manager Reveniri ======
+
+Aceast膬 pagin膬 ajut膬 revenirea automat膬 卯n cazul unui atac spam. Pentru a g膬si o list膬 a paginilor cu spam, 卯ntroduce牛i mai 卯nt芒i un 艧ir de c膬utat (de ex. Un URL spam), apoi confirma牛i dac膬 paginile g膬site con牛in 卯ntr-adev膬r spam 艧i anula牛i edit膬rile.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ro/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ro/lang.php
new file mode 100644
index 000000000..6e534fd37
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ro/lang.php
@@ -0,0 +1,20 @@
+<?php
+/**
+ * Romanian language file
+ *
+ * @author Sergiu Baltariu <s_baltariu@yahoo.com>
+ * @author s_baltariu@yahoo.com
+ * @author Emanuel-Emeric Andrasi <n30@mandrivausers.ro>
+ * @author Emanuel-Emeric Andra葯i <n30@mandrivausers.ro>
+ * @author Emanuel-Emeric Andra艧i <em.andrasi@mandrivausers.ro>
+ * @author Emanuel-Emeric Andrasi <em.andrasi@mandrivausers.ro>
+ */
+$lang['menu'] = 'Manager Reveniri';
+$lang['filter'] = 'Caut膬 pagini cu posibil spam';
+$lang['revert'] = 'Revenire pentru paginile selectate';
+$lang['reverted'] = '%s revenit膬 la versiunea %s';
+$lang['removed'] = '%s eliminat膬';
+$lang['revstart'] = 'Procesul de revenire a 卯nceput. Acesta poate dura mult timp.Dac膬 scriptul expir膬 卯nainte de finalizare, trebuie s膬 reveni牛i 卯n pa艧i mai mici.';
+$lang['revstop'] = 'Procesul de revenire s-a finalizat cu succes.';
+$lang['note1'] = 'Not膬: aceast膬 c膬utare este sensibil膬 la majuscule.';
+$lang['note2'] = 'Not膬: pagina va reveni la ultima versiune ce nu con牛ine termenul de spam <i>%s</i>.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ru/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ru/intro.txt
new file mode 100644
index 000000000..6f08c9961
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ru/intro.txt
@@ -0,0 +1,3 @@
+====== 袦械薪械写卸械褉 芯褌泻邪褌芯泻 ======
+
+协褌邪 褋褌褉邪薪懈褑邪 锌芯屑芯卸械褌 胁邪屑 胁 邪胁褌芯屑邪褌懈褔械褋泻芯泄 芯褌泻邪褌泻械 懈蟹屑械薪械薪懈泄 锌芯褋谢械 褋锌邪屑-邪褌邪泻懈. 袛谢褟 褌芯谐芯, 褔褌芯斜褘 薪邪泄褌懈 褋锌邪屑-褋褌褉邪薪懈褑褘, 胁胁械写懈褌械 泻谢褞褔械胁褘械 褋谢芯胁邪 懈 锌褉芯懈蟹胁械写懈褌械 锌芯懈褋泻 (薪邪锌褉懈屑械褉, 锌芯 URL 褋锌邪屑屑械褉邪). 袟邪褌械屑 褍斜械写懈褌械褋褜, 褔褌芯 薪邪泄写械薪薪褘械 褋褌褉邪薪懈褑褘 写械泄褋褌胁懈褌械谢褜薪芯 褋芯写械褉卸邪褌 褋锌邪屑, 懈 褋写械谢邪泄褌械 芯褌泻邪褌泻褍 懈蟹屑械薪械薪懈泄.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ru/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ru/lang.php
new file mode 100644
index 000000000..a78210777
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/ru/lang.php
@@ -0,0 +1,22 @@
+<?php
+/**
+ * russian language file
+ * @author Denis Simakov <akinoame1@gmail.com>
+ * @author Andrew Pleshakov <beotiger@mail.ru>
+ * @author 袟屑械泄 协褌械褉懈泄褋泻懈泄 evil_snake@eternion.ru
+ * @author Hikaru Nakajima <jisatsu@mail.ru>
+ * @author Alexei Tereschenko <alexeitlex@yahoo.com>
+ * @author Irina Ponomareva irinaponomareva@webperfectionist.com
+ * @author Alexander Sorkin <kibizoid@gmail.com>
+ * @author Kirill Krasnov <krasnovforum@gmail.com>
+ * @author Vlad Tsybenko <vlad.development@gmail.com>
+ */
+$lang['menu'] = '袦械薪械写卸械褉 芯褌泻邪褌芯泻';
+$lang['filter'] = '袩芯懈褋泻 褋锌邪屑-褋褌褉邪薪懈褑';
+$lang['revert'] = '袨褌泻邪褌懈褌褜 懈蟹屑械薪械薪懈褟 写谢褟 胁褘斜褉邪薪薪褘褏 褋褌褉邪薪懈褑';
+$lang['reverted'] = '%s 芯褌泻邪褔械薪邪 泻 胁械褉褋懈懈 %s';
+$lang['removed'] = '%s 褍写邪谢械薪邪';
+$lang['revstart'] = '袧邪褔邪褌 锌褉芯褑械褋褋 芯褌泻邪褌泻懈. 袨薪 屑芯卸械褌 蟹邪薪褟褌褜 屑薪芯谐芯 胁褉械屑械薪懈. 袝褋谢懈 褋泻褉懈锌褌 薪械 褍褋锌械胁邪械褌 蟹邪胁械褉褕懈褌褜 褉邪斜芯褌褍 懈 胁褘写邪械褌 芯褕懈斜泻褍, 薪械芯斜褏芯写懈屑芯 锌褉芯懈蟹胁械褋褌懈 芯褌泻邪褌泻褍 斜芯谢械械 屑邪谢械薪褜泻懈屑懈 褔邪褋褌褟屑懈.';
+$lang['revstop'] = '袩褉芯褑械褋褋 芯褌泻邪褌泻懈 褍褋锌械褕薪芯 蟹邪胁械褉褕械薪.';
+$lang['note1'] = '袟邪屑械褔邪薪懈械: 锌芯懈褋泻 褋 褍褔械褌芯屑 褉械谐懈褋褌褉邪';
+$lang['note2'] = '袟邪屑械褔邪薪懈械: 褋褌褉邪薪懈褑邪 斜褍写械褌 芯褌泻邪褔械薪邪 泻 锌芯褋谢械写薪械泄 胁械褉褋懈懈, 薪械 褋芯写械褉卸邪褖械泄 褋锌邪屑-褌械褉屑懈薪 <i>%s</i>.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/sk/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/sk/intro.txt
new file mode 100644
index 000000000..e69de29bb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/sk/intro.txt
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/sk/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/sk/lang.php
new file mode 100644
index 000000000..822837de0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/sk/lang.php
@@ -0,0 +1,17 @@
+<?php
+/**
+ * Slovaklanguage file
+ *
+ * @author Michal Mesko <michal.mesko@gmail.com>
+ * @author exusik@gmail.com
+ * @author Martin Michalek <michalek.dev@gmail.com>
+ */
+$lang['menu'] = 'Reverzn媒 mene啪茅r';
+$lang['filter'] = 'H木ada钮 spamersk茅 str谩nky';
+$lang['revert'] = 'Vr谩ti钮 vybran茅 str谩nky';
+$lang['reverted'] = '%s vr谩ten谩 na rev铆ziu %s';
+$lang['removed'] = '%s odstr谩nen谩';
+$lang['revstart'] = 'Proces reverzie bol spusten媒. Toto m么啪e trva钮 dlh媒 膷as. Ak skript vypr拧铆 pred t媒m, ako skon膷铆, mus铆te urobi钮 reverziu v men拧铆ch d谩vkach.';
+$lang['revstop'] = 'Proces reverzie sa 煤spe拧ne skon膷il.';
+$lang['note1'] = 'Pozn谩mka: vyh木ad谩vanie rozli拧uje medzi ve木k媒mi a mal媒mi p铆smenami';
+$lang['note2'] = 'Pozn谩mka: t谩to str谩nka bude vr谩ten谩 do poslednej verzie, ktor谩 neobsahuje spamov媒 v媒raz <i>%s</i>.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/sl/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/sl/lang.php
new file mode 100644
index 000000000..1fcf4ca36
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/sl/lang.php
@@ -0,0 +1,7 @@
+<?php
+/**
+ * Slovenian language file
+ *
+ * @author Dejan Levec <webphp@gmail.com>
+ * @author Bo拧tjan Seni膷ar <senicar@gmail.com>
+ */
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/sr/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/sr/intro.txt
new file mode 100644
index 000000000..8c288e7f1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/sr/intro.txt
@@ -0,0 +1,3 @@
+====== 校锌褉邪胁褭邪褔 蟹邪 胁褉邪褯邪褮械 ======
+
+袨胁邪 褋褌褉邪薪邪 胁邪屑 锌芯屑邪卸械 芯写 薪邪锌邪写邪 褋锌邪屑邪 邪褍褌芯屑邪褌褋泻懈屑 胁褉邪褯邪褮械屑 薪邪 褋褌邪褉械 胁械褉蟹懈褬械 褋褌褉邪薪懈褑邪. 袛邪 斜懈褋褌械 锌褉芯薪邪褕谢懈 褋锌邪屑芯胁邪薪械 褋褌褉邪薪懈褑械 芯褌泻褍褑邪褬褌械 褉械褔 蟹邪 锌褉械褌褉邪谐褍 (褌褬. 褉械褔 泻芯褬邪 褋械 锌芯褬邪胁褭褍褬械 褍 褋锌邪屑褍), 蟹邪褌懈屑 锌芯褌胁褉写懈褌械 写邪 褋械 薪邪 锌褉芯薪邪褣械薪懈屑 褋褌褉邪薪懈褑邪屑邪 褋褌胁邪褉薪芯 薪邪谢邪蟹懈 褋锌邪屑 懈 芯薪写邪 胁褉邪褌懈褌械 薪邪 褋褌邪褮械 锌褉械 锌褉芯屑械薪邪. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/sr/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/sr/lang.php
new file mode 100644
index 000000000..3b28a1729
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/sr/lang.php
@@ -0,0 +1,16 @@
+<?php
+/**
+ * Serbian language file
+ *
+ * @author 袠胁邪薪 袩械褌褉芯胁懈褯 petrovicivan@ubuntusrbija.org
+ * @author Ivan Petrovic <petrovicivan@ubuntusrbija.org>
+ */
+$lang['menu'] = '校锌褉邪胁褭邪褔 蟹邪 胁褉邪褯邪褮械';
+$lang['filter'] = '袩褉械褌褉邪谐邪 褋锌邪屑 褋褌褉邪薪懈褑邪';
+$lang['revert'] = '袙褉邪褌懈 芯写邪斜褉邪薪械 褋褌褉邪薪懈褑械';
+$lang['reverted'] = '%s 胁褉邪褯械薪邪 薪邪 褉械胁懈蟹懈褬褍 %s';
+$lang['removed'] = '%s 褬械 褍泻谢芯褮械薪邪';
+$lang['revstart'] = '袩褉芯褑械褋 胁褉邪褯邪褮邪 褬械 锌芯泻褉械薪褍褌. 袦芯卸械 锌芯褌褉邪褬邪褌懈 写褍卸械 胁褉械屑械. 袗泻芯 懈褋褌械泻薪械 胁褉械屑械 锌褉械 蟹邪胁褉褕械褌泻邪 锌芯褌褉械斜薪芯 褬械 写邪 锌芯泻褉械薪械褌械 褍 屑邪褮懈屑 写械谢芯胁懈屑邪.';
+$lang['revstop'] = '袩褉芯褑械褋 胁褉邪褯邪褮邪 褬械 褍褋锌械褕薪芯 蟹邪胁褉褕械薪.';
+$lang['note1'] = '袧邪锌芯屑械薪邪: 芯胁邪 锌褉械褌褉邪谐邪 褉邪蟹谢懈泻褍褬械 胁械谢懈泻邪 懈 屑邪谢邪 褋谢芯胁邪';
+$lang['note2'] = '袧邪锌芯屑械薪邪: 褋褌褉邪薪懈褑邪 褯械 斜懈褌懈 胁褉邪褯械薪邪 薪邪 锌芯褋谢械写褮褍 胁械褉蟹懈褬褍 泻芯褬邪 薪械 褋邪写褉卸懈 褋锌邪屑 懈蟹褉邪蟹 <i>%s</i>.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/sv/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/sv/intro.txt
new file mode 100644
index 000000000..cd7f322a3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/sv/intro.txt
@@ -0,0 +1,3 @@
+====== Hantera 氓terst盲llningar ======
+
+Den h盲r sidan hj盲lper till med automatiskt 氓terst盲llning efter en spamattack. F枚r att hitta spammade sidor, ange f枚rst en s枚kstr盲ng (till exempel en webbadress). Kontrollera sedan att sidorna som hittades verkligen 盲r spam, och 氓terst盲ll sedan redigeringarna.
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/sv/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/sv/lang.php
new file mode 100644
index 000000000..1b6ca3374
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/sv/lang.php
@@ -0,0 +1,28 @@
+<?php
+/**
+ * Swedish language file
+ *
+ * @author Per Foreby <per@foreby.se>
+ * @author Nicklas Henriksson <nicklas[at]nihe.se>
+ * @author H氓kan Sandell <hakan.sandell[at]mydata.se>
+ * @author Dennis Karlsson
+ * @author Tormod Otter Johansson <tormod@latast.se>
+ * @author emil@sys.nu
+ * @author Pontus Bergendahl <pontus.bergendahl@gmail.com>
+ * @author Tormod Johansson tormod.otter.johansson@gmail.com
+ * @author Emil Lind <emil@sys.nu>
+ * @author Bogge Bogge <bogge@bogge.com>
+ * @author Peter 脜str枚m <eaustreum@gmail.com>
+ * @author H氓kan Sandell <hakan.sandell@home.se>
+ */
+$lang['menu'] = 'Hantera 氓terst盲llningar';
+$lang['filter'] = 'S枚k efter spamsidor';
+$lang['revert'] = '脜terst盲ll markerade redigeringar';
+$lang['reverted'] = '%s 氓terst盲lld till version %s';
+$lang['removed'] = '%s borttagen';
+$lang['revstart'] = '脜terst盲llningen startad. Detta kan ta l氓ng tid. Om
+ skriptet f氓r en timeout innan det 盲r f盲rdigt m氓ste du k枚ra 氓terst盲llningen
+ med f盲rre sidor 氓t g氓ngen.';
+$lang['revstop'] = '脜terst盲llningen avslutades utan problem.';
+$lang['note1'] = 'OBS: s枚kningen skiljer p氓 stora och sm氓 bokst盲ver';
+$lang['note2'] = 'OBS: sidan kommer att 氓terst盲llas till den senaste versionen som inte inneh氓ller den angivna s枚kstr盲ngen <i>%s</i>.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/th/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/th/intro.txt
new file mode 100644
index 000000000..2bfd27e59
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/th/intro.txt
@@ -0,0 +1,3 @@
+====== 喔曕副喔о笀喔编笖喔佮覆喔`竵喔灌箟喔勦阜喔權釜喔犩覆喔炧箑喔竵喔覆喔 ======
+
+喔笝喙夃覆喔權傅喙夃笀喔班笂喙堗抚喔⑧竸喔膏笓喔斷箟喔о涪喔佮覆喔`竵喔灌箟喔勦阜喔權斧喔權箟喔侧笚喔掂箞喔栢腹喔佮箒喔笡喔∴箓喔斷涪喔副喔曕箓喔權浮喔编笗喔 喙喔炧阜喙堗腑喔椸傅喙堗笀喔班竸喙夃笝喔覆喔`覆喔⑧竵喔侧福喔笝喙夃覆喔椸傅喙堗笘喔灌竵喔箒喔涏浮 喔副喔權笖喔编笟喙佮福喔佮箖喔箟喔佮福喔竵喔傕箟喔竸喔о覆喔∴釜喔粪笟喔勦箟喔 (喙喔娻箞喔 URL 喙喔о箛喔氞箓喔嗋俯喔撪覆喔椸傅喙堗浮喔侧釜喙佮笡喔∴箘喔о箟), 喔堗覆喔佮笝喔编箟喔權箖喔箟喔⑧阜喔權涪喔编笝喔о箞喔侧箑喔炧笀喔椸傅喙堗笧喔氞笝喔编箟喔權笘喔灌竵喔箒喔涏浮喔堗福喔脆竾喙 喙佮弗喙夃抚喔堗付喔囙釜喔编箞喔囙竸喔粪笝喔笭喔侧笧喔曕箟喔權笁喔氞副喔 \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/th/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/th/lang.php
new file mode 100644
index 000000000..86e4f9ca4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/th/lang.php
@@ -0,0 +1,19 @@
+<?php
+/**
+ * Thai language file
+ *
+ * @author Komgrit Niyomrath <n.komgrit@gmail.com>
+ * @author Kittithat Arnontavilas mrtomyum@gmail.com
+ * @author Arthit Suriyawongkul <arthit@gmail.com>
+ * @author Kittithat Arnontavilas <mrtomyum@gmail.com>
+ * @author Thanasak Sompaisansin <jombthep@gmail.com>
+ */
+$lang['menu'] = '喔曕副喔о笀喔编笖喔佮覆喔`竸喔粪笝喔笭喔侧笧喙喔竵喔覆喔`笁喔氞副喔氞箑喔斷复喔';
+$lang['filter'] = '喔勦箟喔權斧喔侧箑喔炧笀喔椸傅喙堗笘喔灌竵喙佮釜喔涏浮';
+$lang['revert'] = '喔勦阜喔權釜喔犩覆喔炧箑喔炧笀喔椸傅喙堗箑喔ム阜喔竵喙勦抚喙';
+$lang['reverted'] = '喔勦阜喔權釜喔犩覆喔 %s 喔佮弗喔编笟喙勦笡喙喔涏箛喔權笁喔氞副喔 %s';
+$lang['removed'] = '喔栢腑喔斷笚喔脆箟喔 %s';
+$lang['revstart'] = '喔佮福喔班笟喔о笝喔佮覆喔`竸喔粪笝喔笭喔侧笧喙勦笖喙夃箑喔`复喙堗浮喔曕箟喔權箒喔ム箟喔 喔權傅喙堗腑喔侧笀喔曕箟喔竾喙冟笂喙夃箑喔о弗喔侧笝喔侧笝 喔栢箟喔侧斧喔∴笖喙喔о弗喔侧笚喔掂箞喔佮赋喔笝喔斷釜喔赤斧喔`副喔氞釜喔勦福喔脆笡喔曕箤喔佮箞喔笝喔椸傅喙堗笀喔班釜喔赤箑喔`箛喔 喔勦父喔撪笗喙夃腑喔囙箘喔涏笚喔赤竵喔侧福喙佮笟喙堗竾喔傕箟喔浮喔灌弗喙冟斧喙夃箑喔ム箛喔佮弗喔囙箑喔炧阜喙堗腑喔佮覆喔`竸喔粪笝喔笭喔侧笧喔椸傅喔ム赴喔箞喔о笝';
+$lang['revstop'] = '喔佮福喔班笟喔о笝喔佮覆喔`竸喔粪笝喔笭喔侧笧喔赋喙喔`箛喔堗箑喔`傅喔⑧笟喔`箟喔涪';
+$lang['note1'] = '喔勦赋喙喔曕阜喔笝: 喔佮覆喔`竸喙夃笝喔權傅喙夃笝喔编笟喔曕副喔о笧喔脆浮喔炧箤喙冟斧喔嵿箞喙喔ム箛喔 (case sensitive)';
+$lang['note2'] = '喔勦赋喙喔曕阜喔笝: 喙喔炧笀喔堗赴喔栢腹喔佮竸喔粪笝喔笭喔侧笧喙勦笡喔⑧副喔囙福喔膏箞喔權弗喙堗覆喔父喔斷笚喔掂箞喙勦浮喙堗浮喔掂笡喔`赴喙傕涪喔勦釜喙佮笡喔∴笝喔掂箟 <i>%s</i>.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/tr/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/tr/intro.txt
new file mode 100644
index 000000000..ff123995a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/tr/intro.txt
@@ -0,0 +1,3 @@
+====== Eskiye D枚nd眉rme Y枚neticisi ======
+
+Bu sayfa spam sald谋r谋lar谋na kar艧谋 otomatik eski haline 莽evirim yapman谋z谋 sa臒lar. Spam i莽erikli sayfay谋 bulmak i莽in bir anahtar kelime girin (mesela spam URLsi), daha sonra spame maruz kalan sayfalar oldu臒undan emin olup eski haline 莽evirin. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/tr/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/tr/lang.php
new file mode 100644
index 000000000..164a8ec4f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/tr/lang.php
@@ -0,0 +1,19 @@
+<?php
+/**
+ * Turkish language file
+ *
+ * @author Ayd谋n Co艧kuner aydinweb@gmail.com
+ * @author Ayd谋n Co艧kuner <aydinweb@gmail.com>
+ * @author yavuzselim@gmail.com
+ * @author Cihan Kahveci kahvecicihan@gmail.com
+ * @author Yavuz Selim <yavuzselim@gmail.com>
+ */
+$lang['menu'] = 'Eskiye D枚nd眉rme';
+$lang['filter'] = 'Spam bulunan sayfalar谋 ara';
+$lang['revert'] = 'Se莽ili sayfalar谋 eskiye d枚nd眉r';
+$lang['reverted'] = '%s %s s眉r眉m眉ne geri 莽evrildi. ';
+$lang['removed'] = '%s kald谋r谋ld谋';
+$lang['revstart'] = 'Eskiye d枚nd眉rme i艧lemi ba艧lat谋ld谋. Bu i艧lem uzun s眉rebilir. E臒er script i艧lemi tamamlayamadan zaman a艧谋m谋na u臒rarsa k眉莽眉k par莽alar halinde i艧lemi uygulay谋n.';
+$lang['revstop'] = 'Eskiye d枚nd眉rme i艧lemi ba艧ar谋yla tamamland谋.';
+$lang['note1'] = 'Not: bu aramada k眉莽眉k harf b眉y眉k harf ayr谋m谋 vard谋r.';
+$lang['note2'] = 'Not: bu sayfa <i>%s</i> spam kelimelerini i莽ermeyen son haline geri 莽evirilecektir.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/uk/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/uk/intro.txt
new file mode 100644
index 000000000..7bf5dfcb9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/uk/intro.txt
@@ -0,0 +1,3 @@
+====== 袦械薪械写卸械褉 胁褨写薪芯胁谢械薪薪褟 ======
+
+笑褟 褋褌芯褉褨薪泻邪 写芯蟹胁芯谢褟褦 胁邪屑 邪胁褌芯屑邪褌懈褔薪芯 胁褨写薪芯胁谢褞胁邪褌懈褋褟 锌褨褋谢褟 褋锌邪屑械褉褋褜泻懈褏 邪褌邪泻. 袛谢褟 褋褌胁芯褉械薪薪褟 褋锌懈褋泻褍 蟹褨锌褋褍褌懈褏 褋褌芯褉褨薪芯泻 褋锌芯褔邪褌泻褍 胁胁械写褨褌褜 褉褟写芯泻 (薪邪锌褉. 褋锌邪屑械褉褋褜泻械 锌芯褋懈谢邪薪薪褟), 邪 锌芯褌褨屑 锌褨写褌胁械褉写褨褌褜, 褖芯 蟹薪邪泄写械薪邪 褋褌芯褉褨薪泻邪 写褨泄褋薪芯 褦 褋锌邪屑芯屑 褨 胁褨写薪芯胁褨褌褜 褉械写邪谐褍胁邪薪薪褟. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/uk/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/uk/lang.php
new file mode 100644
index 000000000..37104bdd2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/uk/lang.php
@@ -0,0 +1,18 @@
+<?php
+/**
+ * Ukrainian language file
+ *
+ * @author serg_stetsuk@ukr.net
+ * @author okunia@gmail.com
+ * @author Oleksandr Kunytsia <okunia@gmail.com>
+ * @author Uko uko@uar.net
+ */
+$lang['menu'] = '袦械薪械写卸械褉 胁褨写薪芯胁谢械薪薪褟';
+$lang['filter'] = '袩芯褕褍泻 褋锌邪屑薪懈褏 褋褌芯褉褨薪芯泻';
+$lang['revert'] = '袙褨写薪芯胁懈褌懈 芯斜褉邪薪褨 褋褌芯褉褨薪泻懈';
+$lang['reverted'] = '%s 胁褨写薪芯胁谢械薪芯 写芯 胁械褉褋褨褩 %s';
+$lang['removed'] = '%s 胁懈谢褍褔械薪芯';
+$lang['revstart'] = '袪芯蟹锌芯褔邪褌芯 锌褉芯褑械褋 胁褨写薪芯胁谢械薪薪褟. 笑械 屑芯卸械 蟹邪泄薪褟褌懈 斜邪谐邪褌芯 褔邪褋褍. 携泻褖芯 褋泻褉懈锌褌 蟹邪泻褨薪褔褍褦 褉芯斜芯褌褍 锌芯 褌邪泄屑邪褍褌褍, 薪械芯斜褏褨写薪芯 胁褨写薪芯胁谢褞胁邪褌懈 屑械薪褕懈屑懈 褔邪褋褌懈薪邪屑懈.';
+$lang['revstop'] = '袩褉芯褑械褋 胁褨写薪芯胁谢械薪薪褟 褍褋锌褨褕薪芯 蟹邪泻褨薪褔械薪芯.';
+$lang['note1'] = '校胁邪谐邪: 锌芯褕褍泻 蟹邪谢械卸懈褌褜 胁褨写 褉械谐褨褋褌褉褍 褋懈屑胁芯谢褨胁';
+$lang['note2'] = '校胁邪谐邪: 褋褌芯褉褨薪泻褍 斜褍写械 胁褨写薪芯胁谢械薪芯 写芯 芯褋褌邪薪薪褜芯褩 胁械褉褋褨褩, 褟泻邪 薪械 屑褨褋褌懈褌褜 褋锌邪屑械褉褋褜泻芯谐芯 褌械褉屑褨薪褍 <i>%s</i>.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/zh-tw/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/zh-tw/intro.txt
new file mode 100644
index 000000000..2aad10206
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/zh-tw/intro.txt
@@ -0,0 +1,3 @@
+====== 閭勫師绠$悊鍣 ======
+
+瑭查爜闈㈣兘骞姪鎮ㄧ殑闋侀潰寰炲瀮鍦句俊鎭殑鏀绘搳涓嚜鍕曢倓鍘熼亷渚嗐 璜嬪厛杓稿叆闂滈嵉瑭炴悳绱㈠寘鍚瀮鍦句俊鎭殑闋侀潰锛堝鏌愬嬪瀮鍦句俊鎭殑 URL锛夛紝鐒跺悗璜嬬⒑瀹氭悳绱㈢祼鏋滅殑纰哄寘鍚瀮鍦句俊鎭紝涓﹀皣鍏堕倓鍘熻嚦鍏堝墠鐨勪慨瑷傜増銆
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/zh-tw/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/zh-tw/lang.php
new file mode 100644
index 000000000..40a137dc0
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/zh-tw/lang.php
@@ -0,0 +1,19 @@
+<?php
+/**
+ * Chinese Traditional language file
+ *
+ * @author Li-Jiun Huang <ljhuang.tw@gmail.com>
+ * @author http://www.chinese-tools.com/tools/converter-simptrad.html
+ * @author Wayne San <waynesan@zerozone.tw>
+ * @author Li-Jiun Huang <ljhuang.tw@gmai.com>
+ * @author Cheng-Wei Chien <e.cwchien@gmail.com>
+ */
+$lang['menu'] = '閭勫師绠$悊';
+$lang['filter'] = '鎼滅储鍖呭惈鍨冨溇淇℃伅鐨勯爜闈';
+$lang['revert'] = '閭勫師鎵閬哥殑闋侀潰';
+$lang['reverted'] = '%s宸查倓鍘熷埌鐗堟湰%s';
+$lang['removed'] = '%s宸茬Щ闄';
+$lang['revstart'] = '宸查枊濮嬮倓鍘熸搷浣溿傛湁鍙兘闇瑕佸緢闀锋檪闁撱傚鏋滆▓鏅傚櫒鍦ㄩ倓鍘熸搷浣滃畬鎴愬墠鍋滄浜嗭紝璜嬪槜瑭﹂倓鍘熻純灏戠殑鍏у銆';
+$lang['revstop'] = '閭勫師绋嬪簭宸叉垚宸ョ殑瀹屾垚銆';
+$lang['note1'] = '娉ㄦ剰: 鎼滃皨鏈夊垎澶у皬瀵';
+$lang['note2'] = '娉ㄦ剰: 姝ら爜灏囨渻琚倓鍘熷埌涓嶅寘鍚郸浜堢殑spam term <i>%s</i> 鐨勬渶鏂扮増鏈.';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/zh/intro.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/zh/intro.txt
new file mode 100644
index 000000000..c697f8aea
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/zh/intro.txt
@@ -0,0 +1,3 @@
+====== 杩樺師绠$悊鍣 ======
+
+璇ラ〉闈㈣兘甯姪鎮ㄧ殑椤甸潰浠庡瀮鍦句俊鎭殑鏀诲嚮涓嚜鍔ㄨ繕鍘熻繃鏉ャ 璇峰厛杈撳叆鍏抽敭璇嶆悳绱㈠寘鍚瀮鍦句俊鎭殑椤甸潰锛堝鏌愪釜鍨冨溇淇℃伅鐨 URL锛夛紝鐒跺悗璇风‘瀹氭悳绱㈢粨鏋滅殑纭寘鍚瀮鍦句俊鎭紝骞跺皢鍏惰繕鍘熻嚦鍏堝墠鐨勪慨璁㈢増銆
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/zh/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/zh/lang.php
new file mode 100644
index 000000000..6241b712b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/revert/lang/zh/lang.php
@@ -0,0 +1,20 @@
+<?php
+/**
+ * Chinese(Simplified) language file
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author ZDYX <zhangduyixiong@gmail.com>
+ * @author http://www.chinese-tools.com/tools/converter-tradsimp.html
+ * @author George Sheraton guxd@163.com
+ * @author Simon zhan <simonzhan@21cn.com>
+ * @author mr.jinyi@gmail.com
+ */
+$lang['menu'] = '杩樺師绠$悊鍣';
+$lang['filter'] = '鎼滅储鍖呭惈鍨冨溇淇℃伅鐨勯〉闈';
+$lang['revert'] = '杩樺師閫変腑鐨勯〉闈';
+$lang['reverted'] = '%s 杩樺師鑷充慨璁㈢増 %s';
+$lang['removed'] = '%s 宸茬Щ闄';
+$lang['revstart'] = '宸插紑濮嬭繕鍘熸搷浣溿傛湁鍙兘闇瑕佸緢闀挎椂闂淬傚鏋滆鏃跺櫒鍦ㄨ繕鍘熸搷浣滃畬鎴愬墠鍋滄浜嗭紝璇峰皾璇曡繕鍘熻緝灏戠殑鍐呭銆';
+$lang['revstop'] = '杩樺師鎿嶄綔鎴愬姛瀹屾垚銆';
+$lang['note1'] = '璇锋敞鎰忥細鏈鎼滅储鍖哄垎澶у皬鍐';
+$lang['note2'] = '璇锋敞鎰忥細鏈〉闈㈠皢琚繕鍘熻嚦涓嶅寘鍚粰瀹氬瀮鍦句俊鎭 <i>%s</i> 鐨勬渶杩戠殑淇鐗堛';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/README b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/README
new file mode 100644
index 000000000..21c5dcfae
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/README
@@ -0,0 +1,20 @@
+S5: A Simple Standards-Based Slide Show System
+http://meyerweb.com/eric/tools/s5/
+by Eric Meyer
+
+S5 reloaded version by Christian Effenberger
+
+Additional Themes from
+http://www.yatil.de/s5/download/
+by Martin Hense and Eric Eggert
+
+"dokuwiki" theme based on work by Anika Henke
+
+Additional themes from JesusDA at http://www.jesusda.com/projects/presentaciones_s5
+
+Scriptaculous was developed by Thomas Fuchs, http://script.aculo.us.
+
+Presentacular was developed by Jose Manuel Caicedo, http://cavorite.com/.
+
+The presentation icon was found at
+http://www.linkmatrix.de/index.php?id=icon
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/conf/default.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/conf/default.php
new file mode 100644
index 000000000..cd1f40c86
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/conf/default.php
@@ -0,0 +1,4 @@
+<?php
+
+$conf['template'] = 'dokuwiki';
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/conf/metadata.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/conf/metadata.php
new file mode 100644
index 000000000..7e83909ba
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/conf/metadata.php
@@ -0,0 +1,4 @@
+<?php
+
+$meta['template'] = array('dirchoice','_dir' => DOKU_INC.'lib/plugins/s5/ui/');
+
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/lang/en/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/lang/en/lang.php
new file mode 100644
index 000000000..d38a3633a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/lang/en/lang.php
@@ -0,0 +1,3 @@
+<?php
+
+$lang['view'] = 'View page as slide show';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/lang/ru/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/lang/ru/lang.php
new file mode 100644
index 000000000..3091a7eaf
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/lang/ru/lang.php
@@ -0,0 +1,3 @@
+<?php
+
+$lang['view'] = '小屑芯褌褉械褌褜 褋褌褉邪薪懈褑褍 泻邪泻 褋谢邪泄写-褕芯褍';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/lang/sp/lang.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/lang/sp/lang.php
new file mode 100644
index 000000000..bd8bf02f9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/lang/sp/lang.php
@@ -0,0 +1,3 @@
+<?php
+
+$lang['view'] = 'Mostrar como presentaci贸n';
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/plugin.info.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/plugin.info.txt
new file mode 100644
index 000000000..9692c8d2e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/plugin.info.txt
@@ -0,0 +1,8 @@
+# General Plugin Info do not edit
+base s5reloaded
+author Tomas Alonso
+email t.alonso@oan.es
+date 2010-07-18
+name S5 Reloaded Slideshow Plugin including Presentacular
+desc Display a Wiki page as S5 reloaded slideshow presentation with advanced effects using Presentacular
+url http://www.dokuwiki.org/plugin:s5reloaded
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/renderer.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/renderer.php
new file mode 100644
index 000000000..d0822a1ce
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/renderer.php
@@ -0,0 +1,338 @@
+<?php
+/**
+ * Renderer for XHTML output
+ *
+ * @author Harry Fuecks <hfuecks@gmail.com>
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+// must be run within Dokuwiki
+if(!defined('DOKU_INC')) die();
+
+// we inherit from the XHTML renderer instead directly of the base renderer
+require_once DOKU_INC.'inc/parser/xhtml.php';
+
+/**
+ * The Renderer
+ */
+class renderer_plugin_s5reloaded extends Doku_Renderer_xhtml {
+ var $slideopen = false;
+ var $notesopen = false;
+ var $base='';
+ var $tpl='';
+
+ /**
+ * the format we produce
+ */
+ function getFormat(){
+ // this should be 's5' usally, but we inherit from the xhtml renderer
+ // and produce XHTML as well, so we can gain magically compatibility
+ // by saying we're the 'xhtml' renderer here.
+ return 'xhtml';
+ }
+
+
+ /**
+ * Initialize the rendering
+ */
+ function document_start() {
+ global $ID;
+
+ // call the parent
+ parent::document_start();
+
+ // store the content type headers in metadata
+ $headers = array(
+ 'Content-Type' => 'text/html; charset=utf-8'
+ );
+ p_set_metadata($ID,array('format' => array('s5reloaded' => $headers) ));
+ $this->base = DOKU_MEDIA.'lib/plugins/s5reloaded/ui/';
+/* $this->tpl = $this->getConf('template'); */
+ $this->tpl = isset($_GET['s5theme'])?$_GET['s5theme']:$this->getConf('template');
+ }
+
+ /**
+ * Print the header of the page
+ *
+ * Gets called when the very first H1 header is discovered. It includes
+ * all the S5 CSS and JavaScript magic
+ */
+ function s5reloaded_init($title){
+ global $conf;
+ global $lang;
+ global $INFO;
+ global $ID;
+
+ //throw away any previous content
+ $this->doc = '
+<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN"
+ "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
+<html xmlns="http://www.w3.org/1999/xhtml" xml:lang="'.$conf['lang'].'"
+ lang="'.$conf['lang'].'" dir="'.$lang['direction'].'">
+
+<head>
+<meta http-equiv="Content-Type" content="text/html; charset=utf-8" />
+<title>'.hsc($title).'</title>
+<!-- metadata -->
+<meta name="generator" content="S5" />
+<meta name="version" content="S5 1.3" />
+<meta name="author" content="Eric A. Meyer" />
+<meta name="company" content="Complex Spiral Consulting" />
+<!-- meta extensions -->
+<meta name="subject" content="S5 1.3beta7" />
+<meta name="creator" content="Christian Effenberger" />
+<meta name="contributor" content="youcan[64]netzgesta[46]de" />
+<meta name="publisher" content="s5.netzgesta.de" />
+<meta name="description" content="S5 1.3 is a very flexible and lightweight slide show system available for anyone to use (including transitions and scalable fonts and images)" />
+<meta name="keywords" content="S5, slide show, projection-mode, powerpoint-like, scala-like, keynote-like, incremental display, scalable fonts, scalable images, transitions, notes, osf, xoxo, css, javascript, xhtml, public domain" />
+<!-- configuration parameters -->
+<meta name="defaultView" content="slideshow" />
+<meta name="controlVis" content="hidden" />
+<meta name="tranSitions" content="true" />
+<meta name="fadeDuration" content="500" />
+<meta name="incrDuration" content="250" />
+<!-- configuration autoplay extension -->
+<meta name="autoMatic" content="false" />
+<meta name="playLoop" content="true" />
+<meta name="playDelay" content="10" />
+<!-- configuration audio extension -->
+<meta name="audioSupport" content="true" />
+<meta name="audioVolume" content="100" />
+<meta name="audioError" content="true" />
+<!-- configuration audio debug -->
+<meta name="audioDebug" content="false" />
+<!-- style sheet links -->
+<link rel="stylesheet" href="'.$this->base.$this->tpl.'/slides.css" type="text/css" media="projection" id="slideProj" />
+<link rel="stylesheet" href="'.$this->base.$this->tpl.'/outline.css" type="text/css" media="screen" id="outlineStyle" />
+<link rel="stylesheet" href="'.$this->base.$this->tpl.'/print.css" type="text/css" media="print" id="slidePrint" />
+<link rel="stylesheet" href="'.$this->base.$this->tpl.'/opera.css" type="text/css" media="projection" id="operaFix" />
+<link rel="stylesheet" href="'.$this->base.$this->tpl.'/wrap.css" type="text/css" />
+
+<style type="text/css" media="all">
+.imgcon {width: 100%; margin: 0 auto; padding: 0; text-align: center;}
+#anim {width: 33%; height: 320px; position: relative;}
+#anim img {position: absolute; top: 0px; left: 0px;}
+.logo {margin: 0.2em 0 0 0.15em; padding: 0;}
+.clock {margin: 0.1em 0.2em; padding: 0; position: absolute; top: 0em; right: 0em;}
+.red {color: #C02;}
+</style>
+
+<!-- S5 JS -->
+<script src="'.$this->base.$this->tpl.'/slides.js" type="text/javascript"></script>
+
+<!-- prototype + scriptaculous -->
+<script src="'.$this->base.'effects_support/prototype.js" type="text/javascript"></script>
+<script src="'.$this->base.'effects_support/scriptaculous.js" type="text/javascript"></script>
+
+<!-- Presentaculous JS -->
+<script src="'.$this->base.'effects_support/presentacular.js" type="text/javascript"></script>
+
+<script type="text/javascript" charset="utf-8" src="'.$this->base.'../../asciimath/asciimathml148r.js" ></script>
+
+
+</head>
+<body>
+
+<div class="layout">
+<div id="controls"><!-- DO NOT EDIT --></div>
+<div id="currentSlide"><!-- DO NOT EDIT --></div>
+<div id="header">
+<div class="scale" id="logo" style="background:url('.elgg_get_page_owner_entity()->getIcon('small').') no-repeat;"><!-- DO NOT EDIT --></div>
+</div>
+<div id="footer">
+<h1>'.$title.'</h1>
+<h2>'.hsc($conf['title']).' &#8226; '.strftime($conf['dformat'],$INFO['lastmod']).'</h2>
+<div class="scale" id="logo_bottom"><!-- DO NOT EDIT --></div>
+</div>
+</div>
+<div class="presentation">
+';
+ }
+
+ /**
+ * Closes the document
+ */
+ function document_end(){
+ // we don't care for footnotes and toc
+ // but cleanup is nice
+ $this->doc = preg_replace('#<p>\s*</p>#','',$this->doc);
+
+ if($this->slideopen){
+ $this->doc .= '</div>'.DOKU_LF; //close previous slide
+ }
+ if($this->notesopen){
+ $this->doc .= '</div>'.DOKU_LF; //close notes
+ $this->notesopen = false;
+ }
+ $this->doc .= '</div>
+ </body>
+ </html>';
+ }
+
+ /**
+ * This is what creates new slides
+ *
+ * A new slide is started for each H2 header
+ */
+ function header($text, $level, $pos) {
+ if($level == 1){
+ if(!$this->slideopen){
+ $this->s5reloaded_init($text); // this is the first slide
+ $level = 2;
+ }else{
+ return;
+ }
+ }
+
+ if($level == 2){
+ $this->last_h1 = $text;
+ }
+ if($level > 1){
+ //if($level == 2){
+ if($this->notesopen){
+ $this->doc .= '</div>'.DOKU_LF; //close notes
+ $this->notesopen = false;
+ }
+ $this->doc .= '<div class="slide">'.DOKU_LF;
+ $this->slideopen = true;
+ }
+ $this->doc .= '<h1>';
+ if ($this->last_h1 && $level > 2) {
+ $this->doc .= $this->_xmlEntities($this->last_h1.": ".$text);
+ $this->doc .= '</h1>'.DOKU_LF;
+ /* $this->doc .= '<h'.($level-1).'> ';
+ $this->doc .= '</h'.($level-1).'>'.DOKU_LF;
+ $this->doc .= '<h'.($level-1).'>';
+ $this->doc .= $text;
+ $this->doc .= '</h'.($level-1).'>'.DOKU_LF;*/
+ }
+ else {
+ $this->doc .= $this->_xmlEntities($text);
+ $this->doc .= '</h1>'.DOKU_LF;
+ }
+ }
+
+ /**
+ * Top-Level Sections are slides
+ */
+ function section_open($level) {
+// if($level < 3){
+// $this->doc .= '<div class="slidecontent">'.DOKU_LF;
+// }else{
+// $this->doc .= '<div>'.DOKU_LF;
+// }
+ // we don't use it
+ }
+
+ /**
+ * Throw away footnote
+ */
+ function footnote_close() {
+ // recover footnote into the stack and restore old content
+ $footnote = $this->doc;
+ $this->doc = $this->store;
+ $this->store = '';
+ }
+
+ /**
+ * No acronyms in a presentation
+ */
+ function acronym($acronym){
+ $this->doc .= $this->_xmlEntities($acronym);
+ }
+
+ /**
+ * A line stops the slide and start the handout section
+ */
+ function hr() {
+ $this->doc .= '<div class="notes">'.DOKU_LF;
+ $this->notesopen = true;
+ }
+
+ /**
+ * Renders internal and external media
+ */
+ function _media ($src, $title=NULL, $align=NULL, $width=NULL,
+ $height=NULL, $cache=NULL, $render = true) {
+
+ $ret = '';
+
+ list($ext,$mime,$dl) = mimetype($src);
+ if(substr($mime,0,5) == 'image'){
+ // first get the $title
+ if (!is_null($title)) {
+ $title = $this->_xmlEntities($title);
+ }elseif($ext == 'jpg' || $ext == 'jpeg'){
+ //try to use the caption from IPTC/EXIF
+ require_once(DOKU_INC.'inc/JpegMeta.php');
+ $jpeg =& new JpegMeta(mediaFN($src));
+ if($jpeg !== false) $cap = $jpeg->getTitle();
+ if($cap){
+ $title = $this->_xmlEntities($cap);
+ }
+ }
+ if (!$render) {
+ // if the picture is not supposed to be rendered
+ // return the title of the picture
+ if (!$title) {
+ // just show the sourcename
+ $title = $this->_xmlEntities(basename(noNS($src)));
+ }
+ return $title;
+ }
+ //add image tag
+ $ret .= '<img src="'.ml($src,array('w'=>$width,'h'=>$height,'cache'=>$cache)).'"';
+ $ret .= ' class="scale"';
+
+ // make left/right alignment for no-CSS view work (feeds)
+ if($align == 'right') $ret .= ' align="right"';
+ if($align == 'left') $ret .= ' align="left"';
+
+ if ($title) {
+ $ret .= ' title="' . $title . '"';
+ $ret .= ' alt="' . $title .'"';
+ }else{
+ $ret .= ' alt=""';
+ }
+
+ if ( !is_null($width) )
+ $ret .= ' width="'.$this->_xmlEntities($width).'"';
+
+ if ( !is_null($height) )
+ $ret .= ' height="'.$this->_xmlEntities($height).'"';
+
+ $ret .= ' />';
+
+ }elseif($mime == 'application/x-shockwave-flash'){
+ if (!$render) {
+ // if the flash is not supposed to be rendered
+ // return the title of the flash
+ if (!$title) {
+ // just show the sourcename
+ $title = basename(noNS($src));
+ }
+ return $this->_xmlEntities($title);
+ }
+
+ $att = array();
+ $att['class'] = "media$align";
+ if($align == 'right') $att['align'] = 'right';
+ if($align == 'left') $att['align'] = 'left';
+ $ret .= html_flashobject(ml($src,array('cache'=>$cache)),$width,$height,
+ array('quality' => 'high'),
+ null,
+ $att,
+ $this->_xmlEntities($title));
+ }elseif($title){
+ // well at least we have a title to display
+ $ret .= $this->_xmlEntities($title);
+ }else{
+ // just show the sourcename
+ $ret .= $this->_xmlEntities(basename(noNS($src)));
+ }
+
+ return $ret;
+ }
+
+}
+
+//Setup VIM: ex: et ts=4 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/screen.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/screen.gif
new file mode 100644
index 000000000..0c506e397
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/screen.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/syntax.php b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/syntax.php
new file mode 100644
index 000000000..40b1d0630
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/syntax.php
@@ -0,0 +1,72 @@
+<?php
+/**
+ * S5 Reloaded Plugin: Display a Wiki page as S5 reloaded slideshow presentation
+ *
+ * @license GPL 2 (http://www.gnu.org/licenses/gpl.html)
+ * @author Tomas Alonso <t.alonso@oan.es>, Andreas Gohr <andi@splitbrain.org>
+ */
+// must be run within Dokuwiki
+if(!defined('DOKU_INC')) die();
+
+if(!defined('DOKU_PLUGIN')) define('DOKU_PLUGIN',DOKU_INC.'lib/plugins/');
+require_once(DOKU_PLUGIN.'syntax.php');
+
+/**
+ * All DokuWiki plugins to extend the parser/rendering mechanism
+ * need to inherit from this class
+ */
+class syntax_plugin_s5reloaded extends DokuWiki_Syntax_Plugin {
+
+ /**
+ * What kind of syntax are we?
+ */
+ function getType(){
+ return 'substition';
+ }
+
+ /**
+ * What about paragraphs?
+ */
+ function getPType(){
+ return 'normal';
+ }
+
+ /**
+ * Where to sort in?
+ */
+ function getSort(){
+ return 800;
+ }
+
+
+ /**
+ * Connect pattern to lexer
+ */
+ function connectTo($mode) {
+ $this->Lexer->addSpecialPattern('~~SLIDESHOW[^~]*~~',$mode,'plugin_s5reloaded');
+ }
+
+
+ /**
+ * Handle the match
+ */
+ function handle($match, $state, $pos, &$handler){
+ if($match!='~~SLIDESHOW~~') return array(trim(substr($match,11,-2)));
+ return array();
+ }
+
+ /**
+ * Create output
+ */
+ function render($format, &$renderer, $data) {
+ global $ID;
+ if($format != 'xhtml') return false;
+
+ $renderer->doc .= '<a href="'.exportlink($ID, 's5reloaded',sizeof($data)?array('s5theme'=>$data[0]):null).'" title="'.$this->getLang('view').'">';
+ $renderer->doc .= '<img src="'.DOKU_BASE.'lib/plugins/s5reloaded/screen.gif" align="right" alt="'.$this->getLang('view').'" width="48" height="48" />';
+ $renderer->doc .= '</a>';
+ return true;
+ }
+}
+
+//Setup VIM: ex: et ts=4 enc=utf-8 :
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/audio_support/license.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/audio_support/license.txt
new file mode 100755
index 000000000..1a17182fc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/audio_support/license.txt
@@ -0,0 +1,29 @@
+Software License Agreement (BSD License)
+
+Copyright (c) 2007, Scott Schiller (schillmania.com)
+All rights reserved.
+
+Redistribution and use in source and binary forms, with or without modification,
+are permitted provided that the following conditions are met:
+
+* Redistributions of source code must retain the above copyright notice, this
+ list of conditions and the following disclaimer.
+
+* Redistributions in binary form must reproduce the above copyright notice, this
+ list of conditions and the following disclaimer in the documentation and/or
+ other materials provided with the distribution.
+
+* Neither the name of schillmania.com nor the names of its contributors may be
+ used to endorse or promote products derived from this software without
+ specific prior written permission from schillmania.com.
+
+THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
+ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
+WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
+DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
+ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
+(INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
+LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
+ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
+(INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
+SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/audio_support/null.mp3 b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/audio_support/null.mp3
new file mode 100755
index 000000000..43cc6135d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/audio_support/null.mp3
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/audio_support/soundmanager2.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/audio_support/soundmanager2.js
new file mode 100644
index 000000000..9095b5de3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/audio_support/soundmanager2.js
@@ -0,0 +1,658 @@
+/*
+ SoundManager 2: Javascript Sound for the Web.
+ --------------------------------------------------
+ http://www.schillmania.com/projects/soundmanager2/
+
+ Copyright (c) 2007, Scott Schiller. All rights reserved.
+ Code licensed under the BSD License:
+ http://www.schillmania.com/projects/soundmanager2/license.txt
+
+ Beta V2.0b.20070118
+*/
+
+function SoundManager(smURL,smID) {
+ var self = this;
+ this.enabled = false;
+ this.o = null;
+ this.url = (smURL||s5Path+'ui/audio_support/soundmanager2.swf');
+ this.id = (smID||'sm2movie');
+ this.oMC = null;
+ this.sounds = [];
+ this.soundIDs = [];
+ this.allowPolling = true; // allow flash to poll for status update (required for "while playing", "progress" etc. to work.)
+ this.isIE = (navigator.userAgent.match(/MSIE/));
+ this.isSafari = (navigator.userAgent.match(/safari/i));
+ this._didAppend = false;
+ this._didInit = false;
+ this._disabled = false;
+ this.version = 'V2.0b.20070118';
+
+ this.defaultOptions = {
+ // -----------------
+ 'debugMode': false, // enable debug/status messaging, handy for development/troubleshooting - will be written to a DIV with an ID of "soundmanager-debug", you can use CSS to make it pretty
+ 'autoLoad': false, // enable automatic loading (otherwise .load() will be called on demand with .play(), the latter being nicer on bandwidth - if you want to .load yourself, you also can)
+ 'stream': true, // allows playing before entire file has loaded (recommended)
+ 'autoPlay': false, // enable playing of file as soon as possible (much faster if "stream" is true)
+ 'onid3': null, // callback function for "ID3 data is added/available"
+ 'onload': null, // callback function for "load finished"
+ 'whileloading': null, // callback function for "download progress update" (X of Y bytes received)
+ 'onplay': null, // callback for "play" start
+ 'whileplaying': null, // callback during play (position update)
+ 'onstop': null, // callback for "user stop"
+ 'onfinish': null, // callback function for "sound finished playing"
+ 'onbeforefinish': null, // callback for "before sound finished playing (at [time])"
+ 'onbeforefinishtime': 2000, // offset (milliseconds) before end of sound to trigger beforefinish (eg. 1000 msec = 1 second)
+ 'onbeforefinishcomplete':null, // function to call when said sound finishes playing
+ 'onjustbeforefinish':null, // callback for [n] msec before end of current sound
+ 'onjustbeforefinishtime':200, // [n] - if not using, set to 0 (or null handler) and event will not fire.
+ 'multiShot': true, // let sounds "restart" or layer on top of each other when played multiple times, rather than one-shot/one at a time
+ 'pan': 0, // "pan" settings, left-to-right, -100 to 100
+ 'volume': 100, // self-explanatory. 0-100, the latter being the max.
+ // -----------------
+ 'foo': 'bar' // you don't need to change this one - it's actually an intentional filler.
+ }
+
+ // --- public methods ---
+
+ this.getMovie = function(smID) {
+ // return self.isIE?window[smID]:document[smID];
+ return self.isIE?window[smID]:(self.isSafari?document[smID+'-embed']:document.getElementById(smID+'-embed'));
+ }
+
+ this.loadFromXML = function(sXmlUrl) {
+ try {
+ self.o._loadFromXML(sXmlUrl);
+ } catch(e) {
+ self._failSafely();
+ return true;
+ }
+ }
+
+ this.createSound = function(oOptions) {
+ if (!self._didInit) throw new Error('soundManager.createSound(): Not loaded yet - wait for soundManager.onload() before calling sound-related methods');
+ if (arguments.length==2) {
+ // function overloading in JS! :) ..assume simple createSound(id,url) use case
+ oOptions = {'id':arguments[0],'url':arguments[1]}
+ }
+ var thisOptions = self._mergeObjects(oOptions);
+ self._writeDebug('soundManager.createSound(): "<a href="#" onclick="soundManager.play(\''+thisOptions.id+'\');return false" title="play this sound">'+thisOptions.id+'</a>" ('+thisOptions.url+')');
+ if (self._idCheck(thisOptions.id,true)) {
+ self._writeDebug('sound '+thisOptions.id+' already defined - exiting');
+ return false;
+ }
+ self.sounds[thisOptions.id] = new SMSound(self,thisOptions);
+ self.soundIDs[self.soundIDs.length] = thisOptions.id;
+ try {
+ self.o._createSound(thisOptions.id,thisOptions.onjustbeforefinishtime);
+ } catch(e) {
+ self._failSafely();
+ return true;
+ }
+ if (thisOptions.autoLoad || thisOptions.autoPlay) self.sounds[thisOptions.id].load(thisOptions);
+ if (thisOptions.autoPlay) self.sounds[thisOptions.id].playState = 1; // we can only assume this sound will be playing soon.
+ }
+
+ this.load = function(sID,oOptions) {
+ if (!self._idCheck(sID)) return false;
+ self.sounds[sID].load(oOptions);
+ }
+
+ this.unload = function(sID) {
+ if (!self._idCheck(sID)) return false;
+ self.sounds[sID].unload();
+ }
+
+ this.play = function(sID,oOptions) {
+ if (!self._idCheck(sID)) {
+ if (typeof oOptions != 'Object') oOptions = {url:oOptions}; // overloading use case: play('mySound','/path/to/some.mp3');
+ if (oOptions && oOptions.url) {
+ // overloading use case, creation + playing of sound: .play('someID',{url:'/path/to.mp3'});
+ self._writeDebug('soundController.play(): attempting to create "'+sID+'"');
+ oOptions.id = sID;
+ self.createSound(oOptions);
+ } else {
+ return false;
+ }
+ }
+ self.sounds[sID].play(oOptions);
+ }
+
+ this.start = this.play; // just for convenience
+
+ this.setPosition = function(sID,nMsecOffset) {
+ if (!self._idCheck(sID)) return false;
+ self.sounds[sID].setPosition(nMsecOffset);
+ }
+
+ this.stop = function(sID) {
+ if (!self._idCheck(sID)) return false;
+ self._writeDebug('soundManager.stop('+sID+')');
+ self.sounds[sID].stop();
+ }
+
+ this.stopAll = function() {
+ for (var oSound in self.sounds) {
+ if (oSound instanceof SMSound) oSound.stop(); // apply only to sound objects
+ }
+ }
+
+ this.pause = function(sID) {
+ if (!self._idCheck(sID)) return false;
+ self.sounds[sID].pause();
+ }
+
+ this.resume = function(sID) {
+ if (!self._idCheck(sID)) return false;
+ self.sounds[sID].resume();
+ }
+
+ this.togglePause = function(sID) {
+ if (!self._idCheck(sID)) return false;
+ self.sounds[sID].togglePause();
+ }
+
+ this.setPan = function(sID,nPan) {
+ if (!self._idCheck(sID)) return false;
+ self.sounds[sID].setPan(nPan);
+ }
+
+ this.setVolume = function(sID,nVol) {
+ if (!self._idCheck(sID)) return false;
+ self.sounds[sID].setVolume(nVol);
+ }
+
+ this.setPolling = function(bPolling) {
+ if (!self.o || !self.allowPolling) return false;
+ self._writeDebug('soundManager.setPolling('+bPolling+')');
+ self.o._setPolling(bPolling);
+ }
+
+ this.disable = function() {
+ // destroy all functions
+ if (self._disabled) return false;
+ self._disabled = true;
+ self._writeDebug('soundManager.disable(): Disabling all functions - future calls will return false.');
+ for (var i=self.soundIDs.length; i--;) {
+ self._disableObject(self.sounds[self.soundIDs[i]]);
+ }
+ self.initComplete(); // fire "complete", despite fail
+ self._disableObject(self);
+ }
+
+ this.getSoundById = function(sID,suppressDebug) {
+ if (!sID) throw new Error('SoundManager.getSoundById(): sID is null/undefined');
+ var result = self.sounds[sID];
+ if (!result && !suppressDebug) {
+ self._writeDebug('"'+sID+'" is an invalid sound ID.');
+ // soundManager._writeDebug('trace: '+arguments.callee.caller);
+ }
+ return result;
+ }
+
+ this.onload = function() {
+ onloadSM2();
+ // window.onload() equivalent for SM2, ready to create sounds etc.
+ // this is a stub - you can override this in your own external script, eg. soundManager.onload = function() {}
+ // soundManager._writeDebug('<em>Warning</em>: soundManager.onload() is undefined.');
+ }
+
+ this.onerror = function() {
+ onerrorSM2();
+ // stub for user handler, called when SM2 fails to load/init
+ }
+
+ // --- "private" methods ---
+
+ this._idCheck = this.getSoundById;
+
+ this._disableObject = function(o) {
+ for (var oProp in o) {
+ if (typeof o[oProp] == 'function') o[oProp] = function(){return false;}
+ }
+ oProp = null;
+ }
+
+ this._failSafely = function() {
+ // exception handler for "object doesn't support this property or method"
+ var flashCPLink = 'http://www.macromedia.com/support/documentation/en/flashplayer/help/settings_manager04.html';
+ var fpgssTitle = 'You may need to whitelist this location/domain eg. file://C:/ or C:/ or mysite.com, or set ALWAYS ALLOW under the Flash Player Global Security Settings page. Note that this seems to apply only to file system viewing.';
+ var flashCPL = '<a href="'+flashCPLink+'" title="'+fpgssTitle+'">view/edit</a>';
+ var FPGSS = '<a href="'+flashCPLink+'" title="Flash Player Global Security Settings">FPGSS</a>';
+ if (!self._disabled) {
+ self._writeDebug('soundManager: JS-&gt;Flash communication failed. Possible causes: flash/browser security restrictions ('+flashCPL+'), insufficient browser/plugin support, or .swf not found');
+ self._writeDebug('Verify that the movie path of <em>'+self.url+'</em> is correct (<a href="'+self.url+'" title="If you get a 404/not found, fix it!">test link</a>)');
+ if (self._didAppend) {
+ if (!document.domain) {
+ self._writeDebug('Loading from local file system? (document.domain appears to be null, this location may need whitelisting by '+FPGSS+')');
+ self._writeDebug('Possible security/domain restrictions ('+flashCPL+'), should work when served by http on same domain');
+ }
+ // self._writeDebug('Note: Movie added via JS method, static object/embed in-page markup method may work instead.');
+ }
+ self.disable();
+ }
+ }
+
+ this._createMovie = function(smID,smURL) {
+ var useDOM = !self.isIE; // IE needs document.write() to work, long story short - lame
+ if (window.location.href.indexOf('debug=1')+1) self.defaultOptions.debugMode = true; // allow force of debug mode via URL
+ var html = ['<object classid="clsid:d27cdb6e-ae6d-11cf-96b8-444553540000" codebase="http://fpdownload.macromedia.com/pub/shockwave/cabs/flash/swflash.cab#version=8,0,0,0" width="16" height="16" id="'+smID+'"><param name="movie" value="'+smURL+'"><param name="quality" value="high"><param name="allowScriptAccess" value="always" /></object>','<embed name="'+smID+'-embed" id="'+smID+'-embed" src="'+smURL+'" width="1" height="1" quality="high" allowScriptAccess="always" pluginspage="http://www.macromedia.com/go/getflashplayer" type="application/x-shockwave-flash"></embed>'];
+ var debugID = 'soundmanager-debug';
+ var debugHTML = '<div id="'+debugID+'" style="display:'+(self.defaultOptions.debugMode?'block':'none')+'"></div>';
+ if (useDOM) {
+ self.oMC = document.createElement('div');
+ self.oMC.className = 'movieContainer';
+ // "hide" flash movie
+ self.oMC.style.position = 'absolute';
+ self.oMC.style.left = '-256px';
+ self.oMC.style.width = '1px';
+ self.oMC.style.height = '1px';
+ self.oMC.innerHTML = html[self.isIE?0:1];
+ var oTarget = (!self.isIE && document.body?document.body:document.getElementsByTagName('div')[0]);
+ oTarget.appendChild(self.oMC); // append to body here can throw "operation aborted" under IE
+ if (!document.getElementById(debugID)) {
+ var oDebug = document.createElement('div');
+ oDebug.id = debugID;
+ oDebug.style.display = (self.defaultOptions.debugMode?'block':'none');
+ oTarget.appendChild(oDebug);
+ }
+ oTarget = null;
+ } else {
+ // IE method - local file system, may cause strange JS error at line 53?
+ // I hate this method, but it appears to be the only one that will work (createElement works, but JS->Flash communication oddly fails when viewing locally.)
+ // Finally, IE doesn't do application/xhtml+xml yet (thus document.write() is still minimally acceptable here.)
+ if (document.getElementById(debugID)) debugHTML = ''; // avoid overwriting
+ document.write('<div style="position:absolute;left:-256px;top:-256px;width:1px;height:1px" class="movieContainer">'+html[self.isIE?0:1]+'</div>'+debugHTML);
+ }
+ self._didAppend = true;
+ self._writeDebug('-- SoundManager 2 Version '+self.version.substr(1)+' --');
+ self._writeDebug('soundManager._createMovie(): trying to load <a href="'+smURL+'" title="Test this link (404=bad)">'+smURL+'</a>');
+ }
+
+ this._writeDebug = function(sText) {
+ if (!self.defaultOptions.debugMode) return false;
+ var sDID = 'soundmanager-debug';
+ try {
+ var o = document.getElementById(sDID);
+ if (!o) {
+ // attempt to create soundManager debug element
+ var oNew = document.createElement('div');
+ oNew.id = sDID;
+ // o = document.body.appendChild(oNew);
+ o = null;
+ if (!o) return false;
+ }
+ var p = document.createElement('div');
+ p.innerHTML = sText;
+ // o.appendChild(p); // top-to-bottom
+ o.insertBefore(p,o.firstChild); // bottom-to-top
+ } catch(e) {
+ // oh well
+ }
+ o = null;
+ }
+
+ this._debug = function() {
+ self._writeDebug('soundManager._debug(): sounds by id/url:');
+ for (var i=0,j=self.soundIDs.length; i<j; i++) {
+ self._writeDebug(self.sounds[self.soundIDs[i]].sID+' | '+self.sounds[self.soundIDs[i]].url);
+ }
+ }
+
+ this._mergeObjects = function(oMain,oAdd) {
+ // non-destructive merge
+ var o1 = oMain;
+ var o2 = (typeof oAdd == 'undefined'?self.defaultOptions:oAdd);
+ for (var o in o2) {
+ if (typeof o1[o] == 'undefined') o1[o] = o2[o];
+ }
+ return o1;
+ }
+
+ this.createMovie = function(sURL) {
+ self._writeDebug('soundManager.createMovie('+(sURL||'')+')');
+ if (sURL) self.url = sURL;
+ self._initMovie();
+ }
+
+ this._initMovie = function() {
+ // attempt to get, or create, movie
+ if (self.o) return false; // pre-init may have fired this function before window.onload(), may already exist
+ self.o = self.getMovie(self.id); // try to get flash movie (inline markup)
+ if (!self.o) {
+ // try to create
+ self._createMovie(self.id,self.url);
+ self.o = self.getMovie(self.id);
+ }
+ if (!self.o) {
+ self._writeDebug('SoundManager(): Could not find object/embed element. Sound will be disabled.');
+ self.disable();
+ } else {
+ self._writeDebug('SoundManager(): Got '+self.o.nodeName+' element ('+(self._didAppend?'created via JS':'static HTML')+')');
+ }
+ }
+
+ this.initComplete = function() {
+ if (self._didInit) return false;
+ self._didInit = true;
+ self._writeDebug('-- SoundManager 2 '+(self._disabled?'failed to load':'loaded')+' ('+(self._disabled?'security/load error':'OK')+') --');
+ if (self._disabled) {
+ self._writeDebug('soundManager.initComplete(): calling soundManager.onerror()');
+ self.onerror.apply(window);
+ return false;
+ }
+ self._writeDebug('soundManager.initComplete(): calling soundManager.onload()');
+ try {
+ // call user-defined "onload", scoped to window
+ self.onload.apply(window);
+ } catch(e) {
+ // something broke (likely JS error in user function)
+ self._writeDebug('soundManager.onload() threw an exception: '+e.message);
+ throw e; // (so browser/console gets message)
+ }
+ self._writeDebug('soundManager.onload() complete');
+ }
+
+ this.init = function() {
+ // called after onload()
+ self._initMovie();
+ // event cleanup
+ if (window.removeEventListener) {
+ window.removeEventListener('load',self.beginInit,false);
+ } else if (window.detachEvent) {
+ window.detachEvent('onload',self.beginInit);
+ }
+ try {
+ self.o._externalInterfaceTest(); // attempt to talk to Flash
+ self._writeDebug('Flash ExternalInterface call (JS -&gt; Flash) succeeded.');
+ if (!self.allowPolling) self._writeDebug('Polling (whileloading/whileplaying support) is disabled.');
+ self.setPolling(true);
+ self.enabled = true;
+ } catch(e) {
+ self._failSafely();
+ self.initComplete();
+ return false;
+ }
+ self.initComplete();
+ }
+
+ this.beginInit = function() {
+ self._initMovie();
+ setTimeout(self.init,1000); // some delay required, otherwise JS<->Flash/ExternalInterface communication fails under non-IE (?!)
+ }
+
+ this.destruct = function() {
+ if (self.isSafari) {
+ /* --
+ Safari 1.3.2 (v312.6)/OSX 10.3.9 and perhaps newer will crash if a sound is actively loading when user exits/refreshes/leaves page
+ (Apparently related to ExternalInterface making calls to an unloading/unloaded page?)
+ Unloading sounds (detaching handlers and so on) may help to prevent this
+ -- */
+ for (var i=self.soundIDs.length; i--;) {
+ if (self.sounds[self.soundIDs[i]].readyState == 1) self.sounds[self.soundIDs[i]].unload();
+ }
+ }
+ self.disable();
+ // self.o = null;
+ // self.oMC = null;
+ }
+
+}
+
+function SMSound(oSM,oOptions) {
+ var self = this;
+ var sm = oSM;
+ this.sID = oOptions.id;
+ this.url = oOptions.url;
+ this.options = sm._mergeObjects(oOptions);
+ this.id3 = {
+ /*
+ Name/value pairs set via Flash when available - see reference for names:
+ http://livedocs.macromedia.com/flash/8/main/wwhelp/wwhimpl/common/html/wwhelp.htm?context=LiveDocs_Parts&file=00001567.html
+ (eg., this.id3.songname or this.id3['songname'])
+ */
+ }
+
+ self.resetProperties = function(bLoaded) {
+ self.bytesLoaded = null;
+ self.bytesTotal = null;
+ self.position = null;
+ self.duration = null;
+ self.durationEstimate = null;
+ self.loaded = false;
+ self.loadSuccess = null;
+ self.playState = 0;
+ self.paused = false;
+ self.readyState = 0; // 0 = uninitialised, 1 = loading, 2 = failed/error, 3 = loaded/success
+ self.didBeforeFinish = false;
+ self.didJustBeforeFinish = false;
+ }
+
+ self.resetProperties();
+
+ // --- public methods ---
+
+ this.load = function(oOptions) {
+ self.loaded = false;
+ self.loadSuccess = null;
+ self.readyState = 1;
+ self.playState = (oOptions.autoPlay||false); // if autoPlay, assume "playing" is true (no way to detect when it actually starts in Flash unless onPlay is watched?)
+ var thisOptions = sm._mergeObjects(oOptions);
+ if (typeof thisOptions.url == 'undefined') thisOptions.url = self.url;
+ try {
+ sm._writeDebug('loading '+thisOptions.url);
+ sm.o._load(self.sID,thisOptions.url,thisOptions.stream,thisOptions.autoPlay,thisOptions.whileloading?1:0);
+ } catch(e) {
+ sm._writeDebug('SMSound().load(): JS-&gt;Flash communication failed.');
+ }
+ }
+
+ this.unload = function() {
+ // Flash 8/AS2 can't "close" a stream - fake it by loading an empty MP3
+ sm._writeDebug('SMSound().unload()');
+ self.setPosition(0); // reset current sound positioning
+ sm.o._unload(self.sID,s5Path+'ui/audio_support/null.mp3');
+ // reset load/status flags
+ self.resetProperties();
+ }
+
+ this.play = function(oOptions) {
+ if (!oOptions) oOptions = {};
+
+ // --- TODO: make event handlers specified via oOptions only apply to this instance of play() (eg. onfinish applies but will reset to default on finish)
+ if (oOptions.onfinish) self.options.onfinish = oOptions.onfinish;
+ if (oOptions.onbeforefinish) self.options.onbeforefinish = oOptions.onbeforefinish;
+ if (oOptions.onjustbeforefinish) self.options.onjustbeforefinish = oOptions.onjustbeforefinish;
+ // ---
+
+ var thisOptions = sm._mergeObjects(oOptions);
+ if (self.playState == 1) {
+ // var allowMulti = typeof oOptions.multiShot!='undefined'?oOptions.multiShot:sm.defaultOptions.multiShot;
+ var allowMulti = thisOptions.multiShot;
+ if (!allowMulti) {
+ sm._writeDebug('SMSound.play(): "'+self.sID+'" already playing? (one-shot)');
+ return false;
+ } else {
+ sm._writeDebug('SMSound.play(): "'+self.sID+'" already playing (multi-shot)');
+ }
+ }
+ if (!self.loaded) {
+ if (self.readyState == 0) {
+ sm._writeDebug('SMSound.play(): .play() before load request. Attempting to load "'+self.sID+'"');
+ // try to get this sound playing ASAP
+ thisOptions.stream = true;
+ thisOptions.autoPlay = true;
+ // TODO: need to investigate when false, double-playing
+ // if (typeof oOptions.autoPlay=='undefined') thisOptions.autoPlay = true; // only set autoPlay if unspecified here
+ self.load(thisOptions); // try to get this sound playing ASAP
+ } else if (self.readyState == 2) {
+ sm._writeDebug('SMSound.play(): Could not load "'+self.sID+'" - exiting');
+ return false;
+ } else {
+ sm._writeDebug('SMSound.play(): "'+self.sID+'" is loading - attempting to play..');
+ }
+ } else {
+ sm._writeDebug('SMSound.play(): "'+self.sID+'"');
+ }
+ if (self.paused) {
+ self.resume();
+ } else {
+ self.playState = 1;
+ self.position = (thisOptions.offset||0);
+ if (thisOptions.onplay) thisOptions.onplay.apply(self);
+ self.setVolume(thisOptions.volume);
+ self.setPan(thisOptions.pan);
+ if (!thisOptions.autoPlay) {
+ sm._writeDebug('starting sound '+self.sID);
+ sm.o._start(self.sID,thisOptions.loop||1,self.position); // TODO: verify !autoPlay doesn't cause issue
+ }
+ }
+ }
+
+ this.start = this.play; // just for convenience
+
+ this.stop = function(bAll) {
+ if (self.playState == 1) {
+ self.playState = 0;
+ self.paused = false;
+ if (sm.defaultOptions.onstop) sm.defaultOptions.onstop.apply(self);
+ sm.o._stop(self.sID);
+ }
+ }
+
+ this.setPosition = function(nMsecOffset) {
+ // sm._writeDebug('setPosition('+nMsecOffset+')');
+ sm.o._setPosition(self.sID,nMsecOffset/1000,self.paused||!self.playState); // if paused or not playing, will not resume (by playing)
+ }
+
+ this.pause = function() {
+ if (self.paused) return false;
+ sm._writeDebug('SMSound.pause()');
+ self.paused = true;
+ sm.o._pause(self.sID);
+ }
+
+ this.resume = function() {
+ if (!self.paused) return false;
+ sm._writeDebug('SMSound.resume()');
+ self.paused = false;
+ sm.o._pause(self.sID); // flash method is toggle-based (pause/resume)
+ }
+
+ this.togglePause = function() {
+ // if playing, pauses - if paused, resumes playing.
+ sm._writeDebug('SMSound.togglePause()');
+ if (!self.playState) {
+ // self.setPosition();
+ self.play({offset:self.position/1000});
+ return false;
+ }
+ if (self.paused) {
+ sm._writeDebug('SMSound.togglePause(): resuming..');
+ self.resume();
+ } else {
+ sm._writeDebug('SMSound.togglePause(): pausing..');
+ self.pause();
+ }
+ }
+
+ this.setPan = function(nPan) {
+ if (typeof nPan == 'undefined') nPan = 0;
+ sm.o._setPan(self.sID,nPan);
+ self.options.pan = nPan;
+ }
+
+ this.setVolume = function(nVol) {
+ if (typeof nVol == 'undefined') nVol = 100;
+ sm.o._setVolume(self.sID,nVol);
+ self.options.volume = nVol;
+ }
+
+ // --- "private" methods called by Flash ---
+
+ this._whileloading = function(nBytesLoaded,nBytesTotal,nDuration) {
+ self.bytesLoaded = nBytesLoaded;
+ self.bytesTotal = nBytesTotal;
+ self.duration = nDuration;
+ self.durationEstimate = parseInt((self.bytesTotal/self.bytesLoaded)*self.duration); // estimate total time (will only be accurate with CBR MP3s.)
+ if (self.readyState != 3 && self.options.whileloading) self.options.whileloading.apply(self);
+ // soundManager._writeDebug('duration/durationEst: '+self.duration+' / '+self.durationEstimate);
+ }
+
+ this._onid3 = function(oID3PropNames,oID3Data) {
+ // oID3PropNames: string array (names)
+ // ID3Data: string array (data)
+ sm._writeDebug('SMSound()._onid3(): "'+this.sID+'" ID3 data received.');
+ var oData = [];
+ for (var i=0,j=oID3PropNames.length; i<j; i++) {
+ oData[oID3PropNames[i]] = oID3Data[i];
+ // sm._writeDebug(oID3PropNames[i]+': '+oID3Data[i]);
+ }
+ self.id3 = sm._mergeObjects(self.id3,oData);
+ if (self.options.onid3) self.options.onid3.apply(self);
+ }
+
+ this._whileplaying = function(nPosition) {
+ if (isNaN(nPosition) || nPosition == null) return false; // Flash may return NaN at times
+ self.position = nPosition;
+ if (self.playState == 1) {
+ if (self.options.whileplaying) self.options.whileplaying.apply(self); // flash may call after actual finish
+ if (self.loaded && self.options.onbeforefinish && self.options.onbeforefinishtime && !self.didBeforeFinish && self.duration-self.position <= self.options.onbeforefinishtime) {
+ sm._writeDebug('duration-position &lt;= onbeforefinishtime: '+self.duration+' - '+self.position+' &lt= '+self.options.onbeforefinishtime+' ('+(self.duration-self.position)+')');
+ self._onbeforefinish();
+ }
+ }
+ }
+
+ this._onload = function(bSuccess) {
+ bSuccess = (bSuccess==1?true:false);
+ sm._writeDebug('SMSound._onload(): "'+self.sID+'"'+(bSuccess?' loaded.':' failed to load (or loaded from cache - weird bug) - [<a href="'+self.url+'">test URL</a>]'));
+ self.loaded = bSuccess;
+ self.loadSuccess = bSuccess;
+ self.readyState = bSuccess?3:2;
+ if (self.options.onload) self.options.onload.apply(self);
+ }
+
+ this._onbeforefinish = function() {
+ if (!self.didBeforeFinish) {
+ self.didBeforeFinish = true;
+ if (self.options.onbeforefinish) self.options.onbeforefinish.apply(self);
+ }
+ }
+
+ this._onjustbeforefinish = function(msOffset) {
+ // msOffset: "end of sound" delay actual value (eg. 200 msec, value at event fire time was 187)
+ if (!self.didJustBeforeFinish) {
+ self.didJustBeforeFinish = true;
+ soundManager._writeDebug('SMSound._onjustbeforefinish()');
+ if (self.options.onjustbeforefinish) self.options.onjustbeforefinish.apply(self);;
+ }
+ }
+
+ this._onfinish = function() {
+ // sound has finished playing
+ sm._writeDebug('SMSound._onfinish(): "'+self.sID+'" finished playing');
+ self.playState = 0;
+ self.paused = false;
+ if (self.options.onfinish) self.options.onfinish.apply(self);
+ if (self.options.onbeforefinishcomplete) self.options.onbeforefinishcomplete.apply(self);
+ // reset some state items
+ self.setPosition(0);
+ self.didBeforeFinish = false;
+ self.didJustBeforeFinish = false;
+ }
+
+}
+
+var soundManager = new SoundManager();
+
+// attach onload handler
+if (window.addEventListener) {
+ window.addEventListener('load',soundManager.beginInit,false);
+ window.addEventListener('beforeunload',soundManager.destruct,false);
+} else if (window.attachEvent) {
+ window.attachEvent('onload',soundManager.beginInit);
+ window.attachEvent('beforeunload',soundManager.destruct);
+} else {
+ // no add/attachevent support - safe to assume no JS->Flash either.
+ soundManager.disable();
+} \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/audio_support/soundmanager2.swf b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/audio_support/soundmanager2.swf
new file mode 100755
index 000000000..234a83343
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/audio_support/soundmanager2.swf
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/audio_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/audio_link.png
new file mode 100644
index 000000000..daa740c40
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/audio_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/audio_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/audio_on.png
new file mode 100644
index 000000000..cd590aae9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/audio_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/audio_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/audio_over.png
new file mode 100644
index 000000000..cf537a008
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/audio_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/auto_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/auto_link.png
new file mode 100644
index 000000000..1c2ca4817
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/auto_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/auto_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/auto_on.png
new file mode 100644
index 000000000..4b0c923b8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/auto_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/auto_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/auto_over.png
new file mode 100644
index 000000000..dbea88975
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/auto_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/backgrnd.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/backgrnd.png
new file mode 100644
index 000000000..0e48413d3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/backgrnd.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/bluebg.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/bluebg.gif
new file mode 100644
index 000000000..909490511
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/bluebg.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/bluebottom.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/bluebottom.gif
new file mode 100644
index 000000000..938a0795c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/bluebottom.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/bluefooter.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/bluefooter.gif
new file mode 100644
index 000000000..6fe83f2c7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/bluefooter.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/delay_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/delay_link.png
new file mode 100644
index 000000000..c4d99cd57
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/delay_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/exit_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/exit_link.png
new file mode 100644
index 000000000..1bfd38790
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/exit_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/exit_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/exit_over.png
new file mode 100644
index 000000000..0fdd1c605
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/exit_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/fade_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/fade_link.png
new file mode 100644
index 000000000..482e4d53e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/fade_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/fade_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/fade_on.png
new file mode 100644
index 000000000..e12561fb2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/fade_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/fade_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/fade_over.png
new file mode 100644
index 000000000..fb8943c98
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/fade_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/footer.jpg b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/footer.jpg
new file mode 100644
index 000000000..49370dbba
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/footer.jpg
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/framing.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/framing.css
new file mode 100755
index 000000000..14d8509e9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/framing.css
@@ -0,0 +1,23 @@
+/* The following styles size, place, and layer the slide components.
+ Edit these if you want to change the overall slide layout.
+ The commented lines can be uncommented (and modified, if necessary)
+ to help you with the rearrangement process. */
+
+/* target = 1024x768 */
+
+div#header, div#footer, .slide {width: 100%; top: 0; left: 0;}
+div#header {top: 0; height: 3em; z-index: 1;}
+div#footer {top: auto; bottom: 0; height: 2.5em; z-index: 5;}
+.slide {top: 0; width: 92%; padding: 3.5em 4% 4%; z-index: 2; list-style: none;}
+div#controls {left: 50%; bottom: 0; width: 50%; z-index: 100;}
+div#controls form {position: absolute; bottom: 0; right: 0; width: 100%;
+ margin: 0;}
+#currentSlide {position: absolute; width: 10%; left: 45%; bottom: 1em; z-index: 10;}
+html>body #currentSlide {position: fixed;}
+
+/*
+div#header {background: #FCC;}
+div#footer {background: #CCF;}
+div#controls {background: #BBD;}
+div#currentSlide {background: #FFC;}
+*/
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/header.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/header.png
new file mode 100644
index 000000000..929dabba1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/header.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/last_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/last_link.png
new file mode 100644
index 000000000..4f158d35d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/last_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/last_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/last_over.png
new file mode 100644
index 000000000..a7b629995
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/last_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/list_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/list_link.png
new file mode 100644
index 000000000..7e299bb50
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/list_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/loop_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/loop_link.png
new file mode 100644
index 000000000..41e12cb15
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/loop_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/loop_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/loop_on.png
new file mode 100644
index 000000000..2ffcacae1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/loop_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/loop_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/loop_over.png
new file mode 100644
index 000000000..9fe420153
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/loop_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/next_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/next_link.png
new file mode 100644
index 000000000..2b9f44c4d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/next_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/next_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/next_over.png
new file mode 100644
index 000000000..25788124d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/next_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/notes_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/notes_link.png
new file mode 100644
index 000000000..bb4a8ae37
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/notes_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/notes_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/notes_over.png
new file mode 100644
index 000000000..c6bfe69fc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/notes_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/opera.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/opera.css
new file mode 100755
index 000000000..9e9d2a3c5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/opera.css
@@ -0,0 +1,7 @@
+/* DO NOT CHANGE THESE unless you really want to break Opera Show */
+.slide {
+ visibility: visible !important;
+ position: static !important;
+ page-break-before: always;
+}
+#slide0 {page-break-before: avoid;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/outline.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/outline.css
new file mode 100755
index 000000000..62db519ed
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/outline.css
@@ -0,0 +1,15 @@
+/* don't change this unless you want the layout stuff to show up in the outline view! */
+
+.layout div, #footer *, #controlForm * {display: none;}
+#footer, #controls, #controlForm, #navLinks, #toggle {
+ display: block; visibility: visible; margin: 0; padding: 0;}
+#toggle {float: right; padding: 0.5em;}
+html>body #toggle {position: fixed; top: 0; right: 0;}
+
+/* making the outline look pretty-ish */
+
+#slide0 h1, #slide0 h2, #slide0 h3, #slide0 h4 {border: none; margin: 0;}
+#slide0 h1 {padding-top: 1.5em;}
+.slide h1 {margin: 1.5em 0 0; padding-top: 0.25em;
+ border-top: 1px solid #888; border-bottom: 1px solid #AAA;}
+#toggle {border: 1px solid; border-width: 0 0 1px 1px; background: #FFF;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/pause_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/pause_link.png
new file mode 100644
index 000000000..2561cb4a4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/pause_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/pause_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/pause_on.png
new file mode 100644
index 000000000..2fc9c4a4a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/pause_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/pause_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/pause_over.png
new file mode 100644
index 000000000..7a7c80ddc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/pause_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/pretty.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/pretty.css
new file mode 100644
index 000000000..48b1657fd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/pretty.css
@@ -0,0 +1,173 @@
+/* Blue Theme 2004 by Martin Hense ::: www.lounge7.de */
+
+/* Following are the presentation styles -- edit away!
+ Note that the 'body' font size may have to be changed if the resolution is
+ different than expected. */
+
+body {background: #000294 url(bluebottom.gif) right bottom no-repeat; color: #fff; font-size: 1.8em;}
+:link, :visited {text-decoration: none; color: #F8B73E;}
+h1, h2, h3, h4 {font-size: 100%; margin: 0; padding: 0; font-weight: inherit;}
+ul, pre {margin: 0; line-height: 1em;}
+html, body {margin: 0; padding: 0;}
+
+blockquote, q {font-style: italic;}
+blockquote {padding: 0 2em 0.5em; margin: 0 1.5em 0.5em; text-align: center; font-size: 1em;}
+blockquote p {margin: 0;}
+blockquote i {font-style: normal;}
+blockquote b {display: block; margin-top: 0.5em; font-weight: normal; font-size: smaller; font-style: normal;}
+blockquote b i {font-style: italic;}
+
+kbd {font-weight: bold; font-size: 1em;}
+sup {font-size: smaller; line-height: 1px;}
+
+code {padding: 2px 0.25em; font-weight: bold; color: #AAABF8;}
+code.bad, code del {color: red;}
+code.old {color: silver;}
+pre {padding: 0; margin: 0.25em 0 0.5em 0.5em; color: #533; font-size: 90%;}
+pre code {display: block;}
+ul {margin-left: 5%; margin-right: 7%; list-style: disc;}
+li {margin-top: 0.75em; margin-right: 0;}
+ul ul {line-height: 1;}
+ul ul li {margin: .2em; font-size: 85%; list-style: square;}
+img.leader {display: block; margin: 0 auto;}
+
+div#header, div#footer {background: #005; color: #9183BF;
+ font-family: Verdana, Helvetica, sans-serif;}
+div#header {background: #005 url(bodybg.gif) -16px 0 no-repeat;
+ line-height: 1px;}
+div#footer {font-size: 0.5em; font-weight: bold; padding: 1em 0; height: 36px; border-top: 1px solid #08093F; background: #000136 url(bluefooter.gif) top right no-repeat; }
+#footer h1, #footer h2 {display: block; padding: 0 1em;}
+#footer h2 {font-style: italic;}
+
+div.long {font-size: 0.75em;}
+.slide {
+ font-family: georgia, Times, 'Times New Roman', serif;
+ background: transparent url(bluebg.gif) repeat-x;
+}
+.slide h1 {position: absolute; left: 87px; z-index: 1;
+ white-space: nowrap;
+ text-transform: capitalize;
+ top: 1em; width: 80%;
+ margin: 0 auto; text-align: center; padding: 0;
+ font: 150%/1em georgia, Times, 'Times New Roman', serif;
+ color: #fff; background: transparent;
+ }
+.slide h3 {font-size: 130%;}
+h1 abbr {font-variant: small-caps;}
+
+#currentSlide {text-align: center; font-size: 0.5em; color: #9183BF; font-family: Verdana, Helvetica, sans-serif;}
+
+#slide0 {padding-top: 3.5em; font-size: 90%;}
+#slide0 h1 {position: static; margin: 1em 0 1.33em; padding: 0;
+ white-space: normal;
+ background: transparent;
+margin: 0 auto; width: 75%; text-align: center;
+ font: 2.5em Georgia, Times, 'Times New Roman', serif; height: 281px;
+ color: #fff;}
+#slide0 h3 {font-size: 1.5em;}
+#slide0 h4 {font-size: 1em;}
+#slide0 h3, #slide0 h4, #slide0 p {margin: 0; text-align: center; color: #fff;}
+#slide0 p {margin-top: 0.7em;}
+
+ul.urls {list-style: none; display: inline; margin: 0;}
+.urls li {display: inline; margin: 0;}
+.notes {display: none;}
+
+div#controls {position: absolute; left: 0; bottom: 0; width: 100%; text-align: center; font: bold 1em Verdana, Arial, Helvetica, sans-serif;}
+html>body div#controls {background: transparent; position: fixed; padding: 0; top: auto; text-align: center;}
+#controls #sheet {display: none;}
+#controls #controlForm {height: 32px; width: 100%; text-align: center; vertical-align: middle;}
+#controls #navLinks {border-top: 1px solid #999; overflow: hidden; padding: 0; margin: 0; height: 32px; width: 100%; background-color: #808080; text-align: center; vertical-align: middle; white-space: nowrap;}
+#controls #navLinks ul {margin: 0 auto; padding: 0; height: 32px; width: 500px; white-space: nowrap; text-align: center;}
+#controls #navLinks ul li {list-style: none; display: inline; margin: 0; padding: 0; float: left; height: 32px; width: 32px; text-align: center; background-color: transparent;}
+#controls #navLinks ul li.vr {list-style: none; display: inline; margin: 0px 2px; padding: 0; float: left; height: 32px; width: 1px; text-align: center; background-color: #606060;}
+#controls #navLinks ul li a {margin: 0; padding: 0; float: left; height: 32px; width: 32px; background-color: transparent;}
+
+#controls #navLinks ul li#exit {background: url(exit_link.png) no-repeat top left;}
+#controls #navLinks ul li#exit a:hover {background: url(exit_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#toggle {background: url(toggle_link.png) no-repeat top left;}
+#controls #navLinks ul li#toggle a:hover {background: url(toggle_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#show-notes {background: url(notes_link.png) no-repeat top left;}
+#controls #navLinks ul li#show-notes a:hover {background: url(notes_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#zero {background: url(zero_link.png) no-repeat top left;}
+#controls #navLinks ul li#zero a:hover {background: url(zero_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#prev {background: url(prev_link.png) no-repeat top left;}
+#controls #navLinks ul li#prev a:hover {background: url(prev_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#next {background: url(next_link.png) no-repeat top left;}
+#controls #navLinks ul li#next a:hover {background: url(next_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#last {background: url(last_link.png) no-repeat top left;}
+#controls #navLinks ul li#last a:hover {background: url(last_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#lst {background: url(list_link.png) no-repeat top left;}
+
+#controls #navLinks ul li#fade a.isoff {background: url(fade_link.png) no-repeat top left;}
+#controls #navLinks ul li#fade a.ison {background: url(fade_on.png) no-repeat top right;}
+#controls #navLinks ul li#fade a:hover {background: url(fade_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#audio {background: url(audio_link.png) no-repeat top left;}
+#controls #navLinks ul li#audio a.isoff {background: url(audio_link.png) no-repeat top left;}
+#controls #navLinks ul li#audio a.ison {background: url(audio_on.png) no-repeat top right;}
+#controls #navLinks ul li#audio a:hover {background: url(audio_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#vol {background: url(volume_link.png) no-repeat top left;}
+
+#controls #navLinks ul li#auto a.isoff {background: url(auto_link.png) no-repeat top left;}
+#controls #navLinks ul li#auto a.ison {background: url(auto_on.png) no-repeat top right;}
+#controls #navLinks ul li#auto a:hover {background: url(auto_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#pause a.isoff {background: url(pause_link.png) no-repeat top left;}
+#controls #navLinks ul li#pause a.ison {background: url(pause_on.png) no-repeat top right;}
+#controls #navLinks ul li#pause a:hover {background: url(pause_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#loop a.isoff {background: url(loop_link.png) no-repeat top left;}
+#controls #navLinks ul li#loop a.ison {background: url(loop_on.png) no-repeat top right;}
+#controls #navLinks ul li#loop a:hover {background: url(loop_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#del {background: url(delay_link.png) no-repeat top left;}
+
+#jumplist, #volumelist, #delaylist {padding: 0; margin: 0; width: 32px; height: 32px; cursor: n-resize;}
+
+#guru {position: absolute; visibility: visible; left: 0px; top: 0px; padding: 4px; width: 99%; height: auto; text-align: center; background-color: black; z-index: 10;}
+#guru div {border: solid 3px red; padding: 4px; font-family: monospace; font-size: 60%; width: auto; height: auto; color: red; text-align: center;}
+
+/*
+#currentSlide {white-space: nowrap; text-align: center; margin-bottom: -0.5em; font-size: 0.5em; background-color: transparent; color: #999;}
+
+#slide0 {padding-top: 3.5em; font-size: 90%;}
+#slide0 h1 {position: static; margin: 1em 0 0; padding: 0; font: bold 2em Verdana, Arial, Helvetica, sans-serif; font-variant: small-caps; text-shadow: 0.1em 0.1em 0.1em #666; white-space: normal; color: #222; background-color: transparent;}
+#slide0 h2 {font: bold italic 1em Arial, Helvetica, sans-serif; margin: 0.25em;}
+#slide0 h3 {margin-top: 1.5em; font-size: 1.5em;}
+#slide0 h4 {margin-top: 0; font-size: 1em;}
+*/
+
+ul.urls {list-style: none; display: inline; margin: 0;}
+.urls li {display: inline; margin: 0;}
+.external {border-bottom: 1px dotted gray;}
+html>body .external {border-bottom: none;}
+.external:after {content: " \274F"; font-size: smaller; color: #999;}
+
+.incremental, .incremental *, .incremental *:after {color: #ccc; visibility: visible;}
+img.incremental, canvas.incremental {visibility: hidden;}
+.slide .current {color: #B02;}
+
+/* diagnostics
+li:after {content: " [" attr(class) "]"; color: #F88;}
+*/
+
+table.piechart, table.barchart, table.linechart { border-spacing: 0.3em 0.15em; }
+table.piechart tr th, table.barchart tr th, table.linechart tr th { white-space: nowrap; }
+table.piechart tr td, table.barchart tr td, table.linechart tr td { vertical-align: top; white-space: nowrap; }
+table.piechart tr td.col, table.barchart tr td.col, table.linechart tr td.col { border-bottom: 1px solid #555; border-right: 1px solid #555; }
+table.fs90 tr td, table.fs90 tr th, div.fs90, pre.fs90, p.fs90 ,ul.fs90 {font-size: 0.9em; }
+table.fs75 tr td, table.fs75 tr th, div.fs75, pre.fs75, p.fs75 ,ul.fs75 {font-size: 0.75em; }
+table.fs66 tr td, table.fs66 tr th, div.fs66, pre.fs66, p.fs66 ,ul.fs66 {font-size: 0.66em; }
+table.fs50 tr td, table.fs50 tr th, div.fs50, pre.fs50, p.fs50 ,ul.fs50 {font-size: 0.5em; }
+
+#soundmanager-debug {position:fixed; top:0px; right:0px; width:30em; height:20em; overflow:auto; border:1px solid red; padding:1em; margin:2em; font-family:"sans serif"; font-size: 12px;color: black; background-color:#f6f6f6; z-index: 100;}
+#soundmanager-debug code {font-size: 11px;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/prev_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/prev_link.png
new file mode 100644
index 000000000..c31d21350
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/prev_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/prev_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/prev_over.png
new file mode 100644
index 000000000..6fef4fa52
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/prev_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/print.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/print.css
new file mode 100755
index 000000000..220083260
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/print.css
@@ -0,0 +1 @@
+/* The following rule is necessary to have all slides appear in print! DO NOT REMOVE IT! */ .slide, ul { page-break-inside: avoid; visibility: visible !important; } h1 { page-break-after: avoid; } html { width:100%; overflow:hidden; } body { font-size: 12pt; background: white; width:100%; } * { color: black; } #slide0 h1 { font-size: 200%; border: none; margin: 0.5em 0 0.25em; } #slide0 h3 { margin: 0; padding: 0; } #slide0 h4 { margin: 0 0 0.5em; padding: 0; } #slide0 { margin-bottom: 3em; } h1 { border-top: 2pt solid gray; border-bottom: 1px dotted silver; padding:0.2em 0 0.3em 0; } .extra { background: transparent !important; } div.extra, pre.extra, .example { font-size: 10pt; color: #333; } ul.extra a { font-weight: bold; } p.example { display: none; } #header { display: none; } #footer h1 { margin: 0; border-bottom: 1px solid; color: gray; font-style: italic; } #footer h2, #controls { display: none; } div.handout { display:block; border-top: 2px dotted #ccc; background:url(pics/back-handout-print.png) top right no-repeat #fff; min-height:6em; padding-right:6em; margin-bottom:1em; } .slide { display:block; background:url(pics/back-slide-print.png) top right no-repeat #fff; min-height:6em; margin-bottom:1em; } table { display:block; /* display:none; */ font-size:90%; overflow:hidden; margin-top:1em; margin-bottom:1em; } pre , code { margin:0; font-size:1pt; overflow:auto; } img { max-width:100% !important; } /* The following rule keeps the layout stuff out of print. Remove at your own risk! */ .layout, .layout * { display: none !important; } \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/s5-core.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/s5-core.css
new file mode 100755
index 000000000..86444e041
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/s5-core.css
@@ -0,0 +1,9 @@
+/* Do not edit or override these styles! The system will likely break if you do. */
+
+div#header, div#footer, div#controls, .slide {position: absolute;}
+html>body div#header, html>body div#footer,
+ html>body div#controls, html>body .slide {position: fixed;}
+.handout {display: none;}
+.layout {display: block;}
+.slide, .hideme, .incremental {visibility: hidden;}
+#slide0 {visibility: visible;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/slides.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/slides.css
new file mode 100755
index 000000000..0786d7dbd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/slides.css
@@ -0,0 +1,3 @@
+@import url(s5-core.css); /* required to make the slide show run at all */
+@import url(framing.css); /* sets basic placement and size of slide components */
+@import url(pretty.css); /* stuff that makes the slides look better than blah */ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/slides.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/slides.js
new file mode 100644
index 000000000..510a04c0e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/slides.js
@@ -0,0 +1,2812 @@
+// S5 1.3beta7 (18-Apr-2007) advanced version by C. Effenberger
+// Please see http://s5.netzgesta.de/ for more information
+// based on S5 v1.2a1 slides.js -- released into the Public Domain
+// Please see http://www.meyerweb.com/eric/tools/s5/credits.html for information
+// about all the wonderful and talented contributors to this code!
+// audio extension: soundmanager2 is NOT Public Domain
+// Please see http://www.schillmania.com/projects/soundmanager2/ for information
+
+var undef;
+var slideCSS = '';
+var snum = 0;
+var smax = 1;
+var incpos = 0;
+var number = undef;
+var firstTime = 1;
+var s5mode = true;
+var helpmode = false;
+var defaultView = 'slideshow'; //outline
+var controlVis = 'visible';
+var s5Path = 'lib/plugins/s5reloaded/';
+
+// scalable images extension
+var empx = 0;
+var images = new Array();
+var canvas = new Array();
+var medias = new Array();
+var piecharts = new Array();
+var barcharts = new Array();
+var linecharts = new Array();
+// scalable images extension
+
+// transition extension
+var tranSitions = false;
+var fadeModus = false;
+var fadeDuration = 500;
+var incrDuration = 250;
+var opac = 1;
+var cid = '';
+var nid = '';
+var tid = '';
+var jl = '';
+// transition extension
+
+// autoplay extension
+var autoMatic = false;
+var playLoop = false;
+var playPause = false;
+var autoRun = false;
+var playDelay = 5000;
+var remainDer = 0;
+var incrDelay = 0;
+// autoplay extension
+
+// audio extension
+var sound = new Array();
+var audioSupport = false;
+var audioVolume = 100;
+var audioError = false;
+var swfUnloaded = true;
+var bgSoundItem = 9999;
+var curSoundID = -1;
+// audio extension
+
+// panel extension
+var imgWidth = 32;
+var imgHeight = 32;
+// panel extension
+
+// canvas chart extension
+var canvasSupport = false;
+var ChartData = new Array();
+var colorSlice = new Array();
+var font = document.createElement("img");
+font.setAttribute("src", s5Path+"ui/graphic_support/numeric.png");
+signs = {
+ '0': {sx: 0, sy: 0, sw: 48, sh: 64},
+ '1': {sx: 48, sy: 0, sw: 48, sh: 64},
+ '2': {sx: 96, sy: 0, sw: 48, sh: 64},
+ '3': {sx: 144, sy: 0, sw: 48, sh: 64},
+ '4': {sx: 192, sy: 0, sw: 48, sh: 64},
+ '5': {sx: 240, sy: 0, sw: 48, sh: 64},
+ '6': {sx: 288, sy: 0, sw: 48, sh: 64},
+ '7': {sx: 336, sy: 0, sw: 48, sh: 64},
+ '8': {sx: 384, sy: 0, sw: 48, sh: 64},
+ '9': {sx: 432, sy: 0, sw: 48, sh: 64},
+ '%': {sx: 480, sy: 0, sw: 48, sh: 64},
+ '.': {sx: 528, sy: 0, sw: 24, sh: 64}
+};
+var colorNames= new Array();
+colorNames["black"]="#000000"; colorNames["maroon"]="#800000";
+colorNames["green"]="#008000"; colorNames["olive"]="#808000";
+colorNames["navy"]="#000080"; colorNames["purple"]="#800080";
+colorNames["teal"]="#008080"; colorNames["gray"]="#808080";
+colorNames["silver"]="#C0C0C0"; colorNames["red"]="#FF0000";
+colorNames["lime"]="#00FF00"; colorNames["yellow"]="#FFFF00";
+colorNames["blue"]="#0000FF"; colorNames["fuchsia"]="#FF00FF";
+colorNames["aqua"]="#00FFFF"; colorNames["white"]="#FFFFFF";
+colorNames["aliceblue"]="#F0F8FF"; colorNames["antiquewhite"]="#FAEBD7";
+colorNames["aquamarine"]="#7FFFD4"; colorNames["azure"]="#F0FFFF";
+colorNames["beige"]="#F5F5DC"; colorNames["blueviolet"]="#8A2BE2";
+colorNames["brown"]="#A52A2A"; colorNames["burlywood"]="#DEB887";
+colorNames["cadetblue"]="#5F9EA0"; colorNames["chartreuse"]="#7FFF00";
+colorNames["chocolate"]="#D2691E"; colorNames["coral"]="#FF7F50";
+colorNames["cornflowerblue"]="#6495ED"; colorNames["cornsilk"]="#FFF8DC";
+colorNames["crimson"]="#DC143C"; colorNames["darkblue"]="#00008B";
+colorNames["darkcyan"]="#008B8B"; colorNames["darkgoldenrod"]="#B8860B";
+colorNames["darkgray"]="#A9A9A9"; colorNames["darkgreen"]="#006400";
+colorNames["darkkhaki"]="#BDB76B"; colorNames["darkmagenta"]="#8B008B";
+colorNames["darkolivegreen"]="#556B2F"; colorNames["darkorange"]="#FF8C00";
+colorNames["darkorchid"]="#9932CC"; colorNames["darkred"]="#8B0000";
+colorNames["darksalmon"]="#E9967A"; colorNames["darkseagreen"]="#8FBC8F";
+colorNames["darkslateblue"]="#483D8B"; colorNames["darkslategray"]="#2F4F4F";
+colorNames["darkturquoise"]="#00CED1"; colorNames["darkviolet"]="#9400D3";
+colorNames["deeppink"]="#FF1493"; colorNames["deepskyblue"]="#00BFFF";
+colorNames["dimgray"]="#696969"; colorNames["dodgerblue"]="#1E90FF";
+colorNames["firebrick"]="#B22222"; colorNames["floralwhite"]="#FFFAF0";
+colorNames["forestgreen"]="#228B22"; colorNames["gainsboro"]="#DCDCDC";
+colorNames["ghostwhite"]="#F8F8FF"; colorNames["gold"]="#FFD700";
+colorNames["goldenrod"]="#DAA520"; colorNames["greenyellow"]="#ADFF2F";
+colorNames["honeydew"]="#F0FFF0"; colorNames["hotpink"]="#FF69B4";
+colorNames["indianred"]="#CD5C5C"; colorNames["indigo"]="#4B0082";
+colorNames["ivory"]="#FFFFF0"; colorNames["khaki"]="#F0E68C";
+colorNames["lavender"]="#E6E6FA"; colorNames["lavenderblush"]="#FFF0F5";
+colorNames["lawngreen"]="#7CFC00"; colorNames["lemonchiffon"]="#FFFACD";
+colorNames["lightblue"]="#ADD8E6"; colorNames["lightcoral"]="#F08080";
+colorNames["lightcyan"]="#E0FFFF"; colorNames["lightgoldenrodyellow"]="#FAFAD2";
+colorNames["lightgreen"]="#90EE90"; colorNames["lightgrey"]="#D3D3D3";
+colorNames["lightpink"]="#FFB6C1"; colorNames["lightsalmon"]="#FFA07A";
+colorNames["lightseagreen"]="#20B2AA"; colorNames["lightskyblue"]="#87CEFA";
+colorNames["lightslategray"]="#778899"; colorNames["lightsteelblue"]="#B0C4DE";
+colorNames["lightyellow"]="#FFFFE0"; colorNames["limegreen"]="#32CD32";
+colorNames["linen"]="#FAF0E6"; colorNames["mediumaquamarine"]="#66CDAA";
+colorNames["mediumblue"]="#0000CD"; colorNames["mediumorchid"]="#BA55D3";
+colorNames["ediumpurple"]="#9370D"; colorNames["mediumseagreen"]="#3CB371";
+colorNames["mediumslateblue"]="#7B68EE"; colorNames["mediumspringgreen"]="#00FA9A";
+colorNames["mediumturquoise"]="#48D1CC"; colorNames["mediumvioletred"]="#C71585";
+colorNames["midnightblue"]="#191970"; colorNames["mintcream"]="#F5FFFA";
+colorNames["mistyrose"]="#FFE4E1"; colorNames["moccasin"]="#FFE4B5";
+colorNames["navajowhite"]="#FFDEAD"; colorNames["oldlace"]="#FDF5E6";
+colorNames["olivedrab"]="#6B8E23"; colorNames["orange"]="#FFA500";
+colorNames["orangered"]="#FF4500"; colorNames["orchid"]="#DA70D6";
+colorNames["palegoldenrod"]="#EEE8AA"; colorNames["palegreen"]="#98FB98";
+colorNames["paleturquoise"]="#AFEEEE"; colorNames["palevioletred"]="#DB7093";
+colorNames["papayawhip"]="#FFEFD5"; colorNames["peachpuff"]="#FFDAB9";
+colorNames["peru"]="#CD853F"; colorNames["pink"]="#FFC0CB";
+colorNames["plum"]="#DDA0DD"; colorNames["powderblue"]="#B0E0E6";
+colorNames["rosybrown"]="#BC8F8F"; colorNames["royalblue"]="#4169E1";
+colorNames["saddlebrown"]="#8B4513"; colorNames["salmon"]="#FA8072";
+colorNames["sandybrown"]="#F4A460"; colorNames["seagreen"]="#2E8B57";
+colorNames["seashell"]="#FFF5EE"; colorNames["sienna"]="#A0522D";
+colorNames["skyblue"]="#87CEEB"; colorNames["slateblue"]="#6A5ACD";
+colorNames["slategray"]="#708090"; colorNames["snow"]="#FFFAFA";
+colorNames["springgreen"]="#00FF7F"; colorNames["steelblue"]="#4682B4";
+colorNames["tan"]="#D2B48C"; colorNames["thistle"]="#D8BFD8";
+colorNames["tomato"]="#FF6347"; colorNames["turquoise"]="#40E0D0";
+colorNames["violet"]="#EE82EE"; colorNames["wheat"]="#F5DEB3";
+colorNames["whitesmoke"]="#F5F5F5"; colorNames["yellowgreen"]="#9ACD32";
+var canvas_bgcolor = "";
+var canvas_width = 200;
+var canvas_height = 200;
+var canvas_noshade = 0;
+var canvas_nofill = 0;
+var canvas_noshadow = 0;
+var canvas_htmltext = 0;
+var canvas_imgtext = 0;
+var canvas_notext = 0;
+// canvas chart extension
+
+var s5NotesWindow;
+var s5NotesWindowLoaded = false;
+var previousSlide = 0;
+var presentationStart = new Date();
+var slideStart = new Date();
+
+var countdown = {
+ timer: 0,
+ state: 'pause',
+ start: new Date(),
+ end: 0,
+ remaining: 0
+};
+
+var isIE = navigator.appName == 'Microsoft Internet Explorer' && navigator.userAgent.indexOf('Opera') < 1 ? 1 : 0;
+if(isIE) var notIE7 = parseInt(navigator.appVersion) < 7 ? 1 : 0;
+var isOp = navigator.userAgent.indexOf('Opera') > -1 ? 1 : 0;
+var isGe = navigator.userAgent.indexOf('Gecko') > -1 && navigator.userAgent.indexOf('Safari') < 1 ? 1 : 0;
+var isS2 = navigator.userAgent.indexOf('Safari') >= 2 ? 1 : 0;
+
+function hasClass(object, className) {
+ if (!object.className) return false;
+ return (object.className.search('(^|\\s)' + className + '(\\s|$)') != -1);
+}
+
+function hasValue(object, value) {
+ if (!object) return false;
+ return (object.search('(^|\\s)' + value + '(\\s|$)') != -1);
+}
+
+function removeClass(object,className) {
+ if (!object || !hasClass(object,className)) return;
+ object.className = object.className.replace(new RegExp('(^|\\s)'+className+'(\\s|$)'), RegExp.$1+RegExp.$2);
+}
+
+function addClass(object,className) {
+ if (!object || hasClass(object, className)) return;
+ if (object.className) {
+ object.className += ' '+className;
+ } else {
+ object.className = className;
+ }
+}
+
+function changeClass(object,className) {
+ if (!object) return;
+ object.firstChild.className = className;
+}
+
+function GetElementsWithClassName(elementName,className) {
+ var allElements = document.getElementsByTagName(elementName);
+ var elemColl = new Array();
+ for (var i = 0; i< allElements.length; i++) {
+ if (hasClass(allElements[i], className)) {
+ elemColl[elemColl.length] = allElements[i];
+ }
+ }
+ return elemColl;
+}
+
+function isParentOrSelf(element, id) {
+ if (element == null || element.nodeName=='BODY') return false;
+ else if (element.id == id) return true;
+ else return isParentOrSelf(element.parentNode, id);
+}
+
+function nodeValue(node) {
+ var result = "";
+ if (node.nodeType == 1) {
+ var children = node.childNodes;
+ for (var i = 0; i < children.length; ++i) {
+ result += nodeValue(children[i]);
+ }
+ }
+ else if (node.nodeType == 3) {
+ result = node.nodeValue;
+ }
+ return(result);
+}
+
+function slideLabel() {
+ var slideColl = GetElementsWithClassName('*','slide');
+ var list = document.getElementById('jumplist');
+ smax = slideColl.length;
+ for (var n = 0; n < smax; n++) {
+ var obj = slideColl[n];
+ var did = 'slide' + n.toString();
+ obj.setAttribute('id',did);
+ var otext = '';
+ var menu = obj.firstChild;
+ if (!menu) continue; // to cope with empty slides
+ while (menu && menu.nodeType == 3) {
+ menu = menu.nextSibling;
+ }
+ if (!menu) continue; // to cope with slides with only text nodes
+ var menunodes = menu.childNodes;
+ for (var o = 0; o < menunodes.length; o++) {
+ otext += nodeValue(menunodes[o]);
+ }
+ list.options[list.length] = new Option(n + ' : ' + otext, n);
+ }
+}
+
+function currentSlide() {
+ var cs, at, fd, ss;
+ if (document.getElementById) {
+ cs = document.getElementById('currentSlide');
+ } else {
+ cs = document.currentSlide;
+ }
+ fd = fadeModus?"F":"&ndash;";
+ ss = audioSupport?"S":"&ndash;";
+ at = (autoMatic?(playPause?"||":(playLoop?"&gt;0":"&gt;|")):"&ndash;&ndash;");
+ cs.innerHTML = '<div id="plink" nowrap="nowrap">' +
+ '<span id="csFade">[' + fd + ss + ']<\/span>&nbsp;' +
+ '<span id="csHere"><strong>' + snum + '<\/strong><\/span>' +
+ '<span id="csSep">\/<\/span>' +
+ '<span id="csTotal">' + (smax-1) + '<\/span>&nbsp;' +
+ '<span id="csAuto">[' + at + ']<\/span>' +
+ '<\/div>';
+
+ if (snum == 0) {
+ cs.style.visibility = 'hidden';
+ } else {
+ cs.style.visibility = 'visible';
+ }
+}
+
+function go(step) {
+ if (document.getElementById('slideProj').disabled || step == 0) return;
+ jl = document.getElementById('jumplist');
+ cid = 'slide' + snum;
+ var ce = document.getElementById(cid);
+ if (incrementals[snum].length > 0) {
+ for (var i = 0; i < incrementals[snum].length; i++) {
+ removeClass(incrementals[snum][i], 'current');
+ removeClass(incrementals[snum][i], 'incremental');
+ }
+ }
+ if (step != 'j') {
+ snum += step;
+ lmax = smax - 1;
+ if (snum > lmax) snum = lmax;
+ if (snum < 0) snum = 0;
+ }else {
+ snum = parseInt(jl.value);
+ }
+ nid = 'slide' + snum;
+ var ne = document.getElementById(nid);
+ if (!ne) {
+ ne = document.getElementById('slide0');
+ nid = 'slide0';
+ snum = 0;
+ }
+ if (step < 0) {
+ incpos = incrementals[snum].length
+ }else {
+ incpos = 0;
+ }
+ if (incrementals[snum].length > 0 && incpos == 0) {
+ for (var i = 0; i < incrementals[snum].length; i++) {
+ if (hasClass(incrementals[snum][i], 'current')) {
+ incpos = i + 1;
+ }else {
+ addClass(incrementals[snum][i], 'incremental');
+ }
+ }
+ }
+ if (incrementals[snum].length > 0 && incpos > 0) {
+ addClass(incrementals[snum][incpos - 1], 'current');
+ }
+ var guru = document.getElementById('guru');
+ if(guru && snum==0) {
+ guru.style.visibility = 'visible';
+ }else if(guru && snum>0) {
+ guru.style.visibility = 'hidden';
+ }
+ if(tranSitions && s5mode && fadeModus) {
+ if(curSoundID != getSoundID(nid)) {
+ if(curSoundID == bgSoundItem && !sound[getSoundID(nid)]) {
+ }else {fadeoutSound(curSoundID,true); } // audio support
+ }
+ changeOpac(0,nid);
+ changeOpac(100,cid);
+ ce.style.visibility = 'visible';
+ shiftOpacity(cid,fadeDuration);
+ window.setTimeout("changeSlides()",fadeDuration);
+ }else {
+ if(curSoundID != getSoundID(nid)) {
+ if(curSoundID == bgSoundItem && !sound[getSoundID(nid)]) {
+ }else {stopSound(curSoundID); } // audio support
+ }
+ ce.style.visibility = 'hidden';
+ if (isOp) location.hash = nid;
+ ne.style.visibility = 'visible';
+ finishSlides();
+ }
+}
+
+function changeSlides() {
+ if(nid != cid) changeOpac(100,cid);
+ document.getElementById(cid).style.visibility = 'hidden';
+ document.getElementById(nid).style.visibility = 'visible';
+ if (isOp) location.hash = nid;
+ shiftOpacity(nid,fadeDuration);
+ window.setTimeout("finishSlides()",fadeDuration);
+}
+
+function finishSlides() {
+ jl.selectedIndex = snum;
+ currentSlide();
+ loadNote();
+ permaLink();
+ number = undef;
+ if(sound[getSoundID(nid)]) {
+ playSound(nid); // audio support
+ }else if(sound[bgSoundItem] && curSoundID != bgSoundItem) {
+ playSound(bgSoundItem); // audio support
+ }
+}
+
+function goTo(target) {
+ if (target >= smax || target == snum) return;
+ go(target - snum);
+}
+
+function subgo(step) {
+ if (step > 0) {
+ removeClass(incrementals[snum][incpos - 1],'current');
+ removeClass(incrementals[snum][incpos], 'incremental');
+ if(tranSitions && s5mode && fadeModus) {
+ if(!incrementals[snum][incpos].id) {
+ var tmp = new Date(); tid = "inc" + String(tmp.getTime());
+ incrementals[snum][incpos].id = tid;
+ }else {
+ tid = incrementals[snum][incpos].id;
+ }
+ if(typeof(incrementals[snum][incpos].src) != "undefined" || incrementals[snum][incpos].getContext) {
+ changeOpac(0,tid);
+ addClass(incrementals[snum][incpos],'current');
+ shiftOpacity(tid,incrDuration);
+ setTimeout("nextInc()",incrDuration);
+ }else {
+ addClass(incrementals[snum][incpos],'current');
+ nextInc();
+ }
+ }else {
+ addClass(incrementals[snum][incpos],'current');
+ nextInc();
+ }
+ } else {
+ incpos--;
+ removeClass(incrementals[snum][incpos],'current');
+ addClass(incrementals[snum][incpos], 'incremental');
+ addClass(incrementals[snum][incpos - 1],'current');
+ loadNote();
+ }
+}
+
+function nextInc() {
+ incpos++;
+ loadNote();
+}
+
+function toggle() {
+ var slideColl = GetElementsWithClassName('*','slide');
+ var slides = document.getElementById('slideProj');
+ var outline = document.getElementById('outlineStyle');
+ var guru = document.getElementById('guru');
+ if (!slides.disabled) {
+ stopPlay();
+ if(audioSupport && !swfUnloaded) stopAllSounds();
+ slides.disabled = true;
+ outline.disabled = false;
+ s5mode = false;
+ fontSize(1,'em');
+ for (var n = 0; n < smax; n++) {
+ var slide = slideColl[n];
+ slide.style.visibility = 'visible';
+ }
+ if(guru) guru.style.visibility = 'hidden';
+ } else {
+ slides.disabled = false;
+ outline.disabled = true;
+ s5mode = true;
+ fontScale();
+ for (var n = 0; n < smax; n++) {
+ var slide = slideColl[n];
+ slide.style.visibility = 'hidden';
+ }
+ slideColl[snum].style.visibility = 'visible';
+ if(guru && snum==0) guru.style.visibility = 'visible';
+ }
+}
+
+function showHide(action) {
+ var obj = GetElementsWithClassName('*','hideme')[0];
+ switch (action) {
+ case 's':
+ obj.style.visibility = 'visible';
+ break;
+ case 'h':
+ obj.style.visibility = 'hidden';
+ break;
+ case 'k':
+ if (obj.style.visibility != 'visible') {
+ obj.style.visibility = 'visible';
+ } else {
+ obj.style.visibility = 'hidden';
+ }
+ break;
+ }
+}
+
+function keys(key) {
+ if (!key) {
+ key = event;
+ key.which = key.keyCode;
+ }
+ if (helpmode) {
+ dumpHelpReq();
+ return;
+ }
+ if (key.which == 84 && !isOp) {
+ toggle();
+ return;
+ }
+ if (s5mode) {
+ if (autoMatic) {
+ switch (key.which) {
+ case 70: // f/ading on/off
+ switchFade();
+ break;
+ case 83: // s/ound on/off
+ toggleSounds();
+ break;
+ case 67: // c
+ showHide('k');
+ break;
+ case 65: // a/utoplay on/off
+ stopPlay();
+ break;
+ case 76: // l/ooping on/off
+ switchLoop();
+ break;
+ case 80: // p/ause
+ case 32: // spacebar
+ pausePlay();
+ break;
+ }
+ }else {
+ switch (key.which) {
+ case 8: // backspace = HELP
+ createHelpReq();
+ break;
+ case 10: // return
+ case 13: // enter
+ if (window.event && isParentOrSelf(window.event.srcElement, 'controls')) return;
+ if (key.target && isParentOrSelf(key.target, 'controls')) return;
+ if(number != undef) {
+ goTo(number);
+ break;
+ }
+ case 32: // spacebar
+ case 34: // page down
+ case 39: // rightkey
+ case 40: // downkey
+ if(number != undef) {
+ go(number);
+ } else if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ } else {
+ subgo(1);
+ }
+ break;
+ case 33: // page up
+ case 37: // leftkey
+ case 38: // upkey
+ if(number != undef) {
+ go(-1 * number);
+ } else if (!incrementals[snum] || incpos <= 0) {
+ go(-1);
+ } else {
+ subgo(-1);
+ }
+ break;
+ case 65: // a/utoplay
+ startPlay();
+ break;
+ case 72: // h
+ case 36: // home
+ goTo(0);
+ break;
+ case 69: // e
+ case 35: // end
+ goTo(smax-1);
+ break;
+ case 70: // f/ade transitions on/off
+ switchFade();
+ break;
+ case 76: // l/ooping on/off
+ switchLoop();
+ break;
+ case 83: // s/ound support on/off
+ toggleSounds();
+ break;
+ case 27: // escape
+ case 81: // q
+ if(!isOp) byby();
+ break;
+ case 67: // c
+ showHide('k');
+ break;
+ case 78: // n
+ createNotesWindow();
+ break;
+ }
+ if (key.which < 48 || key.which > 57) {
+ number = undef;
+ } else {
+ if (window.event && isParentOrSelf(window.event.srcElement, 'controls')) return;
+ if (key.target && isParentOrSelf(key.target, 'controls')) return;
+ number = (((number != undef) ? number : 0) * 10) + (key.which - 48);
+ }
+ }
+ }
+ return false;
+}
+
+function clicker(e) {
+ number = undef;
+ var target;
+ if (window.event) {
+ target = window.event.srcElement;
+ e = window.event;
+ } else {
+ target = e.target;
+ }
+ if (target.href != null || hasValue(target.rel, 'external') || isParentOrSelf(target, 'controls') || isParentOrSelf(target,'embed') || isParentOrSelf(target,'object')) return true;
+ if (!helpmode) {
+ if (!e.which || e.which == 1) {
+ if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ } else {
+ subgo(1);
+ }
+ }
+ } else {
+ dumpHelpReq();
+ }
+}
+
+function findSlide(hash) {
+ var target = null;
+ var slides = GetElementsWithClassName('*','slide');
+ for (var i = 0; i < slides.length; i++) {
+ var targetSlide = slides[i];
+ if ( (targetSlide.name && targetSlide.name == hash)
+ || (targetSlide.id && targetSlide.id == hash) ) {
+ target = targetSlide;
+ break;
+ }
+ }
+ while(target != null && target.nodeName != 'BODY') {
+ if (hasClass(target, 'slide')) {
+ return parseInt(target.id.slice(5));
+ }
+ target = target.parentNode;
+ }
+ return null;
+}
+
+function slideJump() {
+ if (window.location.hash == null) return;
+ var sregex = /^#slide(\d+)$/;
+ var matches = sregex.exec(window.location.hash);
+ var dest = null;
+ if (matches != null) {
+ dest = parseInt(matches[1]);
+ } else {
+ dest = findSlide(window.location.hash.slice(1));
+ }
+ if (dest != null)
+ go(dest - snum);
+}
+
+function fixLinks() {
+ var thisUri = window.location.href;
+ thisUri = thisUri.slice(0, thisUri.length - window.location.hash.length);
+ var aelements = document.getElementsByTagName('A');
+ for (var i = 0; i < aelements.length; i++) {
+ var a = aelements[i].href;
+ var slideID = a.match('\#slide[0-9]{1,2}');
+ if ((slideID) && (slideID[0].slice(0,1) == '#')) {
+ var dest = findSlide(slideID[0].slice(1));
+ if (dest != null) {
+ if (aelements[i].addEventListener) {
+ aelements[i].addEventListener("click", new Function("e",
+ "if (document.getElementById('slideProj').disabled) return;" +
+ "go("+dest+" - snum); " +
+ "if (e.preventDefault) e.preventDefault();"), true);
+ } else if (aelements[i].attachEvent) {
+ aelements[i].attachEvent("onclick", new Function("",
+ "if (document.getElementById('slideProj').disabled) return;" +
+ "go("+dest+" - snum); " +
+ "event.returnValue = false;"));
+ }
+ }
+ }
+ }
+}
+
+function externalLinks() {
+ if (!document.getElementsByTagName) return;
+ var anchors = document.getElementsByTagName('a');
+ for (var i=0; i<anchors.length; i++) {
+ var anchor = anchors[i];
+ if (anchor.getAttribute('href') && hasValue(anchor.rel, 'external')) {
+ anchor.target = '_blank';
+ addClass(anchor,'external');
+ }
+ }
+}
+
+function permaLink() {
+ document.getElementById('plink').href = window.location.pathname + '#slide' + snum;
+}
+
+function createControls() {
+ var controlsDiv = document.getElementById("controls");
+ if (!controlsDiv) return;
+ var hider = ' onmouseover="showHide(\'s\');" onmouseout="showHide(\'h\');"';
+ var hideDiv, hideList = '';
+ if (controlVis == 'hidden') {
+ hideDiv = hider;
+ } else {
+ hideList = hider;
+ }
+ if(isOp) {
+ var str = '';
+ }else {
+ var str = '<a accesskey="t" id="sheet" title="toggle CSS" href="javascript:toggle();">&plusmn;<\/a>';
+ }
+ if(isIE) {
+ var tmp = "move around&xA0;until the color&xA0;change to red!";
+ }else if(isS2) {
+ var tmp = "move around\r\nuntil the color\r\nchange to red!";
+ }else {
+ var tmp = "move around until color change to red!";
+ }
+ if(isIE) {
+ controlsDiv.innerHTML = str +
+ '<form action="#" id="controlForm"' + hideDiv + '>' +
+ '<div id="navLinks" title="press [backspace] for keyboard help!"><ul>' +
+ '<li id="show-notes"><a href="javascript:createNotesWindow();" accesskey="n" title="show Notes"><\/a><\/li>' +
+ '<li id="toggle"><a href="javascript:toggle();" accesskey="t" title="toggle CSS"><\/a><\/li>' +
+ '<li id="zero"><a href="javascript:goTo(0);" accesskey="h" title="goto Start Slide"><\/a><\/li>' +
+ '<li id="prev"><a href="javascript:go(-1);" accesskey="y" title="previous Slide"><\/a><\/li>' +
+ '<li id="next"><a href="javascript:go(1);" accesskey="x" title="next Slide"><\/a><\/li>' +
+ '<li id="last"><a href="javascript:goTo(smax-1);" accesskey="e" title="goto Last Slide"><\/a><\/li>' +
+ '<li id="lst" style="vertical-align: middle; width: 160px;"><select style="cursor: auto; width: 160px; height: auto;" id="jumplist" title="select named Slide" onchange="go(\'j\');"><\/select><\/li>' +
+ '<li id="auto"><a class="isoff" href="javascript:togglePlay();" accesskey="a" title="Auto Play"><\/a><\/li>' +
+ '<li id="pause"><a class="isoff" href="javascript:pausePlay();" accesskey="p" title="Pause"><\/a><\/li>' +
+ '<li id="loop"><a class="isoff" href="javascript:switchLoop();" accesskey="l" title="Loop"><\/a><\/li>' +
+ '<li id="del" style="vertical-align: middle; width: 52px;"><select style="cursor: auto; width: 52px; height: auto;" id="delaylist" title="select Delay" onchange="setDelay();"><option value="90">90<\/option><option value="75">75<\/option><option value="60">60<\/option><option value="45">45<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="15">15<\/option><option value="10">10<\/option><option value="5">5<\/option><\/select><\/li>' +
+ '<\/ul><\/div><\/form>';
+ }else {
+ controlsDiv.innerHTML = str +
+ '<form action="#" id="controlForm"' + hideDiv + '>' +
+ '<div id="navLinks" title="press [backspace] or double click this area for keyboard help!" ondblclick="createHelpReq();"><ul>' +
+ '<li id="exit"><a href="javascript:byby();" accesskey="q" title="exit Show"><\/a><\/li>' +
+ '<li id="show-notes"><a href="javascript:createNotesWindow();" accesskey="n" title="show Notes"><\/a><\/li>' +
+ '<li id="toggle"><a href="javascript:toggle();" accesskey="t" title="toggle CSS"><\/a><\/li>' +
+ '<li class="vr"><\/li>' +
+ '<li id="zero"><a href="javascript:goTo(0);" accesskey="h" title="goto Start Slide"><\/a><\/li>' +
+ '<li id="prev"><a href="javascript:go(-1);" accesskey="y" title="previous Slide"><\/a><\/li>' +
+ '<li id="next"><a href="javascript:go(1);" accesskey="x" title="next Slide"><\/a><\/li>' +
+ '<li id="last"><a href="javascript:goTo(smax-1);" accesskey="e" title="goto Last Slide"><\/a><\/li>' +
+ '<li id="lst"><a id="list" style="cursor:wait;" title="' + tmp + '"><\/a><select id="jumplist" title="select named Slide" onchange="go(\'j\');"><\/select><\/li>' +
+ '<li id="fadeLinks" class="vr"><\/li>' +
+ '<li id="fade"><a class="isoff" href="javascript:switchFade();" accesskey="f" title="Transions"><\/a><\/li>' +
+ '<li id="audioLinks" class="vr"><\/li>' +
+ '<li id="audio"><a class="isoff" href="javascript:toggleSounds();" accesskey="s" title="Sounds" ><\/a><\/li>' +
+ '<li id="vol"><a id="volume" style="cursor:wait;" title="' + tmp + '"><\/a><select id="volumelist" title="select Volume" onchange="setVolume();"><option value="100">100<\/option><option value="90">90<\/option><option value="80">80<\/option><option value="70">70<\/option><option value="60">60<\/option><option value="50">50<\/option><option value="40">40<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="10">10<\/option><option value="0">0<\/option><\/select><\/li>' +
+ '<li id="autoLinks" class="vr"><\/li>' +
+ '<li id="auto"><a class="isoff" href="javascript:togglePlay();" accesskey="a" title="Auto Play"><\/a><\/li>' +
+ '<li id="pause"><a class="isoff" href="javascript:pausePlay();" accesskey="p" title="Pause"><\/a><\/li>' +
+ '<li id="loop"><a class="isoff" href="javascript:switchLoop();" accesskey="l" title="Loop"><\/a><\/li>' +
+ '<li id="del"><a id="delay" style="cursor:wait;" title="' + tmp + '"><\/a><select id="delaylist" title="select Delay" onchange="setDelay();"><option value="90">90<\/option><option value="75">75<\/option><option value="60">60<\/option><option value="45">45<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="15">15<\/option><option value="10">10<\/option><option value="5">5<\/option><\/select><\/li>' +
+ '<\/ul>' +
+ '<a href="http://s5.netzgesta.de" target="_blank" title="S5 Reloaded"><img style="position: absolute; right: 0; bottom: 0;" src="data:image/png;charset=utf-8;base64,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" width="20" height="32" alt="S5" \/><\/a>' +
+ '<\/div><\/form>';
+ }
+ if (controlVis == 'hidden') {
+ var hidden = document.getElementById('navLinks');
+ } else {
+ var hidden = document.getElementById('jumplist');
+ }
+ addClass(hidden,'hideme');
+}
+
+function fontScale() { // causes layout problems in FireFox that get fixed if browser's Reload is used; same may be true of other Gecko-based browsers
+ if (!s5mode && !isOp) return false;
+ var hScreen = screen.width; var vScreen = screen.height;
+ var vWindow = window.outerHeight; var hWindow = window.outerWidth;
+ if (isOp && s5mode && defaultView=='slideshow' && ((hScreen != hWindow) || (vScreen != vWindow))) {
+ toggle();
+ return false;
+ }
+ if (isOp && !s5mode && ((hScreen != hWindow) || (vScreen != vWindow))) return false;
+ if (isOp && !s5mode && (hScreen == hWindow) && (vScreen == vWindow)) toggle();
+ var vScale = 48; // both yield 16 (the usual browser default) at 1024x768
+ var hScale = 64; // perhaps should auto-calculate based on theme's declared value?
+ if (window.innerHeight) {
+ var vSize = window.innerHeight;
+ var hSize = window.innerWidth;
+ } else if (document.documentElement.clientHeight) {
+ var vSize = document.documentElement.clientHeight;
+ var hSize = document.documentElement.clientWidth;
+ } else if (document.body.clientHeight) {
+ var vSize = document.body.clientHeight;
+ var hSize = document.body.clientWidth;
+ } else {
+ var vSize = 700; // assuming 1024x768, minus chrome and such equals 8:5
+ var hSize = 1024; // these do not account for kiosk mode or Opera Show
+ }
+ var newSize = Math.min(Math.round(vSize/vScale),Math.round(hSize/hScale));
+ extendImgSizes(newSize); // scalable images extension
+ extendCanSizes(newSize); // scalable canvas extension
+ extendObjSizes(newSize); // scalable object extension
+ fontSize(newSize,"px");
+ if(!isS2 || firstTime==0) {
+ generateCanvas(); // dynamic canvas extension
+ }
+ if (isGe) { // hack to counter incremental reflow bugs
+ var obj = document.getElementsByTagName('body')[0];
+ obj.style.visibility = 'hidden';
+ obj.style.display = 'none';
+ obj.style.display = 'block';
+ obj.style.visibility = 'visible';
+ changeOpac(100,'slide' + snum);
+ shiftOpacity('slide' + snum,10);
+ window.setTimeout("fixReflow()",10);
+ }else {
+ setListPos(); // invisible select extension
+ }
+}
+
+function fixReflow() {
+ shiftOpacity('slide' + snum,10);
+ window.setTimeout("finishReflow()",10);
+}
+function finishReflow() {
+ setListPos(); // invisible select extension
+}
+
+function fontSize(val,fmt) {
+ var value = val + fmt;
+ if (!(s5ss = document.getElementById('s5ss'))) {
+ if (!document.createStyleSheet) {
+ document.getElementsByTagName('head')[0].appendChild(s5ss = document.createElement('style'));
+ s5ss.setAttribute('media','screen, projection');
+ s5ss.setAttribute('id','s5ss');
+ } else {
+ document.createStyleSheet();
+ document.s5ss = document.styleSheets[document.styleSheets.length - 1];
+ }
+ }
+ if (!(document.s5ss && document.s5ss.addRule)) {
+ while (s5ss.lastChild) s5ss.removeChild(s5ss.lastChild);
+ s5ss.appendChild(document.createTextNode('html {font-size: ' + value + ' !important;}'));
+ } else {
+ document.s5ss.addRule('html','font-size: ' + value + ' !important;');
+ }
+}
+
+function windowChange() {
+ fontScale();
+}
+
+function notOperaFix() {
+ slideCSS = document.getElementById('slideProj').href;
+ var slides = document.getElementById('slideProj');
+ var outline = document.getElementById('outlineStyle');
+ slides.setAttribute('media','screen');
+ outline.disabled = true;
+ if (isGe) {
+ slides.setAttribute('href','null'); // Gecko fix
+ slides.setAttribute('href',slideCSS); // Gecko fix
+ }
+ if ((isIE && notIE7) && document.styleSheets && document.styleSheets[0]) {
+ document.styleSheets[0].addRule('img', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ document.styleSheets[0].addRule('div', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ document.styleSheets[0].addRule('.slide', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ }
+}
+
+function getIncrementals(obj) {
+ var incrementals = new Array();
+ if (!obj)
+ return incrementals;
+ var children = obj.childNodes;
+ for (var i = 0; i < children.length; i++) {
+ var child = children[i];
+ if (hasClass(child, 'incremental')) {
+ if (child.nodeName == 'OL' || child.nodeName == 'UL') {
+ removeClass(child, 'incremental');
+ for (var j = 0; j < child.childNodes.length; j++) {
+ if (child.childNodes[j].nodeType == 1) {
+ addClass(child.childNodes[j], 'incremental');
+ }
+ }
+ } else {
+ incrementals[incrementals.length] = child;
+ removeClass(child,'incremental');
+ }
+ }
+ if (hasClass(child, 'show-first')) {
+ if (child.nodeName == 'OL' || child.nodeName == 'UL') {
+ removeClass(child, 'show-first');
+ if (child.childNodes[isGe].nodeType == 1) {
+ removeClass(child.childNodes[isGe], 'incremental');
+ }
+ } else {
+ incrementals[incrementals.length] = child;
+ }
+ }
+ incrementals = incrementals.concat(getIncrementals(child));
+ }
+ return incrementals;
+}
+
+function createIncrementals() {
+ var incrementals = new Array();
+ for (var i = 0; i < smax; i++) {
+ incrementals[i] = getIncrementals(document.getElementById('slide'+i));
+ }
+ return incrementals;
+}
+
+function trap(e) {
+ if (!e) {
+ e = event;
+ e.which = e.keyCode;
+ }
+ try {
+ modifierKey = e.ctrlKey || e.altKey || e.metaKey;
+ }
+ catch(e) {
+ modifierKey = false;
+ }
+ return modifierKey || e.which == 0;
+}
+
+// notes extension
+function noteLabel() { // Gives notes id's to match parent slides
+ var notes = GetElementsWithClassName('div','notes');
+ for (var i = 0; i < notes.length; i++) {
+ var note = notes[i];
+ var id = 'note' + note.parentNode.id.substring(5);
+ note.setAttribute('id',id);
+ }
+ resetElapsedSlide();
+ resetRemainingTime();
+ window.setInterval('updateElaspedTime()', 1000);
+}
+
+function createNotesWindow() { // creates a window for our notes
+ if (!s5NotesWindow || s5NotesWindow.closed) { // Create the window if it doesn't exist
+ s5NotesWindowLoaded = false;
+ // Note: Safari has a tendency to ignore window options preferring to default to the settings of the parent window, grr.
+ s5NotesWindow = window.open(s5Path+'ui/s5-notes.html', 's5NotesWindow', 'top=0,left=0');
+ }
+ if (s5NotesWindowLoaded) { // Load the current note if the Note HTML has loaded
+ loadNote();
+ } else { // Keep trying...
+ window.setTimeout('createNotesWindow()', 50);
+ }
+}
+
+function loadNote() {
+// Loads a note into the note window
+ var notes = nextNotes = '<em class="disclaimer">There are no notes for this slide.</em>';
+ if (document.getElementById('note' + snum)) {
+ notes = document.getElementById('note' + snum).innerHTML;
+ }
+ if (document.getElementById('note' + (snum + 1))) {
+ nextNotes = document.getElementById('note' + (snum + 1)).innerHTML;
+ }
+
+ var jl = document.getElementById('jumplist');
+ var slideTitle = jl.options[jl.selectedIndex].text.replace(/^\d+\s+:\s+/, '') + ((jl.selectedIndex) ? ' (' + jl.selectedIndex + '/' + (smax - 1) + ')' : '');
+ if (incrementals[snum].length > 0) {
+ slideTitle += ' <small>[' + incpos + '/' + incrementals[snum].length + ']</small>';
+ }
+ if (jl.selectedIndex < smax - 1) {
+ var nextTitle = jl.options[jl.selectedIndex + 1].text.replace(/^\d+\s+:\s+/, '') + ((jl.selectedIndex + 1) ? ' (' + (jl.selectedIndex + 1) + '/' + (smax - 1) + ')' : '');
+ } else {
+ var nextTitle = '[end of slide show]';
+ }
+
+ if (s5NotesWindow && !s5NotesWindow.closed && s5NotesWindow.document) {
+ s5NotesWindow.document.getElementById('slide').innerHTML = slideTitle;
+ s5NotesWindow.document.getElementById('notes').innerHTML = notes;
+ s5NotesWindow.document.getElementById('next').innerHTML = nextTitle;
+ s5NotesWindow.document.getElementById('nextnotes').innerHTML = nextNotes;
+ }
+ resetElapsedSlide();
+}
+
+function minimizeTimer(id) {
+ var obj = s5NotesWindow.document.getElementById(id);
+ if (hasClass(obj,'collapsed')) {
+ removeClass(obj,'collapsed');
+ } else {
+ addClass(obj,'collapsed');
+ }
+}
+
+function resetElapsedTime() {
+ presentationStart = new Date();
+ slideStart = new Date();
+ updateElaspedTime();
+}
+
+function resetElapsedSlide() {
+ if (snum != previousSlide) {
+ slideStart = new Date();
+ previousSlide = snum;
+ updateElaspedTime();
+ }
+}
+
+function updateElaspedTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var now = new Date();
+ var ep = s5NotesWindow.document.getElementById('elapsed-presentation');
+ var es = s5NotesWindow.document.getElementById('elapsed-slide');
+ ep.innerHTML = formatTime(now.valueOf() - presentationStart.valueOf());
+ es.innerHTML = formatTime(now.valueOf() - slideStart.valueOf());
+}
+
+function resetRemainingTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var startField = s5NotesWindow.document.getElementById('startFrom');
+ startFrom = readTime(startField.value);
+ countdown.remaining = startFrom * 60000; // convert to msecs
+ countdown.start = new Date().valueOf();
+ countdown.end = countdown.start + countdown.remaining;
+ var tl = s5NotesWindow.document.getElementById('timeLeft');
+ var timeLeft = formatTime(countdown.remaining);
+ tl.innerHTML = timeLeft;
+}
+
+function updateRemainingTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var tl = s5NotesWindow.document.getElementById('timeLeft');
+ var now = new Date();
+ if (countdown.state == 'run') {
+ countdown.remaining = countdown.end - now;
+ }
+ tl.style.color = '';
+ tl.style.backgroundColor = '';
+ if (countdown.remaining >= 0) {
+ var timeLeft = formatTime(countdown.remaining);
+ removeClass(tl,'overtime');
+ if (countdown.remaining < 300000) {
+ tl.style.color = 'rgb(' + (255-Math.round(countdown.remaining/2000)) + ',0,0)';
+ tl.style.backgroundColor = 'rgb(255,255,' + (Math.round(countdown.remaining/2000)) + ')';
+ }
+ } else {
+ var timeLeft = '-' + formatTime(-countdown.remaining);
+ addClass(tl,'overtime');
+ }
+ tl.innerHTML = timeLeft;
+}
+
+function toggleRemainingTime() {
+ if (countdown.state == 'pause') countdown.state = 'run'; else countdown.state = 'pause';
+ if (countdown.state == 'pause') {
+ window.clearInterval(countdown.timer);
+ }
+ if (countdown.state == 'run') {
+ countdown.start = new Date().valueOf();
+ countdown.end = countdown.start + countdown.remaining;
+ countdown.timer = window.setInterval('updateRemainingTime()', 1000);
+ }
+}
+
+function alterRemainingTime(amt) {
+ var change = amt * 60000; // convert to msecs
+ countdown.end += change;
+ countdown.remaining += change;
+ updateRemainingTime();
+}
+
+function formatTime(msecs) {
+ var time = new Date(msecs);
+
+ var hrs = time.getUTCHours() + ((time.getUTCDate() -1) * 24); // I doubt anyone will spend more than 24 hours on a presentation or single slide but just in case...
+ hrs = (hrs < 10) ? '0'+hrs : hrs;
+ if (hrs == 'NaN' || isNaN(hrs)) hrs = '--';
+
+ var min = time.getUTCMinutes();
+ min = (min < 10) ? '0'+min : min;
+ if (min == 'NaN' || isNaN(min)) min = '--';
+
+ var sec = time.getUTCSeconds();
+ sec = (sec < 10) ? '0'+sec : sec;
+ if (sec == 'NaN' || isNaN(sec)) sec = '--';
+
+ return hrs + ':' + min + ':' + sec;
+}
+
+function readTime(val) {
+ var sregex = /:/;
+ var matches = sregex.exec(val);
+ if (matches == null) {
+ return val;
+ } else {
+ var times = val.split(':');
+ var hours = parseInt(times[0]);
+ var mins = parseInt(times[1]);
+ var total = (hours * 60) + mins;
+ return total;
+ }
+}
+// notes extension
+
+// startup process
+function createSlideShow() {
+ defaultCheck();
+ if(!isIE) createDetector(); // (degrade IE) scalable images extension
+ if(opac!=0 || isIE) { // &&!isIE (degrade IE)
+ tranSitions = false;
+ fadeModus = false;
+ }
+ if(tranSitions && document.getElementById && document.createElement){
+ createProgress();
+ var nop=document.getElementById('StartupControl');
+ nop.onload = dumpProgress;
+ }else {
+ startup();
+ showAll();
+ setListPos(true); // invisible select extension
+ panelSetup();
+ audioSetup(); // audio extension
+ if(isS2 && firstTime>=1) {
+ generateCanvas(); // dynamic canvas extension
+ } firstTime = 0;
+ }
+}
+
+function defaultCheck() {
+ var allMetas = document.getElementsByTagName('meta');
+ for (var i = 0; i< allMetas.length; i++) {
+ if (allMetas[i].name == 'defaultView') {
+ defaultView = allMetas[i].content;
+ }
+ if (allMetas[i].name == 'controlVis') {
+ controlVis = allMetas[i].content;
+ }
+ if (allMetas[i].name == 'tranSitions') {
+ tranSitions = (allMetas[i].content == "true") ? true : false;
+ fadeModus = (tranSitions == true) ? true : false;
+ }
+ if (allMetas[i].name == 'fadeDuration') {
+ var tmp = parseInt(allMetas[i].content);
+ fadeDuration = Math.max(200,Math.min(tmp,2000));
+ }
+ if (allMetas[i].name == 'incrDuration') {
+ var tmp = parseInt(allMetas[i].content);
+ incrDuration = Math.max(50,Math.min(tmp,500));
+ }
+ if (allMetas[i].name == 'autoMatic') {
+ autoMatic = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'playLoop') {
+ playLoop = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'playDelay') {
+ var tmp = parseInt(allMetas[i].content);
+ playDelay = Math.max(5,Math.min(tmp,90))*1000;
+ playDelay = (fadeModus == true) ? (playDelay+(2*fadeDuration)) : playDelay;
+ }
+ if (allMetas[i].name == 'audioSupport') {
+ audioSupport = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'audioVolume') {
+ var tmp = parseInt(allMetas[i].content);
+ audioVolume = Math.max(0,Math.min(tmp,100));
+ }
+ if (allMetas[i].name == 'audioError') {
+ audioError = (allMetas[i].content == "true") ? true : false;
+ }
+ }
+}
+
+function createProgress() {
+ var obj = document.getElementsByTagName("body")[0].firstChild;
+ var pg = document.createElement('div');
+ pg.id = "StartupProgress";
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=100)";
+ }else {
+ pg.style.opacity = 1.0;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(255, 255, 255)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/progress.gif)";
+ document.getElementsByTagName("body")[0].insertBefore(pg,obj);
+
+ var im = document.createElement('img');
+ im.id = "StartupControl";
+ im.src = s5Path+"ui/graphic_support/blank.gif?" + new Date().valueOf();
+ document.getElementsByTagName("body")[0].appendChild(im);
+}
+
+function startup() {
+ createControls(); // hallvord
+ slideLabel();
+ incrementals = createIncrementals();
+ noteLabel(); // [SI:060104] must follow slideLabel()
+ loadNote();
+ fixLinks();
+ externalLinks();
+ fontScale();
+ if (!isOp) {
+ if(isIE) {
+ document.getElementById('lst').style.backgroundImage = 'none';
+ document.getElementById('del').style.backgroundImage = 'none';
+ }else {
+ document.getElementById('audio').style.backgroundImage = 'none';
+ }
+ notOperaFix();
+ }else if(isOp) {
+ var obj = document.getElementById('exit');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('exit').style.opacity = 0.5;
+ obj = document.getElementById('toggle');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('toggle').style.opacity = 0.5;
+ document.getElementById('jumplist').style.visibility = 'hidden';
+ document.getElementById('list').style.visibility = 'hidden';
+ document.getElementById('lst').style.opacity = 0.5;
+ obj = document.getElementById('audio');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('audio').style.opacity = 0.5;
+ document.getElementById('volumelist').style.visibility = 'hidden';
+ document.getElementById('volume').style.visibility = 'hidden';
+ document.getElementById('vol').style.opacity = 0.5;
+ document.getElementById('delaylist').style.visibility = 'hidden';
+ document.getElementById('delay').style.visibility = 'hidden';
+ document.getElementById('del').style.opacity = 0.5;
+ }
+ slideJump();
+ if (defaultView == 'outline') toggle();
+ document.onkeyup = keys;
+ document.onkeypress = trap;
+ document.onclick = clicker;
+}
+
+function preloadImgages() {
+ var temp = '';
+ var objects = document.getElementsByTagName('img');
+ for (var i=0; i < objects.length; i++) {
+ if(objects[i].src != '') {
+ temp = new Image();
+ temp.src = objects[i].src;
+ }
+ }
+}
+
+function showAll() {
+ var obj1 = GetElementsWithClassName('div','presentation')[0];
+ if(!obj1) var obj1 = GetElementsWithClassName('ol','presentation')[0];
+ var obj2 = GetElementsWithClassName('div','layout')[0];
+ if(!obj1){}else {obj1.style.display = 'block'};
+ if(!obj2){}else {obj2.style.display = 'block'};
+}
+
+function dumpProgress() {
+ document.body.removeChild(document.getElementById('StartupControl'));
+ startup();
+ preloadImgages();
+ showAll();
+ createSoundManagerScript();
+ shiftOpacity('StartupProgress',1000);
+ window.setTimeout("removeProgress()",1000);
+}
+
+function removeProgress() {
+ document.body.removeChild(document.getElementById('StartupProgress'));
+ setListPos(true); // invisible select extension
+ panelSetup();
+ audioSetup(); // audio extension
+ if(isS2 && firstTime>=1) {
+ generateCanvas(); // dynamic canvas extension
+ } firstTime = 0;
+}
+function panelSetup() {
+ if(playPause) {
+ var obj = document.getElementById('pause'); changeClass(obj,'ison');
+ }
+ if(playLoop) {
+ var obj = document.getElementById('loop'); changeClass(obj,'ison');
+ }
+ if(audioSupport && !isIE && !isOp) {
+ var obj = document.getElementById('audio'); changeClass(obj,'ison');
+ }
+ if(fadeModus && !isIE) {
+ var obj = document.getElementById('fade'); changeClass(obj,'ison');
+ }
+ if(autoMatic) {
+ var obj = document.getElementById('auto'); changeClass(obj,'ison');
+ startPlay();
+ }
+ if(audioVolume && !isIE && !isOp) {
+ var idx = 0;
+ if(audioVolume >= 95 && audioVolume <= 100) {idx = 0;}
+ else if(audioVolume >= 85 && audioVolume < 95) {idx = 1;}
+ else if(audioVolume >= 75 && audioVolume < 85) {idx = 2;}
+ else if(audioVolume >= 65 && audioVolume < 75) {idx = 3;}
+ else if(audioVolume >= 55 && audioVolume < 65) {idx = 4;}
+ else if(audioVolume >= 45 && audioVolume < 55) {idx = 5;}
+ else if(audioVolume >= 35 && audioVolume < 45) {idx = 6;}
+ else if(audioVolume >= 25 && audioVolume < 35) {idx = 7;}
+ else if(audioVolume >= 15 && audioVolume < 25) {idx = 8;}
+ else if(audioVolume >= 5 && audioVolume < 15) {idx = 9;}
+ else {idx = 10;}
+ document.getElementById('volumelist').selectedIndex = idx;
+ }
+ if(playDelay && !isOp) {
+ var idx = 0; var vol = parseInt(playDelay/1000);
+ if(vol >= 83 && vol <= 90) {idx = 0;}
+ else if(vol >= 68 && vol < 83) {idx = 1;}
+ else if(vol >= 53 && vol < 68) {idx = 2;}
+ else if(vol >= 38 && vol < 53) {idx = 3;}
+ else if(vol >= 25 && vol < 38) {idx = 4;}
+ else if(vol >= 18 && vol < 25) {idx = 5;}
+ else if(vol >= 13 && vol < 18) {idx = 6;}
+ else if(vol >= 8 && vol < 13) {idx = 7;}
+ else {idx = 8;}
+ document.getElementById('delaylist').selectedIndex = idx;
+ }
+}
+// startup process
+
+// shutdown process
+function byby() {
+ stopPlay();
+ if(tranSitions && fadeModus && s5mode && !isOp) {
+ fadeoutSound(curSoundID,true); // audio support
+ var pg = document.createElement('div');
+ pg.id = "GoodBy";
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=0)";
+ }else {
+ pg.style.opacity = 0.0;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(255, 255, 255)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/finish.gif)";
+ document.getElementsByTagName("body")[0].appendChild(pg);
+ shiftOpacity('GoodBy',1000);
+ window.setTimeout("history.back()",1000);
+ }else {
+ stopSound(curSoundID);
+ history.back();
+ }
+}
+// shutdown process
+
+// scalable images extension
+function createDetector() {
+ var em = document.createElement('div');
+ em.id='EMSizeControl'; em.style.position="absolute"; em.style.left="-999px";
+ em.style.width="1em"; em.style.height="1em"; em.style.opacity=0.0;
+ document.getElementsByTagName("body")[0].appendChild(em);
+ var nop=document.getElementById('EMSizeControl');
+ if(!nop||findPosX(nop)!=-999) {}else {
+ opac=document.getElementById('EMSizeControl').style.opacity;
+ empx=document.getElementById('EMSizeControl').offsetHeight;
+ document.body.removeChild(document.getElementById('EMSizeControl'));
+ var objects = document.getElementsByTagName('img');
+ var j = 0; var i = 0; var k = 0; var d; var obj;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ images[j] = objects[i];
+ ++j;
+ }
+ }
+ var objects = document.getElementsByTagName('canvas'); j = 0;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ canvas[objects[i].id] = objects[i];
+ if(j==0) {
+ if(objects[i].getContext) {
+ canvasSupport = true;
+ }
+ ++j;
+ }
+ }
+ }
+ if(canvasSupport!=true) {
+ for (d in canvas) {
+ try {
+ canvas[d].setAttribute("width",1);
+ canvas[d].setAttribute("height",1);
+ } catch (e) {
+ }
+ }
+ }
+ var objects = document.getElementsByTagName('table');
+ j = 0; k = 0; l = 0; var w; var h; var tmp; var cnt;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^piechart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ piecharts[j] = objects[i];
+ em = piecharts[j].getAttribute("summary");
+ if(em != "") em = "," + em;
+ piecharts[j].setAttribute("summary", w + "," + h + em);
+ ++j;
+ }
+ }
+ }
+ if(objects[i].className.match(/^barchart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ barcharts[k] = objects[i];
+ em = barcharts[k].getAttribute("summary");
+ if(em != "") em = "," + em;
+ barcharts[k].setAttribute("summary", w + "," + h + em);
+ ++k;
+ }
+ }
+ }
+ if(objects[i].className.match(/^linechart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ linecharts[l] = objects[i];
+ em = linecharts[l].getAttribute("summary");
+ if(em != "") em = "," + em;
+ linecharts[l].setAttribute("summary", w + "," + h + em);
+ ++l;
+ }
+ }
+ }
+ }
+ objects = document.getElementsByTagName('object'); j = 0; i = 0;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ medias[j] = objects[i]; ++j;
+ if(!isIE) {
+ if(objects[i].getAttributeNode("classid")) objects[i].removeAttributeNode(objects[i].getAttributeNode("classid"));
+ if(objects[i].getAttributeNode("codebase")) objects[i].removeAttributeNode(objects[i].getAttributeNode("codebase"));
+ }
+ }
+ }
+ }
+}
+function extendImgSizes(f) {
+ if(empx>0) {
+ var q = (f/empx); var w = 0; var h = 0;
+ for(var i=0; i < images.length; i++) {
+ w=images[i].getAttribute("width",0);
+ h=images[i].getAttribute("height",0);
+ if(w>0&&h>0) {
+ images[i].style.width=Math.floor(w*q)+"px";
+ images[i].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+}
+
+function extendCanSizes(f) {
+ if(empx>0 && canvasSupport) {
+ var q = (f/empx); var w = 0; var h = 0; var tmp = ""; var cnt; var obj;
+ for(var i=0; i < piecharts.length; i++) {
+ if(piecharts[i].getAttribute("summary")) {
+ tmp = piecharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = piecharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ for(var i=0; i < barcharts.length; i++) {
+ if(barcharts[i].getAttribute("summary")) {
+ tmp = barcharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = barcharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ for(var i=0; i < linecharts.length; i++) {
+ if(linecharts[i].getAttribute("summary")) {
+ tmp = linecharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = linecharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ }
+}
+function extendObjSizes(f) {
+ if(empx>0) {
+ var q = (f/empx); var w = 0; var h = 0;
+ for(var i=0; i < medias.length; i++) {
+ w=medias[i].getAttribute("width",0);
+ h=medias[i].getAttribute("height",0);
+ if(w>0&&h>0) {
+ medias[i].style.width=Math.floor(w*q)+"px";
+ medias[i].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+}
+function findPosX(obj) {
+ var posLeft = 0;
+ while (obj.offsetParent) {
+ posLeft += obj.offsetLeft;
+ obj = obj.offsetParent;
+ }
+ return posLeft;
+}
+function findPosY(obj) {
+ var posTop = 0;
+ while (obj.offsetParent) {
+ posTop += obj.offsetTop;
+ obj = obj.offsetParent;
+ }
+ return posTop;
+}
+// scalable images extension
+
+// canvas chart extension
+function deg2rad(degrees) {
+ return Math.PI *degrees/180;
+}
+function rad2deg(radians) {
+ return 180.0 *radians/Math.PI;
+}
+function circle_point_x(radians, diameter) {
+ var x = Math.cos(radians)*(diameter/2);
+ return x;
+}
+function circle_point_y(radians, diameter) {
+ var y = Math.sin(radians)*(diameter/2);
+ return y;
+}
+function roundTo(val,dig) {
+ var num = val;
+ if (val > 8191 && val < 10485) {
+ val = val-5000;
+ num = Math.round(val*Math.pow(10,dig))/Math.pow(10,dig);
+ num = num+5000;
+ } else {
+ num = Math.round(val*Math.pow(10,dig))/Math.pow(10,dig);
+ }
+ return num;
+}
+function searchColor(value) {
+ for (var dat in colorNames) {
+ if(dat==value) return colorNames[dat];
+ }
+ return false;
+}
+function scanColor(value) {
+ if(value.match(/^#[0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ var val1 = value.substr(1,1).toLowerCase();
+ var val2 = value.substr(2,1).toLowerCase();
+ var val3 = value.substr(3,1).toLowerCase();
+ value = '#' + val1 + val1 + val2 + val2 + val3 + val3;
+ }
+ if(!value.match(/^#[0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ var tmp = searchColor(value.toLowerCase());
+ if(!tmp) {}else{value = tmp;}
+ }
+ if(!value.match(/^#[0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ value = '#000000';
+ }
+ return value.toLowerCase();
+}
+function hex2rgb(val,trans) {
+ if(val.length==7) {
+ var tp1 = Math.max(0,Math.min(parseInt(val.substr(1,2),16),255));
+ var tp2 = Math.max(0,Math.min(parseInt(val.substr(3,2),16),255));
+ var tp3 = Math.max(0,Math.min(parseInt(val.substr(5,2),16),255));
+ return 'rgba(' + tp1 + ',' + tp2 + ',' + tp3 + ',' + trans + ')';
+ }
+}
+function trim(str) {
+ return (str.replace(/\s+$/,"").replace(/^\s+/,""));
+}
+function roundedRect(ctx,x,y,width,height,radius){
+ ctx.beginPath();
+ ctx.moveTo(x,y+radius);
+ ctx.lineTo(x,y+height-radius);
+ ctx.quadraticCurveTo(x,y+height,x+radius,y+height);
+ ctx.lineTo(x+width-radius,y+height);
+ ctx.quadraticCurveTo(x+width,y+height,x+width,y+height-radius);
+ ctx.lineTo(x+width,y+radius);
+ ctx.quadraticCurveTo(x+width,y,x+width-radius,y);
+ ctx.lineTo(x+radius,y);
+ ctx.quadraticCurveTo(x,y,x,y+radius);
+ ctx.closePath();
+}
+function drawString(ctx, text, fc, tx, ty) {
+ var xp = 0; var c = "";
+ ctx.beginPath();
+ for (var i = 0; i < text.length; i++) {
+ c = text[i];
+ ctx.drawImage(font, signs[c].sx, signs[c].sy, signs[c].sw, signs[c].sh, tx+xp, ty, signs[c].sw*fc, signs[c].sh*fc);
+ xp += (signs[c].sw*fc);
+ }
+ ctx.closePath();
+}
+function strokeString(ctx, txt, col, fh, tx, ty) {
+ var fw = fh*0.666666; var lw = fh*0.125;
+ var ls = lw/2; var cr = lw; var xp = 0;
+ ctx.lineCap = "round"; ctx.lineJoin = "round"
+ ctx.lineWidth = lw; ctx.strokeStyle = col;
+ for (var i = 0; i < txt.length; i++) {
+ strokeSymbol(ctx, txt[i], ls, tx+xp, ty, fw, fh);
+ xp += (txt[i]!="."?fw+cr:(fw/2)+cr);
+ }
+}
+function strokeSymbol(ctx, symbol, fc, cx, cy, cw, ch) {
+ ctx.beginPath();
+ switch (symbol) {
+ case "0":
+ ctx.moveTo(cx+fc,cy+(ch*0.333333));
+ ctx.arc(cx+(cw/2),cy+(cw/2),(cw/2)-fc,deg2rad(180),0, false);
+ ctx.arc(cx+(cw/2),(cy+ch)-(cw/2),(cw/2)-fc,0,deg2rad(180), false);
+ ctx.closePath();
+ break;
+ case "1":
+ ctx.moveTo(cx+(cw*0.1)+fc,cy+ch-fc);
+ ctx.lineTo(cx+cw-fc,cy+ch-fc);
+ ctx.moveTo(cx+(cw*0.666666),cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.666666),cy+fc);
+ ctx.lineTo(cx+(cw*0.25),cy+(ch*0.25));
+ break;
+ case "2":
+ ctx.moveTo(cx+cw-fc,cy+(ch*0.8));
+ ctx.lineTo(cx+cw-fc,cy+ch-fc);
+ ctx.lineTo(cx+fc,cy+ch-fc);
+ ctx.arc(cx+(cw/2),cy+(cw*0.425),(cw*0.425)-fc,deg2rad(45),deg2rad(-180), true);
+ break;
+ case "3":
+ ctx.moveTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.9)-fc,cy+fc);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-90),deg2rad(180), false);
+ break;
+ case "4":
+ ctx.moveTo(cx+(cw*0.75),cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.75),cy+fc);
+ ctx.moveTo(cx+cw-fc,cy+(ch*0.666666));
+ ctx.lineTo(cx+fc,cy+(ch*0.666666));
+ ctx.lineTo(cx+(cw*0.75),cy+fc);
+ ctx.moveTo(cx+cw-fc,cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.5),cy+ch-fc);
+ break;
+ case "5":
+ ctx.moveTo(cx+(cw*0.9)-fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+(ch*0.333333));
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-80),deg2rad(180), false);
+ break;
+ case "6":
+ ctx.moveTo(cx+fc,cy+ch-(cw*0.5)-fc);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-180),deg2rad(180), false);
+ ctx.bezierCurveTo(cx+fc,cy+fc,cx+fc,cy+fc,cx+(cw*0.9)-fc,cy+fc);
+ ctx.moveTo(cx+(cw*0.9)-fc,cy+fc);
+ break;
+ case "7":
+ ctx.moveTo(cx+(cw*0.5),cy+ch-fc);
+ ctx.lineTo(cx+cw-fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+(ch*0.25)-fc);
+ break;
+ case "8":
+ ctx.moveTo(cx+(cw*0.92)-fc,cy+(cw*0.59));
+ ctx.arc(cx+(cw/2),cy+(cw*0.45),(cw*0.45)-fc,deg2rad(25),deg2rad(-205), true);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-135),deg2rad(-45), true);
+ ctx.closePath();
+ ctx.moveTo(cx+(cw*0.79),cy+(ch*0.47));
+ ctx.lineTo(cx+(cw*0.21),cy+(ch*0.47));
+ break;
+ case "9":
+ ctx.moveTo(cx+cw-fc,cy+(cw*0.5));
+ ctx.arc(cx+(cw/2),cy+(cw*0.5),(cw*0.5)-fc,deg2rad(0),deg2rad(360), false);
+ ctx.bezierCurveTo(cx+cw-fc,cy+ch-fc,cx+cw-fc,cy+ch-fc,cx+(cw*0.1)+fc,cy+ch-fc);
+ break;
+ case "%":
+ ctx.moveTo(cx+fc,cy+(ch*0.75));
+ ctx.lineTo(cx+cw-fc,cy+(ch*0.25));
+ ctx.moveTo(cx+(cw*0.505),cy+(cw*0.3));
+ ctx.arc(cx+(cw*0.3),cy+(cw*0.3),(cw*0.3)-fc,deg2rad(0),deg2rad(360), false);
+ ctx.moveTo(cx+(cw*0.905),cy+ch-(cw*0.3));
+ ctx.arc(cx+(cw*0.7),cy+ch-(cw*0.3),(cw*0.3)-fc,deg2rad(0),deg2rad(360), false);
+ break;
+ case ".":
+ ctx.moveTo(cx+(cw*0.25),cy+ch-fc-fc);
+ ctx.arc(cx+(cw*0.25),cy+ch-fc-fc,fc,deg2rad(0),deg2rad(360), false);
+ ctx.closePath();
+ break;
+ default:
+ break;
+ }
+ ctx.stroke();
+}
+function drawBar(ctx,x,y,width,height,color,value,textdiv){
+ var rw = width/2; var rh = rw/2;
+ height = Math.max(height,rw);
+ var dh = Math.max(height-(2*rh),0.1); var S2L;
+ var xx = rw/8; var yy = rh/4;
+ var yo = rh/2; y = y - yy;
+ if(canvas_noshadow <= 0 && canvas_noshade <= 0) {
+ ctx.save();
+ S2L = ctx.createRadialGradient(x+rw+rh,y+height-rw+yy+rh,0,x+rw,y+height-rw+yy,rw);
+ S2L.addColorStop(0, 'rgba(0,0,0,0.5)');
+ S2L.addColorStop(0.7, 'rgba(0,0,0,0.25)');
+ S2L.addColorStop(0.9, 'rgba(0,0,0,0.1)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.fillStyle = S2L;
+ ctx.scale(1,0.5);
+ ctx.translate(xx,y+height+yy);
+ if(isOp){
+ ctx.fillRect(x,y+yy+height-width,width,width);
+ }else {
+ ctx.arc(x+rw,y+yy+height-width,width,0,deg2rad(360), false);
+ ctx.fill();
+ }
+ ctx.restore();
+ }else if(canvas_noshadow <= 0 && canvas_noshade >= 1) {
+ ctx.fillStyle = 'rgba(0,0,0,0.2)';
+ ctx.fillRect(x+yo,y+rh+yo+yo,width,dh);
+ }
+
+ if(canvas_noshade <= 0) {
+ ctx.beginPath();
+ ctx.moveTo(x,y+rh);
+ ctx.lineTo(x,y+rh+dh);
+ ctx.bezierCurveTo(x,y+height,x+width,y+height,x+width,y+rh+dh)
+ ctx.lineTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = color;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x,y+(height/2),x+width,y+(height/2));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.75)');
+ S2L.addColorStop(0.2, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.3, 'rgba(0,0,0,0)');
+ S2L.addColorStop(0.5, 'rgba(0,0,0,0.1)');
+ S2L.addColorStop(0.9, 'rgba(0,0,0,0.35)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.3)');
+ ctx.beginPath();
+ ctx.moveTo(x,y+rh);
+ ctx.lineTo(x,y+rh+dh);
+ ctx.bezierCurveTo(x,y+height,x+width,y+height,x+width,y+rh+dh)
+ ctx.lineTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = S2L;
+ ctx.fill();
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = color;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x+rw-(width*0.15),y-2,x+rw+(width*0.15),y+(2.1*rh));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.9)');
+ S2L.addColorStop(0.5, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.6, 'rgba(0,0,0,0)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.3)');
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = S2L;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x+rw-(width*0.15),y-2,x+rw+(width*0.15),y+(2.1*rh));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.9)');
+ S2L.addColorStop(0.5, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.6, 'rgba(0,0,0,0)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.1)');
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.strokeStyle = S2L;
+ ctx.stroke();
+ }else {
+ ctx.fillStyle = color;
+ ctx.fillRect(x,y+rh+yo,width,dh);
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = 1;
+ ctx.fillStyle = 'rgba(255,255,255,0.5)';
+ var bw = width; var bh = bw/4;
+ var th = roundTo(bh*0.75,0); var tf = bh/80;
+ var c; var w;
+ if(canvas_notext <= 0) {
+ if(canvas_htmltext <= 0) {
+ w = '"' + per + '"';
+ if(w.indexOf(".")!=-1) {
+ c = w.length+0.5;
+ w = parseFloat(c-2)*parseFloat(48*tf);
+ bw = parseFloat(c-1)*parseFloat(48*tf);
+ }else {
+ c = w.length;
+ w = parseFloat(c-1)*parseFloat(48*tf);
+ bw = parseFloat(c)*parseFloat(48*tf);
+ }
+ roundedRect(ctx,x+(width/2)-(bw/2),y,bw,bh,bh/4);
+ ctx.fill();
+ if(canvas_imgtext <= 0) {
+ strokeString(ctx, value + "%","rgba(48,48,48,1)", th, x+(width/2)-(w/2), y+((bh-th)/2));
+ }else {
+ drawString(ctx, value + "%", tf, x+(width/2)-(w/2), y+((bh-th)/2.2));
+ }
+ }else {
+ var bh = bw/3; var th = roundTo(bh*0.75,0);
+ roundedRect(ctx,x,y,bw,bh,bh/4);
+ ctx.fill();
+ var obj = document.createElement('div');
+ obj.style.position = "absolute";
+ obj.style.overflow = "hidden";
+ obj.style.textAlign = "center";
+ obj.style.width = bw + "px";
+ obj.style.left = x + "px";
+ obj.style.top = y+((bh-th)/2.2) + "px";
+ obj.appendChild(document.createTextNode(per + "%"));
+ textdiv.appendChild(obj);
+ }
+
+ }
+}
+function drawLine(ctx,x,y,width,height,dist,array,factor,color,fill){
+ if(fill <= 0){
+ var style = hex2rgb(color,0.5);
+ ctx.lineJoin = "miter";
+ ctx.beginPath();
+ ctx.moveTo(x,y+height);
+ for (var i = 0; i < array.length; i++) {
+ ctx.lineTo(x+(i*dist),y+height-(array[i]*factor));
+ }
+ ctx.lineTo(x+width,y+height);
+ ctx.lineTo(x,y+height);
+ ctx.closePath();
+ ctx.fillStyle = style;
+ ctx.fill();
+ }
+ ctx.lineJoin = "round";
+ ctx.beginPath();
+ ctx.moveTo(x,y+height-(array[0]*factor));
+ for (var i = 0; i < array.length; i++) {
+ ctx.lineTo(x+(i*dist),y+height-(array[i]*factor));
+ }
+ ctx.strokeStyle = color;
+ ctx.stroke();
+}
+function setDataURL(cid) {
+ if(cid.toDataURL) {
+ var obj = document.getElementById(cid.id + "_link");
+ if(obj) {
+ obj.setAttribute("title", "To Data URL");
+ obj.setAttribute("target", "_blank");
+ obj.setAttribute("href", cid.toDataURL());
+ }
+ }
+}
+function get_input(dataobj,canvasobj,linechart) {
+ var table = document.getElementById(dataobj);
+ var canvas = document.getElementById(canvasobj);
+ var row; var clm; var cnt = 0; var val = 0; var nme = ""; var col = "";
+ if(canvas.getContext) {
+ canvas_width = parseInt(canvas.style.width);
+ canvas_height = parseInt(canvas.style.height);
+ if(canvas_width >= 16 && canvas_height >= 16) {
+ var tmp = table.getAttribute("summary");
+ canvas_noshade = 0; canvas_noshadow = 0;
+ canvas_notext = 0; canvas_imgtext = 0;
+ canvas_htmltext = 0; canvas_nofill = 0;
+ if(tmp.search(/noshadow/) != -1) canvas_noshadow = 1;
+ if(tmp.search(/noshade/) != -1) canvas_noshade = 1;
+ if(tmp.search(/nofill/) != -1) canvas_nofill = 1;
+ if(tmp.search(/notext/) != -1) canvas_notext = 1;
+ if(tmp.search(/htmltext/) != -1) canvas_htmltext = 1;
+ if(tmp.search(/imgtext/) != -1) canvas_imgtext = 1;
+ if(table.getAttribute("bgcolor")) {
+ canvas_bgcolor = scanColor(table.getAttribute("bgcolor"));
+ }
+ ChartData = new Array(); colorSlice = new Array();
+ if(table.getElementsByTagName("tr")[0].getElementsByTagName("th")[0]) cnt = 1;
+ for(var r = cnt; r < table.getElementsByTagName("tr").length; r++) {
+ row = table.getElementsByTagName("tr")[r];
+ clm = row.getElementsByTagName("td").length;
+ if(row.getElementsByTagName("td")[0].getAttribute("bgcolor")) {
+ col = scanColor(row.getElementsByTagName("td")[0].getAttribute("bgcolor")); val = 0;
+ nme = trim(row.getElementsByTagName("td")[1].innerHTML.replace(/<[^>]+>/g,""));
+ if(!linechart) {
+ if(clm > 3) {
+ for(var z = 2; z < clm; z++) {
+ val += parseFloat(row.getElementsByTagName("td")[z].innerHTML.replace(/<[^>]+>/g,""));
+ }
+ }else {
+ val = parseFloat(row.getElementsByTagName("td")[2].innerHTML.replace(/<[^>]+>/g,""));
+ }
+ if(!isNaN(val) && val > 0 && nme != '') {
+ colorSlice[r-cnt] = col; ChartData[nme] = Math.abs(val);
+ }else {
+ break;
+ }
+ }else {
+ val = 0; i = 0;
+ if(clm > 3 && nme != '') {
+ ChartData[r-cnt] = new Array();
+ colorSlice[r-cnt] = col;
+ for(var z = 2; z < clm; z++) {
+ val = Math.abs(parseFloat(row.getElementsByTagName("td")[z].innerHTML.replace(/<[^>]+>/g,"")));
+ if(!isNaN(val) && val >= 0) {
+ ChartData[r-cnt][i] = val; i++;
+ }else {
+ ChartData[r-cnt][i] = 0; i++;
+ }
+ }
+ }else {
+ break;
+ }
+ }
+ }else {
+ break;
+ }
+ }
+ }
+ }
+}
+function setPieChart(canvasobj,textobj) {
+ var cd = (Math.min(canvas_width,canvas_height)/110)*100;
+ var cp = cd*0.1; var cr = cd/2;
+ var sr = cr*0.93; var cw = cd+cp; var ch = cw;
+ var cx = (cd/2)+(cp/2); var cy = cx; var ct = 0;
+ for (var data in ChartData) {
+ ct += ChartData[data];
+ }
+ var poc = ct/100;
+ var canvas = document.getElementById(canvasobj);
+ if(canvas_htmltext >= 1) {
+ if(document.getElementById(textobj)) {
+ canvas.parentNode.removeChild(canvas.parentNode.lastChild);
+ }
+ }
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,cw,ch);
+ }else {
+ ctx.clearRect(0,0,cw,ch);
+ }
+ if(canvas_noshadow <= 0) {
+ if(!isOp) {
+ ctx.beginPath();
+ var B2B = ctx.createRadialGradient(cx+(cr*0.1),cy+(cr*0.1),cr,cx+(cr*0.1),cy+(cr*0.1),sr*0.9);
+ B2B.addColorStop(0, 'rgba(0,0,0,0)');
+ B2B.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.arc(cx+(cr*0.1),cy+(cr*0.1),cr,0,deg2rad(360), false);
+ ctx.closePath();
+ ctx.fillStyle = B2B;
+ ctx.fill();
+ }else {
+ var B2B = ctx.createRadialGradient(cx+(cr*0.1),cy+(cr*0.1),0,cx+(cr*0.1),cy+(cr*0.1),cr);
+ B2B.addColorStop(0, 'rgba(0,0,0,0.5)');
+ B2B.addColorStop(0.87, 'rgba(0,0,0,0.5)');
+ B2B.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.fillStyle = B2B;
+ ctx.fillRect(0,0,cw,ch);
+ }
+ }
+ var val = 0; var deg = 0; var idx = 0; var data;
+ for (data in ChartData) {
+ val = ChartData[data]; sdeg = deg;
+ deg += (val/ct)*deg2rad(360); edeg = deg;
+ ctx.beginPath();
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr,sdeg,edeg, false);
+ ctx.lineTo(cx,cy);
+ ctx.closePath();
+ ctx.fillStyle = colorSlice[(idx++)];
+ ctx.fill();
+ }
+ if(canvas_noshade <= 0) {
+ ctx.beginPath();
+ var W2T = ctx.createLinearGradient(cp*2,cp*2,cx,cy);
+ W2T.addColorStop(0, 'rgba(255,255,255,0.8)');
+ W2T.addColorStop(1, 'rgba(255,255,255,0)');
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,sr,deg2rad(135),deg2rad(315), false);
+ ctx.closePath();
+ ctx.fillStyle = W2T;
+ ctx.fill();
+ ctx.beginPath();
+ var T2B = ctx.createLinearGradient(cx,cy,cw-(cp*2),ch-(cp*2));
+ T2B.addColorStop(0, 'rgba(0,0,0,0)');
+ T2B.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,sr,deg2rad(-45),deg2rad(135), false);
+ ctx.closePath();
+ ctx.fillStyle = T2B;
+ ctx.fill();
+ ctx.beginPath();
+ var B2T = ctx.createLinearGradient(cx,cy,cw-(cp*1.9),ch-(cp*1.9));
+ B2T.addColorStop(0, 'rgba(0,0,0,0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.6)');
+ ctx.lineWidth = cr*0.07;
+ ctx.lineCap = "round";
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr*0.965,deg2rad(-45),deg2rad(135), false);
+ ctx.closePath();
+ ctx.strokeStyle = B2T;
+ ctx.stroke();
+ ctx.beginPath();
+ var T2W = ctx.createLinearGradient(cx,cy,(cp*1.9),(cp*1.9));
+ T2W.addColorStop(0, 'rgba(255,255,255,0)');
+ T2W.addColorStop(1, 'rgba(255,255,255,0.6)');
+ ctx.lineWidth = cr*0.07;
+ ctx.lineCap = "round";
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr*0.965,deg2rad(135),deg2rad(315), false);
+ ctx.closePath();
+ ctx.strokeStyle = T2W;
+ ctx.stroke();
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = 1;
+ ctx.fillStyle = 'rgba(255,255,255,0.5)';
+ var mpos = 0; var per = 0; var gx = 0;
+ var gy = 0; var tx = 0; var ty = 0;
+ var obj = ""; var w = 0; var c = 0;
+ var bw = cr*0.45; var bh = cr*0.125;
+ var th = roundTo(bh*0.75,0); var tf = bh/80;
+ if(canvas_notext <= 0) {
+ if(canvas_htmltext >= 1) {
+ bw = (cr*0.365);
+ canvas.parentNode.style.position = "relative";
+ if(!document.getElementById(textobj)) {
+ obj = document.createElement('div');
+ obj.id = textobj;
+ obj.style.color = "rgb(0,0,0)";
+ obj.style.fontFamily = "Arial,sans-serif";
+ obj.style.fontSize = th + "px";
+ obj.style.zIndex = 11;
+ canvas.parentNode.appendChild(obj);
+ }
+ var textdiv = document.getElementById(obj.id);
+ }
+ for (data in ChartData) {
+ val = ChartData[data];
+ sdeg = deg; mpos = deg+(((val/2)/ct)*deg2rad(360));
+ deg += (val/ct)*deg2rad(360); edeg = deg;
+ per = roundTo(val/poc,2);
+ gx = circle_point_x(mpos, cd);
+ gy = circle_point_y(mpos, cd);
+ tx = parseFloat((cx+(2*Math.floor(cx + gx)))/3);
+ ty = (cy+(2*Math.floor(cy + gy)))/3;
+ if(canvas_htmltext <= 0) {
+ w = '"' + per + '"';
+ if(w.indexOf(".")!=-1) {
+ c = w.length+0.5;
+ w = parseFloat(c-2)*parseFloat(48*tf);
+ bw = parseFloat(c-1)*parseFloat(48*tf);
+ }else {
+ c = w.length;
+ w = parseFloat(c-1)*parseFloat(48*tf);
+ bw = parseFloat(c)*parseFloat(48*tf);
+ }
+ roundedRect(ctx,(tx-(bw/2)),ty-(bh/2),bw,bh,bh/4);
+ ctx.fill();
+ if(canvas_imgtext >= 1) {
+ drawString(ctx, per + "%", tf, tx-(w/2), ty-(th/1.8));
+ }else {
+ strokeString(ctx, per + "%","rgba(48,48,48,1)", th, tx-(w/2), ty-(th/2));
+ }
+ }else {
+ roundedRect(ctx,(tx-(bw/2)),ty-(bh/2),bw,bh,bh/4);
+ ctx.fill();
+ obj = document.createElement('div');
+ obj.style.position = "absolute";
+ obj.style.overflow = "hidden";
+ obj.style.textAlign = "center";
+ obj.style.width = bw + "px";
+ obj.style.left = (tx-(bw/2)) + "px";
+ obj.style.top = ty-(th/1.8) + "px";
+ obj.appendChild(document.createTextNode(per + "%"));
+ textdiv.appendChild(obj);
+ }
+ }
+ }
+ }
+}
+function setBarChart(canvasobj,textobj) {
+ var iw = canvas_width*0.9; var ih = canvas_height*0.9;
+ var pw = canvas_width*0.05; var ph = canvas_height*0.05;
+ var cm = 0; var ct = 0;
+ for (var data in ChartData) {
+ cm = Math.max(cm,ChartData[data]);
+ ct += ChartData[data];
+ }
+ var hf = ih/cm; var bs = colorSlice.length;
+ var bw = iw/(bs*1.1); var poc = ct/100;
+ var th = roundTo((bw/3)*0.75,0);
+ var canvas = document.getElementById(canvasobj);
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,canvas_width,canvas_height);
+ }else {
+ ctx.clearRect(0,0,canvas_width,canvas_height);
+ }
+ if(canvas_htmltext >= 1) {
+ if(document.getElementById(textobj)) {
+ canvas.parentNode.removeChild(canvas.parentNode.lastChild);
+ }
+ canvas.parentNode.style.position = "relative";
+ if(!document.getElementById(textobj)) {
+ var obj = document.createElement('div');
+ obj.id = textobj;
+ obj.style.color = "rgb(0,0,0)";
+ obj.style.fontFamily = "Arial,sans-serif";
+ obj.style.fontSize = th + "px";
+ obj.style.zIndex = 11;
+ canvas.parentNode.appendChild(obj);
+ }
+ var textdiv = document.getElementById(obj.id);
+ }
+ var cc = ""; var bh = 0; var idx = 0; var t = 0; per = 0;
+ for (var data in ChartData) {
+ per = roundTo(ChartData[data]/poc,2);
+ bh = ChartData[data]*hf;
+ drawBar(ctx,pw+(t*(bw*1.1)),ph+ih-bh,bw,bh,colorSlice[(idx++)],per,textdiv);
+ t++;
+ }
+ }
+}
+function setLineChart(canvasobj,textobj) {
+ var iw = canvas_width*0.9;
+ var ih = canvas_height*0.9;
+ var pw = canvas_width*0.05;
+ var ph = canvas_height*0.05;
+ var cm = 0;
+ for (var i = 0; i < ChartData.length; i++) {
+ for (var j = 0; j < ChartData[i].length; j++) {
+ cm = Math.max(cm,ChartData[i][j]);
+ }
+ }
+ var hf = (ih*0.95)/cm; var bw = iw;
+ var dw = iw/(ChartData[0].length-1)
+ var dh = ih/(ChartData[0].length-1)
+ var ps = Math.min(pw,ph); lw = ps/4;
+ var B2T;
+ var canvas = document.getElementById(canvasobj);
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,canvas_width,canvas_height);
+ }else {
+ ctx.clearRect(0,0,canvas_width,canvas_height);
+ }
+ if(canvas_noshadow <= 0) {
+ B2T = ctx.createLinearGradient(pw+ps,ph+ih+1,pw+ps+ps,ph+ih+1);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+ps,ph+ih);
+ ctx.lineTo(pw+ps,ph+ih+ps);
+ ctx.lineTo(pw+ps+ps,ph+ih);
+ ctx.closePath();
+ ctx.fill();
+ B2T = ctx.createLinearGradient(pw+ps+ps,ph+ih,pw+ps+ps,ph+ih+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.5)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.0)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+ps+ps,ph+ih);
+ ctx.lineTo(pw+iw,ph+ih);
+ ctx.lineTo(pw+ps+iw,ph+ih+ps);
+ ctx.lineTo(pw+ps,ph+ih+ps);
+ ctx.closePath();
+ ctx.fill();
+ var B2T = ctx.createLinearGradient(pw+iw+1,ph+ps,pw+iw+1,ph+ps+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.35)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+iw,ph+ps);
+ ctx.lineTo(pw+iw,ph+ps+ps);
+ ctx.lineTo(pw+iw+ps,ph+ps);
+ ctx.closePath();
+ ctx.fill();
+ B2T = ctx.createLinearGradient(pw+iw,ph+ps+ps,pw+iw+ps,ph+ps+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.35)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.0)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+iw,ph+ps+ps);
+ ctx.lineTo(pw+iw,ph+ih);
+ ctx.lineTo(pw+iw+ps,ph+ih+ps);
+ ctx.lineTo(pw+iw+ps,ph+ps);
+ ctx.closePath();
+ ctx.fill();
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = lw/2;
+ ctx.strokeStyle = "rgba(255,255,255,0.25)";
+ if(canvas_nofill <= 0) {
+ ctx.fillStyle = "rgba(240,240,240,0.8)";
+ ctx.strokeStyle = "rgba(255,255,255,1)";
+ ctx.fillRect(pw,ph,iw,ih);
+ }
+ for (var i = 0; i < ChartData[0].length-1; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw+(i*dw),ph);
+ ctx.lineTo(pw+(i*dw),ph+ih);
+ ctx.stroke();
+ }
+ for (var i = 1; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw,ph+(i*dh));
+ ctx.lineTo(pw+iw,ph+(i*dh));
+ ctx.stroke();
+ }
+ ctx.lineWidth = lw;
+ var cc = ""; var bh = 0; var idx = 0; var t = 0;
+ for (var i = 0; i < ChartData.length; i++) {
+ for (var j = 0; j < ChartData[i].length; j++) {
+ t = Math.max(t,ChartData[i][j]);
+ }
+ bh = t*hf; cc = colorSlice[(idx++)];
+ drawLine(ctx,pw,ph+ih-bh,bw,bh,dw,ChartData[i],hf,cc,canvas_nofill);
+ }
+ ctx.beginPath();
+ ctx.moveTo(pw,ph);
+ ctx.lineTo(pw,ph+ih);
+ ctx.lineTo(pw+iw+(lw/2),ph+ih);
+ ctx.strokeStyle = "rgba(48,48,48,1)";
+ ctx.stroke();
+ ctx.lineWidth = lw/2;
+ B2T = ctx.createLinearGradient(pw,ph+ih,pw,ph+ih+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,1)');
+ B2T.addColorStop(0.5, 'rgba(0,0,0,1)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.strokeStyle = B2T;
+ for (var i = 0; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw+(i*dw),ph+ih);
+ ctx.lineTo(pw+(i*dw),ph+ih+ps);
+ ctx.stroke();
+ }
+ B2T = ctx.createLinearGradient(pw-ps,ph,pw,ph);
+ B2T.addColorStop(0, 'rgba(0,0,0,0)');
+ B2T.addColorStop(0.5, 'rgba(0,0,0,1)');
+ B2T.addColorStop(1, 'rgba(0,0,0,1)');
+ ctx.strokeStyle = B2T;
+ for (var i = 1; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw-ps,ph+(i*dh));
+ ctx.lineTo(pw,ph+(i*dh));
+ ctx.stroke();
+ }
+ }
+}
+function generateCanvas() {
+ if(canvasSupport) {
+ var canvasID; var tdataID; var textID;
+ var tmp; var dat; var i; var j;
+ for(i=0; i < piecharts.length; i++) {
+ tmp = piecharts[i].id.split("_");
+ tdataID = piecharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID);
+ if(colorSlice.length > 0) {
+ j = 0; dat = ""; for(dat in ChartData) {j++; }
+ if(j > 0 && j == colorSlice.length) {
+ setPieChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ for(i=0; i < barcharts.length; i++) {
+ tmp = barcharts[i].id.split("_");
+ tdataID = barcharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID);
+ if(colorSlice.length > 0) {
+ j = 0; dat = ""; for(dat in ChartData) {j++; }
+ if(j > 0 && j == colorSlice.length) {
+ setBarChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ for(i=0; i < linecharts.length; i++) {
+ tmp = linecharts[i].id.split("_");
+ tdataID = linecharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID,true);
+ if(colorSlice.length > 0) {
+ if(ChartData.length == colorSlice.length) {
+ setLineChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ }
+}
+// canvas chart extension
+
+// invisible select extension
+function setListPos(opt) {
+ if(!isIE) { //(degrade IE)
+ var ref = document.getElementById('list');
+ var x = findPosX(ref); var y = findPosY(ref);
+ var obj = document.getElementById('jumplist');
+ obj.style.position = 'fixed'; obj.style.left = x + 'px'; obj.style.top = y + 'px';
+ var vol = document.getElementById('volumelist');
+ ref = document.getElementById('volume');
+ x = findPosX(ref); if(isOp) {y = window.innerHeight-imgHeight; }else {y = findPosY(ref);}
+ vol.style.position = 'fixed'; vol.style.left = x + 'px'; vol.style.top = y + 'px';
+ var del = document.getElementById('delaylist');
+ ref = document.getElementById('delay');
+ x = findPosX(ref); if(isOp) {y = window.innerHeight-imgHeight; }else {y = findPosY(ref);}
+ del.style.position = 'fixed'; del.style.left = x + 'px'; del.style.top = y + 'px';
+ var pnl = document.getElementById('navLinks');
+ }
+ if(opt) {
+ if(isIE) {
+ //(degrade IE)
+ //pnl.style.filter = "alpha(opacity=80)";
+ }else {
+ obj.style.opacity = 0.0;
+ vol.style.opacity = 0.0;
+ del.style.opacity = 0.0;
+ pnl.style.opacity = 0.8;
+ }
+ }
+}
+// invisible select extension
+
+// transition extension
+function switchFade() {
+ if(tranSitions && s5mode && fadeModus) {
+ fadeModus = false;
+ playDelay = playDelay-(2*fadeDuration);
+ var obj = document.getElementById('fade'); changeClass(obj,'isoff');
+ }else if(tranSitions && s5mode && !fadeModus) {
+ fadeModus = true;
+ playDelay = playDelay+(2*fadeDuration);
+ var obj = document.getElementById('fade'); changeClass(obj,'ison');
+ }
+ currentSlide();
+}
+function opacity(ids, opacStart, opacEnd, millisec) {
+ var speed = Math.round(millisec / 100);
+ var timer = 0;
+ if(opacStart > opacEnd) {
+ for(var i = opacStart; i >= opacEnd; i--) {
+ window.setTimeout("changeOpac(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ } else if(opacStart < opacEnd) {
+ for(var i = opacStart; i <= opacEnd; i++) {
+ window.setTimeout("changeOpac(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ }
+}
+function changeOpac(opacity, ids) {
+ var obj = document.getElementById(ids);
+ if (isIE) {
+ obj.style.filter = "alpha(opacity=" + opacity + ")";
+ } else {
+ obj.style.opacity = (opacity / 100);
+ }
+}
+function shiftOpacity(ids, millisec) {
+ if(document.getElementById(ids).style.opacity != '') {
+ var currentOpac = document.getElementById(ids).style.opacity * 100;
+ } else {
+ var currentOpac = 0;
+ }
+ if(currentOpac == 0) {
+ opacity(ids, currentOpac, 100, millisec);
+ } else if(currentOpac > 0) {
+ opacity(ids, currentOpac, 0, millisec);
+ }
+}
+// transition extension
+
+// autoplay extension
+function autoPlay() {
+ if (s5mode && autoMatic && !playPause) {
+ if ((snum >= (smax-1)) && playLoop) {
+ goTo(0);
+ autoRun = setTimeout('autoPlay();',playDelay);
+ }else if ((snum >= (smax-1)) && !playLoop) {
+ stopPlay();
+ }else {
+ if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ if (incrementals[snum].length >0) {
+ clearTimeout(autoRun); autoRun = null;
+ incrDelay = parseInt(playDelay/(incrementals[snum].length+1));
+ remainDer = parseInt(playDelay-(incrDelay*incrementals[snum].length));
+ autoRun = setTimeout('autoPlayIncr()',incrDelay);
+ }
+ } else {
+ clearTimeout(autoRun); autoRun = null;
+ incrDelay = incrDuration;
+ remainDer = parseInt(playDelay-(incrementals[snum].length*incrDuration));
+ autoRun = setTimeout('autoPlayIncr()',incrDelay);
+ }
+ autoRun = setTimeout('autoPlay();',playDelay);
+ }
+ }
+}
+function autoPlayIncr() {
+ if (incpos < incrementals[snum].length) {
+ subgo(1);
+ autoRun = setTimeout('autoPlayIncr();',incrDelay);
+ }else {
+ autoRun = setTimeout('nop();',remainDer);
+ }
+}
+function nop() {
+ // no operation dummy
+}
+function togglePlay() {
+ if (autoRun && s5mode) {
+ stopPlay();
+ }else if (!autoRun && s5mode) {
+ startPlay();
+ }
+}
+function stopPlay() {
+ if (autoRun && s5mode) {
+ clearTimeout(autoRun); autoRun = null;
+ autoMatic = false; playPause = false; var obj = '';
+ obj = document.getElementById('auto'); changeClass(obj,'isoff');
+ obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ currentSlide();
+ }
+}
+function startPlay() {
+ if (!autoRun && s5mode) {
+ playPause = false; autoMatic = true; var obj = '';
+ obj = document.getElementById('auto'); changeClass(obj,'ison');
+ obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ autoRun = setTimeout('autoPlay();',playDelay);
+ currentSlide();
+ }
+}
+function pausePlay() {
+ if (s5mode && autoMatic) {
+ if (playPause) {
+ playPause = false; autoRun = setTimeout('autoPlay();',playDelay);
+ var obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ }else {
+ if (autoRun){
+ clearTimeout(autoRun); autoRun = null; playPause = true;
+ var obj = document.getElementById('pause'); changeClass(obj,'ison');
+ }
+ }
+ currentSlide();
+ }
+}
+function switchLoop() {
+ if(s5mode) {
+ if (playLoop) {
+ playLoop = false;
+ var obj = document.getElementById('loop'); changeClass(obj,'isoff');
+ }else {
+ playLoop = true;
+ var obj = document.getElementById('loop'); changeClass(obj,'ison');
+ }
+ }
+ currentSlide();
+}
+function setDelay() {
+ var val = document.getElementById('delaylist');
+ if(s5mode) {
+ var delay = Math.max(5,Math.min(parseInt(val.value),300));
+ playDelay = (fadeModus == true) ? ((delay*1000)+(2*fadeDuration)) : (delay*1000);
+ }
+}
+// autoplay extension
+
+// audio extension
+function createSoundManagerScript() {
+ if(typeof soundManager=="undefined") {
+ onerrorSM2();
+ }else {
+ var script=document.createElement('SCRIPT');
+ var tx=document.createTextNode("soundManager.createMovie();");
+ script.appendChild(tx);
+ document.getElementsByTagName("body")[0].appendChild(script);
+ }
+}
+//soundManager.onload = function() {
+function onloadSM2() {
+ if(!isIE) { //(degrade IE)
+ swfUnloaded = false;
+ preloadSounds();
+ }
+}
+//soundManager.onerror = function() {
+function onerrorSM2() {
+ if(typeof soundManager!="undefined") {
+ soundManager.destruct;
+ delete soundManager;
+ }
+ audioSupport = false; swfUnloaded = true;
+ if(audioError && !isIE && !isOp) {
+ var dv = document.createElement('div'); dv.id = "guru";
+ var d2=document.createElement('div'); dv.appendChild(d2);
+ var tx=document.createTextNode('Guru Meditation - SoundManager failed to load/initialize!');
+ d2.appendChild(tx); document.getElementById('slide0').appendChild(dv);
+ }
+}
+function audioSetup() {
+ if(sound[0]) {
+ playSound(0);
+ }else if(sound[bgSoundItem] && !sound[0]) {
+ playSound(bgSoundItem);
+ }
+}
+function fadeoutSound(ids, option) {
+ if(curSoundID >= 0 && !swfUnloaded) {
+ if(isNaN(ids)) {
+ if(ids == "bgSound") {
+ var cnum = parseInt(bgSoundItem);
+ }else {
+ for (var i = 0; i < (sound.length-1); i++) {
+ if(sound[i] && ids == sound[i]["id"]) {
+ var cnum = i;
+ break;
+ }
+ }
+ }
+ }else {
+ var cnum = ids;
+ ids = sound[cnum]["id"];
+ }
+ var vol = getMaxVolume(sound[cnum]["volume"]);
+ var millisec = fadeDuration;
+ var speed = Math.round(millisec / vol);
+ var timer = 0;
+ for(var i = vol; i > 0; i--) {
+ setTimeout("fadeout(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ if(option) setTimeout("stopSound('"+ids+"')",millisec);
+ }
+}
+function fadeout(volume, id) {
+ soundManager.setVolume(id,volume);
+}
+function stopSound(ids) {
+ var sid;
+ if(isNaN(ids)) {
+ sid = ids;
+ }else if(sound[ids]) {
+ sid = sound[ids]["id"];
+ }
+ if(sid!='' && curSoundID >= 0) {
+ soundManager.stop(sid);
+ curSoundID = -1;
+ }
+}
+function toggleSounds() {
+ if(audioSupport && !swfUnloaded) {
+ stopAllSounds();
+ }else if (!audioSupport && !swfUnloaded) {
+ allowSounds();
+ }
+}
+function allowSounds() {
+ if(!swfUnloaded) {
+ audioSupport = true;
+ var obj = document.getElementById('audio'); changeClass(obj,'ison');
+ currentSlide();
+ }
+}
+function stopAllSounds() {
+ if(curSoundID >= 0) {
+ stopSound(curSoundID);
+ }else {
+ soundManager.stopAll();
+ curSoundID = -1;
+ }
+ audioSupport = false;
+ var obj = document.getElementById('audio'); changeClass(obj,'isoff');
+ currentSlide();
+}
+function playSound(id) {
+ if(audioSupport && !swfUnloaded) {
+ var url, sid, vol, lps, cnum;
+ if(isNaN(id)) {
+ sid = id;
+ if(sid == "bgSound") {
+ cnum = parseInt(bgSoundItem);
+ }else {
+ cnum = getSoundID(id);
+ }
+ }else {
+ cnum = parseInt(id);
+ if(sound[cnum]) sid = sound[cnum]["id"];
+ }
+ if(sound[cnum] && sound[cnum]["url"]!='' && sid!=''){
+ url = sound[cnum]["url"];
+ vol = (sound[cnum]["volume"]!='')?getMaxVolume(sound[cnum]["volume"]):getMaxVolume(100);
+ lps = (sound[cnum]["loops"])?true:false;
+ if(lps) {
+ soundManager.play(sid,{volume:vol,onplay:function(){curSoundID=cnum;},onfinish:function(){soundManager.play(sid,{volume:getMaxVolume(sound[cnum]["volume"])});}});
+ }else {
+ soundManager.play(sid,{volume:vol,onplay:function(){curSoundID=cnum;},onfinish:function(){curSoundID=-1;}});
+ }
+ }
+ }
+}
+function getSoundID(str) {
+ for (var i = 0; i < (sound.length-1); i++) {
+ if(sound[i] && str == sound[i]["id"]) {
+ var id = i;
+ break;
+ }
+ }
+ return id;
+}
+function setVolume() {
+ var vol = document.getElementById('volumelist');
+ if(audioSupport && !swfUnloaded) {
+ audioVolume = parseInt(vol.value);
+ if(curSoundID >= 0) {
+ if(sound[curSoundID]) {
+ var sid = sound[curSoundID]["id"];
+ var vid = getMaxVolume(sound[curSoundID]["volume"]);
+ soundManager.setVolume(sid,vid);
+ }
+ }
+ }
+}
+function getMaxVolume(value) {
+ if(audioVolume>0) {var factor = audioVolume/100;}else {var factor = 0;}
+ return Math.max(0,Math.min(parseInt(value*factor),audioVolume));
+}
+function preloadSounds() {
+ var temp = ''; var parm = ''; var t = ''; var cl = '';
+ var objects = document.getElementsByTagName('object');
+ for (var i=0; i < objects.length; i++) {
+ if(objects[i].type.toLowerCase() == 'audio/mp3' && objects[i].data != '') {
+ objects[i].width = 0; objects[i].height = 0;
+ if(objects[i].parentNode.tagName == 'DIV') {
+ cl = objects[i].parentNode.className.toLowerCase();
+ if(cl == 'presentation' || cl == 'slide') {
+ if(cl == 'presentation') {
+ t = parseInt(bgSoundItem);
+ }else {
+ t = parseInt(objects[i].parentNode.id.slice(5, objects[i].parentNode.id.length));
+ }
+ sound[t] = new Object();
+ if(t < bgSoundItem) {
+ sound[t]["id"] = objects[i].parentNode.id;
+ }else {
+ sound[t]["id"] = "bgSound";
+ }
+ sound[t]["url"] = objects[i].data;
+ sound[t]["volume"] = 100; sound[t]["loops"] = false;
+ if(objects[i].archive != '') {
+ parm = objects[i].archive.toLowerCase().split(',');
+ for (var j=0; j < parm.length; j++) {
+ if(parm[j] == 'loop') sound[t]["loops"] = true;
+ if(parm[j].search(/^volume/) != -1) {
+ var tmp = parm[j].split(':');
+ sound[t]["volume"] = parseInt(tmp[1]);
+ }
+ }
+ }
+ soundManager.createSound(sound[t]["id"],sound[t]["url"]);
+ }
+ }
+ }
+ }
+}
+// audio extension
+
+// help extension
+function createHelpReq() {
+ if(!document.getElementById("HelpReq")) {
+ var obj = document.getElementsByTagName("body")[0].firstChild;
+ var pg = document.createElement('div');
+ pg.id = "HelpReq";
+ if (pg.addEventListener) {
+ pg.addEventListener("onclick",dumpHelpReq,false);
+ } else if (pg.attachEvent) {
+ pg.attachEvent("onclick",dumpHelpReq);
+ }
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=90)";
+ } else {
+ pg.style.opacity = 0.9;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(64,64,64)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/help.jpg)";
+ document.getElementsByTagName("body")[0].insertBefore(pg,obj);
+ if(document.getElementById("HelpReq")) {
+ helpmode = true;
+ }
+ }
+}
+function dumpHelpReq() {
+ if(document.getElementById("HelpReq")) {
+ document.body.removeChild(document.getElementById('HelpReq'));
+ helpmode = false;
+ }
+}
+// help extension
+
+//DEBUG
+function ConsoleLog(value) {
+ if(window.console) {
+ window.console.log(value);
+ }
+}
+//DEBUG
+
+document.write('<style type="text/css" media="screen" id="blockStyle">.presentation, .layout {display: none; }</style>');
+
+if(!isIE && !isOp) {
+ document.write('<script type="text/javascript" src="'+s5Path+'ui/audio_support/soundmanager2.js"></script>');
+ if(typeof soundManager!="undefined") {
+ var allMetas = document.getElementsByTagName('meta');
+ for (var i = 0; i< allMetas.length; i++) {
+ if (allMetas[i].name == 'audioDebug') {
+ var audioDebug = (allMetas[i].content == "true") ? true : false;
+ soundManager.defaultOptions.debugMode = audioDebug;
+ }
+ }
+ }
+}
+
+window.onload = createSlideShow;
+window.onresize = function(){setTimeout('windowChange()',5);} \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/toggle_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/toggle_link.png
new file mode 100644
index 000000000..4588e2514
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/toggle_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/toggle_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/toggle_over.png
new file mode 100644
index 000000000..400f613b6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/toggle_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/volume_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/volume_link.png
new file mode 100644
index 000000000..f362acd1f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/volume_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/wrap.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/wrap.css
new file mode 100644
index 000000000..a37315552
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/wrap.css
@@ -0,0 +1,410 @@
+/********************************************************************
+Screen and Print Styles for the Wrap Plugin
+********************************************************************/
+
+/* tables in columns and boxes should span the whole width */
+.wrap_column table,
+.wrap_left table, .wrap_right table, .wrap_center table,
+.wrap_box table,
+.wrap_info table, .wrap_important table, .wrap_alert table, .wrap_tip table, .wrap_help table, .wrap_todo table, .wrap_download table {
+ width: 100%;
+}
+/* emulate a headline */
+.wrap_column em strong,
+.wrap_left em strong, .wrap_right em strong, .wrap_center em strong,
+.wrap_box em strong,
+.wrap_info em strong, .wrap_important em strong, .wrap_alert em strong, .wrap_tip em strong, .wrap_help em strong, .wrap_todo em strong, .wrap_download em strong {
+ font-size: 130%;
+ font-weight: bold;
+ font-style: normal;
+ display: block;
+}
+/* emulate a bigger headline with a bottom border */
+.wrap_column em strong em.u,
+.wrap_left em strong em.u, .wrap_right em strong em.u, .wrap_center em strong em.u,
+.wrap_box em strong em.u,
+.wrap_info em strong em.u, .wrap_important em strong em.u, .wrap_alert em strong em.u, .wrap_tip em strong em.u, .wrap_help em strong em.u, .wrap_todo em strong em.u, .wrap_download em strong em.u {
+ font-size: 115%;
+ border-bottom: 1px solid __border__;
+ font-style: normal;
+ text-decoration: none;
+ display: block;
+}
+/* different bigger headline for safety notes */
+.wrap_danger em strong em.u, .wrap_warning em strong em.u, .wrap_caution em strong em.u, .wrap_notice em strong em.u, .wrap_safety em strong em.u {
+ font-size: 115%;
+ font-style: normal;
+ text-decoration: none;
+ display: block;
+ text-transform: uppercase;
+ border-bottom-width: 0;
+}
+/* change border colour of emulated headlines inside boxes to something more neutral
+ (to match all the different background colours) */
+.wrap_box em strong em.u,
+.wrap_info em strong em.u, .wrap_important em strong em.u, .wrap_alert em strong em.u, .wrap_tip em strong em.u, .wrap_help em strong em.u, .wrap_todo em strong em.u, .wrap_download em strong em.u {
+ border-bottom-color: #999;
+}
+
+
+/* columns
+********************************************************************/
+
+.wrap_left,
+.wrap_column {
+ float: left;
+ margin-right: 1.5em;
+}
+.wrap_right {
+ float: right;
+ margin-left: 1.5em;
+}
+.wrap_center {
+ display: block;
+ margin-left: auto;
+ margin-right: auto;
+}
+/*
+.wrap_column,
+.wrap_left,
+.wrap_right {
+ overflow: hidden;
+}
+*/
+.wrap_container {
+ margin-right: -1.5em;
+}
+
+
+/* alignments
+********************************************************************/
+
+.wrap_leftalign {
+ text-align: left;
+}
+.wrap_centeralign {
+ text-align: center;
+}
+.wrap_rightalign {
+ text-align: right;
+}
+.wrap_justify {
+ text-align: justify;
+}
+
+
+/* box
+********************************************************************/
+
+/* see styles for boxes and notes with icons in style.css */
+
+/*____________ rounded corners ____________*/
+/* (only for modern browsers) */
+
+div.wrap_round {
+ border-radius: 20px;
+ -moz-border-radius: 20px;
+ -webkit-border-radius: 20px;
+ -khtml-border-radius: 20px;
+}
+span.wrap_round {
+ border-radius: 2px;
+ -moz-border-radius: 2px;
+ -webkit-border-radius: 2px;
+ -khtml-border-radius: 2px;
+}
+
+
+/* mark
+********************************************************************/
+
+div.wrap_lo {
+ color: __text_neu__;
+ font-size: 85%;
+}
+div.wrap_em {
+ color: #c00;
+ font-weight: bold;
+}
+
+/* see styles for highlighted text in style.css */
+
+
+/* typography
+********************************************************************/
+
+/*____________ fonts ____________*/
+
+div.wrap_sansserif {
+ font-family: Verdana,Tahoma,Geneva,"DejaVu Sans","Bitstream Vera Sans","Liberation Sans", Arial,Helvetica,FreeSans,"Liberation Sans","Nimbus Sans L", sans-serif;
+}
+div.wrap_serif {
+ font-family: Georgia,Garamond,"Palatino Linotype","Book Antiqua",Palatino,Palladio,"URW Palladio L","Liberation Serif",Didot,Gentium,"Bitstream Charter","Century Schoolbook L", "Times New Roman",Times,"Nimbus Roman No9 L","FreeSerif", serif;
+}
+div.wrap_monospace {
+ font-family: Courier,"Courier New",FreeMono,"Nimbus Mono L","Liberation Mono", "Lucida Console",Monaco,"DejaVu Sans Mono","Bitstream Vera Sans Mono", monospace;
+}
+
+/*____________ font size ____________*/
+
+div.wrap_bigger {
+ font-size: 125%;
+}
+div.wrap_muchbigger {
+ font-size: 200%;
+}
+div.wrap_smaller {
+ font-size: 75%;
+}
+
+/*____________ font colours ____________*/
+
+div.wrap_fgred {
+ color: #900;
+}
+div.wrap_fggreen {
+ color: #090;
+}
+div.wrap_fgblue {
+ color: #009;
+}
+div.wrap_fgcyan {
+ color: #099;
+}
+div.wrap_fgviolet {
+ color: #909;
+}
+div.wrap_fgyellow {
+ color: #990;
+}
+div.wrap_fggrey {
+ color: #666;
+}
+div.wrap_fgblack {
+ color: #000;
+}
+
+/* see styles for background colours and white font colour in style.css */
+
+
+/* miscellaneous
+********************************************************************/
+
+/*____________ indent ____________*/
+
+div.wrap_indent {
+ padding-left: 1.5em;
+}
+
+/*____________ outdent ____________*/
+
+div.wrap_outdent {
+ margin-left: -1.5em;
+}
+
+/*____________ word wrapping in pre ____________*/
+
+divdiv.wrap_prewrap pre {
+ white-space: pre-wrap;
+ word-wrap: break-word;/* for IE < 8 */
+ /* white-space: -moz-pre-wrap; for FF < 3 */
+}
+
+/*____________ spoiler ____________*/
+
+divdiv.wrap_spoiler {
+ margin-bottom: 1.5em;
+}
+/* see rest of spoiler styles in style.css */
+
+/*____________ clear float ____________*/
+
+div.wrap_clear {
+ clear: both;
+ line-height: 0;
+ height: 0;
+ font-size: 1px;
+ visibility: hidden;
+ overflow: hidden;
+}
+
+/*____________ hide ____________*/
+
+div.wrap_hide {
+ display: none;
+}
+
+
+/* STYLE.CSS */
+
+/* box
+********************************************************************/
+
+.wrap_box {
+ background: __background_alt__;
+ color: __text__;
+/*
+ overflow: hidden;
+*/
+}
+div.wrap_box,
+div.wrap_danger, div.wrap_warning, div.wrap_caution, div.wrap_notice, div.wrap_safety {
+ padding: 1em 1em .5em;
+ margin-bottom: 1.5em;
+}
+span.wrap_box,
+span.wrap_danger, span.wrap_warning, span.wrap_caution, span.wrap_notice, span.wrap_safety {
+ padding: 0 .3em;
+}
+
+/*____________ notes with icons ____________*/
+
+/* general styles for all note divs */
+div.wrap_info, div.wrap_important, div.wrap_alert, div.wrap_tip, div.wrap_help, div.wrap_todo, div.wrap_download {
+ padding: 1em 1em .5em 70px;
+ margin-bottom: 1.5em;
+ min-height: 68px;
+ background-position: 10px 50%;
+ background-repeat: no-repeat;
+ color: #000;
+}
+/* special treatment for IE6 */
+* html div.wrap_info, * html div.wrap_important, * html div.wrap_alert, * html div.wrap_tip, * html div.wrap_help, * html div.wrap_todo, * html div.wrap_download {
+ height: 68px;
+}
+/* general styles for all note spans */
+span.wrap_info, span.wrap_important, span.wrap_alert, span.wrap_tip, span.wrap_help, span.wrap_todo, span.wrap_download {
+ padding: 0 2px 0 20px;
+ min-height: 20px;
+ background-position: 2px 50%;
+ background-repeat: no-repeat;
+ color: #000;
+}
+/* special treatment for IE6 */
+* html span.wrap_info, * html span.wrap_important, * html span.wrap_alert, * html span.wrap_tip, * html span.wrap_help, * html span.wrap_todo, * html span.wrap_download {
+ height: 20px;
+}
+
+/*____________ info ____________*/
+.wrap_info { background-color: #d1d7df; }
+div.wrap_info { background-image: url(../../../wrap/images/note/48/info.png); }
+span.wrap_info { background-image: url(../../../wrap/images/note/16/info.png); }
+
+/*____________ important ____________*/
+.wrap_important { background-color: #ffd39f; }
+div.wrap_important { background-image: url(../../../wrap/images/note/48/important.png); }
+span.wrap_important { background-image: url(../../../wrap/images/note/16/important.png); }
+
+/*____________ alert ____________*/
+.wrap_alert { background-color: #ffbcaf; }
+div.wrap_alert { background-image: url(../../../wrap/images/note/48/alert.png); }
+span.wrap_alert { background-image: url(../../../wrap/images/note/16/alert.png); }
+
+/*____________ tip ____________*/
+.wrap_tip { background-color: #fff79f; }
+div.wrap_tip { background-image: url(../../../wrap/images/note/48/tip.png); }
+span.wrap_tip { background-image: url(../../../wrap/images/note/16/tip.png); }
+
+/*____________ help ____________*/
+.wrap_help { background-color: #dcc2ef; }
+div.wrap_help { background-image: url(../../../wrap/images/note/48/help.png); }
+span.wrap_help { background-image: url(../../../wrap/images/note/16/help.png); }
+
+/*____________ todo ____________*/
+.wrap_todo { background-color: #c2efdd; }
+div.wrap_todo { background-image: url(../../../wrap/images/note/48/todo.png); }
+span.wrap_todo { background-image: url(../../../wrap/images/note/16/todo.png); }
+
+/*____________ download ____________*/
+.wrap_download { background-color: #d6efc2; }
+div.wrap_download { background-image: url(../../../wrap/images/note/48/download.png); }
+span.wrap_download { background-image: url(../../../wrap/images/note/16/download.png); }
+
+
+/*____________ safety notes ____________*/
+
+.wrap_danger {
+ background-color: #c00;
+ color: #fff;
+}
+.wrap_warning {
+ background-color: #f60;
+ color: #000;
+}
+.wrap_caution {
+ background-color: #ff0;
+ color: #000;
+}
+.wrap_notice {
+ background-color: #06f;
+ color: #fff;
+}
+.wrap_safety {
+ background-color: #090;
+ color: #fff;
+}
+
+
+/* mark
+********************************************************************/
+
+div.wrap_hi {
+ background-color: #ff9;
+}
+
+/* typography
+********************************************************************/
+
+/*____________ font colours ____________*/
+
+div.wrap_fgwhite {
+ color: #fff;
+}
+
+/*____________ background colours ____________*/
+
+div.wrap_bgred {
+ background-color: #fcc;
+}
+div.wrap_bggreen {
+ background-color: #cfc;
+}
+div.wrap_bgblue {
+ background-color: #ccf;
+}
+div.wrap_bgcyan {
+ background-color: #9ff;
+}
+div.wrap_bgviolet {
+ background-color: #f9f;
+}
+div.wrap_bgyellow {
+ background-color: #ff9;
+}
+div.wrap_bggrey {
+ background-color: #ccc;
+}
+div.wrap_bgwhite {
+ background-color: #fff;
+}
+div.wrap_bgblack {
+ background-color: #000;
+}
+
+
+/* miscellaneous
+********************************************************************/
+
+/*____________ spoiler ____________*/
+
+div.wrap_spoiler {
+ background-color: __background__ !important;
+ color: __background__ !important;
+ border: 1px dotted red;
+}
+
+/*____________ only print ____________*/
+
+div.wrap_onlyprint {
+ display: none;
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/zero_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/zero_link.png
new file mode 100644
index 000000000..5610884d9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/zero_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/zero_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/zero_over.png
new file mode 100644
index 000000000..3a14a577e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/blue/zero_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/audio_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/audio_link.png
new file mode 100644
index 000000000..daa740c40
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/audio_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/audio_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/audio_on.png
new file mode 100644
index 000000000..cd590aae9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/audio_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/audio_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/audio_over.png
new file mode 100644
index 000000000..cf537a008
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/audio_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/auto_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/auto_link.png
new file mode 100644
index 000000000..1c2ca4817
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/auto_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/auto_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/auto_on.png
new file mode 100644
index 000000000..4b0c923b8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/auto_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/auto_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/auto_over.png
new file mode 100644
index 000000000..dbea88975
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/auto_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/backgrnd.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/backgrnd.png
new file mode 100644
index 000000000..0e48413d3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/backgrnd.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/blank.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/blank.gif
new file mode 100644
index 000000000..75b945d25
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/blank.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/bodybg.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/bodybg.gif
new file mode 100644
index 000000000..5f448a16f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/bodybg.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/delay_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/delay_link.png
new file mode 100644
index 000000000..c4d99cd57
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/delay_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/exit_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/exit_link.png
new file mode 100644
index 000000000..1bfd38790
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/exit_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/exit_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/exit_over.png
new file mode 100644
index 000000000..0fdd1c605
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/exit_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/fade_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/fade_link.png
new file mode 100644
index 000000000..482e4d53e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/fade_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/fade_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/fade_on.png
new file mode 100644
index 000000000..e12561fb2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/fade_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/fade_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/fade_over.png
new file mode 100644
index 000000000..fb8943c98
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/fade_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/footer.jpg b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/footer.jpg
new file mode 100644
index 000000000..49370dbba
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/footer.jpg
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/framing.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/framing.css
new file mode 100644
index 000000000..14d8509e9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/framing.css
@@ -0,0 +1,23 @@
+/* The following styles size, place, and layer the slide components.
+ Edit these if you want to change the overall slide layout.
+ The commented lines can be uncommented (and modified, if necessary)
+ to help you with the rearrangement process. */
+
+/* target = 1024x768 */
+
+div#header, div#footer, .slide {width: 100%; top: 0; left: 0;}
+div#header {top: 0; height: 3em; z-index: 1;}
+div#footer {top: auto; bottom: 0; height: 2.5em; z-index: 5;}
+.slide {top: 0; width: 92%; padding: 3.5em 4% 4%; z-index: 2; list-style: none;}
+div#controls {left: 50%; bottom: 0; width: 50%; z-index: 100;}
+div#controls form {position: absolute; bottom: 0; right: 0; width: 100%;
+ margin: 0;}
+#currentSlide {position: absolute; width: 10%; left: 45%; bottom: 1em; z-index: 10;}
+html>body #currentSlide {position: fixed;}
+
+/*
+div#header {background: #FCC;}
+div#footer {background: #CCF;}
+div#controls {background: #BBD;}
+div#currentSlide {background: #FFC;}
+*/
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/header.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/header.png
new file mode 100644
index 000000000..929dabba1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/header.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/iepngfix.htc b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/iepngfix.htc
new file mode 100644
index 000000000..4d90c87a9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/iepngfix.htc
@@ -0,0 +1,42 @@
+<public:component>
+<public:attach event="onpropertychange" onevent="doFix()" />
+
+<script>
+
+// IE5.5+ PNG Alpha Fix v1.0 by Angus Turnbull http://www.twinhelix.com
+// Free usage permitted as long as this notice remains intact.
+
+// This must be a path to a blank image. That's all the configuration you need here.
+var blankImg = 'v11rc1/default/blank.gif';
+
+var f = 'DXImageTransform.Microsoft.AlphaImageLoader';
+
+function filt(s, m) {
+ if (filters[f]) {
+ filters[f].enabled = s ? true : false;
+ if (s) with (filters[f]) { src = s; sizingMethod = m }
+ } else if (s) style.filter = 'progid:'+f+'(src="'+s+'",sizingMethod="'+m+'")';
+}
+
+function doFix() {
+ if ((parseFloat(navigator.userAgent.match(/MSIE (\S+)/)[1]) < 5.5) ||
+ (event && !/(background|src)/.test(event.propertyName))) return;
+
+ if (tagName == 'IMG') {
+ if ((/\.png$/i).test(src)) {
+ filt(src, 'image'); // was 'scale'
+ src = blankImg;
+ } else if (src.indexOf(blankImg) < 0) filt();
+ } else if (style.backgroundImage) {
+ if (style.backgroundImage.match(/^url[("']+(.*\.png)[)"']+$/i)) {
+ var s = RegExp.$1;
+ style.backgroundImage = '';
+ filt(s, 'crop');
+ } else filt();
+ }
+}
+
+doFix();
+
+</script>
+</public:component> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/last_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/last_link.png
new file mode 100644
index 000000000..4f158d35d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/last_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/last_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/last_over.png
new file mode 100644
index 000000000..a7b629995
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/last_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/list_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/list_link.png
new file mode 100644
index 000000000..7e299bb50
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/list_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/loop_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/loop_link.png
new file mode 100644
index 000000000..41e12cb15
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/loop_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/loop_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/loop_on.png
new file mode 100644
index 000000000..2ffcacae1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/loop_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/loop_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/loop_over.png
new file mode 100644
index 000000000..9fe420153
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/loop_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/next_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/next_link.png
new file mode 100644
index 000000000..2b9f44c4d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/next_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/next_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/next_over.png
new file mode 100644
index 000000000..25788124d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/next_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/notes_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/notes_link.png
new file mode 100644
index 000000000..bb4a8ae37
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/notes_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/notes_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/notes_over.png
new file mode 100644
index 000000000..c6bfe69fc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/notes_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/opera.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/opera.css
new file mode 100644
index 000000000..9e9d2a3c5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/opera.css
@@ -0,0 +1,7 @@
+/* DO NOT CHANGE THESE unless you really want to break Opera Show */
+.slide {
+ visibility: visible !important;
+ position: static !important;
+ page-break-before: always;
+}
+#slide0 {page-break-before: avoid;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/outline.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/outline.css
new file mode 100644
index 000000000..62db519ed
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/outline.css
@@ -0,0 +1,15 @@
+/* don't change this unless you want the layout stuff to show up in the outline view! */
+
+.layout div, #footer *, #controlForm * {display: none;}
+#footer, #controls, #controlForm, #navLinks, #toggle {
+ display: block; visibility: visible; margin: 0; padding: 0;}
+#toggle {float: right; padding: 0.5em;}
+html>body #toggle {position: fixed; top: 0; right: 0;}
+
+/* making the outline look pretty-ish */
+
+#slide0 h1, #slide0 h2, #slide0 h3, #slide0 h4 {border: none; margin: 0;}
+#slide0 h1 {padding-top: 1.5em;}
+.slide h1 {margin: 1.5em 0 0; padding-top: 0.25em;
+ border-top: 1px solid #888; border-bottom: 1px solid #AAA;}
+#toggle {border: 1px solid; border-width: 0 0 1px 1px; background: #FFF;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/pause_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/pause_link.png
new file mode 100644
index 000000000..2561cb4a4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/pause_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/pause_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/pause_on.png
new file mode 100644
index 000000000..2fc9c4a4a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/pause_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/pause_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/pause_over.png
new file mode 100644
index 000000000..7a7c80ddc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/pause_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/pretty.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/pretty.css
new file mode 100644
index 000000000..f5c2666b6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/pretty.css
@@ -0,0 +1,156 @@
+/* Following are the presentation styles -- edit away! */
+
+body {background: #FFF url(bodybg.gif) -16px 0 no-repeat; color: #000; font-size: 2em;}
+:link, :visited {text-decoration: none; color: #00C;}
+#controls :active {color: #88A !important;}
+#controls :focus {outline: 1px dotted #227;}
+h1, h2, h3, h4 {font-size: 100%; margin: 0; padding: 0; font-weight: inherit;}
+ul, pre {margin: 0; line-height: 1em;}
+html, body {margin: 0; padding: 0;}
+
+blockquote, q {font-style: italic;}
+blockquote {padding: 0 2em 0.5em; margin: 0 1.5em 0.5em; text-align: center; font-size: 1em;}
+blockquote p {margin: 0;}
+blockquote i {font-style: normal;}
+blockquote b {display: block; margin-top: 0.5em; font-weight: normal; font-size: smaller; font-style: normal;}
+blockquote b i {font-style: italic;}
+
+kbd {font-weight: bold; font-size: 1em;}
+sup {font-size: smaller; line-height: 1px;}
+
+.slide code {padding: 2px 0.25em; font-weight: bold; color: #533;}
+.slide code.bad, code del {color: red;}
+.slide code.old {color: silver;}
+.slide pre {padding: 0; margin: 0.25em 0 0.5em 0.5em; color: #533; font-size: 90%;}
+.slide pre code {display: block;}
+.slide ul {margin-left: 5%; margin-right: 7%; list-style: disc;}
+.slide li {margin-top: 0.75em; margin-right: 0;}
+.slide ul ul {line-height: 1;}
+.slide ul ul li {margin: .2em; font-size: 85%; list-style: square;}
+.slide img.leader {display: block; margin: 0 auto;}
+
+div#header, div#footer {background: #005; color: #AAB;
+ font-family: Verdana, Helvetica, sans-serif;}
+div#header {background: #005 url(bodybg.gif) -16px 0 no-repeat;
+ line-height: 1px;}
+div#footer {font-size: 0.5em; font-weight: bold; padding: 1em 0;}
+#footer h1, #footer h2 {display: block; padding: 0 1em;}
+#footer h2 {font-style: italic;}
+
+div.long {font-size: 0.75em;}
+.slide h1 {position: absolute; top: 0.7em; left: 87px; z-index: 1;
+ margin: 0; padding: 0.3em 0 0 50px; white-space: nowrap;
+ font: bold 150%/1em Helvetica, sans-serif; text-transform: capitalize;
+ color: #DDE; background: #005;}
+.slide h3 {font-size: 130%;}
+h1 abbr {font-variant: small-caps;}
+
+ul.urls {list-style: none; display: inline; margin: 0;}
+.urls li {display: inline; margin: 0;}
+.note {display: none;}
+.external {border-bottom: 1px dotted gray;}
+html>body .external {border-bottom: none;}
+.external:after {content: " \274F"; font-size: smaller; color: #77B;}
+
+.incremental, .incremental *, .incremental *:after {color: #DDE; visibility: visible;}
+img.incremental {visibility: hidden;}
+.slide .current {color: #B02;}
+
+
+div#controls {position: absolute; left: 0; bottom: 0; width: 100%; text-align: center; font: bold 1em Verdana, Arial, Helvetica, sans-serif;}
+html>body div#controls {background: transparent; position: fixed; padding: 0; top: auto; text-align: center;}
+#controls #sheet {display: none;}
+#controls #controlForm {height: 32px; width: 100%; text-align: center; vertical-align: middle;}
+#controls #navLinks {border-top: 1px solid #999; overflow: hidden; padding: 0; margin: 0; height: 32px; width: 100%; background-color: #808080; text-align: center; vertical-align: middle; white-space: nowrap;}
+#controls #navLinks ul {margin: 0 auto; padding: 0; height: 32px; width: 500px; white-space: nowrap; text-align: center;}
+#controls #navLinks ul li {list-style: none; display: inline; margin: 0; padding: 0; float: left; height: 32px; width: 32px; text-align: center; background-color: transparent;}
+#controls #navLinks ul li.vr {list-style: none; display: inline; margin: 0px 2px; padding: 0; float: left; height: 32px; width: 1px; text-align: center; background-color: #606060;}
+#controls #navLinks ul li a {margin: 0; padding: 0; float: left; height: 32px; width: 32px; background-color: transparent;}
+
+#controls #navLinks ul li#exit {background: url(exit_link.png) no-repeat top left;}
+#controls #navLinks ul li#exit a:hover {background: url(exit_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#toggle {background: url(toggle_link.png) no-repeat top left;}
+#controls #navLinks ul li#toggle a:hover {background: url(toggle_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#show-notes {background: url(notes_link.png) no-repeat top left;}
+#controls #navLinks ul li#show-notes a:hover {background: url(notes_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#zero {background: url(zero_link.png) no-repeat top left;}
+#controls #navLinks ul li#zero a:hover {background: url(zero_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#prev {background: url(prev_link.png) no-repeat top left;}
+#controls #navLinks ul li#prev a:hover {background: url(prev_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#next {background: url(next_link.png) no-repeat top left;}
+#controls #navLinks ul li#next a:hover {background: url(next_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#last {background: url(last_link.png) no-repeat top left;}
+#controls #navLinks ul li#last a:hover {background: url(last_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#lst {background: url(list_link.png) no-repeat top left;}
+
+#controls #navLinks ul li#fade a.isoff {background: url(fade_link.png) no-repeat top left;}
+#controls #navLinks ul li#fade a.ison {background: url(fade_on.png) no-repeat top right;}
+#controls #navLinks ul li#fade a:hover {background: url(fade_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#audio {background: url(audio_link.png) no-repeat top left;}
+#controls #navLinks ul li#audio a.isoff {background: url(audio_link.png) no-repeat top left;}
+#controls #navLinks ul li#audio a.ison {background: url(audio_on.png) no-repeat top right;}
+#controls #navLinks ul li#audio a:hover {background: url(audio_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#vol {background: url(volume_link.png) no-repeat top left;}
+
+#controls #navLinks ul li#auto a.isoff {background: url(auto_link.png) no-repeat top left;}
+#controls #navLinks ul li#auto a.ison {background: url(auto_on.png) no-repeat top right;}
+#controls #navLinks ul li#auto a:hover {background: url(auto_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#pause a.isoff {background: url(pause_link.png) no-repeat top left;}
+#controls #navLinks ul li#pause a.ison {background: url(pause_on.png) no-repeat top right;}
+#controls #navLinks ul li#pause a:hover {background: url(pause_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#loop a.isoff {background: url(loop_link.png) no-repeat top left;}
+#controls #navLinks ul li#loop a.ison {background: url(loop_on.png) no-repeat top right;}
+#controls #navLinks ul li#loop a:hover {background: url(loop_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#del {background: url(delay_link.png) no-repeat top left;}
+
+#jumplist, #volumelist, #delaylist {padding: 0; margin: 0; width: 32px; height: 32px; cursor: n-resize;}
+
+#currentSlide {white-space: nowrap; text-align: center; margin-bottom: -0.5em; font-size: 0.5em; background-color: transparent; color: #999;}
+
+#guru {position: absolute; visibility: visible; left: 0px; top: 0px; padding: 4px; width: 99%; height: auto; text-align: center; background-color: black; z-index: 10;}
+#guru div {border: solid 3px red; padding: 4px; font-family: monospace; font-size: 60%; width: auto; height: auto; color: red; text-align: center;}
+
+#slide0 {padding-top: 3.5em; font-size: 90%;}
+#slide0 h1 {position: static; margin: 1em 0 0; padding: 0; font: bold 2em Verdana, Arial, Helvetica, sans-serif; font-variant: small-caps; text-shadow: 0.1em 0.1em 0.1em #666; white-space: normal; color: #222; background-color: transparent;}
+#slide0 h2 {font: bold italic 1em Arial, Helvetica, sans-serif; margin: 0.25em;}
+#slide0 h3 {margin-top: 1.5em; font-size: 1.5em;}
+#slide0 h4 {margin-top: 0; font-size: 1em;}
+
+ul.urls {list-style: none; display: inline; margin: 0;}
+.urls li {display: inline; margin: 0;}
+.note {display: none;}
+.external {border-bottom: 1px dotted gray;}
+html>body .external {border-bottom: none;}
+.external:after {content: " \274F"; font-size: smaller; color: #999;}
+
+.incremental, .incremental *, .incremental *:after {color: #ccc; visibility: visible;}
+img.incremental, canvas.incremental {visibility: hidden;}
+.slide .current {color: #B02;}
+
+/* diagnostics
+li:after {content: " [" attr(class) "]"; color: #F88;}
+*/
+
+table.piechart, table.barchart, table.linechart { border-spacing: 0.3em 0.15em; }
+table.piechart tr th, table.barchart tr th, table.linechart tr th { white-space: nowrap; }
+table.piechart tr td, table.barchart tr td, table.linechart tr td { vertical-align: top; white-space: nowrap; }
+table.piechart tr td.col, table.barchart tr td.col, table.linechart tr td.col { border-bottom: 1px solid #555; border-right: 1px solid #555; }
+table.fs90 tr td, table.fs90 tr th, div.fs90, pre.fs90, p.fs90 ,ul.fs90 {font-size: 0.9em; }
+table.fs75 tr td, table.fs75 tr th, div.fs75, pre.fs75, p.fs75 ,ul.fs75 {font-size: 0.75em; }
+table.fs66 tr td, table.fs66 tr th, div.fs66, pre.fs66, p.fs66 ,ul.fs66 {font-size: 0.66em; }
+table.fs50 tr td, table.fs50 tr th, div.fs50, pre.fs50, p.fs50 ,ul.fs50 {font-size: 0.5em; }
+
+#soundmanager-debug {position:fixed; top:0px; right:0px; width:30em; height:20em; overflow:auto; border:1px solid red; padding:1em; margin:2em; font-family:"sans serif"; font-size: 12px;color: black; background-color:#f6f6f6; z-index: 100;}
+#soundmanager-debug code {font-size: 11px;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/prev_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/prev_link.png
new file mode 100644
index 000000000..c31d21350
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/prev_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/prev_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/prev_over.png
new file mode 100644
index 000000000..6fef4fa52
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/prev_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/print.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/print.css
new file mode 100644
index 000000000..e7a71d145
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/print.css
@@ -0,0 +1 @@
+/* The following rule is necessary to have all slides appear in print! DO NOT REMOVE IT! */ .slide, ul {page-break-inside: avoid; visibility: visible !important;} h1 {page-break-after: avoid;} body {font-size: 12pt; background: white;} * {color: black;} #slide0 h1 {font-size: 200%; border: none; margin: 0.5em 0 0.25em;} #slide0 h3 {margin: 0; padding: 0;} #slide0 h4 {margin: 0 0 0.5em; padding: 0;} #slide0 {margin-bottom: 3em;} h1 {border-top: 2pt solid gray; border-bottom: 1px dotted silver;} .extra {background: transparent !important;} div.extra, pre.extra, .example {font-size: 10pt; color: #333;} ul.extra a {font-weight: bold;} p.example {display: none;} #header {display: none;} #footer h1 {margin: 0; border-bottom: 1px solid; color: gray; font-style: italic;} #footer h2, #controls {display: none;} /* The following rule keeps the layout stuff out of print. Remove at your own risk! */ .layout, .layout * {display: none !important;} \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/s5-core.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/s5-core.css
new file mode 100644
index 000000000..86444e041
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/s5-core.css
@@ -0,0 +1,9 @@
+/* Do not edit or override these styles! The system will likely break if you do. */
+
+div#header, div#footer, div#controls, .slide {position: absolute;}
+html>body div#header, html>body div#footer,
+ html>body div#controls, html>body .slide {position: fixed;}
+.handout {display: none;}
+.layout {display: block;}
+.slide, .hideme, .incremental {visibility: hidden;}
+#slide0 {visibility: visible;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/slides.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/slides.css
new file mode 100644
index 000000000..0786d7dbd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/slides.css
@@ -0,0 +1,3 @@
+@import url(s5-core.css); /* required to make the slide show run at all */
+@import url(framing.css); /* sets basic placement and size of slide components */
+@import url(pretty.css); /* stuff that makes the slides look better than blah */ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/slides.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/slides.js
new file mode 100644
index 000000000..510a04c0e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/slides.js
@@ -0,0 +1,2812 @@
+// S5 1.3beta7 (18-Apr-2007) advanced version by C. Effenberger
+// Please see http://s5.netzgesta.de/ for more information
+// based on S5 v1.2a1 slides.js -- released into the Public Domain
+// Please see http://www.meyerweb.com/eric/tools/s5/credits.html for information
+// about all the wonderful and talented contributors to this code!
+// audio extension: soundmanager2 is NOT Public Domain
+// Please see http://www.schillmania.com/projects/soundmanager2/ for information
+
+var undef;
+var slideCSS = '';
+var snum = 0;
+var smax = 1;
+var incpos = 0;
+var number = undef;
+var firstTime = 1;
+var s5mode = true;
+var helpmode = false;
+var defaultView = 'slideshow'; //outline
+var controlVis = 'visible';
+var s5Path = 'lib/plugins/s5reloaded/';
+
+// scalable images extension
+var empx = 0;
+var images = new Array();
+var canvas = new Array();
+var medias = new Array();
+var piecharts = new Array();
+var barcharts = new Array();
+var linecharts = new Array();
+// scalable images extension
+
+// transition extension
+var tranSitions = false;
+var fadeModus = false;
+var fadeDuration = 500;
+var incrDuration = 250;
+var opac = 1;
+var cid = '';
+var nid = '';
+var tid = '';
+var jl = '';
+// transition extension
+
+// autoplay extension
+var autoMatic = false;
+var playLoop = false;
+var playPause = false;
+var autoRun = false;
+var playDelay = 5000;
+var remainDer = 0;
+var incrDelay = 0;
+// autoplay extension
+
+// audio extension
+var sound = new Array();
+var audioSupport = false;
+var audioVolume = 100;
+var audioError = false;
+var swfUnloaded = true;
+var bgSoundItem = 9999;
+var curSoundID = -1;
+// audio extension
+
+// panel extension
+var imgWidth = 32;
+var imgHeight = 32;
+// panel extension
+
+// canvas chart extension
+var canvasSupport = false;
+var ChartData = new Array();
+var colorSlice = new Array();
+var font = document.createElement("img");
+font.setAttribute("src", s5Path+"ui/graphic_support/numeric.png");
+signs = {
+ '0': {sx: 0, sy: 0, sw: 48, sh: 64},
+ '1': {sx: 48, sy: 0, sw: 48, sh: 64},
+ '2': {sx: 96, sy: 0, sw: 48, sh: 64},
+ '3': {sx: 144, sy: 0, sw: 48, sh: 64},
+ '4': {sx: 192, sy: 0, sw: 48, sh: 64},
+ '5': {sx: 240, sy: 0, sw: 48, sh: 64},
+ '6': {sx: 288, sy: 0, sw: 48, sh: 64},
+ '7': {sx: 336, sy: 0, sw: 48, sh: 64},
+ '8': {sx: 384, sy: 0, sw: 48, sh: 64},
+ '9': {sx: 432, sy: 0, sw: 48, sh: 64},
+ '%': {sx: 480, sy: 0, sw: 48, sh: 64},
+ '.': {sx: 528, sy: 0, sw: 24, sh: 64}
+};
+var colorNames= new Array();
+colorNames["black"]="#000000"; colorNames["maroon"]="#800000";
+colorNames["green"]="#008000"; colorNames["olive"]="#808000";
+colorNames["navy"]="#000080"; colorNames["purple"]="#800080";
+colorNames["teal"]="#008080"; colorNames["gray"]="#808080";
+colorNames["silver"]="#C0C0C0"; colorNames["red"]="#FF0000";
+colorNames["lime"]="#00FF00"; colorNames["yellow"]="#FFFF00";
+colorNames["blue"]="#0000FF"; colorNames["fuchsia"]="#FF00FF";
+colorNames["aqua"]="#00FFFF"; colorNames["white"]="#FFFFFF";
+colorNames["aliceblue"]="#F0F8FF"; colorNames["antiquewhite"]="#FAEBD7";
+colorNames["aquamarine"]="#7FFFD4"; colorNames["azure"]="#F0FFFF";
+colorNames["beige"]="#F5F5DC"; colorNames["blueviolet"]="#8A2BE2";
+colorNames["brown"]="#A52A2A"; colorNames["burlywood"]="#DEB887";
+colorNames["cadetblue"]="#5F9EA0"; colorNames["chartreuse"]="#7FFF00";
+colorNames["chocolate"]="#D2691E"; colorNames["coral"]="#FF7F50";
+colorNames["cornflowerblue"]="#6495ED"; colorNames["cornsilk"]="#FFF8DC";
+colorNames["crimson"]="#DC143C"; colorNames["darkblue"]="#00008B";
+colorNames["darkcyan"]="#008B8B"; colorNames["darkgoldenrod"]="#B8860B";
+colorNames["darkgray"]="#A9A9A9"; colorNames["darkgreen"]="#006400";
+colorNames["darkkhaki"]="#BDB76B"; colorNames["darkmagenta"]="#8B008B";
+colorNames["darkolivegreen"]="#556B2F"; colorNames["darkorange"]="#FF8C00";
+colorNames["darkorchid"]="#9932CC"; colorNames["darkred"]="#8B0000";
+colorNames["darksalmon"]="#E9967A"; colorNames["darkseagreen"]="#8FBC8F";
+colorNames["darkslateblue"]="#483D8B"; colorNames["darkslategray"]="#2F4F4F";
+colorNames["darkturquoise"]="#00CED1"; colorNames["darkviolet"]="#9400D3";
+colorNames["deeppink"]="#FF1493"; colorNames["deepskyblue"]="#00BFFF";
+colorNames["dimgray"]="#696969"; colorNames["dodgerblue"]="#1E90FF";
+colorNames["firebrick"]="#B22222"; colorNames["floralwhite"]="#FFFAF0";
+colorNames["forestgreen"]="#228B22"; colorNames["gainsboro"]="#DCDCDC";
+colorNames["ghostwhite"]="#F8F8FF"; colorNames["gold"]="#FFD700";
+colorNames["goldenrod"]="#DAA520"; colorNames["greenyellow"]="#ADFF2F";
+colorNames["honeydew"]="#F0FFF0"; colorNames["hotpink"]="#FF69B4";
+colorNames["indianred"]="#CD5C5C"; colorNames["indigo"]="#4B0082";
+colorNames["ivory"]="#FFFFF0"; colorNames["khaki"]="#F0E68C";
+colorNames["lavender"]="#E6E6FA"; colorNames["lavenderblush"]="#FFF0F5";
+colorNames["lawngreen"]="#7CFC00"; colorNames["lemonchiffon"]="#FFFACD";
+colorNames["lightblue"]="#ADD8E6"; colorNames["lightcoral"]="#F08080";
+colorNames["lightcyan"]="#E0FFFF"; colorNames["lightgoldenrodyellow"]="#FAFAD2";
+colorNames["lightgreen"]="#90EE90"; colorNames["lightgrey"]="#D3D3D3";
+colorNames["lightpink"]="#FFB6C1"; colorNames["lightsalmon"]="#FFA07A";
+colorNames["lightseagreen"]="#20B2AA"; colorNames["lightskyblue"]="#87CEFA";
+colorNames["lightslategray"]="#778899"; colorNames["lightsteelblue"]="#B0C4DE";
+colorNames["lightyellow"]="#FFFFE0"; colorNames["limegreen"]="#32CD32";
+colorNames["linen"]="#FAF0E6"; colorNames["mediumaquamarine"]="#66CDAA";
+colorNames["mediumblue"]="#0000CD"; colorNames["mediumorchid"]="#BA55D3";
+colorNames["ediumpurple"]="#9370D"; colorNames["mediumseagreen"]="#3CB371";
+colorNames["mediumslateblue"]="#7B68EE"; colorNames["mediumspringgreen"]="#00FA9A";
+colorNames["mediumturquoise"]="#48D1CC"; colorNames["mediumvioletred"]="#C71585";
+colorNames["midnightblue"]="#191970"; colorNames["mintcream"]="#F5FFFA";
+colorNames["mistyrose"]="#FFE4E1"; colorNames["moccasin"]="#FFE4B5";
+colorNames["navajowhite"]="#FFDEAD"; colorNames["oldlace"]="#FDF5E6";
+colorNames["olivedrab"]="#6B8E23"; colorNames["orange"]="#FFA500";
+colorNames["orangered"]="#FF4500"; colorNames["orchid"]="#DA70D6";
+colorNames["palegoldenrod"]="#EEE8AA"; colorNames["palegreen"]="#98FB98";
+colorNames["paleturquoise"]="#AFEEEE"; colorNames["palevioletred"]="#DB7093";
+colorNames["papayawhip"]="#FFEFD5"; colorNames["peachpuff"]="#FFDAB9";
+colorNames["peru"]="#CD853F"; colorNames["pink"]="#FFC0CB";
+colorNames["plum"]="#DDA0DD"; colorNames["powderblue"]="#B0E0E6";
+colorNames["rosybrown"]="#BC8F8F"; colorNames["royalblue"]="#4169E1";
+colorNames["saddlebrown"]="#8B4513"; colorNames["salmon"]="#FA8072";
+colorNames["sandybrown"]="#F4A460"; colorNames["seagreen"]="#2E8B57";
+colorNames["seashell"]="#FFF5EE"; colorNames["sienna"]="#A0522D";
+colorNames["skyblue"]="#87CEEB"; colorNames["slateblue"]="#6A5ACD";
+colorNames["slategray"]="#708090"; colorNames["snow"]="#FFFAFA";
+colorNames["springgreen"]="#00FF7F"; colorNames["steelblue"]="#4682B4";
+colorNames["tan"]="#D2B48C"; colorNames["thistle"]="#D8BFD8";
+colorNames["tomato"]="#FF6347"; colorNames["turquoise"]="#40E0D0";
+colorNames["violet"]="#EE82EE"; colorNames["wheat"]="#F5DEB3";
+colorNames["whitesmoke"]="#F5F5F5"; colorNames["yellowgreen"]="#9ACD32";
+var canvas_bgcolor = "";
+var canvas_width = 200;
+var canvas_height = 200;
+var canvas_noshade = 0;
+var canvas_nofill = 0;
+var canvas_noshadow = 0;
+var canvas_htmltext = 0;
+var canvas_imgtext = 0;
+var canvas_notext = 0;
+// canvas chart extension
+
+var s5NotesWindow;
+var s5NotesWindowLoaded = false;
+var previousSlide = 0;
+var presentationStart = new Date();
+var slideStart = new Date();
+
+var countdown = {
+ timer: 0,
+ state: 'pause',
+ start: new Date(),
+ end: 0,
+ remaining: 0
+};
+
+var isIE = navigator.appName == 'Microsoft Internet Explorer' && navigator.userAgent.indexOf('Opera') < 1 ? 1 : 0;
+if(isIE) var notIE7 = parseInt(navigator.appVersion) < 7 ? 1 : 0;
+var isOp = navigator.userAgent.indexOf('Opera') > -1 ? 1 : 0;
+var isGe = navigator.userAgent.indexOf('Gecko') > -1 && navigator.userAgent.indexOf('Safari') < 1 ? 1 : 0;
+var isS2 = navigator.userAgent.indexOf('Safari') >= 2 ? 1 : 0;
+
+function hasClass(object, className) {
+ if (!object.className) return false;
+ return (object.className.search('(^|\\s)' + className + '(\\s|$)') != -1);
+}
+
+function hasValue(object, value) {
+ if (!object) return false;
+ return (object.search('(^|\\s)' + value + '(\\s|$)') != -1);
+}
+
+function removeClass(object,className) {
+ if (!object || !hasClass(object,className)) return;
+ object.className = object.className.replace(new RegExp('(^|\\s)'+className+'(\\s|$)'), RegExp.$1+RegExp.$2);
+}
+
+function addClass(object,className) {
+ if (!object || hasClass(object, className)) return;
+ if (object.className) {
+ object.className += ' '+className;
+ } else {
+ object.className = className;
+ }
+}
+
+function changeClass(object,className) {
+ if (!object) return;
+ object.firstChild.className = className;
+}
+
+function GetElementsWithClassName(elementName,className) {
+ var allElements = document.getElementsByTagName(elementName);
+ var elemColl = new Array();
+ for (var i = 0; i< allElements.length; i++) {
+ if (hasClass(allElements[i], className)) {
+ elemColl[elemColl.length] = allElements[i];
+ }
+ }
+ return elemColl;
+}
+
+function isParentOrSelf(element, id) {
+ if (element == null || element.nodeName=='BODY') return false;
+ else if (element.id == id) return true;
+ else return isParentOrSelf(element.parentNode, id);
+}
+
+function nodeValue(node) {
+ var result = "";
+ if (node.nodeType == 1) {
+ var children = node.childNodes;
+ for (var i = 0; i < children.length; ++i) {
+ result += nodeValue(children[i]);
+ }
+ }
+ else if (node.nodeType == 3) {
+ result = node.nodeValue;
+ }
+ return(result);
+}
+
+function slideLabel() {
+ var slideColl = GetElementsWithClassName('*','slide');
+ var list = document.getElementById('jumplist');
+ smax = slideColl.length;
+ for (var n = 0; n < smax; n++) {
+ var obj = slideColl[n];
+ var did = 'slide' + n.toString();
+ obj.setAttribute('id',did);
+ var otext = '';
+ var menu = obj.firstChild;
+ if (!menu) continue; // to cope with empty slides
+ while (menu && menu.nodeType == 3) {
+ menu = menu.nextSibling;
+ }
+ if (!menu) continue; // to cope with slides with only text nodes
+ var menunodes = menu.childNodes;
+ for (var o = 0; o < menunodes.length; o++) {
+ otext += nodeValue(menunodes[o]);
+ }
+ list.options[list.length] = new Option(n + ' : ' + otext, n);
+ }
+}
+
+function currentSlide() {
+ var cs, at, fd, ss;
+ if (document.getElementById) {
+ cs = document.getElementById('currentSlide');
+ } else {
+ cs = document.currentSlide;
+ }
+ fd = fadeModus?"F":"&ndash;";
+ ss = audioSupport?"S":"&ndash;";
+ at = (autoMatic?(playPause?"||":(playLoop?"&gt;0":"&gt;|")):"&ndash;&ndash;");
+ cs.innerHTML = '<div id="plink" nowrap="nowrap">' +
+ '<span id="csFade">[' + fd + ss + ']<\/span>&nbsp;' +
+ '<span id="csHere"><strong>' + snum + '<\/strong><\/span>' +
+ '<span id="csSep">\/<\/span>' +
+ '<span id="csTotal">' + (smax-1) + '<\/span>&nbsp;' +
+ '<span id="csAuto">[' + at + ']<\/span>' +
+ '<\/div>';
+
+ if (snum == 0) {
+ cs.style.visibility = 'hidden';
+ } else {
+ cs.style.visibility = 'visible';
+ }
+}
+
+function go(step) {
+ if (document.getElementById('slideProj').disabled || step == 0) return;
+ jl = document.getElementById('jumplist');
+ cid = 'slide' + snum;
+ var ce = document.getElementById(cid);
+ if (incrementals[snum].length > 0) {
+ for (var i = 0; i < incrementals[snum].length; i++) {
+ removeClass(incrementals[snum][i], 'current');
+ removeClass(incrementals[snum][i], 'incremental');
+ }
+ }
+ if (step != 'j') {
+ snum += step;
+ lmax = smax - 1;
+ if (snum > lmax) snum = lmax;
+ if (snum < 0) snum = 0;
+ }else {
+ snum = parseInt(jl.value);
+ }
+ nid = 'slide' + snum;
+ var ne = document.getElementById(nid);
+ if (!ne) {
+ ne = document.getElementById('slide0');
+ nid = 'slide0';
+ snum = 0;
+ }
+ if (step < 0) {
+ incpos = incrementals[snum].length
+ }else {
+ incpos = 0;
+ }
+ if (incrementals[snum].length > 0 && incpos == 0) {
+ for (var i = 0; i < incrementals[snum].length; i++) {
+ if (hasClass(incrementals[snum][i], 'current')) {
+ incpos = i + 1;
+ }else {
+ addClass(incrementals[snum][i], 'incremental');
+ }
+ }
+ }
+ if (incrementals[snum].length > 0 && incpos > 0) {
+ addClass(incrementals[snum][incpos - 1], 'current');
+ }
+ var guru = document.getElementById('guru');
+ if(guru && snum==0) {
+ guru.style.visibility = 'visible';
+ }else if(guru && snum>0) {
+ guru.style.visibility = 'hidden';
+ }
+ if(tranSitions && s5mode && fadeModus) {
+ if(curSoundID != getSoundID(nid)) {
+ if(curSoundID == bgSoundItem && !sound[getSoundID(nid)]) {
+ }else {fadeoutSound(curSoundID,true); } // audio support
+ }
+ changeOpac(0,nid);
+ changeOpac(100,cid);
+ ce.style.visibility = 'visible';
+ shiftOpacity(cid,fadeDuration);
+ window.setTimeout("changeSlides()",fadeDuration);
+ }else {
+ if(curSoundID != getSoundID(nid)) {
+ if(curSoundID == bgSoundItem && !sound[getSoundID(nid)]) {
+ }else {stopSound(curSoundID); } // audio support
+ }
+ ce.style.visibility = 'hidden';
+ if (isOp) location.hash = nid;
+ ne.style.visibility = 'visible';
+ finishSlides();
+ }
+}
+
+function changeSlides() {
+ if(nid != cid) changeOpac(100,cid);
+ document.getElementById(cid).style.visibility = 'hidden';
+ document.getElementById(nid).style.visibility = 'visible';
+ if (isOp) location.hash = nid;
+ shiftOpacity(nid,fadeDuration);
+ window.setTimeout("finishSlides()",fadeDuration);
+}
+
+function finishSlides() {
+ jl.selectedIndex = snum;
+ currentSlide();
+ loadNote();
+ permaLink();
+ number = undef;
+ if(sound[getSoundID(nid)]) {
+ playSound(nid); // audio support
+ }else if(sound[bgSoundItem] && curSoundID != bgSoundItem) {
+ playSound(bgSoundItem); // audio support
+ }
+}
+
+function goTo(target) {
+ if (target >= smax || target == snum) return;
+ go(target - snum);
+}
+
+function subgo(step) {
+ if (step > 0) {
+ removeClass(incrementals[snum][incpos - 1],'current');
+ removeClass(incrementals[snum][incpos], 'incremental');
+ if(tranSitions && s5mode && fadeModus) {
+ if(!incrementals[snum][incpos].id) {
+ var tmp = new Date(); tid = "inc" + String(tmp.getTime());
+ incrementals[snum][incpos].id = tid;
+ }else {
+ tid = incrementals[snum][incpos].id;
+ }
+ if(typeof(incrementals[snum][incpos].src) != "undefined" || incrementals[snum][incpos].getContext) {
+ changeOpac(0,tid);
+ addClass(incrementals[snum][incpos],'current');
+ shiftOpacity(tid,incrDuration);
+ setTimeout("nextInc()",incrDuration);
+ }else {
+ addClass(incrementals[snum][incpos],'current');
+ nextInc();
+ }
+ }else {
+ addClass(incrementals[snum][incpos],'current');
+ nextInc();
+ }
+ } else {
+ incpos--;
+ removeClass(incrementals[snum][incpos],'current');
+ addClass(incrementals[snum][incpos], 'incremental');
+ addClass(incrementals[snum][incpos - 1],'current');
+ loadNote();
+ }
+}
+
+function nextInc() {
+ incpos++;
+ loadNote();
+}
+
+function toggle() {
+ var slideColl = GetElementsWithClassName('*','slide');
+ var slides = document.getElementById('slideProj');
+ var outline = document.getElementById('outlineStyle');
+ var guru = document.getElementById('guru');
+ if (!slides.disabled) {
+ stopPlay();
+ if(audioSupport && !swfUnloaded) stopAllSounds();
+ slides.disabled = true;
+ outline.disabled = false;
+ s5mode = false;
+ fontSize(1,'em');
+ for (var n = 0; n < smax; n++) {
+ var slide = slideColl[n];
+ slide.style.visibility = 'visible';
+ }
+ if(guru) guru.style.visibility = 'hidden';
+ } else {
+ slides.disabled = false;
+ outline.disabled = true;
+ s5mode = true;
+ fontScale();
+ for (var n = 0; n < smax; n++) {
+ var slide = slideColl[n];
+ slide.style.visibility = 'hidden';
+ }
+ slideColl[snum].style.visibility = 'visible';
+ if(guru && snum==0) guru.style.visibility = 'visible';
+ }
+}
+
+function showHide(action) {
+ var obj = GetElementsWithClassName('*','hideme')[0];
+ switch (action) {
+ case 's':
+ obj.style.visibility = 'visible';
+ break;
+ case 'h':
+ obj.style.visibility = 'hidden';
+ break;
+ case 'k':
+ if (obj.style.visibility != 'visible') {
+ obj.style.visibility = 'visible';
+ } else {
+ obj.style.visibility = 'hidden';
+ }
+ break;
+ }
+}
+
+function keys(key) {
+ if (!key) {
+ key = event;
+ key.which = key.keyCode;
+ }
+ if (helpmode) {
+ dumpHelpReq();
+ return;
+ }
+ if (key.which == 84 && !isOp) {
+ toggle();
+ return;
+ }
+ if (s5mode) {
+ if (autoMatic) {
+ switch (key.which) {
+ case 70: // f/ading on/off
+ switchFade();
+ break;
+ case 83: // s/ound on/off
+ toggleSounds();
+ break;
+ case 67: // c
+ showHide('k');
+ break;
+ case 65: // a/utoplay on/off
+ stopPlay();
+ break;
+ case 76: // l/ooping on/off
+ switchLoop();
+ break;
+ case 80: // p/ause
+ case 32: // spacebar
+ pausePlay();
+ break;
+ }
+ }else {
+ switch (key.which) {
+ case 8: // backspace = HELP
+ createHelpReq();
+ break;
+ case 10: // return
+ case 13: // enter
+ if (window.event && isParentOrSelf(window.event.srcElement, 'controls')) return;
+ if (key.target && isParentOrSelf(key.target, 'controls')) return;
+ if(number != undef) {
+ goTo(number);
+ break;
+ }
+ case 32: // spacebar
+ case 34: // page down
+ case 39: // rightkey
+ case 40: // downkey
+ if(number != undef) {
+ go(number);
+ } else if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ } else {
+ subgo(1);
+ }
+ break;
+ case 33: // page up
+ case 37: // leftkey
+ case 38: // upkey
+ if(number != undef) {
+ go(-1 * number);
+ } else if (!incrementals[snum] || incpos <= 0) {
+ go(-1);
+ } else {
+ subgo(-1);
+ }
+ break;
+ case 65: // a/utoplay
+ startPlay();
+ break;
+ case 72: // h
+ case 36: // home
+ goTo(0);
+ break;
+ case 69: // e
+ case 35: // end
+ goTo(smax-1);
+ break;
+ case 70: // f/ade transitions on/off
+ switchFade();
+ break;
+ case 76: // l/ooping on/off
+ switchLoop();
+ break;
+ case 83: // s/ound support on/off
+ toggleSounds();
+ break;
+ case 27: // escape
+ case 81: // q
+ if(!isOp) byby();
+ break;
+ case 67: // c
+ showHide('k');
+ break;
+ case 78: // n
+ createNotesWindow();
+ break;
+ }
+ if (key.which < 48 || key.which > 57) {
+ number = undef;
+ } else {
+ if (window.event && isParentOrSelf(window.event.srcElement, 'controls')) return;
+ if (key.target && isParentOrSelf(key.target, 'controls')) return;
+ number = (((number != undef) ? number : 0) * 10) + (key.which - 48);
+ }
+ }
+ }
+ return false;
+}
+
+function clicker(e) {
+ number = undef;
+ var target;
+ if (window.event) {
+ target = window.event.srcElement;
+ e = window.event;
+ } else {
+ target = e.target;
+ }
+ if (target.href != null || hasValue(target.rel, 'external') || isParentOrSelf(target, 'controls') || isParentOrSelf(target,'embed') || isParentOrSelf(target,'object')) return true;
+ if (!helpmode) {
+ if (!e.which || e.which == 1) {
+ if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ } else {
+ subgo(1);
+ }
+ }
+ } else {
+ dumpHelpReq();
+ }
+}
+
+function findSlide(hash) {
+ var target = null;
+ var slides = GetElementsWithClassName('*','slide');
+ for (var i = 0; i < slides.length; i++) {
+ var targetSlide = slides[i];
+ if ( (targetSlide.name && targetSlide.name == hash)
+ || (targetSlide.id && targetSlide.id == hash) ) {
+ target = targetSlide;
+ break;
+ }
+ }
+ while(target != null && target.nodeName != 'BODY') {
+ if (hasClass(target, 'slide')) {
+ return parseInt(target.id.slice(5));
+ }
+ target = target.parentNode;
+ }
+ return null;
+}
+
+function slideJump() {
+ if (window.location.hash == null) return;
+ var sregex = /^#slide(\d+)$/;
+ var matches = sregex.exec(window.location.hash);
+ var dest = null;
+ if (matches != null) {
+ dest = parseInt(matches[1]);
+ } else {
+ dest = findSlide(window.location.hash.slice(1));
+ }
+ if (dest != null)
+ go(dest - snum);
+}
+
+function fixLinks() {
+ var thisUri = window.location.href;
+ thisUri = thisUri.slice(0, thisUri.length - window.location.hash.length);
+ var aelements = document.getElementsByTagName('A');
+ for (var i = 0; i < aelements.length; i++) {
+ var a = aelements[i].href;
+ var slideID = a.match('\#slide[0-9]{1,2}');
+ if ((slideID) && (slideID[0].slice(0,1) == '#')) {
+ var dest = findSlide(slideID[0].slice(1));
+ if (dest != null) {
+ if (aelements[i].addEventListener) {
+ aelements[i].addEventListener("click", new Function("e",
+ "if (document.getElementById('slideProj').disabled) return;" +
+ "go("+dest+" - snum); " +
+ "if (e.preventDefault) e.preventDefault();"), true);
+ } else if (aelements[i].attachEvent) {
+ aelements[i].attachEvent("onclick", new Function("",
+ "if (document.getElementById('slideProj').disabled) return;" +
+ "go("+dest+" - snum); " +
+ "event.returnValue = false;"));
+ }
+ }
+ }
+ }
+}
+
+function externalLinks() {
+ if (!document.getElementsByTagName) return;
+ var anchors = document.getElementsByTagName('a');
+ for (var i=0; i<anchors.length; i++) {
+ var anchor = anchors[i];
+ if (anchor.getAttribute('href') && hasValue(anchor.rel, 'external')) {
+ anchor.target = '_blank';
+ addClass(anchor,'external');
+ }
+ }
+}
+
+function permaLink() {
+ document.getElementById('plink').href = window.location.pathname + '#slide' + snum;
+}
+
+function createControls() {
+ var controlsDiv = document.getElementById("controls");
+ if (!controlsDiv) return;
+ var hider = ' onmouseover="showHide(\'s\');" onmouseout="showHide(\'h\');"';
+ var hideDiv, hideList = '';
+ if (controlVis == 'hidden') {
+ hideDiv = hider;
+ } else {
+ hideList = hider;
+ }
+ if(isOp) {
+ var str = '';
+ }else {
+ var str = '<a accesskey="t" id="sheet" title="toggle CSS" href="javascript:toggle();">&plusmn;<\/a>';
+ }
+ if(isIE) {
+ var tmp = "move around&xA0;until the color&xA0;change to red!";
+ }else if(isS2) {
+ var tmp = "move around\r\nuntil the color\r\nchange to red!";
+ }else {
+ var tmp = "move around until color change to red!";
+ }
+ if(isIE) {
+ controlsDiv.innerHTML = str +
+ '<form action="#" id="controlForm"' + hideDiv + '>' +
+ '<div id="navLinks" title="press [backspace] for keyboard help!"><ul>' +
+ '<li id="show-notes"><a href="javascript:createNotesWindow();" accesskey="n" title="show Notes"><\/a><\/li>' +
+ '<li id="toggle"><a href="javascript:toggle();" accesskey="t" title="toggle CSS"><\/a><\/li>' +
+ '<li id="zero"><a href="javascript:goTo(0);" accesskey="h" title="goto Start Slide"><\/a><\/li>' +
+ '<li id="prev"><a href="javascript:go(-1);" accesskey="y" title="previous Slide"><\/a><\/li>' +
+ '<li id="next"><a href="javascript:go(1);" accesskey="x" title="next Slide"><\/a><\/li>' +
+ '<li id="last"><a href="javascript:goTo(smax-1);" accesskey="e" title="goto Last Slide"><\/a><\/li>' +
+ '<li id="lst" style="vertical-align: middle; width: 160px;"><select style="cursor: auto; width: 160px; height: auto;" id="jumplist" title="select named Slide" onchange="go(\'j\');"><\/select><\/li>' +
+ '<li id="auto"><a class="isoff" href="javascript:togglePlay();" accesskey="a" title="Auto Play"><\/a><\/li>' +
+ '<li id="pause"><a class="isoff" href="javascript:pausePlay();" accesskey="p" title="Pause"><\/a><\/li>' +
+ '<li id="loop"><a class="isoff" href="javascript:switchLoop();" accesskey="l" title="Loop"><\/a><\/li>' +
+ '<li id="del" style="vertical-align: middle; width: 52px;"><select style="cursor: auto; width: 52px; height: auto;" id="delaylist" title="select Delay" onchange="setDelay();"><option value="90">90<\/option><option value="75">75<\/option><option value="60">60<\/option><option value="45">45<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="15">15<\/option><option value="10">10<\/option><option value="5">5<\/option><\/select><\/li>' +
+ '<\/ul><\/div><\/form>';
+ }else {
+ controlsDiv.innerHTML = str +
+ '<form action="#" id="controlForm"' + hideDiv + '>' +
+ '<div id="navLinks" title="press [backspace] or double click this area for keyboard help!" ondblclick="createHelpReq();"><ul>' +
+ '<li id="exit"><a href="javascript:byby();" accesskey="q" title="exit Show"><\/a><\/li>' +
+ '<li id="show-notes"><a href="javascript:createNotesWindow();" accesskey="n" title="show Notes"><\/a><\/li>' +
+ '<li id="toggle"><a href="javascript:toggle();" accesskey="t" title="toggle CSS"><\/a><\/li>' +
+ '<li class="vr"><\/li>' +
+ '<li id="zero"><a href="javascript:goTo(0);" accesskey="h" title="goto Start Slide"><\/a><\/li>' +
+ '<li id="prev"><a href="javascript:go(-1);" accesskey="y" title="previous Slide"><\/a><\/li>' +
+ '<li id="next"><a href="javascript:go(1);" accesskey="x" title="next Slide"><\/a><\/li>' +
+ '<li id="last"><a href="javascript:goTo(smax-1);" accesskey="e" title="goto Last Slide"><\/a><\/li>' +
+ '<li id="lst"><a id="list" style="cursor:wait;" title="' + tmp + '"><\/a><select id="jumplist" title="select named Slide" onchange="go(\'j\');"><\/select><\/li>' +
+ '<li id="fadeLinks" class="vr"><\/li>' +
+ '<li id="fade"><a class="isoff" href="javascript:switchFade();" accesskey="f" title="Transions"><\/a><\/li>' +
+ '<li id="audioLinks" class="vr"><\/li>' +
+ '<li id="audio"><a class="isoff" href="javascript:toggleSounds();" accesskey="s" title="Sounds" ><\/a><\/li>' +
+ '<li id="vol"><a id="volume" style="cursor:wait;" title="' + tmp + '"><\/a><select id="volumelist" title="select Volume" onchange="setVolume();"><option value="100">100<\/option><option value="90">90<\/option><option value="80">80<\/option><option value="70">70<\/option><option value="60">60<\/option><option value="50">50<\/option><option value="40">40<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="10">10<\/option><option value="0">0<\/option><\/select><\/li>' +
+ '<li id="autoLinks" class="vr"><\/li>' +
+ '<li id="auto"><a class="isoff" href="javascript:togglePlay();" accesskey="a" title="Auto Play"><\/a><\/li>' +
+ '<li id="pause"><a class="isoff" href="javascript:pausePlay();" accesskey="p" title="Pause"><\/a><\/li>' +
+ '<li id="loop"><a class="isoff" href="javascript:switchLoop();" accesskey="l" title="Loop"><\/a><\/li>' +
+ '<li id="del"><a id="delay" style="cursor:wait;" title="' + tmp + '"><\/a><select id="delaylist" title="select Delay" onchange="setDelay();"><option value="90">90<\/option><option value="75">75<\/option><option value="60">60<\/option><option value="45">45<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="15">15<\/option><option value="10">10<\/option><option value="5">5<\/option><\/select><\/li>' +
+ '<\/ul>' +
+ '<a href="http://s5.netzgesta.de" target="_blank" title="S5 Reloaded"><img style="position: absolute; right: 0; bottom: 0;" src="data:image/png;charset=utf-8;base64,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" width="20" height="32" alt="S5" \/><\/a>' +
+ '<\/div><\/form>';
+ }
+ if (controlVis == 'hidden') {
+ var hidden = document.getElementById('navLinks');
+ } else {
+ var hidden = document.getElementById('jumplist');
+ }
+ addClass(hidden,'hideme');
+}
+
+function fontScale() { // causes layout problems in FireFox that get fixed if browser's Reload is used; same may be true of other Gecko-based browsers
+ if (!s5mode && !isOp) return false;
+ var hScreen = screen.width; var vScreen = screen.height;
+ var vWindow = window.outerHeight; var hWindow = window.outerWidth;
+ if (isOp && s5mode && defaultView=='slideshow' && ((hScreen != hWindow) || (vScreen != vWindow))) {
+ toggle();
+ return false;
+ }
+ if (isOp && !s5mode && ((hScreen != hWindow) || (vScreen != vWindow))) return false;
+ if (isOp && !s5mode && (hScreen == hWindow) && (vScreen == vWindow)) toggle();
+ var vScale = 48; // both yield 16 (the usual browser default) at 1024x768
+ var hScale = 64; // perhaps should auto-calculate based on theme's declared value?
+ if (window.innerHeight) {
+ var vSize = window.innerHeight;
+ var hSize = window.innerWidth;
+ } else if (document.documentElement.clientHeight) {
+ var vSize = document.documentElement.clientHeight;
+ var hSize = document.documentElement.clientWidth;
+ } else if (document.body.clientHeight) {
+ var vSize = document.body.clientHeight;
+ var hSize = document.body.clientWidth;
+ } else {
+ var vSize = 700; // assuming 1024x768, minus chrome and such equals 8:5
+ var hSize = 1024; // these do not account for kiosk mode or Opera Show
+ }
+ var newSize = Math.min(Math.round(vSize/vScale),Math.round(hSize/hScale));
+ extendImgSizes(newSize); // scalable images extension
+ extendCanSizes(newSize); // scalable canvas extension
+ extendObjSizes(newSize); // scalable object extension
+ fontSize(newSize,"px");
+ if(!isS2 || firstTime==0) {
+ generateCanvas(); // dynamic canvas extension
+ }
+ if (isGe) { // hack to counter incremental reflow bugs
+ var obj = document.getElementsByTagName('body')[0];
+ obj.style.visibility = 'hidden';
+ obj.style.display = 'none';
+ obj.style.display = 'block';
+ obj.style.visibility = 'visible';
+ changeOpac(100,'slide' + snum);
+ shiftOpacity('slide' + snum,10);
+ window.setTimeout("fixReflow()",10);
+ }else {
+ setListPos(); // invisible select extension
+ }
+}
+
+function fixReflow() {
+ shiftOpacity('slide' + snum,10);
+ window.setTimeout("finishReflow()",10);
+}
+function finishReflow() {
+ setListPos(); // invisible select extension
+}
+
+function fontSize(val,fmt) {
+ var value = val + fmt;
+ if (!(s5ss = document.getElementById('s5ss'))) {
+ if (!document.createStyleSheet) {
+ document.getElementsByTagName('head')[0].appendChild(s5ss = document.createElement('style'));
+ s5ss.setAttribute('media','screen, projection');
+ s5ss.setAttribute('id','s5ss');
+ } else {
+ document.createStyleSheet();
+ document.s5ss = document.styleSheets[document.styleSheets.length - 1];
+ }
+ }
+ if (!(document.s5ss && document.s5ss.addRule)) {
+ while (s5ss.lastChild) s5ss.removeChild(s5ss.lastChild);
+ s5ss.appendChild(document.createTextNode('html {font-size: ' + value + ' !important;}'));
+ } else {
+ document.s5ss.addRule('html','font-size: ' + value + ' !important;');
+ }
+}
+
+function windowChange() {
+ fontScale();
+}
+
+function notOperaFix() {
+ slideCSS = document.getElementById('slideProj').href;
+ var slides = document.getElementById('slideProj');
+ var outline = document.getElementById('outlineStyle');
+ slides.setAttribute('media','screen');
+ outline.disabled = true;
+ if (isGe) {
+ slides.setAttribute('href','null'); // Gecko fix
+ slides.setAttribute('href',slideCSS); // Gecko fix
+ }
+ if ((isIE && notIE7) && document.styleSheets && document.styleSheets[0]) {
+ document.styleSheets[0].addRule('img', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ document.styleSheets[0].addRule('div', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ document.styleSheets[0].addRule('.slide', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ }
+}
+
+function getIncrementals(obj) {
+ var incrementals = new Array();
+ if (!obj)
+ return incrementals;
+ var children = obj.childNodes;
+ for (var i = 0; i < children.length; i++) {
+ var child = children[i];
+ if (hasClass(child, 'incremental')) {
+ if (child.nodeName == 'OL' || child.nodeName == 'UL') {
+ removeClass(child, 'incremental');
+ for (var j = 0; j < child.childNodes.length; j++) {
+ if (child.childNodes[j].nodeType == 1) {
+ addClass(child.childNodes[j], 'incremental');
+ }
+ }
+ } else {
+ incrementals[incrementals.length] = child;
+ removeClass(child,'incremental');
+ }
+ }
+ if (hasClass(child, 'show-first')) {
+ if (child.nodeName == 'OL' || child.nodeName == 'UL') {
+ removeClass(child, 'show-first');
+ if (child.childNodes[isGe].nodeType == 1) {
+ removeClass(child.childNodes[isGe], 'incremental');
+ }
+ } else {
+ incrementals[incrementals.length] = child;
+ }
+ }
+ incrementals = incrementals.concat(getIncrementals(child));
+ }
+ return incrementals;
+}
+
+function createIncrementals() {
+ var incrementals = new Array();
+ for (var i = 0; i < smax; i++) {
+ incrementals[i] = getIncrementals(document.getElementById('slide'+i));
+ }
+ return incrementals;
+}
+
+function trap(e) {
+ if (!e) {
+ e = event;
+ e.which = e.keyCode;
+ }
+ try {
+ modifierKey = e.ctrlKey || e.altKey || e.metaKey;
+ }
+ catch(e) {
+ modifierKey = false;
+ }
+ return modifierKey || e.which == 0;
+}
+
+// notes extension
+function noteLabel() { // Gives notes id's to match parent slides
+ var notes = GetElementsWithClassName('div','notes');
+ for (var i = 0; i < notes.length; i++) {
+ var note = notes[i];
+ var id = 'note' + note.parentNode.id.substring(5);
+ note.setAttribute('id',id);
+ }
+ resetElapsedSlide();
+ resetRemainingTime();
+ window.setInterval('updateElaspedTime()', 1000);
+}
+
+function createNotesWindow() { // creates a window for our notes
+ if (!s5NotesWindow || s5NotesWindow.closed) { // Create the window if it doesn't exist
+ s5NotesWindowLoaded = false;
+ // Note: Safari has a tendency to ignore window options preferring to default to the settings of the parent window, grr.
+ s5NotesWindow = window.open(s5Path+'ui/s5-notes.html', 's5NotesWindow', 'top=0,left=0');
+ }
+ if (s5NotesWindowLoaded) { // Load the current note if the Note HTML has loaded
+ loadNote();
+ } else { // Keep trying...
+ window.setTimeout('createNotesWindow()', 50);
+ }
+}
+
+function loadNote() {
+// Loads a note into the note window
+ var notes = nextNotes = '<em class="disclaimer">There are no notes for this slide.</em>';
+ if (document.getElementById('note' + snum)) {
+ notes = document.getElementById('note' + snum).innerHTML;
+ }
+ if (document.getElementById('note' + (snum + 1))) {
+ nextNotes = document.getElementById('note' + (snum + 1)).innerHTML;
+ }
+
+ var jl = document.getElementById('jumplist');
+ var slideTitle = jl.options[jl.selectedIndex].text.replace(/^\d+\s+:\s+/, '') + ((jl.selectedIndex) ? ' (' + jl.selectedIndex + '/' + (smax - 1) + ')' : '');
+ if (incrementals[snum].length > 0) {
+ slideTitle += ' <small>[' + incpos + '/' + incrementals[snum].length + ']</small>';
+ }
+ if (jl.selectedIndex < smax - 1) {
+ var nextTitle = jl.options[jl.selectedIndex + 1].text.replace(/^\d+\s+:\s+/, '') + ((jl.selectedIndex + 1) ? ' (' + (jl.selectedIndex + 1) + '/' + (smax - 1) + ')' : '');
+ } else {
+ var nextTitle = '[end of slide show]';
+ }
+
+ if (s5NotesWindow && !s5NotesWindow.closed && s5NotesWindow.document) {
+ s5NotesWindow.document.getElementById('slide').innerHTML = slideTitle;
+ s5NotesWindow.document.getElementById('notes').innerHTML = notes;
+ s5NotesWindow.document.getElementById('next').innerHTML = nextTitle;
+ s5NotesWindow.document.getElementById('nextnotes').innerHTML = nextNotes;
+ }
+ resetElapsedSlide();
+}
+
+function minimizeTimer(id) {
+ var obj = s5NotesWindow.document.getElementById(id);
+ if (hasClass(obj,'collapsed')) {
+ removeClass(obj,'collapsed');
+ } else {
+ addClass(obj,'collapsed');
+ }
+}
+
+function resetElapsedTime() {
+ presentationStart = new Date();
+ slideStart = new Date();
+ updateElaspedTime();
+}
+
+function resetElapsedSlide() {
+ if (snum != previousSlide) {
+ slideStart = new Date();
+ previousSlide = snum;
+ updateElaspedTime();
+ }
+}
+
+function updateElaspedTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var now = new Date();
+ var ep = s5NotesWindow.document.getElementById('elapsed-presentation');
+ var es = s5NotesWindow.document.getElementById('elapsed-slide');
+ ep.innerHTML = formatTime(now.valueOf() - presentationStart.valueOf());
+ es.innerHTML = formatTime(now.valueOf() - slideStart.valueOf());
+}
+
+function resetRemainingTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var startField = s5NotesWindow.document.getElementById('startFrom');
+ startFrom = readTime(startField.value);
+ countdown.remaining = startFrom * 60000; // convert to msecs
+ countdown.start = new Date().valueOf();
+ countdown.end = countdown.start + countdown.remaining;
+ var tl = s5NotesWindow.document.getElementById('timeLeft');
+ var timeLeft = formatTime(countdown.remaining);
+ tl.innerHTML = timeLeft;
+}
+
+function updateRemainingTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var tl = s5NotesWindow.document.getElementById('timeLeft');
+ var now = new Date();
+ if (countdown.state == 'run') {
+ countdown.remaining = countdown.end - now;
+ }
+ tl.style.color = '';
+ tl.style.backgroundColor = '';
+ if (countdown.remaining >= 0) {
+ var timeLeft = formatTime(countdown.remaining);
+ removeClass(tl,'overtime');
+ if (countdown.remaining < 300000) {
+ tl.style.color = 'rgb(' + (255-Math.round(countdown.remaining/2000)) + ',0,0)';
+ tl.style.backgroundColor = 'rgb(255,255,' + (Math.round(countdown.remaining/2000)) + ')';
+ }
+ } else {
+ var timeLeft = '-' + formatTime(-countdown.remaining);
+ addClass(tl,'overtime');
+ }
+ tl.innerHTML = timeLeft;
+}
+
+function toggleRemainingTime() {
+ if (countdown.state == 'pause') countdown.state = 'run'; else countdown.state = 'pause';
+ if (countdown.state == 'pause') {
+ window.clearInterval(countdown.timer);
+ }
+ if (countdown.state == 'run') {
+ countdown.start = new Date().valueOf();
+ countdown.end = countdown.start + countdown.remaining;
+ countdown.timer = window.setInterval('updateRemainingTime()', 1000);
+ }
+}
+
+function alterRemainingTime(amt) {
+ var change = amt * 60000; // convert to msecs
+ countdown.end += change;
+ countdown.remaining += change;
+ updateRemainingTime();
+}
+
+function formatTime(msecs) {
+ var time = new Date(msecs);
+
+ var hrs = time.getUTCHours() + ((time.getUTCDate() -1) * 24); // I doubt anyone will spend more than 24 hours on a presentation or single slide but just in case...
+ hrs = (hrs < 10) ? '0'+hrs : hrs;
+ if (hrs == 'NaN' || isNaN(hrs)) hrs = '--';
+
+ var min = time.getUTCMinutes();
+ min = (min < 10) ? '0'+min : min;
+ if (min == 'NaN' || isNaN(min)) min = '--';
+
+ var sec = time.getUTCSeconds();
+ sec = (sec < 10) ? '0'+sec : sec;
+ if (sec == 'NaN' || isNaN(sec)) sec = '--';
+
+ return hrs + ':' + min + ':' + sec;
+}
+
+function readTime(val) {
+ var sregex = /:/;
+ var matches = sregex.exec(val);
+ if (matches == null) {
+ return val;
+ } else {
+ var times = val.split(':');
+ var hours = parseInt(times[0]);
+ var mins = parseInt(times[1]);
+ var total = (hours * 60) + mins;
+ return total;
+ }
+}
+// notes extension
+
+// startup process
+function createSlideShow() {
+ defaultCheck();
+ if(!isIE) createDetector(); // (degrade IE) scalable images extension
+ if(opac!=0 || isIE) { // &&!isIE (degrade IE)
+ tranSitions = false;
+ fadeModus = false;
+ }
+ if(tranSitions && document.getElementById && document.createElement){
+ createProgress();
+ var nop=document.getElementById('StartupControl');
+ nop.onload = dumpProgress;
+ }else {
+ startup();
+ showAll();
+ setListPos(true); // invisible select extension
+ panelSetup();
+ audioSetup(); // audio extension
+ if(isS2 && firstTime>=1) {
+ generateCanvas(); // dynamic canvas extension
+ } firstTime = 0;
+ }
+}
+
+function defaultCheck() {
+ var allMetas = document.getElementsByTagName('meta');
+ for (var i = 0; i< allMetas.length; i++) {
+ if (allMetas[i].name == 'defaultView') {
+ defaultView = allMetas[i].content;
+ }
+ if (allMetas[i].name == 'controlVis') {
+ controlVis = allMetas[i].content;
+ }
+ if (allMetas[i].name == 'tranSitions') {
+ tranSitions = (allMetas[i].content == "true") ? true : false;
+ fadeModus = (tranSitions == true) ? true : false;
+ }
+ if (allMetas[i].name == 'fadeDuration') {
+ var tmp = parseInt(allMetas[i].content);
+ fadeDuration = Math.max(200,Math.min(tmp,2000));
+ }
+ if (allMetas[i].name == 'incrDuration') {
+ var tmp = parseInt(allMetas[i].content);
+ incrDuration = Math.max(50,Math.min(tmp,500));
+ }
+ if (allMetas[i].name == 'autoMatic') {
+ autoMatic = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'playLoop') {
+ playLoop = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'playDelay') {
+ var tmp = parseInt(allMetas[i].content);
+ playDelay = Math.max(5,Math.min(tmp,90))*1000;
+ playDelay = (fadeModus == true) ? (playDelay+(2*fadeDuration)) : playDelay;
+ }
+ if (allMetas[i].name == 'audioSupport') {
+ audioSupport = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'audioVolume') {
+ var tmp = parseInt(allMetas[i].content);
+ audioVolume = Math.max(0,Math.min(tmp,100));
+ }
+ if (allMetas[i].name == 'audioError') {
+ audioError = (allMetas[i].content == "true") ? true : false;
+ }
+ }
+}
+
+function createProgress() {
+ var obj = document.getElementsByTagName("body")[0].firstChild;
+ var pg = document.createElement('div');
+ pg.id = "StartupProgress";
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=100)";
+ }else {
+ pg.style.opacity = 1.0;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(255, 255, 255)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/progress.gif)";
+ document.getElementsByTagName("body")[0].insertBefore(pg,obj);
+
+ var im = document.createElement('img');
+ im.id = "StartupControl";
+ im.src = s5Path+"ui/graphic_support/blank.gif?" + new Date().valueOf();
+ document.getElementsByTagName("body")[0].appendChild(im);
+}
+
+function startup() {
+ createControls(); // hallvord
+ slideLabel();
+ incrementals = createIncrementals();
+ noteLabel(); // [SI:060104] must follow slideLabel()
+ loadNote();
+ fixLinks();
+ externalLinks();
+ fontScale();
+ if (!isOp) {
+ if(isIE) {
+ document.getElementById('lst').style.backgroundImage = 'none';
+ document.getElementById('del').style.backgroundImage = 'none';
+ }else {
+ document.getElementById('audio').style.backgroundImage = 'none';
+ }
+ notOperaFix();
+ }else if(isOp) {
+ var obj = document.getElementById('exit');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('exit').style.opacity = 0.5;
+ obj = document.getElementById('toggle');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('toggle').style.opacity = 0.5;
+ document.getElementById('jumplist').style.visibility = 'hidden';
+ document.getElementById('list').style.visibility = 'hidden';
+ document.getElementById('lst').style.opacity = 0.5;
+ obj = document.getElementById('audio');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('audio').style.opacity = 0.5;
+ document.getElementById('volumelist').style.visibility = 'hidden';
+ document.getElementById('volume').style.visibility = 'hidden';
+ document.getElementById('vol').style.opacity = 0.5;
+ document.getElementById('delaylist').style.visibility = 'hidden';
+ document.getElementById('delay').style.visibility = 'hidden';
+ document.getElementById('del').style.opacity = 0.5;
+ }
+ slideJump();
+ if (defaultView == 'outline') toggle();
+ document.onkeyup = keys;
+ document.onkeypress = trap;
+ document.onclick = clicker;
+}
+
+function preloadImgages() {
+ var temp = '';
+ var objects = document.getElementsByTagName('img');
+ for (var i=0; i < objects.length; i++) {
+ if(objects[i].src != '') {
+ temp = new Image();
+ temp.src = objects[i].src;
+ }
+ }
+}
+
+function showAll() {
+ var obj1 = GetElementsWithClassName('div','presentation')[0];
+ if(!obj1) var obj1 = GetElementsWithClassName('ol','presentation')[0];
+ var obj2 = GetElementsWithClassName('div','layout')[0];
+ if(!obj1){}else {obj1.style.display = 'block'};
+ if(!obj2){}else {obj2.style.display = 'block'};
+}
+
+function dumpProgress() {
+ document.body.removeChild(document.getElementById('StartupControl'));
+ startup();
+ preloadImgages();
+ showAll();
+ createSoundManagerScript();
+ shiftOpacity('StartupProgress',1000);
+ window.setTimeout("removeProgress()",1000);
+}
+
+function removeProgress() {
+ document.body.removeChild(document.getElementById('StartupProgress'));
+ setListPos(true); // invisible select extension
+ panelSetup();
+ audioSetup(); // audio extension
+ if(isS2 && firstTime>=1) {
+ generateCanvas(); // dynamic canvas extension
+ } firstTime = 0;
+}
+function panelSetup() {
+ if(playPause) {
+ var obj = document.getElementById('pause'); changeClass(obj,'ison');
+ }
+ if(playLoop) {
+ var obj = document.getElementById('loop'); changeClass(obj,'ison');
+ }
+ if(audioSupport && !isIE && !isOp) {
+ var obj = document.getElementById('audio'); changeClass(obj,'ison');
+ }
+ if(fadeModus && !isIE) {
+ var obj = document.getElementById('fade'); changeClass(obj,'ison');
+ }
+ if(autoMatic) {
+ var obj = document.getElementById('auto'); changeClass(obj,'ison');
+ startPlay();
+ }
+ if(audioVolume && !isIE && !isOp) {
+ var idx = 0;
+ if(audioVolume >= 95 && audioVolume <= 100) {idx = 0;}
+ else if(audioVolume >= 85 && audioVolume < 95) {idx = 1;}
+ else if(audioVolume >= 75 && audioVolume < 85) {idx = 2;}
+ else if(audioVolume >= 65 && audioVolume < 75) {idx = 3;}
+ else if(audioVolume >= 55 && audioVolume < 65) {idx = 4;}
+ else if(audioVolume >= 45 && audioVolume < 55) {idx = 5;}
+ else if(audioVolume >= 35 && audioVolume < 45) {idx = 6;}
+ else if(audioVolume >= 25 && audioVolume < 35) {idx = 7;}
+ else if(audioVolume >= 15 && audioVolume < 25) {idx = 8;}
+ else if(audioVolume >= 5 && audioVolume < 15) {idx = 9;}
+ else {idx = 10;}
+ document.getElementById('volumelist').selectedIndex = idx;
+ }
+ if(playDelay && !isOp) {
+ var idx = 0; var vol = parseInt(playDelay/1000);
+ if(vol >= 83 && vol <= 90) {idx = 0;}
+ else if(vol >= 68 && vol < 83) {idx = 1;}
+ else if(vol >= 53 && vol < 68) {idx = 2;}
+ else if(vol >= 38 && vol < 53) {idx = 3;}
+ else if(vol >= 25 && vol < 38) {idx = 4;}
+ else if(vol >= 18 && vol < 25) {idx = 5;}
+ else if(vol >= 13 && vol < 18) {idx = 6;}
+ else if(vol >= 8 && vol < 13) {idx = 7;}
+ else {idx = 8;}
+ document.getElementById('delaylist').selectedIndex = idx;
+ }
+}
+// startup process
+
+// shutdown process
+function byby() {
+ stopPlay();
+ if(tranSitions && fadeModus && s5mode && !isOp) {
+ fadeoutSound(curSoundID,true); // audio support
+ var pg = document.createElement('div');
+ pg.id = "GoodBy";
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=0)";
+ }else {
+ pg.style.opacity = 0.0;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(255, 255, 255)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/finish.gif)";
+ document.getElementsByTagName("body")[0].appendChild(pg);
+ shiftOpacity('GoodBy',1000);
+ window.setTimeout("history.back()",1000);
+ }else {
+ stopSound(curSoundID);
+ history.back();
+ }
+}
+// shutdown process
+
+// scalable images extension
+function createDetector() {
+ var em = document.createElement('div');
+ em.id='EMSizeControl'; em.style.position="absolute"; em.style.left="-999px";
+ em.style.width="1em"; em.style.height="1em"; em.style.opacity=0.0;
+ document.getElementsByTagName("body")[0].appendChild(em);
+ var nop=document.getElementById('EMSizeControl');
+ if(!nop||findPosX(nop)!=-999) {}else {
+ opac=document.getElementById('EMSizeControl').style.opacity;
+ empx=document.getElementById('EMSizeControl').offsetHeight;
+ document.body.removeChild(document.getElementById('EMSizeControl'));
+ var objects = document.getElementsByTagName('img');
+ var j = 0; var i = 0; var k = 0; var d; var obj;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ images[j] = objects[i];
+ ++j;
+ }
+ }
+ var objects = document.getElementsByTagName('canvas'); j = 0;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ canvas[objects[i].id] = objects[i];
+ if(j==0) {
+ if(objects[i].getContext) {
+ canvasSupport = true;
+ }
+ ++j;
+ }
+ }
+ }
+ if(canvasSupport!=true) {
+ for (d in canvas) {
+ try {
+ canvas[d].setAttribute("width",1);
+ canvas[d].setAttribute("height",1);
+ } catch (e) {
+ }
+ }
+ }
+ var objects = document.getElementsByTagName('table');
+ j = 0; k = 0; l = 0; var w; var h; var tmp; var cnt;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^piechart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ piecharts[j] = objects[i];
+ em = piecharts[j].getAttribute("summary");
+ if(em != "") em = "," + em;
+ piecharts[j].setAttribute("summary", w + "," + h + em);
+ ++j;
+ }
+ }
+ }
+ if(objects[i].className.match(/^barchart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ barcharts[k] = objects[i];
+ em = barcharts[k].getAttribute("summary");
+ if(em != "") em = "," + em;
+ barcharts[k].setAttribute("summary", w + "," + h + em);
+ ++k;
+ }
+ }
+ }
+ if(objects[i].className.match(/^linechart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ linecharts[l] = objects[i];
+ em = linecharts[l].getAttribute("summary");
+ if(em != "") em = "," + em;
+ linecharts[l].setAttribute("summary", w + "," + h + em);
+ ++l;
+ }
+ }
+ }
+ }
+ objects = document.getElementsByTagName('object'); j = 0; i = 0;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ medias[j] = objects[i]; ++j;
+ if(!isIE) {
+ if(objects[i].getAttributeNode("classid")) objects[i].removeAttributeNode(objects[i].getAttributeNode("classid"));
+ if(objects[i].getAttributeNode("codebase")) objects[i].removeAttributeNode(objects[i].getAttributeNode("codebase"));
+ }
+ }
+ }
+ }
+}
+function extendImgSizes(f) {
+ if(empx>0) {
+ var q = (f/empx); var w = 0; var h = 0;
+ for(var i=0; i < images.length; i++) {
+ w=images[i].getAttribute("width",0);
+ h=images[i].getAttribute("height",0);
+ if(w>0&&h>0) {
+ images[i].style.width=Math.floor(w*q)+"px";
+ images[i].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+}
+
+function extendCanSizes(f) {
+ if(empx>0 && canvasSupport) {
+ var q = (f/empx); var w = 0; var h = 0; var tmp = ""; var cnt; var obj;
+ for(var i=0; i < piecharts.length; i++) {
+ if(piecharts[i].getAttribute("summary")) {
+ tmp = piecharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = piecharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ for(var i=0; i < barcharts.length; i++) {
+ if(barcharts[i].getAttribute("summary")) {
+ tmp = barcharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = barcharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ for(var i=0; i < linecharts.length; i++) {
+ if(linecharts[i].getAttribute("summary")) {
+ tmp = linecharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = linecharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ }
+}
+function extendObjSizes(f) {
+ if(empx>0) {
+ var q = (f/empx); var w = 0; var h = 0;
+ for(var i=0; i < medias.length; i++) {
+ w=medias[i].getAttribute("width",0);
+ h=medias[i].getAttribute("height",0);
+ if(w>0&&h>0) {
+ medias[i].style.width=Math.floor(w*q)+"px";
+ medias[i].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+}
+function findPosX(obj) {
+ var posLeft = 0;
+ while (obj.offsetParent) {
+ posLeft += obj.offsetLeft;
+ obj = obj.offsetParent;
+ }
+ return posLeft;
+}
+function findPosY(obj) {
+ var posTop = 0;
+ while (obj.offsetParent) {
+ posTop += obj.offsetTop;
+ obj = obj.offsetParent;
+ }
+ return posTop;
+}
+// scalable images extension
+
+// canvas chart extension
+function deg2rad(degrees) {
+ return Math.PI *degrees/180;
+}
+function rad2deg(radians) {
+ return 180.0 *radians/Math.PI;
+}
+function circle_point_x(radians, diameter) {
+ var x = Math.cos(radians)*(diameter/2);
+ return x;
+}
+function circle_point_y(radians, diameter) {
+ var y = Math.sin(radians)*(diameter/2);
+ return y;
+}
+function roundTo(val,dig) {
+ var num = val;
+ if (val > 8191 && val < 10485) {
+ val = val-5000;
+ num = Math.round(val*Math.pow(10,dig))/Math.pow(10,dig);
+ num = num+5000;
+ } else {
+ num = Math.round(val*Math.pow(10,dig))/Math.pow(10,dig);
+ }
+ return num;
+}
+function searchColor(value) {
+ for (var dat in colorNames) {
+ if(dat==value) return colorNames[dat];
+ }
+ return false;
+}
+function scanColor(value) {
+ if(value.match(/^#[0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ var val1 = value.substr(1,1).toLowerCase();
+ var val2 = value.substr(2,1).toLowerCase();
+ var val3 = value.substr(3,1).toLowerCase();
+ value = '#' + val1 + val1 + val2 + val2 + val3 + val3;
+ }
+ if(!value.match(/^#[0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ var tmp = searchColor(value.toLowerCase());
+ if(!tmp) {}else{value = tmp;}
+ }
+ if(!value.match(/^#[0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ value = '#000000';
+ }
+ return value.toLowerCase();
+}
+function hex2rgb(val,trans) {
+ if(val.length==7) {
+ var tp1 = Math.max(0,Math.min(parseInt(val.substr(1,2),16),255));
+ var tp2 = Math.max(0,Math.min(parseInt(val.substr(3,2),16),255));
+ var tp3 = Math.max(0,Math.min(parseInt(val.substr(5,2),16),255));
+ return 'rgba(' + tp1 + ',' + tp2 + ',' + tp3 + ',' + trans + ')';
+ }
+}
+function trim(str) {
+ return (str.replace(/\s+$/,"").replace(/^\s+/,""));
+}
+function roundedRect(ctx,x,y,width,height,radius){
+ ctx.beginPath();
+ ctx.moveTo(x,y+radius);
+ ctx.lineTo(x,y+height-radius);
+ ctx.quadraticCurveTo(x,y+height,x+radius,y+height);
+ ctx.lineTo(x+width-radius,y+height);
+ ctx.quadraticCurveTo(x+width,y+height,x+width,y+height-radius);
+ ctx.lineTo(x+width,y+radius);
+ ctx.quadraticCurveTo(x+width,y,x+width-radius,y);
+ ctx.lineTo(x+radius,y);
+ ctx.quadraticCurveTo(x,y,x,y+radius);
+ ctx.closePath();
+}
+function drawString(ctx, text, fc, tx, ty) {
+ var xp = 0; var c = "";
+ ctx.beginPath();
+ for (var i = 0; i < text.length; i++) {
+ c = text[i];
+ ctx.drawImage(font, signs[c].sx, signs[c].sy, signs[c].sw, signs[c].sh, tx+xp, ty, signs[c].sw*fc, signs[c].sh*fc);
+ xp += (signs[c].sw*fc);
+ }
+ ctx.closePath();
+}
+function strokeString(ctx, txt, col, fh, tx, ty) {
+ var fw = fh*0.666666; var lw = fh*0.125;
+ var ls = lw/2; var cr = lw; var xp = 0;
+ ctx.lineCap = "round"; ctx.lineJoin = "round"
+ ctx.lineWidth = lw; ctx.strokeStyle = col;
+ for (var i = 0; i < txt.length; i++) {
+ strokeSymbol(ctx, txt[i], ls, tx+xp, ty, fw, fh);
+ xp += (txt[i]!="."?fw+cr:(fw/2)+cr);
+ }
+}
+function strokeSymbol(ctx, symbol, fc, cx, cy, cw, ch) {
+ ctx.beginPath();
+ switch (symbol) {
+ case "0":
+ ctx.moveTo(cx+fc,cy+(ch*0.333333));
+ ctx.arc(cx+(cw/2),cy+(cw/2),(cw/2)-fc,deg2rad(180),0, false);
+ ctx.arc(cx+(cw/2),(cy+ch)-(cw/2),(cw/2)-fc,0,deg2rad(180), false);
+ ctx.closePath();
+ break;
+ case "1":
+ ctx.moveTo(cx+(cw*0.1)+fc,cy+ch-fc);
+ ctx.lineTo(cx+cw-fc,cy+ch-fc);
+ ctx.moveTo(cx+(cw*0.666666),cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.666666),cy+fc);
+ ctx.lineTo(cx+(cw*0.25),cy+(ch*0.25));
+ break;
+ case "2":
+ ctx.moveTo(cx+cw-fc,cy+(ch*0.8));
+ ctx.lineTo(cx+cw-fc,cy+ch-fc);
+ ctx.lineTo(cx+fc,cy+ch-fc);
+ ctx.arc(cx+(cw/2),cy+(cw*0.425),(cw*0.425)-fc,deg2rad(45),deg2rad(-180), true);
+ break;
+ case "3":
+ ctx.moveTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.9)-fc,cy+fc);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-90),deg2rad(180), false);
+ break;
+ case "4":
+ ctx.moveTo(cx+(cw*0.75),cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.75),cy+fc);
+ ctx.moveTo(cx+cw-fc,cy+(ch*0.666666));
+ ctx.lineTo(cx+fc,cy+(ch*0.666666));
+ ctx.lineTo(cx+(cw*0.75),cy+fc);
+ ctx.moveTo(cx+cw-fc,cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.5),cy+ch-fc);
+ break;
+ case "5":
+ ctx.moveTo(cx+(cw*0.9)-fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+(ch*0.333333));
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-80),deg2rad(180), false);
+ break;
+ case "6":
+ ctx.moveTo(cx+fc,cy+ch-(cw*0.5)-fc);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-180),deg2rad(180), false);
+ ctx.bezierCurveTo(cx+fc,cy+fc,cx+fc,cy+fc,cx+(cw*0.9)-fc,cy+fc);
+ ctx.moveTo(cx+(cw*0.9)-fc,cy+fc);
+ break;
+ case "7":
+ ctx.moveTo(cx+(cw*0.5),cy+ch-fc);
+ ctx.lineTo(cx+cw-fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+(ch*0.25)-fc);
+ break;
+ case "8":
+ ctx.moveTo(cx+(cw*0.92)-fc,cy+(cw*0.59));
+ ctx.arc(cx+(cw/2),cy+(cw*0.45),(cw*0.45)-fc,deg2rad(25),deg2rad(-205), true);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-135),deg2rad(-45), true);
+ ctx.closePath();
+ ctx.moveTo(cx+(cw*0.79),cy+(ch*0.47));
+ ctx.lineTo(cx+(cw*0.21),cy+(ch*0.47));
+ break;
+ case "9":
+ ctx.moveTo(cx+cw-fc,cy+(cw*0.5));
+ ctx.arc(cx+(cw/2),cy+(cw*0.5),(cw*0.5)-fc,deg2rad(0),deg2rad(360), false);
+ ctx.bezierCurveTo(cx+cw-fc,cy+ch-fc,cx+cw-fc,cy+ch-fc,cx+(cw*0.1)+fc,cy+ch-fc);
+ break;
+ case "%":
+ ctx.moveTo(cx+fc,cy+(ch*0.75));
+ ctx.lineTo(cx+cw-fc,cy+(ch*0.25));
+ ctx.moveTo(cx+(cw*0.505),cy+(cw*0.3));
+ ctx.arc(cx+(cw*0.3),cy+(cw*0.3),(cw*0.3)-fc,deg2rad(0),deg2rad(360), false);
+ ctx.moveTo(cx+(cw*0.905),cy+ch-(cw*0.3));
+ ctx.arc(cx+(cw*0.7),cy+ch-(cw*0.3),(cw*0.3)-fc,deg2rad(0),deg2rad(360), false);
+ break;
+ case ".":
+ ctx.moveTo(cx+(cw*0.25),cy+ch-fc-fc);
+ ctx.arc(cx+(cw*0.25),cy+ch-fc-fc,fc,deg2rad(0),deg2rad(360), false);
+ ctx.closePath();
+ break;
+ default:
+ break;
+ }
+ ctx.stroke();
+}
+function drawBar(ctx,x,y,width,height,color,value,textdiv){
+ var rw = width/2; var rh = rw/2;
+ height = Math.max(height,rw);
+ var dh = Math.max(height-(2*rh),0.1); var S2L;
+ var xx = rw/8; var yy = rh/4;
+ var yo = rh/2; y = y - yy;
+ if(canvas_noshadow <= 0 && canvas_noshade <= 0) {
+ ctx.save();
+ S2L = ctx.createRadialGradient(x+rw+rh,y+height-rw+yy+rh,0,x+rw,y+height-rw+yy,rw);
+ S2L.addColorStop(0, 'rgba(0,0,0,0.5)');
+ S2L.addColorStop(0.7, 'rgba(0,0,0,0.25)');
+ S2L.addColorStop(0.9, 'rgba(0,0,0,0.1)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.fillStyle = S2L;
+ ctx.scale(1,0.5);
+ ctx.translate(xx,y+height+yy);
+ if(isOp){
+ ctx.fillRect(x,y+yy+height-width,width,width);
+ }else {
+ ctx.arc(x+rw,y+yy+height-width,width,0,deg2rad(360), false);
+ ctx.fill();
+ }
+ ctx.restore();
+ }else if(canvas_noshadow <= 0 && canvas_noshade >= 1) {
+ ctx.fillStyle = 'rgba(0,0,0,0.2)';
+ ctx.fillRect(x+yo,y+rh+yo+yo,width,dh);
+ }
+
+ if(canvas_noshade <= 0) {
+ ctx.beginPath();
+ ctx.moveTo(x,y+rh);
+ ctx.lineTo(x,y+rh+dh);
+ ctx.bezierCurveTo(x,y+height,x+width,y+height,x+width,y+rh+dh)
+ ctx.lineTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = color;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x,y+(height/2),x+width,y+(height/2));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.75)');
+ S2L.addColorStop(0.2, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.3, 'rgba(0,0,0,0)');
+ S2L.addColorStop(0.5, 'rgba(0,0,0,0.1)');
+ S2L.addColorStop(0.9, 'rgba(0,0,0,0.35)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.3)');
+ ctx.beginPath();
+ ctx.moveTo(x,y+rh);
+ ctx.lineTo(x,y+rh+dh);
+ ctx.bezierCurveTo(x,y+height,x+width,y+height,x+width,y+rh+dh)
+ ctx.lineTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = S2L;
+ ctx.fill();
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = color;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x+rw-(width*0.15),y-2,x+rw+(width*0.15),y+(2.1*rh));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.9)');
+ S2L.addColorStop(0.5, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.6, 'rgba(0,0,0,0)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.3)');
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = S2L;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x+rw-(width*0.15),y-2,x+rw+(width*0.15),y+(2.1*rh));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.9)');
+ S2L.addColorStop(0.5, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.6, 'rgba(0,0,0,0)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.1)');
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.strokeStyle = S2L;
+ ctx.stroke();
+ }else {
+ ctx.fillStyle = color;
+ ctx.fillRect(x,y+rh+yo,width,dh);
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = 1;
+ ctx.fillStyle = 'rgba(255,255,255,0.5)';
+ var bw = width; var bh = bw/4;
+ var th = roundTo(bh*0.75,0); var tf = bh/80;
+ var c; var w;
+ if(canvas_notext <= 0) {
+ if(canvas_htmltext <= 0) {
+ w = '"' + per + '"';
+ if(w.indexOf(".")!=-1) {
+ c = w.length+0.5;
+ w = parseFloat(c-2)*parseFloat(48*tf);
+ bw = parseFloat(c-1)*parseFloat(48*tf);
+ }else {
+ c = w.length;
+ w = parseFloat(c-1)*parseFloat(48*tf);
+ bw = parseFloat(c)*parseFloat(48*tf);
+ }
+ roundedRect(ctx,x+(width/2)-(bw/2),y,bw,bh,bh/4);
+ ctx.fill();
+ if(canvas_imgtext <= 0) {
+ strokeString(ctx, value + "%","rgba(48,48,48,1)", th, x+(width/2)-(w/2), y+((bh-th)/2));
+ }else {
+ drawString(ctx, value + "%", tf, x+(width/2)-(w/2), y+((bh-th)/2.2));
+ }
+ }else {
+ var bh = bw/3; var th = roundTo(bh*0.75,0);
+ roundedRect(ctx,x,y,bw,bh,bh/4);
+ ctx.fill();
+ var obj = document.createElement('div');
+ obj.style.position = "absolute";
+ obj.style.overflow = "hidden";
+ obj.style.textAlign = "center";
+ obj.style.width = bw + "px";
+ obj.style.left = x + "px";
+ obj.style.top = y+((bh-th)/2.2) + "px";
+ obj.appendChild(document.createTextNode(per + "%"));
+ textdiv.appendChild(obj);
+ }
+
+ }
+}
+function drawLine(ctx,x,y,width,height,dist,array,factor,color,fill){
+ if(fill <= 0){
+ var style = hex2rgb(color,0.5);
+ ctx.lineJoin = "miter";
+ ctx.beginPath();
+ ctx.moveTo(x,y+height);
+ for (var i = 0; i < array.length; i++) {
+ ctx.lineTo(x+(i*dist),y+height-(array[i]*factor));
+ }
+ ctx.lineTo(x+width,y+height);
+ ctx.lineTo(x,y+height);
+ ctx.closePath();
+ ctx.fillStyle = style;
+ ctx.fill();
+ }
+ ctx.lineJoin = "round";
+ ctx.beginPath();
+ ctx.moveTo(x,y+height-(array[0]*factor));
+ for (var i = 0; i < array.length; i++) {
+ ctx.lineTo(x+(i*dist),y+height-(array[i]*factor));
+ }
+ ctx.strokeStyle = color;
+ ctx.stroke();
+}
+function setDataURL(cid) {
+ if(cid.toDataURL) {
+ var obj = document.getElementById(cid.id + "_link");
+ if(obj) {
+ obj.setAttribute("title", "To Data URL");
+ obj.setAttribute("target", "_blank");
+ obj.setAttribute("href", cid.toDataURL());
+ }
+ }
+}
+function get_input(dataobj,canvasobj,linechart) {
+ var table = document.getElementById(dataobj);
+ var canvas = document.getElementById(canvasobj);
+ var row; var clm; var cnt = 0; var val = 0; var nme = ""; var col = "";
+ if(canvas.getContext) {
+ canvas_width = parseInt(canvas.style.width);
+ canvas_height = parseInt(canvas.style.height);
+ if(canvas_width >= 16 && canvas_height >= 16) {
+ var tmp = table.getAttribute("summary");
+ canvas_noshade = 0; canvas_noshadow = 0;
+ canvas_notext = 0; canvas_imgtext = 0;
+ canvas_htmltext = 0; canvas_nofill = 0;
+ if(tmp.search(/noshadow/) != -1) canvas_noshadow = 1;
+ if(tmp.search(/noshade/) != -1) canvas_noshade = 1;
+ if(tmp.search(/nofill/) != -1) canvas_nofill = 1;
+ if(tmp.search(/notext/) != -1) canvas_notext = 1;
+ if(tmp.search(/htmltext/) != -1) canvas_htmltext = 1;
+ if(tmp.search(/imgtext/) != -1) canvas_imgtext = 1;
+ if(table.getAttribute("bgcolor")) {
+ canvas_bgcolor = scanColor(table.getAttribute("bgcolor"));
+ }
+ ChartData = new Array(); colorSlice = new Array();
+ if(table.getElementsByTagName("tr")[0].getElementsByTagName("th")[0]) cnt = 1;
+ for(var r = cnt; r < table.getElementsByTagName("tr").length; r++) {
+ row = table.getElementsByTagName("tr")[r];
+ clm = row.getElementsByTagName("td").length;
+ if(row.getElementsByTagName("td")[0].getAttribute("bgcolor")) {
+ col = scanColor(row.getElementsByTagName("td")[0].getAttribute("bgcolor")); val = 0;
+ nme = trim(row.getElementsByTagName("td")[1].innerHTML.replace(/<[^>]+>/g,""));
+ if(!linechart) {
+ if(clm > 3) {
+ for(var z = 2; z < clm; z++) {
+ val += parseFloat(row.getElementsByTagName("td")[z].innerHTML.replace(/<[^>]+>/g,""));
+ }
+ }else {
+ val = parseFloat(row.getElementsByTagName("td")[2].innerHTML.replace(/<[^>]+>/g,""));
+ }
+ if(!isNaN(val) && val > 0 && nme != '') {
+ colorSlice[r-cnt] = col; ChartData[nme] = Math.abs(val);
+ }else {
+ break;
+ }
+ }else {
+ val = 0; i = 0;
+ if(clm > 3 && nme != '') {
+ ChartData[r-cnt] = new Array();
+ colorSlice[r-cnt] = col;
+ for(var z = 2; z < clm; z++) {
+ val = Math.abs(parseFloat(row.getElementsByTagName("td")[z].innerHTML.replace(/<[^>]+>/g,"")));
+ if(!isNaN(val) && val >= 0) {
+ ChartData[r-cnt][i] = val; i++;
+ }else {
+ ChartData[r-cnt][i] = 0; i++;
+ }
+ }
+ }else {
+ break;
+ }
+ }
+ }else {
+ break;
+ }
+ }
+ }
+ }
+}
+function setPieChart(canvasobj,textobj) {
+ var cd = (Math.min(canvas_width,canvas_height)/110)*100;
+ var cp = cd*0.1; var cr = cd/2;
+ var sr = cr*0.93; var cw = cd+cp; var ch = cw;
+ var cx = (cd/2)+(cp/2); var cy = cx; var ct = 0;
+ for (var data in ChartData) {
+ ct += ChartData[data];
+ }
+ var poc = ct/100;
+ var canvas = document.getElementById(canvasobj);
+ if(canvas_htmltext >= 1) {
+ if(document.getElementById(textobj)) {
+ canvas.parentNode.removeChild(canvas.parentNode.lastChild);
+ }
+ }
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,cw,ch);
+ }else {
+ ctx.clearRect(0,0,cw,ch);
+ }
+ if(canvas_noshadow <= 0) {
+ if(!isOp) {
+ ctx.beginPath();
+ var B2B = ctx.createRadialGradient(cx+(cr*0.1),cy+(cr*0.1),cr,cx+(cr*0.1),cy+(cr*0.1),sr*0.9);
+ B2B.addColorStop(0, 'rgba(0,0,0,0)');
+ B2B.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.arc(cx+(cr*0.1),cy+(cr*0.1),cr,0,deg2rad(360), false);
+ ctx.closePath();
+ ctx.fillStyle = B2B;
+ ctx.fill();
+ }else {
+ var B2B = ctx.createRadialGradient(cx+(cr*0.1),cy+(cr*0.1),0,cx+(cr*0.1),cy+(cr*0.1),cr);
+ B2B.addColorStop(0, 'rgba(0,0,0,0.5)');
+ B2B.addColorStop(0.87, 'rgba(0,0,0,0.5)');
+ B2B.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.fillStyle = B2B;
+ ctx.fillRect(0,0,cw,ch);
+ }
+ }
+ var val = 0; var deg = 0; var idx = 0; var data;
+ for (data in ChartData) {
+ val = ChartData[data]; sdeg = deg;
+ deg += (val/ct)*deg2rad(360); edeg = deg;
+ ctx.beginPath();
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr,sdeg,edeg, false);
+ ctx.lineTo(cx,cy);
+ ctx.closePath();
+ ctx.fillStyle = colorSlice[(idx++)];
+ ctx.fill();
+ }
+ if(canvas_noshade <= 0) {
+ ctx.beginPath();
+ var W2T = ctx.createLinearGradient(cp*2,cp*2,cx,cy);
+ W2T.addColorStop(0, 'rgba(255,255,255,0.8)');
+ W2T.addColorStop(1, 'rgba(255,255,255,0)');
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,sr,deg2rad(135),deg2rad(315), false);
+ ctx.closePath();
+ ctx.fillStyle = W2T;
+ ctx.fill();
+ ctx.beginPath();
+ var T2B = ctx.createLinearGradient(cx,cy,cw-(cp*2),ch-(cp*2));
+ T2B.addColorStop(0, 'rgba(0,0,0,0)');
+ T2B.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,sr,deg2rad(-45),deg2rad(135), false);
+ ctx.closePath();
+ ctx.fillStyle = T2B;
+ ctx.fill();
+ ctx.beginPath();
+ var B2T = ctx.createLinearGradient(cx,cy,cw-(cp*1.9),ch-(cp*1.9));
+ B2T.addColorStop(0, 'rgba(0,0,0,0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.6)');
+ ctx.lineWidth = cr*0.07;
+ ctx.lineCap = "round";
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr*0.965,deg2rad(-45),deg2rad(135), false);
+ ctx.closePath();
+ ctx.strokeStyle = B2T;
+ ctx.stroke();
+ ctx.beginPath();
+ var T2W = ctx.createLinearGradient(cx,cy,(cp*1.9),(cp*1.9));
+ T2W.addColorStop(0, 'rgba(255,255,255,0)');
+ T2W.addColorStop(1, 'rgba(255,255,255,0.6)');
+ ctx.lineWidth = cr*0.07;
+ ctx.lineCap = "round";
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr*0.965,deg2rad(135),deg2rad(315), false);
+ ctx.closePath();
+ ctx.strokeStyle = T2W;
+ ctx.stroke();
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = 1;
+ ctx.fillStyle = 'rgba(255,255,255,0.5)';
+ var mpos = 0; var per = 0; var gx = 0;
+ var gy = 0; var tx = 0; var ty = 0;
+ var obj = ""; var w = 0; var c = 0;
+ var bw = cr*0.45; var bh = cr*0.125;
+ var th = roundTo(bh*0.75,0); var tf = bh/80;
+ if(canvas_notext <= 0) {
+ if(canvas_htmltext >= 1) {
+ bw = (cr*0.365);
+ canvas.parentNode.style.position = "relative";
+ if(!document.getElementById(textobj)) {
+ obj = document.createElement('div');
+ obj.id = textobj;
+ obj.style.color = "rgb(0,0,0)";
+ obj.style.fontFamily = "Arial,sans-serif";
+ obj.style.fontSize = th + "px";
+ obj.style.zIndex = 11;
+ canvas.parentNode.appendChild(obj);
+ }
+ var textdiv = document.getElementById(obj.id);
+ }
+ for (data in ChartData) {
+ val = ChartData[data];
+ sdeg = deg; mpos = deg+(((val/2)/ct)*deg2rad(360));
+ deg += (val/ct)*deg2rad(360); edeg = deg;
+ per = roundTo(val/poc,2);
+ gx = circle_point_x(mpos, cd);
+ gy = circle_point_y(mpos, cd);
+ tx = parseFloat((cx+(2*Math.floor(cx + gx)))/3);
+ ty = (cy+(2*Math.floor(cy + gy)))/3;
+ if(canvas_htmltext <= 0) {
+ w = '"' + per + '"';
+ if(w.indexOf(".")!=-1) {
+ c = w.length+0.5;
+ w = parseFloat(c-2)*parseFloat(48*tf);
+ bw = parseFloat(c-1)*parseFloat(48*tf);
+ }else {
+ c = w.length;
+ w = parseFloat(c-1)*parseFloat(48*tf);
+ bw = parseFloat(c)*parseFloat(48*tf);
+ }
+ roundedRect(ctx,(tx-(bw/2)),ty-(bh/2),bw,bh,bh/4);
+ ctx.fill();
+ if(canvas_imgtext >= 1) {
+ drawString(ctx, per + "%", tf, tx-(w/2), ty-(th/1.8));
+ }else {
+ strokeString(ctx, per + "%","rgba(48,48,48,1)", th, tx-(w/2), ty-(th/2));
+ }
+ }else {
+ roundedRect(ctx,(tx-(bw/2)),ty-(bh/2),bw,bh,bh/4);
+ ctx.fill();
+ obj = document.createElement('div');
+ obj.style.position = "absolute";
+ obj.style.overflow = "hidden";
+ obj.style.textAlign = "center";
+ obj.style.width = bw + "px";
+ obj.style.left = (tx-(bw/2)) + "px";
+ obj.style.top = ty-(th/1.8) + "px";
+ obj.appendChild(document.createTextNode(per + "%"));
+ textdiv.appendChild(obj);
+ }
+ }
+ }
+ }
+}
+function setBarChart(canvasobj,textobj) {
+ var iw = canvas_width*0.9; var ih = canvas_height*0.9;
+ var pw = canvas_width*0.05; var ph = canvas_height*0.05;
+ var cm = 0; var ct = 0;
+ for (var data in ChartData) {
+ cm = Math.max(cm,ChartData[data]);
+ ct += ChartData[data];
+ }
+ var hf = ih/cm; var bs = colorSlice.length;
+ var bw = iw/(bs*1.1); var poc = ct/100;
+ var th = roundTo((bw/3)*0.75,0);
+ var canvas = document.getElementById(canvasobj);
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,canvas_width,canvas_height);
+ }else {
+ ctx.clearRect(0,0,canvas_width,canvas_height);
+ }
+ if(canvas_htmltext >= 1) {
+ if(document.getElementById(textobj)) {
+ canvas.parentNode.removeChild(canvas.parentNode.lastChild);
+ }
+ canvas.parentNode.style.position = "relative";
+ if(!document.getElementById(textobj)) {
+ var obj = document.createElement('div');
+ obj.id = textobj;
+ obj.style.color = "rgb(0,0,0)";
+ obj.style.fontFamily = "Arial,sans-serif";
+ obj.style.fontSize = th + "px";
+ obj.style.zIndex = 11;
+ canvas.parentNode.appendChild(obj);
+ }
+ var textdiv = document.getElementById(obj.id);
+ }
+ var cc = ""; var bh = 0; var idx = 0; var t = 0; per = 0;
+ for (var data in ChartData) {
+ per = roundTo(ChartData[data]/poc,2);
+ bh = ChartData[data]*hf;
+ drawBar(ctx,pw+(t*(bw*1.1)),ph+ih-bh,bw,bh,colorSlice[(idx++)],per,textdiv);
+ t++;
+ }
+ }
+}
+function setLineChart(canvasobj,textobj) {
+ var iw = canvas_width*0.9;
+ var ih = canvas_height*0.9;
+ var pw = canvas_width*0.05;
+ var ph = canvas_height*0.05;
+ var cm = 0;
+ for (var i = 0; i < ChartData.length; i++) {
+ for (var j = 0; j < ChartData[i].length; j++) {
+ cm = Math.max(cm,ChartData[i][j]);
+ }
+ }
+ var hf = (ih*0.95)/cm; var bw = iw;
+ var dw = iw/(ChartData[0].length-1)
+ var dh = ih/(ChartData[0].length-1)
+ var ps = Math.min(pw,ph); lw = ps/4;
+ var B2T;
+ var canvas = document.getElementById(canvasobj);
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,canvas_width,canvas_height);
+ }else {
+ ctx.clearRect(0,0,canvas_width,canvas_height);
+ }
+ if(canvas_noshadow <= 0) {
+ B2T = ctx.createLinearGradient(pw+ps,ph+ih+1,pw+ps+ps,ph+ih+1);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+ps,ph+ih);
+ ctx.lineTo(pw+ps,ph+ih+ps);
+ ctx.lineTo(pw+ps+ps,ph+ih);
+ ctx.closePath();
+ ctx.fill();
+ B2T = ctx.createLinearGradient(pw+ps+ps,ph+ih,pw+ps+ps,ph+ih+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.5)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.0)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+ps+ps,ph+ih);
+ ctx.lineTo(pw+iw,ph+ih);
+ ctx.lineTo(pw+ps+iw,ph+ih+ps);
+ ctx.lineTo(pw+ps,ph+ih+ps);
+ ctx.closePath();
+ ctx.fill();
+ var B2T = ctx.createLinearGradient(pw+iw+1,ph+ps,pw+iw+1,ph+ps+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.35)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+iw,ph+ps);
+ ctx.lineTo(pw+iw,ph+ps+ps);
+ ctx.lineTo(pw+iw+ps,ph+ps);
+ ctx.closePath();
+ ctx.fill();
+ B2T = ctx.createLinearGradient(pw+iw,ph+ps+ps,pw+iw+ps,ph+ps+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.35)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.0)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+iw,ph+ps+ps);
+ ctx.lineTo(pw+iw,ph+ih);
+ ctx.lineTo(pw+iw+ps,ph+ih+ps);
+ ctx.lineTo(pw+iw+ps,ph+ps);
+ ctx.closePath();
+ ctx.fill();
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = lw/2;
+ ctx.strokeStyle = "rgba(255,255,255,0.25)";
+ if(canvas_nofill <= 0) {
+ ctx.fillStyle = "rgba(240,240,240,0.8)";
+ ctx.strokeStyle = "rgba(255,255,255,1)";
+ ctx.fillRect(pw,ph,iw,ih);
+ }
+ for (var i = 0; i < ChartData[0].length-1; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw+(i*dw),ph);
+ ctx.lineTo(pw+(i*dw),ph+ih);
+ ctx.stroke();
+ }
+ for (var i = 1; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw,ph+(i*dh));
+ ctx.lineTo(pw+iw,ph+(i*dh));
+ ctx.stroke();
+ }
+ ctx.lineWidth = lw;
+ var cc = ""; var bh = 0; var idx = 0; var t = 0;
+ for (var i = 0; i < ChartData.length; i++) {
+ for (var j = 0; j < ChartData[i].length; j++) {
+ t = Math.max(t,ChartData[i][j]);
+ }
+ bh = t*hf; cc = colorSlice[(idx++)];
+ drawLine(ctx,pw,ph+ih-bh,bw,bh,dw,ChartData[i],hf,cc,canvas_nofill);
+ }
+ ctx.beginPath();
+ ctx.moveTo(pw,ph);
+ ctx.lineTo(pw,ph+ih);
+ ctx.lineTo(pw+iw+(lw/2),ph+ih);
+ ctx.strokeStyle = "rgba(48,48,48,1)";
+ ctx.stroke();
+ ctx.lineWidth = lw/2;
+ B2T = ctx.createLinearGradient(pw,ph+ih,pw,ph+ih+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,1)');
+ B2T.addColorStop(0.5, 'rgba(0,0,0,1)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.strokeStyle = B2T;
+ for (var i = 0; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw+(i*dw),ph+ih);
+ ctx.lineTo(pw+(i*dw),ph+ih+ps);
+ ctx.stroke();
+ }
+ B2T = ctx.createLinearGradient(pw-ps,ph,pw,ph);
+ B2T.addColorStop(0, 'rgba(0,0,0,0)');
+ B2T.addColorStop(0.5, 'rgba(0,0,0,1)');
+ B2T.addColorStop(1, 'rgba(0,0,0,1)');
+ ctx.strokeStyle = B2T;
+ for (var i = 1; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw-ps,ph+(i*dh));
+ ctx.lineTo(pw,ph+(i*dh));
+ ctx.stroke();
+ }
+ }
+}
+function generateCanvas() {
+ if(canvasSupport) {
+ var canvasID; var tdataID; var textID;
+ var tmp; var dat; var i; var j;
+ for(i=0; i < piecharts.length; i++) {
+ tmp = piecharts[i].id.split("_");
+ tdataID = piecharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID);
+ if(colorSlice.length > 0) {
+ j = 0; dat = ""; for(dat in ChartData) {j++; }
+ if(j > 0 && j == colorSlice.length) {
+ setPieChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ for(i=0; i < barcharts.length; i++) {
+ tmp = barcharts[i].id.split("_");
+ tdataID = barcharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID);
+ if(colorSlice.length > 0) {
+ j = 0; dat = ""; for(dat in ChartData) {j++; }
+ if(j > 0 && j == colorSlice.length) {
+ setBarChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ for(i=0; i < linecharts.length; i++) {
+ tmp = linecharts[i].id.split("_");
+ tdataID = linecharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID,true);
+ if(colorSlice.length > 0) {
+ if(ChartData.length == colorSlice.length) {
+ setLineChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ }
+}
+// canvas chart extension
+
+// invisible select extension
+function setListPos(opt) {
+ if(!isIE) { //(degrade IE)
+ var ref = document.getElementById('list');
+ var x = findPosX(ref); var y = findPosY(ref);
+ var obj = document.getElementById('jumplist');
+ obj.style.position = 'fixed'; obj.style.left = x + 'px'; obj.style.top = y + 'px';
+ var vol = document.getElementById('volumelist');
+ ref = document.getElementById('volume');
+ x = findPosX(ref); if(isOp) {y = window.innerHeight-imgHeight; }else {y = findPosY(ref);}
+ vol.style.position = 'fixed'; vol.style.left = x + 'px'; vol.style.top = y + 'px';
+ var del = document.getElementById('delaylist');
+ ref = document.getElementById('delay');
+ x = findPosX(ref); if(isOp) {y = window.innerHeight-imgHeight; }else {y = findPosY(ref);}
+ del.style.position = 'fixed'; del.style.left = x + 'px'; del.style.top = y + 'px';
+ var pnl = document.getElementById('navLinks');
+ }
+ if(opt) {
+ if(isIE) {
+ //(degrade IE)
+ //pnl.style.filter = "alpha(opacity=80)";
+ }else {
+ obj.style.opacity = 0.0;
+ vol.style.opacity = 0.0;
+ del.style.opacity = 0.0;
+ pnl.style.opacity = 0.8;
+ }
+ }
+}
+// invisible select extension
+
+// transition extension
+function switchFade() {
+ if(tranSitions && s5mode && fadeModus) {
+ fadeModus = false;
+ playDelay = playDelay-(2*fadeDuration);
+ var obj = document.getElementById('fade'); changeClass(obj,'isoff');
+ }else if(tranSitions && s5mode && !fadeModus) {
+ fadeModus = true;
+ playDelay = playDelay+(2*fadeDuration);
+ var obj = document.getElementById('fade'); changeClass(obj,'ison');
+ }
+ currentSlide();
+}
+function opacity(ids, opacStart, opacEnd, millisec) {
+ var speed = Math.round(millisec / 100);
+ var timer = 0;
+ if(opacStart > opacEnd) {
+ for(var i = opacStart; i >= opacEnd; i--) {
+ window.setTimeout("changeOpac(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ } else if(opacStart < opacEnd) {
+ for(var i = opacStart; i <= opacEnd; i++) {
+ window.setTimeout("changeOpac(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ }
+}
+function changeOpac(opacity, ids) {
+ var obj = document.getElementById(ids);
+ if (isIE) {
+ obj.style.filter = "alpha(opacity=" + opacity + ")";
+ } else {
+ obj.style.opacity = (opacity / 100);
+ }
+}
+function shiftOpacity(ids, millisec) {
+ if(document.getElementById(ids).style.opacity != '') {
+ var currentOpac = document.getElementById(ids).style.opacity * 100;
+ } else {
+ var currentOpac = 0;
+ }
+ if(currentOpac == 0) {
+ opacity(ids, currentOpac, 100, millisec);
+ } else if(currentOpac > 0) {
+ opacity(ids, currentOpac, 0, millisec);
+ }
+}
+// transition extension
+
+// autoplay extension
+function autoPlay() {
+ if (s5mode && autoMatic && !playPause) {
+ if ((snum >= (smax-1)) && playLoop) {
+ goTo(0);
+ autoRun = setTimeout('autoPlay();',playDelay);
+ }else if ((snum >= (smax-1)) && !playLoop) {
+ stopPlay();
+ }else {
+ if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ if (incrementals[snum].length >0) {
+ clearTimeout(autoRun); autoRun = null;
+ incrDelay = parseInt(playDelay/(incrementals[snum].length+1));
+ remainDer = parseInt(playDelay-(incrDelay*incrementals[snum].length));
+ autoRun = setTimeout('autoPlayIncr()',incrDelay);
+ }
+ } else {
+ clearTimeout(autoRun); autoRun = null;
+ incrDelay = incrDuration;
+ remainDer = parseInt(playDelay-(incrementals[snum].length*incrDuration));
+ autoRun = setTimeout('autoPlayIncr()',incrDelay);
+ }
+ autoRun = setTimeout('autoPlay();',playDelay);
+ }
+ }
+}
+function autoPlayIncr() {
+ if (incpos < incrementals[snum].length) {
+ subgo(1);
+ autoRun = setTimeout('autoPlayIncr();',incrDelay);
+ }else {
+ autoRun = setTimeout('nop();',remainDer);
+ }
+}
+function nop() {
+ // no operation dummy
+}
+function togglePlay() {
+ if (autoRun && s5mode) {
+ stopPlay();
+ }else if (!autoRun && s5mode) {
+ startPlay();
+ }
+}
+function stopPlay() {
+ if (autoRun && s5mode) {
+ clearTimeout(autoRun); autoRun = null;
+ autoMatic = false; playPause = false; var obj = '';
+ obj = document.getElementById('auto'); changeClass(obj,'isoff');
+ obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ currentSlide();
+ }
+}
+function startPlay() {
+ if (!autoRun && s5mode) {
+ playPause = false; autoMatic = true; var obj = '';
+ obj = document.getElementById('auto'); changeClass(obj,'ison');
+ obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ autoRun = setTimeout('autoPlay();',playDelay);
+ currentSlide();
+ }
+}
+function pausePlay() {
+ if (s5mode && autoMatic) {
+ if (playPause) {
+ playPause = false; autoRun = setTimeout('autoPlay();',playDelay);
+ var obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ }else {
+ if (autoRun){
+ clearTimeout(autoRun); autoRun = null; playPause = true;
+ var obj = document.getElementById('pause'); changeClass(obj,'ison');
+ }
+ }
+ currentSlide();
+ }
+}
+function switchLoop() {
+ if(s5mode) {
+ if (playLoop) {
+ playLoop = false;
+ var obj = document.getElementById('loop'); changeClass(obj,'isoff');
+ }else {
+ playLoop = true;
+ var obj = document.getElementById('loop'); changeClass(obj,'ison');
+ }
+ }
+ currentSlide();
+}
+function setDelay() {
+ var val = document.getElementById('delaylist');
+ if(s5mode) {
+ var delay = Math.max(5,Math.min(parseInt(val.value),300));
+ playDelay = (fadeModus == true) ? ((delay*1000)+(2*fadeDuration)) : (delay*1000);
+ }
+}
+// autoplay extension
+
+// audio extension
+function createSoundManagerScript() {
+ if(typeof soundManager=="undefined") {
+ onerrorSM2();
+ }else {
+ var script=document.createElement('SCRIPT');
+ var tx=document.createTextNode("soundManager.createMovie();");
+ script.appendChild(tx);
+ document.getElementsByTagName("body")[0].appendChild(script);
+ }
+}
+//soundManager.onload = function() {
+function onloadSM2() {
+ if(!isIE) { //(degrade IE)
+ swfUnloaded = false;
+ preloadSounds();
+ }
+}
+//soundManager.onerror = function() {
+function onerrorSM2() {
+ if(typeof soundManager!="undefined") {
+ soundManager.destruct;
+ delete soundManager;
+ }
+ audioSupport = false; swfUnloaded = true;
+ if(audioError && !isIE && !isOp) {
+ var dv = document.createElement('div'); dv.id = "guru";
+ var d2=document.createElement('div'); dv.appendChild(d2);
+ var tx=document.createTextNode('Guru Meditation - SoundManager failed to load/initialize!');
+ d2.appendChild(tx); document.getElementById('slide0').appendChild(dv);
+ }
+}
+function audioSetup() {
+ if(sound[0]) {
+ playSound(0);
+ }else if(sound[bgSoundItem] && !sound[0]) {
+ playSound(bgSoundItem);
+ }
+}
+function fadeoutSound(ids, option) {
+ if(curSoundID >= 0 && !swfUnloaded) {
+ if(isNaN(ids)) {
+ if(ids == "bgSound") {
+ var cnum = parseInt(bgSoundItem);
+ }else {
+ for (var i = 0; i < (sound.length-1); i++) {
+ if(sound[i] && ids == sound[i]["id"]) {
+ var cnum = i;
+ break;
+ }
+ }
+ }
+ }else {
+ var cnum = ids;
+ ids = sound[cnum]["id"];
+ }
+ var vol = getMaxVolume(sound[cnum]["volume"]);
+ var millisec = fadeDuration;
+ var speed = Math.round(millisec / vol);
+ var timer = 0;
+ for(var i = vol; i > 0; i--) {
+ setTimeout("fadeout(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ if(option) setTimeout("stopSound('"+ids+"')",millisec);
+ }
+}
+function fadeout(volume, id) {
+ soundManager.setVolume(id,volume);
+}
+function stopSound(ids) {
+ var sid;
+ if(isNaN(ids)) {
+ sid = ids;
+ }else if(sound[ids]) {
+ sid = sound[ids]["id"];
+ }
+ if(sid!='' && curSoundID >= 0) {
+ soundManager.stop(sid);
+ curSoundID = -1;
+ }
+}
+function toggleSounds() {
+ if(audioSupport && !swfUnloaded) {
+ stopAllSounds();
+ }else if (!audioSupport && !swfUnloaded) {
+ allowSounds();
+ }
+}
+function allowSounds() {
+ if(!swfUnloaded) {
+ audioSupport = true;
+ var obj = document.getElementById('audio'); changeClass(obj,'ison');
+ currentSlide();
+ }
+}
+function stopAllSounds() {
+ if(curSoundID >= 0) {
+ stopSound(curSoundID);
+ }else {
+ soundManager.stopAll();
+ curSoundID = -1;
+ }
+ audioSupport = false;
+ var obj = document.getElementById('audio'); changeClass(obj,'isoff');
+ currentSlide();
+}
+function playSound(id) {
+ if(audioSupport && !swfUnloaded) {
+ var url, sid, vol, lps, cnum;
+ if(isNaN(id)) {
+ sid = id;
+ if(sid == "bgSound") {
+ cnum = parseInt(bgSoundItem);
+ }else {
+ cnum = getSoundID(id);
+ }
+ }else {
+ cnum = parseInt(id);
+ if(sound[cnum]) sid = sound[cnum]["id"];
+ }
+ if(sound[cnum] && sound[cnum]["url"]!='' && sid!=''){
+ url = sound[cnum]["url"];
+ vol = (sound[cnum]["volume"]!='')?getMaxVolume(sound[cnum]["volume"]):getMaxVolume(100);
+ lps = (sound[cnum]["loops"])?true:false;
+ if(lps) {
+ soundManager.play(sid,{volume:vol,onplay:function(){curSoundID=cnum;},onfinish:function(){soundManager.play(sid,{volume:getMaxVolume(sound[cnum]["volume"])});}});
+ }else {
+ soundManager.play(sid,{volume:vol,onplay:function(){curSoundID=cnum;},onfinish:function(){curSoundID=-1;}});
+ }
+ }
+ }
+}
+function getSoundID(str) {
+ for (var i = 0; i < (sound.length-1); i++) {
+ if(sound[i] && str == sound[i]["id"]) {
+ var id = i;
+ break;
+ }
+ }
+ return id;
+}
+function setVolume() {
+ var vol = document.getElementById('volumelist');
+ if(audioSupport && !swfUnloaded) {
+ audioVolume = parseInt(vol.value);
+ if(curSoundID >= 0) {
+ if(sound[curSoundID]) {
+ var sid = sound[curSoundID]["id"];
+ var vid = getMaxVolume(sound[curSoundID]["volume"]);
+ soundManager.setVolume(sid,vid);
+ }
+ }
+ }
+}
+function getMaxVolume(value) {
+ if(audioVolume>0) {var factor = audioVolume/100;}else {var factor = 0;}
+ return Math.max(0,Math.min(parseInt(value*factor),audioVolume));
+}
+function preloadSounds() {
+ var temp = ''; var parm = ''; var t = ''; var cl = '';
+ var objects = document.getElementsByTagName('object');
+ for (var i=0; i < objects.length; i++) {
+ if(objects[i].type.toLowerCase() == 'audio/mp3' && objects[i].data != '') {
+ objects[i].width = 0; objects[i].height = 0;
+ if(objects[i].parentNode.tagName == 'DIV') {
+ cl = objects[i].parentNode.className.toLowerCase();
+ if(cl == 'presentation' || cl == 'slide') {
+ if(cl == 'presentation') {
+ t = parseInt(bgSoundItem);
+ }else {
+ t = parseInt(objects[i].parentNode.id.slice(5, objects[i].parentNode.id.length));
+ }
+ sound[t] = new Object();
+ if(t < bgSoundItem) {
+ sound[t]["id"] = objects[i].parentNode.id;
+ }else {
+ sound[t]["id"] = "bgSound";
+ }
+ sound[t]["url"] = objects[i].data;
+ sound[t]["volume"] = 100; sound[t]["loops"] = false;
+ if(objects[i].archive != '') {
+ parm = objects[i].archive.toLowerCase().split(',');
+ for (var j=0; j < parm.length; j++) {
+ if(parm[j] == 'loop') sound[t]["loops"] = true;
+ if(parm[j].search(/^volume/) != -1) {
+ var tmp = parm[j].split(':');
+ sound[t]["volume"] = parseInt(tmp[1]);
+ }
+ }
+ }
+ soundManager.createSound(sound[t]["id"],sound[t]["url"]);
+ }
+ }
+ }
+ }
+}
+// audio extension
+
+// help extension
+function createHelpReq() {
+ if(!document.getElementById("HelpReq")) {
+ var obj = document.getElementsByTagName("body")[0].firstChild;
+ var pg = document.createElement('div');
+ pg.id = "HelpReq";
+ if (pg.addEventListener) {
+ pg.addEventListener("onclick",dumpHelpReq,false);
+ } else if (pg.attachEvent) {
+ pg.attachEvent("onclick",dumpHelpReq);
+ }
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=90)";
+ } else {
+ pg.style.opacity = 0.9;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(64,64,64)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/help.jpg)";
+ document.getElementsByTagName("body")[0].insertBefore(pg,obj);
+ if(document.getElementById("HelpReq")) {
+ helpmode = true;
+ }
+ }
+}
+function dumpHelpReq() {
+ if(document.getElementById("HelpReq")) {
+ document.body.removeChild(document.getElementById('HelpReq'));
+ helpmode = false;
+ }
+}
+// help extension
+
+//DEBUG
+function ConsoleLog(value) {
+ if(window.console) {
+ window.console.log(value);
+ }
+}
+//DEBUG
+
+document.write('<style type="text/css" media="screen" id="blockStyle">.presentation, .layout {display: none; }</style>');
+
+if(!isIE && !isOp) {
+ document.write('<script type="text/javascript" src="'+s5Path+'ui/audio_support/soundmanager2.js"></script>');
+ if(typeof soundManager!="undefined") {
+ var allMetas = document.getElementsByTagName('meta');
+ for (var i = 0; i< allMetas.length; i++) {
+ if (allMetas[i].name == 'audioDebug') {
+ var audioDebug = (allMetas[i].content == "true") ? true : false;
+ soundManager.defaultOptions.debugMode = audioDebug;
+ }
+ }
+ }
+}
+
+window.onload = createSlideShow;
+window.onresize = function(){setTimeout('windowChange()',5);} \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/toggle_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/toggle_link.png
new file mode 100644
index 000000000..4588e2514
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/toggle_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/toggle_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/toggle_over.png
new file mode 100644
index 000000000..400f613b6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/toggle_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/volume_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/volume_link.png
new file mode 100644
index 000000000..f362acd1f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/volume_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/wrap.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/wrap.css
new file mode 100644
index 000000000..a37315552
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/wrap.css
@@ -0,0 +1,410 @@
+/********************************************************************
+Screen and Print Styles for the Wrap Plugin
+********************************************************************/
+
+/* tables in columns and boxes should span the whole width */
+.wrap_column table,
+.wrap_left table, .wrap_right table, .wrap_center table,
+.wrap_box table,
+.wrap_info table, .wrap_important table, .wrap_alert table, .wrap_tip table, .wrap_help table, .wrap_todo table, .wrap_download table {
+ width: 100%;
+}
+/* emulate a headline */
+.wrap_column em strong,
+.wrap_left em strong, .wrap_right em strong, .wrap_center em strong,
+.wrap_box em strong,
+.wrap_info em strong, .wrap_important em strong, .wrap_alert em strong, .wrap_tip em strong, .wrap_help em strong, .wrap_todo em strong, .wrap_download em strong {
+ font-size: 130%;
+ font-weight: bold;
+ font-style: normal;
+ display: block;
+}
+/* emulate a bigger headline with a bottom border */
+.wrap_column em strong em.u,
+.wrap_left em strong em.u, .wrap_right em strong em.u, .wrap_center em strong em.u,
+.wrap_box em strong em.u,
+.wrap_info em strong em.u, .wrap_important em strong em.u, .wrap_alert em strong em.u, .wrap_tip em strong em.u, .wrap_help em strong em.u, .wrap_todo em strong em.u, .wrap_download em strong em.u {
+ font-size: 115%;
+ border-bottom: 1px solid __border__;
+ font-style: normal;
+ text-decoration: none;
+ display: block;
+}
+/* different bigger headline for safety notes */
+.wrap_danger em strong em.u, .wrap_warning em strong em.u, .wrap_caution em strong em.u, .wrap_notice em strong em.u, .wrap_safety em strong em.u {
+ font-size: 115%;
+ font-style: normal;
+ text-decoration: none;
+ display: block;
+ text-transform: uppercase;
+ border-bottom-width: 0;
+}
+/* change border colour of emulated headlines inside boxes to something more neutral
+ (to match all the different background colours) */
+.wrap_box em strong em.u,
+.wrap_info em strong em.u, .wrap_important em strong em.u, .wrap_alert em strong em.u, .wrap_tip em strong em.u, .wrap_help em strong em.u, .wrap_todo em strong em.u, .wrap_download em strong em.u {
+ border-bottom-color: #999;
+}
+
+
+/* columns
+********************************************************************/
+
+.wrap_left,
+.wrap_column {
+ float: left;
+ margin-right: 1.5em;
+}
+.wrap_right {
+ float: right;
+ margin-left: 1.5em;
+}
+.wrap_center {
+ display: block;
+ margin-left: auto;
+ margin-right: auto;
+}
+/*
+.wrap_column,
+.wrap_left,
+.wrap_right {
+ overflow: hidden;
+}
+*/
+.wrap_container {
+ margin-right: -1.5em;
+}
+
+
+/* alignments
+********************************************************************/
+
+.wrap_leftalign {
+ text-align: left;
+}
+.wrap_centeralign {
+ text-align: center;
+}
+.wrap_rightalign {
+ text-align: right;
+}
+.wrap_justify {
+ text-align: justify;
+}
+
+
+/* box
+********************************************************************/
+
+/* see styles for boxes and notes with icons in style.css */
+
+/*____________ rounded corners ____________*/
+/* (only for modern browsers) */
+
+div.wrap_round {
+ border-radius: 20px;
+ -moz-border-radius: 20px;
+ -webkit-border-radius: 20px;
+ -khtml-border-radius: 20px;
+}
+span.wrap_round {
+ border-radius: 2px;
+ -moz-border-radius: 2px;
+ -webkit-border-radius: 2px;
+ -khtml-border-radius: 2px;
+}
+
+
+/* mark
+********************************************************************/
+
+div.wrap_lo {
+ color: __text_neu__;
+ font-size: 85%;
+}
+div.wrap_em {
+ color: #c00;
+ font-weight: bold;
+}
+
+/* see styles for highlighted text in style.css */
+
+
+/* typography
+********************************************************************/
+
+/*____________ fonts ____________*/
+
+div.wrap_sansserif {
+ font-family: Verdana,Tahoma,Geneva,"DejaVu Sans","Bitstream Vera Sans","Liberation Sans", Arial,Helvetica,FreeSans,"Liberation Sans","Nimbus Sans L", sans-serif;
+}
+div.wrap_serif {
+ font-family: Georgia,Garamond,"Palatino Linotype","Book Antiqua",Palatino,Palladio,"URW Palladio L","Liberation Serif",Didot,Gentium,"Bitstream Charter","Century Schoolbook L", "Times New Roman",Times,"Nimbus Roman No9 L","FreeSerif", serif;
+}
+div.wrap_monospace {
+ font-family: Courier,"Courier New",FreeMono,"Nimbus Mono L","Liberation Mono", "Lucida Console",Monaco,"DejaVu Sans Mono","Bitstream Vera Sans Mono", monospace;
+}
+
+/*____________ font size ____________*/
+
+div.wrap_bigger {
+ font-size: 125%;
+}
+div.wrap_muchbigger {
+ font-size: 200%;
+}
+div.wrap_smaller {
+ font-size: 75%;
+}
+
+/*____________ font colours ____________*/
+
+div.wrap_fgred {
+ color: #900;
+}
+div.wrap_fggreen {
+ color: #090;
+}
+div.wrap_fgblue {
+ color: #009;
+}
+div.wrap_fgcyan {
+ color: #099;
+}
+div.wrap_fgviolet {
+ color: #909;
+}
+div.wrap_fgyellow {
+ color: #990;
+}
+div.wrap_fggrey {
+ color: #666;
+}
+div.wrap_fgblack {
+ color: #000;
+}
+
+/* see styles for background colours and white font colour in style.css */
+
+
+/* miscellaneous
+********************************************************************/
+
+/*____________ indent ____________*/
+
+div.wrap_indent {
+ padding-left: 1.5em;
+}
+
+/*____________ outdent ____________*/
+
+div.wrap_outdent {
+ margin-left: -1.5em;
+}
+
+/*____________ word wrapping in pre ____________*/
+
+divdiv.wrap_prewrap pre {
+ white-space: pre-wrap;
+ word-wrap: break-word;/* for IE < 8 */
+ /* white-space: -moz-pre-wrap; for FF < 3 */
+}
+
+/*____________ spoiler ____________*/
+
+divdiv.wrap_spoiler {
+ margin-bottom: 1.5em;
+}
+/* see rest of spoiler styles in style.css */
+
+/*____________ clear float ____________*/
+
+div.wrap_clear {
+ clear: both;
+ line-height: 0;
+ height: 0;
+ font-size: 1px;
+ visibility: hidden;
+ overflow: hidden;
+}
+
+/*____________ hide ____________*/
+
+div.wrap_hide {
+ display: none;
+}
+
+
+/* STYLE.CSS */
+
+/* box
+********************************************************************/
+
+.wrap_box {
+ background: __background_alt__;
+ color: __text__;
+/*
+ overflow: hidden;
+*/
+}
+div.wrap_box,
+div.wrap_danger, div.wrap_warning, div.wrap_caution, div.wrap_notice, div.wrap_safety {
+ padding: 1em 1em .5em;
+ margin-bottom: 1.5em;
+}
+span.wrap_box,
+span.wrap_danger, span.wrap_warning, span.wrap_caution, span.wrap_notice, span.wrap_safety {
+ padding: 0 .3em;
+}
+
+/*____________ notes with icons ____________*/
+
+/* general styles for all note divs */
+div.wrap_info, div.wrap_important, div.wrap_alert, div.wrap_tip, div.wrap_help, div.wrap_todo, div.wrap_download {
+ padding: 1em 1em .5em 70px;
+ margin-bottom: 1.5em;
+ min-height: 68px;
+ background-position: 10px 50%;
+ background-repeat: no-repeat;
+ color: #000;
+}
+/* special treatment for IE6 */
+* html div.wrap_info, * html div.wrap_important, * html div.wrap_alert, * html div.wrap_tip, * html div.wrap_help, * html div.wrap_todo, * html div.wrap_download {
+ height: 68px;
+}
+/* general styles for all note spans */
+span.wrap_info, span.wrap_important, span.wrap_alert, span.wrap_tip, span.wrap_help, span.wrap_todo, span.wrap_download {
+ padding: 0 2px 0 20px;
+ min-height: 20px;
+ background-position: 2px 50%;
+ background-repeat: no-repeat;
+ color: #000;
+}
+/* special treatment for IE6 */
+* html span.wrap_info, * html span.wrap_important, * html span.wrap_alert, * html span.wrap_tip, * html span.wrap_help, * html span.wrap_todo, * html span.wrap_download {
+ height: 20px;
+}
+
+/*____________ info ____________*/
+.wrap_info { background-color: #d1d7df; }
+div.wrap_info { background-image: url(../../../wrap/images/note/48/info.png); }
+span.wrap_info { background-image: url(../../../wrap/images/note/16/info.png); }
+
+/*____________ important ____________*/
+.wrap_important { background-color: #ffd39f; }
+div.wrap_important { background-image: url(../../../wrap/images/note/48/important.png); }
+span.wrap_important { background-image: url(../../../wrap/images/note/16/important.png); }
+
+/*____________ alert ____________*/
+.wrap_alert { background-color: #ffbcaf; }
+div.wrap_alert { background-image: url(../../../wrap/images/note/48/alert.png); }
+span.wrap_alert { background-image: url(../../../wrap/images/note/16/alert.png); }
+
+/*____________ tip ____________*/
+.wrap_tip { background-color: #fff79f; }
+div.wrap_tip { background-image: url(../../../wrap/images/note/48/tip.png); }
+span.wrap_tip { background-image: url(../../../wrap/images/note/16/tip.png); }
+
+/*____________ help ____________*/
+.wrap_help { background-color: #dcc2ef; }
+div.wrap_help { background-image: url(../../../wrap/images/note/48/help.png); }
+span.wrap_help { background-image: url(../../../wrap/images/note/16/help.png); }
+
+/*____________ todo ____________*/
+.wrap_todo { background-color: #c2efdd; }
+div.wrap_todo { background-image: url(../../../wrap/images/note/48/todo.png); }
+span.wrap_todo { background-image: url(../../../wrap/images/note/16/todo.png); }
+
+/*____________ download ____________*/
+.wrap_download { background-color: #d6efc2; }
+div.wrap_download { background-image: url(../../../wrap/images/note/48/download.png); }
+span.wrap_download { background-image: url(../../../wrap/images/note/16/download.png); }
+
+
+/*____________ safety notes ____________*/
+
+.wrap_danger {
+ background-color: #c00;
+ color: #fff;
+}
+.wrap_warning {
+ background-color: #f60;
+ color: #000;
+}
+.wrap_caution {
+ background-color: #ff0;
+ color: #000;
+}
+.wrap_notice {
+ background-color: #06f;
+ color: #fff;
+}
+.wrap_safety {
+ background-color: #090;
+ color: #fff;
+}
+
+
+/* mark
+********************************************************************/
+
+div.wrap_hi {
+ background-color: #ff9;
+}
+
+/* typography
+********************************************************************/
+
+/*____________ font colours ____________*/
+
+div.wrap_fgwhite {
+ color: #fff;
+}
+
+/*____________ background colours ____________*/
+
+div.wrap_bgred {
+ background-color: #fcc;
+}
+div.wrap_bggreen {
+ background-color: #cfc;
+}
+div.wrap_bgblue {
+ background-color: #ccf;
+}
+div.wrap_bgcyan {
+ background-color: #9ff;
+}
+div.wrap_bgviolet {
+ background-color: #f9f;
+}
+div.wrap_bgyellow {
+ background-color: #ff9;
+}
+div.wrap_bggrey {
+ background-color: #ccc;
+}
+div.wrap_bgwhite {
+ background-color: #fff;
+}
+div.wrap_bgblack {
+ background-color: #000;
+}
+
+
+/* miscellaneous
+********************************************************************/
+
+/*____________ spoiler ____________*/
+
+div.wrap_spoiler {
+ background-color: __background__ !important;
+ color: __background__ !important;
+ border: 1px dotted red;
+}
+
+/*____________ only print ____________*/
+
+div.wrap_onlyprint {
+ display: none;
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/zero_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/zero_link.png
new file mode 100644
index 000000000..5610884d9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/zero_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/zero_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/zero_over.png
new file mode 100644
index 000000000..3a14a577e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/default/zero_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/audio_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/audio_link.png
new file mode 100644
index 000000000..daa740c40
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/audio_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/audio_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/audio_on.png
new file mode 100644
index 000000000..cd590aae9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/audio_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/audio_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/audio_over.png
new file mode 100644
index 000000000..cf537a008
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/audio_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/auto_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/auto_link.png
new file mode 100644
index 000000000..1c2ca4817
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/auto_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/auto_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/auto_on.png
new file mode 100644
index 000000000..4b0c923b8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/auto_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/auto_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/auto_over.png
new file mode 100644
index 000000000..dbea88975
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/auto_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/backgrnd.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/backgrnd.png
new file mode 100644
index 000000000..0e48413d3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/backgrnd.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/blank.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/blank.gif
new file mode 100644
index 000000000..75b945d25
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/blank.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/delay_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/delay_link.png
new file mode 100644
index 000000000..c4d99cd57
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/delay_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/exit_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/exit_link.png
new file mode 100644
index 000000000..1bfd38790
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/exit_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/exit_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/exit_over.png
new file mode 100644
index 000000000..0fdd1c605
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/exit_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/fade_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/fade_link.png
new file mode 100644
index 000000000..482e4d53e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/fade_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/fade_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/fade_on.png
new file mode 100644
index 000000000..e12561fb2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/fade_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/fade_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/fade_over.png
new file mode 100644
index 000000000..fb8943c98
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/fade_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/footer.jpg b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/footer.jpg
new file mode 100644
index 000000000..49370dbba
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/footer.jpg
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/framing.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/framing.css
new file mode 100644
index 000000000..74f0fdfba
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/framing.css
@@ -0,0 +1,23 @@
+/* The following styles size, place, and layer the slide components.
+ Edit these if you want to change the overall slide layout.
+ The commented lines can be uncommented (and modified, if necessary)
+ to help you with the rearrangement process. */
+
+/* target = 1024x768 */
+
+div#header, div#footer, .slide {width: 100%; top: 0; left: 0;}
+div#header {top: 0; height: 2em; z-index: 1;}
+div#footer {top: auto; bottom: 0; height: 2.5em; z-index: 5;}
+.slide {top: 0; width: 92%; padding: 3.5em 4% 4%; z-index: 2; list-style: none;}
+div#controls {left: 50%; bottom: 0; width: 50%; z-index: 100;}
+div#controls form {position: absolute; bottom: 0; right: 0; width: 100%;
+ margin: 0;}
+#currentSlide {position: absolute; width: 10%; left: 45%; bottom: 1em; z-index: 10;}
+html>body #currentSlide {position: fixed;}
+
+/*
+div#header {background: #FCC;}
+div#footer {background: #CCF;}
+div#controls {background: #BBD;}
+div#currentSlide {background: #FFC;}
+*/
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/header.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/header.png
new file mode 100644
index 000000000..929dabba1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/header.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/iepngfix.htc b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/iepngfix.htc
new file mode 100644
index 000000000..bba2db756
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/iepngfix.htc
@@ -0,0 +1,42 @@
+<public:component>
+<public:attach event="onpropertychange" onevent="doFix()" />
+
+<script>
+
+// IE5.5+ PNG Alpha Fix v1.0 by Angus Turnbull http://www.twinhelix.com
+// Free usage permitted as long as this notice remains intact.
+
+// This must be a path to a blank image. That's all the configuration you need here.
+var blankImg = 'ui/default/blank.gif';
+
+var f = 'DXImageTransform.Microsoft.AlphaImageLoader';
+
+function filt(s, m) {
+ if (filters[f]) {
+ filters[f].enabled = s ? true : false;
+ if (s) with (filters[f]) { src = s; sizingMethod = m }
+ } else if (s) style.filter = 'progid:'+f+'(src="'+s+'",sizingMethod="'+m+'")';
+}
+
+function doFix() {
+ if ((parseFloat(navigator.userAgent.match(/MSIE (\S+)/)[1]) < 5.5) ||
+ (event && !/(background|src)/.test(event.propertyName))) return;
+
+ if (tagName == 'IMG') {
+ if ((/\.png$/i).test(src)) {
+ filt(src, 'image'); // was 'scale'
+ src = blankImg;
+ } else if (src.indexOf(blankImg) < 0) filt();
+ } else if (style.backgroundImage) {
+ if (style.backgroundImage.match(/^url[("']+(.*\.png)[)"']+$/i)) {
+ var s = RegExp.$1;
+ style.backgroundImage = '';
+ filt(s, 'crop');
+ } else filt();
+ }
+}
+
+doFix();
+
+</script>
+</public:component> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/last_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/last_link.png
new file mode 100644
index 000000000..4f158d35d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/last_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/last_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/last_over.png
new file mode 100644
index 000000000..a7b629995
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/last_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/list_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/list_link.png
new file mode 100644
index 000000000..7e299bb50
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/list_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/logo.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/logo.png
new file mode 100644
index 000000000..653814eef
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/logo.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/loop_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/loop_link.png
new file mode 100644
index 000000000..41e12cb15
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/loop_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/loop_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/loop_on.png
new file mode 100644
index 000000000..2ffcacae1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/loop_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/loop_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/loop_over.png
new file mode 100644
index 000000000..9fe420153
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/loop_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/next_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/next_link.png
new file mode 100644
index 000000000..2b9f44c4d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/next_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/next_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/next_over.png
new file mode 100644
index 000000000..25788124d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/next_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/notes_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/notes_link.png
new file mode 100644
index 000000000..bb4a8ae37
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/notes_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/notes_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/notes_over.png
new file mode 100644
index 000000000..c6bfe69fc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/notes_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/opera.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/opera.css
new file mode 100644
index 000000000..9e9d2a3c5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/opera.css
@@ -0,0 +1,7 @@
+/* DO NOT CHANGE THESE unless you really want to break Opera Show */
+.slide {
+ visibility: visible !important;
+ position: static !important;
+ page-break-before: always;
+}
+#slide0 {page-break-before: avoid;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/outline.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/outline.css
new file mode 100644
index 000000000..62db519ed
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/outline.css
@@ -0,0 +1,15 @@
+/* don't change this unless you want the layout stuff to show up in the outline view! */
+
+.layout div, #footer *, #controlForm * {display: none;}
+#footer, #controls, #controlForm, #navLinks, #toggle {
+ display: block; visibility: visible; margin: 0; padding: 0;}
+#toggle {float: right; padding: 0.5em;}
+html>body #toggle {position: fixed; top: 0; right: 0;}
+
+/* making the outline look pretty-ish */
+
+#slide0 h1, #slide0 h2, #slide0 h3, #slide0 h4 {border: none; margin: 0;}
+#slide0 h1 {padding-top: 1.5em;}
+.slide h1 {margin: 1.5em 0 0; padding-top: 0.25em;
+ border-top: 1px solid #888; border-bottom: 1px solid #AAA;}
+#toggle {border: 1px solid; border-width: 0 0 1px 1px; background: #FFF;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/pause_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/pause_link.png
new file mode 100644
index 000000000..2561cb4a4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/pause_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/pause_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/pause_on.png
new file mode 100644
index 000000000..2fc9c4a4a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/pause_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/pause_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/pause_over.png
new file mode 100644
index 000000000..7a7c80ddc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/pause_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/pretty.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/pretty.css
new file mode 100644
index 000000000..c004defcd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/pretty.css
@@ -0,0 +1,163 @@
+/**
+ * Theme: dokuwiki
+ * @author Eric A. Meyer (http://meyerweb.com/) [default theme]
+ * @author Anika Henke <a.c.henke@arcor.de>
+ * @author Andreas Gohr <andi@splitbrain.org>
+ */
+
+body {background: #fff url(logo.png) top right no-repeat; color: #000; font-size: 1.9em;}
+:link, :visited {text-decoration: none; color: #436976;font-style:italic;}
+#controls :active {color: #436976 !important;}
+#controls :focus {outline: 1px dotted #436976;}
+h1, h2, h3, h4 {font-size: 100%; margin: 0; padding: 0; font-weight: inherit;}
+ul, pre {margin: 0; line-height: 1em;}
+html, body {margin: 0; padding: 0; font-family: Verdana, Helvetica, sans-serif;}
+
+blockquote, q {font-style: italic;}
+blockquote {padding: 0 2em 0.5em; margin: 0 1.5em 0.5em; text-align: center; font-size: 1em;}
+blockquote p {margin: 0;}
+blockquote em {font-style: normal;}
+blockquote strong {display: block; margin-top: 0.5em; font-weight: normal; font-size: smaller; font-style: normal;}
+blockquote strong em {font-style: italic;}
+
+.slide code {padding: 2px 0.25em; font-weight: bold; color: #000;}
+.slide pre {padding: 0.5em; margin: 0.25em 0 0.5em 0.5em; color: #000; font-size: 80%;background:#dee7ec;border:1px dashed #8cacbb;}
+.slide ul {margin-left: 5%; margin-right: 7%; list-style: disc;}
+.slide li {margin-top: 0.75em; margin-right: 0;}
+.slide ul ul {line-height: 1;}
+.slide ul ul li {margin: .2em; font-size: 85%; list-style: square;}
+
+div#header, div#footer {background: #dee7ec; color: #000;
+ font-family: Verdana, Helvetica, sans-serif;}
+div#header {background: #dee7ec url(logo.png) top right no-repeat;
+ line-height: 1px;}
+div#footer {font-size: 0.5em; font-weight: bold; padding: 1em 0;border-top:1px solid #8cacbb;}
+#footer h1, #footer h2 {display: block; padding: 0 1em;}
+#footer h2 {font-style: italic;}
+
+div.long {font-size: 0.75em;}
+.slide h1 {position: absolute; top: 0.1em; left: 1.5%; z-index: 1;
+ margin: 0; padding: 0.3em 0 0 25px; white-space: nowrap;
+ font: bold 150%/1em Verdana, Helvetica, sans-serif;
+ color: #436976; background: inherit;}
+.slide h2 {font-size: 140%;}
+.slide h3 {font-size: 130%;}
+h1 abbr {font-variant: small-caps;}
+
+#currentSlide {text-align: center; font-size: 0.5em; color: #436976;}
+
+#slide0 {padding-top: 3.5em; font-size: 90%;}
+#slide0 h1 {position: static; margin: 1em 0 0; padding: 0;
+ font: bold 2em Verdana, Helvetica, sans-serif; white-space: normal;
+ color: #436976; background: transparent;
+ /*letter-spacing:0.25em; border-bottom:2px dashed #f90;border-right:2px dashed #f90;*/}
+#slide0 h2 {font: bold 1.5em Verdana, Helvetica, sans-serif; margin: 0.25em;
+ color: #638c9c; background: transparent;}
+#slide0 h3 {margin-top: 1.5em; font-size: 1.5em;}
+#slide0 h4 {margin-top: 0; font-size: 1em;}
+
+img{border: none;}
+
+ul li {list-style-type: square}
+li {color: #436976; font-weight: bold; }
+li div.li {color: #000; font-weight:normal; }
+
+div#controls {position: absolute; left: 0; bottom: 0; width: 100%; text-align: center; font: bold 1em Verdana, Arial, Helvetica, sans-serif;}
+html>body div#controls {background: transparent; position: fixed; padding: 0; top: auto; text-align: center;}
+#controls #sheet {display: none;}
+#controls #controlForm {height: 32px; width: 100%; text-align: center; vertical-align: middle;}
+#controls #navLinks {border-top: 1px solid #999; overflow: hidden; padding: 0; margin: 0; height: 32px; width: 100%; background-color: #808080; text-align: center; vertical-align: middle; white-space: nowrap;}
+#controls #navLinks ul {margin: 0 auto; padding: 0; height: 32px; width: 500px; white-space: nowrap; text-align: center;}
+#controls #navLinks ul li {list-style: none; display: inline; margin: 0; padding: 0; float: left; height: 32px; width: 32px; text-align: center; background-color: transparent;}
+#controls #navLinks ul li.vr {list-style: none; display: inline; margin: 0px 2px; padding: 0; float: left; height: 32px; width: 1px; text-align: center; background-color: #606060;}
+#controls #navLinks ul li a {margin: 0; padding: 0; float: left; height: 32px; width: 32px; background-color: transparent;}
+
+#controls #navLinks ul li#exit {background: url(exit_link.png) no-repeat top left;}
+#controls #navLinks ul li#exit a:hover {background: url(exit_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#toggle {background: url(toggle_link.png) no-repeat top left;}
+#controls #navLinks ul li#toggle a:hover {background: url(toggle_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#show-notes {background: url(notes_link.png) no-repeat top left;}
+#controls #navLinks ul li#show-notes a:hover {background: url(notes_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#zero {background: url(zero_link.png) no-repeat top left;}
+#controls #navLinks ul li#zero a:hover {background: url(zero_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#prev {background: url(prev_link.png) no-repeat top left;}
+#controls #navLinks ul li#prev a:hover {background: url(prev_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#next {background: url(next_link.png) no-repeat top left;}
+#controls #navLinks ul li#next a:hover {background: url(next_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#last {background: url(last_link.png) no-repeat top left;}
+#controls #navLinks ul li#last a:hover {background: url(last_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#lst {background: url(list_link.png) no-repeat top left;}
+
+#controls #navLinks ul li#fade a.isoff {background: url(fade_link.png) no-repeat top left;}
+#controls #navLinks ul li#fade a.ison {background: url(fade_on.png) no-repeat top right;}
+#controls #navLinks ul li#fade a:hover {background: url(fade_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#audio {background: url(audio_link.png) no-repeat top left;}
+#controls #navLinks ul li#audio a.isoff {background: url(audio_link.png) no-repeat top left;}
+#controls #navLinks ul li#audio a.ison {background: url(audio_on.png) no-repeat top right;}
+#controls #navLinks ul li#audio a:hover {background: url(audio_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#vol {background: url(volume_link.png) no-repeat top left;}
+
+#controls #navLinks ul li#auto a.isoff {background: url(auto_link.png) no-repeat top left;}
+#controls #navLinks ul li#auto a.ison {background: url(auto_on.png) no-repeat top right;}
+#controls #navLinks ul li#auto a:hover {background: url(auto_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#pause a.isoff {background: url(pause_link.png) no-repeat top left;}
+#controls #navLinks ul li#pause a.ison {background: url(pause_on.png) no-repeat top right;}
+#controls #navLinks ul li#pause a:hover {background: url(pause_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#loop a.isoff {background: url(loop_link.png) no-repeat top left;}
+#controls #navLinks ul li#loop a.ison {background: url(loop_on.png) no-repeat top right;}
+#controls #navLinks ul li#loop a:hover {background: url(loop_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#del {background: url(delay_link.png) no-repeat top left;}
+
+#jumplist, #volumelist, #delaylist {padding: 0; margin: 0; width: 32px; height: 32px; cursor: n-resize;}
+
+#guru {position: absolute; visibility: visible; left: 0px; top: 0px; padding: 4px; width: 99%; height: auto; text-align: center; background-color: black; z-index: 10;}
+#guru div {border: solid 3px red; padding: 4px; font-family: monospace; font-size: 60%; width: auto; height: auto; color: red; text-align: center;}
+
+/*
+#currentSlide {white-space: nowrap; text-align: center; margin-bottom: -0.5em; font-size: 0.5em; background-color: transparent; color: #999;}
+
+#slide0 {padding-top: 3.5em; font-size: 90%;}
+#slide0 h1 {position: static; margin: 1em 0 0; padding: 0; font: bold 2em Verdana, Arial, Helvetica, sans-serif; font-variant: small-caps; text-shadow: 0.1em 0.1em 0.1em #666; white-space: normal; color: #222; background-color: transparent;}
+#slide0 h2 {font: bold italic 1em Arial, Helvetica, sans-serif; margin: 0.25em;}
+#slide0 h3 {margin-top: 1.5em; font-size: 1.5em;}
+#slide0 h4 {margin-top: 0; font-size: 1em;}
+*/
+
+ul.urls {list-style: none; display: inline; margin: 0;}
+.urls li {display: inline; margin: 0;}
+.notes {display: none;}
+.external {border-bottom: 1px dotted gray;}
+html>body .external {border-bottom: none;}
+.external:after {content: " \274F"; font-size: smaller; color: #999;}
+
+.incremental, .incremental *, .incremental *:after {color: #ccc; visibility: visible;}
+img.incremental, canvas.incremental {visibility: hidden;}
+.slide .current {color: #B02;}
+
+/* diagnostics
+li:after {content: " [" attr(class) "]"; color: #F88;}
+*/
+
+table.piechart, table.barchart, table.linechart { border-spacing: 0.3em 0.15em; }
+table.piechart tr th, table.barchart tr th, table.linechart tr th { white-space: nowrap; }
+table.piechart tr td, table.barchart tr td, table.linechart tr td { vertical-align: top; white-space: nowrap; }
+table.piechart tr td.col, table.barchart tr td.col, table.linechart tr td.col { border-bottom: 1px solid #555; border-right: 1px solid #555; }
+table.fs90 tr td, table.fs90 tr th, div.fs90, pre.fs90, p.fs90 ,ul.fs90 {font-size: 0.9em; }
+table.fs75 tr td, table.fs75 tr th, div.fs75, pre.fs75, p.fs75 ,ul.fs75 {font-size: 0.75em; }
+table.fs66 tr td, table.fs66 tr th, div.fs66, pre.fs66, p.fs66 ,ul.fs66 {font-size: 0.66em; }
+table.fs50 tr td, table.fs50 tr th, div.fs50, pre.fs50, p.fs50 ,ul.fs50 {font-size: 0.5em; }
+
+#soundmanager-debug {position:fixed; top:0px; right:0px; width:30em; height:20em; overflow:auto; border:1px solid red; padding:1em; margin:2em; font-family:"sans serif"; font-size: 12px;color: black; background-color:#f6f6f6; z-index: 100;}
+#soundmanager-debug code {font-size: 11px;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/prev_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/prev_link.png
new file mode 100644
index 000000000..c31d21350
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/prev_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/prev_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/prev_over.png
new file mode 100644
index 000000000..6fef4fa52
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/prev_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/print.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/print.css
new file mode 100644
index 000000000..e7a71d145
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/print.css
@@ -0,0 +1 @@
+/* The following rule is necessary to have all slides appear in print! DO NOT REMOVE IT! */ .slide, ul {page-break-inside: avoid; visibility: visible !important;} h1 {page-break-after: avoid;} body {font-size: 12pt; background: white;} * {color: black;} #slide0 h1 {font-size: 200%; border: none; margin: 0.5em 0 0.25em;} #slide0 h3 {margin: 0; padding: 0;} #slide0 h4 {margin: 0 0 0.5em; padding: 0;} #slide0 {margin-bottom: 3em;} h1 {border-top: 2pt solid gray; border-bottom: 1px dotted silver;} .extra {background: transparent !important;} div.extra, pre.extra, .example {font-size: 10pt; color: #333;} ul.extra a {font-weight: bold;} p.example {display: none;} #header {display: none;} #footer h1 {margin: 0; border-bottom: 1px solid; color: gray; font-style: italic;} #footer h2, #controls {display: none;} /* The following rule keeps the layout stuff out of print. Remove at your own risk! */ .layout, .layout * {display: none !important;} \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/s5-core.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/s5-core.css
new file mode 100644
index 000000000..86444e041
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/s5-core.css
@@ -0,0 +1,9 @@
+/* Do not edit or override these styles! The system will likely break if you do. */
+
+div#header, div#footer, div#controls, .slide {position: absolute;}
+html>body div#header, html>body div#footer,
+ html>body div#controls, html>body .slide {position: fixed;}
+.handout {display: none;}
+.layout {display: block;}
+.slide, .hideme, .incremental {visibility: hidden;}
+#slide0 {visibility: visible;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/slides.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/slides.css
new file mode 100644
index 000000000..0786d7dbd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/slides.css
@@ -0,0 +1,3 @@
+@import url(s5-core.css); /* required to make the slide show run at all */
+@import url(framing.css); /* sets basic placement and size of slide components */
+@import url(pretty.css); /* stuff that makes the slides look better than blah */ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/slides.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/slides.js
new file mode 100644
index 000000000..510a04c0e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/slides.js
@@ -0,0 +1,2812 @@
+// S5 1.3beta7 (18-Apr-2007) advanced version by C. Effenberger
+// Please see http://s5.netzgesta.de/ for more information
+// based on S5 v1.2a1 slides.js -- released into the Public Domain
+// Please see http://www.meyerweb.com/eric/tools/s5/credits.html for information
+// about all the wonderful and talented contributors to this code!
+// audio extension: soundmanager2 is NOT Public Domain
+// Please see http://www.schillmania.com/projects/soundmanager2/ for information
+
+var undef;
+var slideCSS = '';
+var snum = 0;
+var smax = 1;
+var incpos = 0;
+var number = undef;
+var firstTime = 1;
+var s5mode = true;
+var helpmode = false;
+var defaultView = 'slideshow'; //outline
+var controlVis = 'visible';
+var s5Path = 'lib/plugins/s5reloaded/';
+
+// scalable images extension
+var empx = 0;
+var images = new Array();
+var canvas = new Array();
+var medias = new Array();
+var piecharts = new Array();
+var barcharts = new Array();
+var linecharts = new Array();
+// scalable images extension
+
+// transition extension
+var tranSitions = false;
+var fadeModus = false;
+var fadeDuration = 500;
+var incrDuration = 250;
+var opac = 1;
+var cid = '';
+var nid = '';
+var tid = '';
+var jl = '';
+// transition extension
+
+// autoplay extension
+var autoMatic = false;
+var playLoop = false;
+var playPause = false;
+var autoRun = false;
+var playDelay = 5000;
+var remainDer = 0;
+var incrDelay = 0;
+// autoplay extension
+
+// audio extension
+var sound = new Array();
+var audioSupport = false;
+var audioVolume = 100;
+var audioError = false;
+var swfUnloaded = true;
+var bgSoundItem = 9999;
+var curSoundID = -1;
+// audio extension
+
+// panel extension
+var imgWidth = 32;
+var imgHeight = 32;
+// panel extension
+
+// canvas chart extension
+var canvasSupport = false;
+var ChartData = new Array();
+var colorSlice = new Array();
+var font = document.createElement("img");
+font.setAttribute("src", s5Path+"ui/graphic_support/numeric.png");
+signs = {
+ '0': {sx: 0, sy: 0, sw: 48, sh: 64},
+ '1': {sx: 48, sy: 0, sw: 48, sh: 64},
+ '2': {sx: 96, sy: 0, sw: 48, sh: 64},
+ '3': {sx: 144, sy: 0, sw: 48, sh: 64},
+ '4': {sx: 192, sy: 0, sw: 48, sh: 64},
+ '5': {sx: 240, sy: 0, sw: 48, sh: 64},
+ '6': {sx: 288, sy: 0, sw: 48, sh: 64},
+ '7': {sx: 336, sy: 0, sw: 48, sh: 64},
+ '8': {sx: 384, sy: 0, sw: 48, sh: 64},
+ '9': {sx: 432, sy: 0, sw: 48, sh: 64},
+ '%': {sx: 480, sy: 0, sw: 48, sh: 64},
+ '.': {sx: 528, sy: 0, sw: 24, sh: 64}
+};
+var colorNames= new Array();
+colorNames["black"]="#000000"; colorNames["maroon"]="#800000";
+colorNames["green"]="#008000"; colorNames["olive"]="#808000";
+colorNames["navy"]="#000080"; colorNames["purple"]="#800080";
+colorNames["teal"]="#008080"; colorNames["gray"]="#808080";
+colorNames["silver"]="#C0C0C0"; colorNames["red"]="#FF0000";
+colorNames["lime"]="#00FF00"; colorNames["yellow"]="#FFFF00";
+colorNames["blue"]="#0000FF"; colorNames["fuchsia"]="#FF00FF";
+colorNames["aqua"]="#00FFFF"; colorNames["white"]="#FFFFFF";
+colorNames["aliceblue"]="#F0F8FF"; colorNames["antiquewhite"]="#FAEBD7";
+colorNames["aquamarine"]="#7FFFD4"; colorNames["azure"]="#F0FFFF";
+colorNames["beige"]="#F5F5DC"; colorNames["blueviolet"]="#8A2BE2";
+colorNames["brown"]="#A52A2A"; colorNames["burlywood"]="#DEB887";
+colorNames["cadetblue"]="#5F9EA0"; colorNames["chartreuse"]="#7FFF00";
+colorNames["chocolate"]="#D2691E"; colorNames["coral"]="#FF7F50";
+colorNames["cornflowerblue"]="#6495ED"; colorNames["cornsilk"]="#FFF8DC";
+colorNames["crimson"]="#DC143C"; colorNames["darkblue"]="#00008B";
+colorNames["darkcyan"]="#008B8B"; colorNames["darkgoldenrod"]="#B8860B";
+colorNames["darkgray"]="#A9A9A9"; colorNames["darkgreen"]="#006400";
+colorNames["darkkhaki"]="#BDB76B"; colorNames["darkmagenta"]="#8B008B";
+colorNames["darkolivegreen"]="#556B2F"; colorNames["darkorange"]="#FF8C00";
+colorNames["darkorchid"]="#9932CC"; colorNames["darkred"]="#8B0000";
+colorNames["darksalmon"]="#E9967A"; colorNames["darkseagreen"]="#8FBC8F";
+colorNames["darkslateblue"]="#483D8B"; colorNames["darkslategray"]="#2F4F4F";
+colorNames["darkturquoise"]="#00CED1"; colorNames["darkviolet"]="#9400D3";
+colorNames["deeppink"]="#FF1493"; colorNames["deepskyblue"]="#00BFFF";
+colorNames["dimgray"]="#696969"; colorNames["dodgerblue"]="#1E90FF";
+colorNames["firebrick"]="#B22222"; colorNames["floralwhite"]="#FFFAF0";
+colorNames["forestgreen"]="#228B22"; colorNames["gainsboro"]="#DCDCDC";
+colorNames["ghostwhite"]="#F8F8FF"; colorNames["gold"]="#FFD700";
+colorNames["goldenrod"]="#DAA520"; colorNames["greenyellow"]="#ADFF2F";
+colorNames["honeydew"]="#F0FFF0"; colorNames["hotpink"]="#FF69B4";
+colorNames["indianred"]="#CD5C5C"; colorNames["indigo"]="#4B0082";
+colorNames["ivory"]="#FFFFF0"; colorNames["khaki"]="#F0E68C";
+colorNames["lavender"]="#E6E6FA"; colorNames["lavenderblush"]="#FFF0F5";
+colorNames["lawngreen"]="#7CFC00"; colorNames["lemonchiffon"]="#FFFACD";
+colorNames["lightblue"]="#ADD8E6"; colorNames["lightcoral"]="#F08080";
+colorNames["lightcyan"]="#E0FFFF"; colorNames["lightgoldenrodyellow"]="#FAFAD2";
+colorNames["lightgreen"]="#90EE90"; colorNames["lightgrey"]="#D3D3D3";
+colorNames["lightpink"]="#FFB6C1"; colorNames["lightsalmon"]="#FFA07A";
+colorNames["lightseagreen"]="#20B2AA"; colorNames["lightskyblue"]="#87CEFA";
+colorNames["lightslategray"]="#778899"; colorNames["lightsteelblue"]="#B0C4DE";
+colorNames["lightyellow"]="#FFFFE0"; colorNames["limegreen"]="#32CD32";
+colorNames["linen"]="#FAF0E6"; colorNames["mediumaquamarine"]="#66CDAA";
+colorNames["mediumblue"]="#0000CD"; colorNames["mediumorchid"]="#BA55D3";
+colorNames["ediumpurple"]="#9370D"; colorNames["mediumseagreen"]="#3CB371";
+colorNames["mediumslateblue"]="#7B68EE"; colorNames["mediumspringgreen"]="#00FA9A";
+colorNames["mediumturquoise"]="#48D1CC"; colorNames["mediumvioletred"]="#C71585";
+colorNames["midnightblue"]="#191970"; colorNames["mintcream"]="#F5FFFA";
+colorNames["mistyrose"]="#FFE4E1"; colorNames["moccasin"]="#FFE4B5";
+colorNames["navajowhite"]="#FFDEAD"; colorNames["oldlace"]="#FDF5E6";
+colorNames["olivedrab"]="#6B8E23"; colorNames["orange"]="#FFA500";
+colorNames["orangered"]="#FF4500"; colorNames["orchid"]="#DA70D6";
+colorNames["palegoldenrod"]="#EEE8AA"; colorNames["palegreen"]="#98FB98";
+colorNames["paleturquoise"]="#AFEEEE"; colorNames["palevioletred"]="#DB7093";
+colorNames["papayawhip"]="#FFEFD5"; colorNames["peachpuff"]="#FFDAB9";
+colorNames["peru"]="#CD853F"; colorNames["pink"]="#FFC0CB";
+colorNames["plum"]="#DDA0DD"; colorNames["powderblue"]="#B0E0E6";
+colorNames["rosybrown"]="#BC8F8F"; colorNames["royalblue"]="#4169E1";
+colorNames["saddlebrown"]="#8B4513"; colorNames["salmon"]="#FA8072";
+colorNames["sandybrown"]="#F4A460"; colorNames["seagreen"]="#2E8B57";
+colorNames["seashell"]="#FFF5EE"; colorNames["sienna"]="#A0522D";
+colorNames["skyblue"]="#87CEEB"; colorNames["slateblue"]="#6A5ACD";
+colorNames["slategray"]="#708090"; colorNames["snow"]="#FFFAFA";
+colorNames["springgreen"]="#00FF7F"; colorNames["steelblue"]="#4682B4";
+colorNames["tan"]="#D2B48C"; colorNames["thistle"]="#D8BFD8";
+colorNames["tomato"]="#FF6347"; colorNames["turquoise"]="#40E0D0";
+colorNames["violet"]="#EE82EE"; colorNames["wheat"]="#F5DEB3";
+colorNames["whitesmoke"]="#F5F5F5"; colorNames["yellowgreen"]="#9ACD32";
+var canvas_bgcolor = "";
+var canvas_width = 200;
+var canvas_height = 200;
+var canvas_noshade = 0;
+var canvas_nofill = 0;
+var canvas_noshadow = 0;
+var canvas_htmltext = 0;
+var canvas_imgtext = 0;
+var canvas_notext = 0;
+// canvas chart extension
+
+var s5NotesWindow;
+var s5NotesWindowLoaded = false;
+var previousSlide = 0;
+var presentationStart = new Date();
+var slideStart = new Date();
+
+var countdown = {
+ timer: 0,
+ state: 'pause',
+ start: new Date(),
+ end: 0,
+ remaining: 0
+};
+
+var isIE = navigator.appName == 'Microsoft Internet Explorer' && navigator.userAgent.indexOf('Opera') < 1 ? 1 : 0;
+if(isIE) var notIE7 = parseInt(navigator.appVersion) < 7 ? 1 : 0;
+var isOp = navigator.userAgent.indexOf('Opera') > -1 ? 1 : 0;
+var isGe = navigator.userAgent.indexOf('Gecko') > -1 && navigator.userAgent.indexOf('Safari') < 1 ? 1 : 0;
+var isS2 = navigator.userAgent.indexOf('Safari') >= 2 ? 1 : 0;
+
+function hasClass(object, className) {
+ if (!object.className) return false;
+ return (object.className.search('(^|\\s)' + className + '(\\s|$)') != -1);
+}
+
+function hasValue(object, value) {
+ if (!object) return false;
+ return (object.search('(^|\\s)' + value + '(\\s|$)') != -1);
+}
+
+function removeClass(object,className) {
+ if (!object || !hasClass(object,className)) return;
+ object.className = object.className.replace(new RegExp('(^|\\s)'+className+'(\\s|$)'), RegExp.$1+RegExp.$2);
+}
+
+function addClass(object,className) {
+ if (!object || hasClass(object, className)) return;
+ if (object.className) {
+ object.className += ' '+className;
+ } else {
+ object.className = className;
+ }
+}
+
+function changeClass(object,className) {
+ if (!object) return;
+ object.firstChild.className = className;
+}
+
+function GetElementsWithClassName(elementName,className) {
+ var allElements = document.getElementsByTagName(elementName);
+ var elemColl = new Array();
+ for (var i = 0; i< allElements.length; i++) {
+ if (hasClass(allElements[i], className)) {
+ elemColl[elemColl.length] = allElements[i];
+ }
+ }
+ return elemColl;
+}
+
+function isParentOrSelf(element, id) {
+ if (element == null || element.nodeName=='BODY') return false;
+ else if (element.id == id) return true;
+ else return isParentOrSelf(element.parentNode, id);
+}
+
+function nodeValue(node) {
+ var result = "";
+ if (node.nodeType == 1) {
+ var children = node.childNodes;
+ for (var i = 0; i < children.length; ++i) {
+ result += nodeValue(children[i]);
+ }
+ }
+ else if (node.nodeType == 3) {
+ result = node.nodeValue;
+ }
+ return(result);
+}
+
+function slideLabel() {
+ var slideColl = GetElementsWithClassName('*','slide');
+ var list = document.getElementById('jumplist');
+ smax = slideColl.length;
+ for (var n = 0; n < smax; n++) {
+ var obj = slideColl[n];
+ var did = 'slide' + n.toString();
+ obj.setAttribute('id',did);
+ var otext = '';
+ var menu = obj.firstChild;
+ if (!menu) continue; // to cope with empty slides
+ while (menu && menu.nodeType == 3) {
+ menu = menu.nextSibling;
+ }
+ if (!menu) continue; // to cope with slides with only text nodes
+ var menunodes = menu.childNodes;
+ for (var o = 0; o < menunodes.length; o++) {
+ otext += nodeValue(menunodes[o]);
+ }
+ list.options[list.length] = new Option(n + ' : ' + otext, n);
+ }
+}
+
+function currentSlide() {
+ var cs, at, fd, ss;
+ if (document.getElementById) {
+ cs = document.getElementById('currentSlide');
+ } else {
+ cs = document.currentSlide;
+ }
+ fd = fadeModus?"F":"&ndash;";
+ ss = audioSupport?"S":"&ndash;";
+ at = (autoMatic?(playPause?"||":(playLoop?"&gt;0":"&gt;|")):"&ndash;&ndash;");
+ cs.innerHTML = '<div id="plink" nowrap="nowrap">' +
+ '<span id="csFade">[' + fd + ss + ']<\/span>&nbsp;' +
+ '<span id="csHere"><strong>' + snum + '<\/strong><\/span>' +
+ '<span id="csSep">\/<\/span>' +
+ '<span id="csTotal">' + (smax-1) + '<\/span>&nbsp;' +
+ '<span id="csAuto">[' + at + ']<\/span>' +
+ '<\/div>';
+
+ if (snum == 0) {
+ cs.style.visibility = 'hidden';
+ } else {
+ cs.style.visibility = 'visible';
+ }
+}
+
+function go(step) {
+ if (document.getElementById('slideProj').disabled || step == 0) return;
+ jl = document.getElementById('jumplist');
+ cid = 'slide' + snum;
+ var ce = document.getElementById(cid);
+ if (incrementals[snum].length > 0) {
+ for (var i = 0; i < incrementals[snum].length; i++) {
+ removeClass(incrementals[snum][i], 'current');
+ removeClass(incrementals[snum][i], 'incremental');
+ }
+ }
+ if (step != 'j') {
+ snum += step;
+ lmax = smax - 1;
+ if (snum > lmax) snum = lmax;
+ if (snum < 0) snum = 0;
+ }else {
+ snum = parseInt(jl.value);
+ }
+ nid = 'slide' + snum;
+ var ne = document.getElementById(nid);
+ if (!ne) {
+ ne = document.getElementById('slide0');
+ nid = 'slide0';
+ snum = 0;
+ }
+ if (step < 0) {
+ incpos = incrementals[snum].length
+ }else {
+ incpos = 0;
+ }
+ if (incrementals[snum].length > 0 && incpos == 0) {
+ for (var i = 0; i < incrementals[snum].length; i++) {
+ if (hasClass(incrementals[snum][i], 'current')) {
+ incpos = i + 1;
+ }else {
+ addClass(incrementals[snum][i], 'incremental');
+ }
+ }
+ }
+ if (incrementals[snum].length > 0 && incpos > 0) {
+ addClass(incrementals[snum][incpos - 1], 'current');
+ }
+ var guru = document.getElementById('guru');
+ if(guru && snum==0) {
+ guru.style.visibility = 'visible';
+ }else if(guru && snum>0) {
+ guru.style.visibility = 'hidden';
+ }
+ if(tranSitions && s5mode && fadeModus) {
+ if(curSoundID != getSoundID(nid)) {
+ if(curSoundID == bgSoundItem && !sound[getSoundID(nid)]) {
+ }else {fadeoutSound(curSoundID,true); } // audio support
+ }
+ changeOpac(0,nid);
+ changeOpac(100,cid);
+ ce.style.visibility = 'visible';
+ shiftOpacity(cid,fadeDuration);
+ window.setTimeout("changeSlides()",fadeDuration);
+ }else {
+ if(curSoundID != getSoundID(nid)) {
+ if(curSoundID == bgSoundItem && !sound[getSoundID(nid)]) {
+ }else {stopSound(curSoundID); } // audio support
+ }
+ ce.style.visibility = 'hidden';
+ if (isOp) location.hash = nid;
+ ne.style.visibility = 'visible';
+ finishSlides();
+ }
+}
+
+function changeSlides() {
+ if(nid != cid) changeOpac(100,cid);
+ document.getElementById(cid).style.visibility = 'hidden';
+ document.getElementById(nid).style.visibility = 'visible';
+ if (isOp) location.hash = nid;
+ shiftOpacity(nid,fadeDuration);
+ window.setTimeout("finishSlides()",fadeDuration);
+}
+
+function finishSlides() {
+ jl.selectedIndex = snum;
+ currentSlide();
+ loadNote();
+ permaLink();
+ number = undef;
+ if(sound[getSoundID(nid)]) {
+ playSound(nid); // audio support
+ }else if(sound[bgSoundItem] && curSoundID != bgSoundItem) {
+ playSound(bgSoundItem); // audio support
+ }
+}
+
+function goTo(target) {
+ if (target >= smax || target == snum) return;
+ go(target - snum);
+}
+
+function subgo(step) {
+ if (step > 0) {
+ removeClass(incrementals[snum][incpos - 1],'current');
+ removeClass(incrementals[snum][incpos], 'incremental');
+ if(tranSitions && s5mode && fadeModus) {
+ if(!incrementals[snum][incpos].id) {
+ var tmp = new Date(); tid = "inc" + String(tmp.getTime());
+ incrementals[snum][incpos].id = tid;
+ }else {
+ tid = incrementals[snum][incpos].id;
+ }
+ if(typeof(incrementals[snum][incpos].src) != "undefined" || incrementals[snum][incpos].getContext) {
+ changeOpac(0,tid);
+ addClass(incrementals[snum][incpos],'current');
+ shiftOpacity(tid,incrDuration);
+ setTimeout("nextInc()",incrDuration);
+ }else {
+ addClass(incrementals[snum][incpos],'current');
+ nextInc();
+ }
+ }else {
+ addClass(incrementals[snum][incpos],'current');
+ nextInc();
+ }
+ } else {
+ incpos--;
+ removeClass(incrementals[snum][incpos],'current');
+ addClass(incrementals[snum][incpos], 'incremental');
+ addClass(incrementals[snum][incpos - 1],'current');
+ loadNote();
+ }
+}
+
+function nextInc() {
+ incpos++;
+ loadNote();
+}
+
+function toggle() {
+ var slideColl = GetElementsWithClassName('*','slide');
+ var slides = document.getElementById('slideProj');
+ var outline = document.getElementById('outlineStyle');
+ var guru = document.getElementById('guru');
+ if (!slides.disabled) {
+ stopPlay();
+ if(audioSupport && !swfUnloaded) stopAllSounds();
+ slides.disabled = true;
+ outline.disabled = false;
+ s5mode = false;
+ fontSize(1,'em');
+ for (var n = 0; n < smax; n++) {
+ var slide = slideColl[n];
+ slide.style.visibility = 'visible';
+ }
+ if(guru) guru.style.visibility = 'hidden';
+ } else {
+ slides.disabled = false;
+ outline.disabled = true;
+ s5mode = true;
+ fontScale();
+ for (var n = 0; n < smax; n++) {
+ var slide = slideColl[n];
+ slide.style.visibility = 'hidden';
+ }
+ slideColl[snum].style.visibility = 'visible';
+ if(guru && snum==0) guru.style.visibility = 'visible';
+ }
+}
+
+function showHide(action) {
+ var obj = GetElementsWithClassName('*','hideme')[0];
+ switch (action) {
+ case 's':
+ obj.style.visibility = 'visible';
+ break;
+ case 'h':
+ obj.style.visibility = 'hidden';
+ break;
+ case 'k':
+ if (obj.style.visibility != 'visible') {
+ obj.style.visibility = 'visible';
+ } else {
+ obj.style.visibility = 'hidden';
+ }
+ break;
+ }
+}
+
+function keys(key) {
+ if (!key) {
+ key = event;
+ key.which = key.keyCode;
+ }
+ if (helpmode) {
+ dumpHelpReq();
+ return;
+ }
+ if (key.which == 84 && !isOp) {
+ toggle();
+ return;
+ }
+ if (s5mode) {
+ if (autoMatic) {
+ switch (key.which) {
+ case 70: // f/ading on/off
+ switchFade();
+ break;
+ case 83: // s/ound on/off
+ toggleSounds();
+ break;
+ case 67: // c
+ showHide('k');
+ break;
+ case 65: // a/utoplay on/off
+ stopPlay();
+ break;
+ case 76: // l/ooping on/off
+ switchLoop();
+ break;
+ case 80: // p/ause
+ case 32: // spacebar
+ pausePlay();
+ break;
+ }
+ }else {
+ switch (key.which) {
+ case 8: // backspace = HELP
+ createHelpReq();
+ break;
+ case 10: // return
+ case 13: // enter
+ if (window.event && isParentOrSelf(window.event.srcElement, 'controls')) return;
+ if (key.target && isParentOrSelf(key.target, 'controls')) return;
+ if(number != undef) {
+ goTo(number);
+ break;
+ }
+ case 32: // spacebar
+ case 34: // page down
+ case 39: // rightkey
+ case 40: // downkey
+ if(number != undef) {
+ go(number);
+ } else if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ } else {
+ subgo(1);
+ }
+ break;
+ case 33: // page up
+ case 37: // leftkey
+ case 38: // upkey
+ if(number != undef) {
+ go(-1 * number);
+ } else if (!incrementals[snum] || incpos <= 0) {
+ go(-1);
+ } else {
+ subgo(-1);
+ }
+ break;
+ case 65: // a/utoplay
+ startPlay();
+ break;
+ case 72: // h
+ case 36: // home
+ goTo(0);
+ break;
+ case 69: // e
+ case 35: // end
+ goTo(smax-1);
+ break;
+ case 70: // f/ade transitions on/off
+ switchFade();
+ break;
+ case 76: // l/ooping on/off
+ switchLoop();
+ break;
+ case 83: // s/ound support on/off
+ toggleSounds();
+ break;
+ case 27: // escape
+ case 81: // q
+ if(!isOp) byby();
+ break;
+ case 67: // c
+ showHide('k');
+ break;
+ case 78: // n
+ createNotesWindow();
+ break;
+ }
+ if (key.which < 48 || key.which > 57) {
+ number = undef;
+ } else {
+ if (window.event && isParentOrSelf(window.event.srcElement, 'controls')) return;
+ if (key.target && isParentOrSelf(key.target, 'controls')) return;
+ number = (((number != undef) ? number : 0) * 10) + (key.which - 48);
+ }
+ }
+ }
+ return false;
+}
+
+function clicker(e) {
+ number = undef;
+ var target;
+ if (window.event) {
+ target = window.event.srcElement;
+ e = window.event;
+ } else {
+ target = e.target;
+ }
+ if (target.href != null || hasValue(target.rel, 'external') || isParentOrSelf(target, 'controls') || isParentOrSelf(target,'embed') || isParentOrSelf(target,'object')) return true;
+ if (!helpmode) {
+ if (!e.which || e.which == 1) {
+ if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ } else {
+ subgo(1);
+ }
+ }
+ } else {
+ dumpHelpReq();
+ }
+}
+
+function findSlide(hash) {
+ var target = null;
+ var slides = GetElementsWithClassName('*','slide');
+ for (var i = 0; i < slides.length; i++) {
+ var targetSlide = slides[i];
+ if ( (targetSlide.name && targetSlide.name == hash)
+ || (targetSlide.id && targetSlide.id == hash) ) {
+ target = targetSlide;
+ break;
+ }
+ }
+ while(target != null && target.nodeName != 'BODY') {
+ if (hasClass(target, 'slide')) {
+ return parseInt(target.id.slice(5));
+ }
+ target = target.parentNode;
+ }
+ return null;
+}
+
+function slideJump() {
+ if (window.location.hash == null) return;
+ var sregex = /^#slide(\d+)$/;
+ var matches = sregex.exec(window.location.hash);
+ var dest = null;
+ if (matches != null) {
+ dest = parseInt(matches[1]);
+ } else {
+ dest = findSlide(window.location.hash.slice(1));
+ }
+ if (dest != null)
+ go(dest - snum);
+}
+
+function fixLinks() {
+ var thisUri = window.location.href;
+ thisUri = thisUri.slice(0, thisUri.length - window.location.hash.length);
+ var aelements = document.getElementsByTagName('A');
+ for (var i = 0; i < aelements.length; i++) {
+ var a = aelements[i].href;
+ var slideID = a.match('\#slide[0-9]{1,2}');
+ if ((slideID) && (slideID[0].slice(0,1) == '#')) {
+ var dest = findSlide(slideID[0].slice(1));
+ if (dest != null) {
+ if (aelements[i].addEventListener) {
+ aelements[i].addEventListener("click", new Function("e",
+ "if (document.getElementById('slideProj').disabled) return;" +
+ "go("+dest+" - snum); " +
+ "if (e.preventDefault) e.preventDefault();"), true);
+ } else if (aelements[i].attachEvent) {
+ aelements[i].attachEvent("onclick", new Function("",
+ "if (document.getElementById('slideProj').disabled) return;" +
+ "go("+dest+" - snum); " +
+ "event.returnValue = false;"));
+ }
+ }
+ }
+ }
+}
+
+function externalLinks() {
+ if (!document.getElementsByTagName) return;
+ var anchors = document.getElementsByTagName('a');
+ for (var i=0; i<anchors.length; i++) {
+ var anchor = anchors[i];
+ if (anchor.getAttribute('href') && hasValue(anchor.rel, 'external')) {
+ anchor.target = '_blank';
+ addClass(anchor,'external');
+ }
+ }
+}
+
+function permaLink() {
+ document.getElementById('plink').href = window.location.pathname + '#slide' + snum;
+}
+
+function createControls() {
+ var controlsDiv = document.getElementById("controls");
+ if (!controlsDiv) return;
+ var hider = ' onmouseover="showHide(\'s\');" onmouseout="showHide(\'h\');"';
+ var hideDiv, hideList = '';
+ if (controlVis == 'hidden') {
+ hideDiv = hider;
+ } else {
+ hideList = hider;
+ }
+ if(isOp) {
+ var str = '';
+ }else {
+ var str = '<a accesskey="t" id="sheet" title="toggle CSS" href="javascript:toggle();">&plusmn;<\/a>';
+ }
+ if(isIE) {
+ var tmp = "move around&xA0;until the color&xA0;change to red!";
+ }else if(isS2) {
+ var tmp = "move around\r\nuntil the color\r\nchange to red!";
+ }else {
+ var tmp = "move around until color change to red!";
+ }
+ if(isIE) {
+ controlsDiv.innerHTML = str +
+ '<form action="#" id="controlForm"' + hideDiv + '>' +
+ '<div id="navLinks" title="press [backspace] for keyboard help!"><ul>' +
+ '<li id="show-notes"><a href="javascript:createNotesWindow();" accesskey="n" title="show Notes"><\/a><\/li>' +
+ '<li id="toggle"><a href="javascript:toggle();" accesskey="t" title="toggle CSS"><\/a><\/li>' +
+ '<li id="zero"><a href="javascript:goTo(0);" accesskey="h" title="goto Start Slide"><\/a><\/li>' +
+ '<li id="prev"><a href="javascript:go(-1);" accesskey="y" title="previous Slide"><\/a><\/li>' +
+ '<li id="next"><a href="javascript:go(1);" accesskey="x" title="next Slide"><\/a><\/li>' +
+ '<li id="last"><a href="javascript:goTo(smax-1);" accesskey="e" title="goto Last Slide"><\/a><\/li>' +
+ '<li id="lst" style="vertical-align: middle; width: 160px;"><select style="cursor: auto; width: 160px; height: auto;" id="jumplist" title="select named Slide" onchange="go(\'j\');"><\/select><\/li>' +
+ '<li id="auto"><a class="isoff" href="javascript:togglePlay();" accesskey="a" title="Auto Play"><\/a><\/li>' +
+ '<li id="pause"><a class="isoff" href="javascript:pausePlay();" accesskey="p" title="Pause"><\/a><\/li>' +
+ '<li id="loop"><a class="isoff" href="javascript:switchLoop();" accesskey="l" title="Loop"><\/a><\/li>' +
+ '<li id="del" style="vertical-align: middle; width: 52px;"><select style="cursor: auto; width: 52px; height: auto;" id="delaylist" title="select Delay" onchange="setDelay();"><option value="90">90<\/option><option value="75">75<\/option><option value="60">60<\/option><option value="45">45<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="15">15<\/option><option value="10">10<\/option><option value="5">5<\/option><\/select><\/li>' +
+ '<\/ul><\/div><\/form>';
+ }else {
+ controlsDiv.innerHTML = str +
+ '<form action="#" id="controlForm"' + hideDiv + '>' +
+ '<div id="navLinks" title="press [backspace] or double click this area for keyboard help!" ondblclick="createHelpReq();"><ul>' +
+ '<li id="exit"><a href="javascript:byby();" accesskey="q" title="exit Show"><\/a><\/li>' +
+ '<li id="show-notes"><a href="javascript:createNotesWindow();" accesskey="n" title="show Notes"><\/a><\/li>' +
+ '<li id="toggle"><a href="javascript:toggle();" accesskey="t" title="toggle CSS"><\/a><\/li>' +
+ '<li class="vr"><\/li>' +
+ '<li id="zero"><a href="javascript:goTo(0);" accesskey="h" title="goto Start Slide"><\/a><\/li>' +
+ '<li id="prev"><a href="javascript:go(-1);" accesskey="y" title="previous Slide"><\/a><\/li>' +
+ '<li id="next"><a href="javascript:go(1);" accesskey="x" title="next Slide"><\/a><\/li>' +
+ '<li id="last"><a href="javascript:goTo(smax-1);" accesskey="e" title="goto Last Slide"><\/a><\/li>' +
+ '<li id="lst"><a id="list" style="cursor:wait;" title="' + tmp + '"><\/a><select id="jumplist" title="select named Slide" onchange="go(\'j\');"><\/select><\/li>' +
+ '<li id="fadeLinks" class="vr"><\/li>' +
+ '<li id="fade"><a class="isoff" href="javascript:switchFade();" accesskey="f" title="Transions"><\/a><\/li>' +
+ '<li id="audioLinks" class="vr"><\/li>' +
+ '<li id="audio"><a class="isoff" href="javascript:toggleSounds();" accesskey="s" title="Sounds" ><\/a><\/li>' +
+ '<li id="vol"><a id="volume" style="cursor:wait;" title="' + tmp + '"><\/a><select id="volumelist" title="select Volume" onchange="setVolume();"><option value="100">100<\/option><option value="90">90<\/option><option value="80">80<\/option><option value="70">70<\/option><option value="60">60<\/option><option value="50">50<\/option><option value="40">40<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="10">10<\/option><option value="0">0<\/option><\/select><\/li>' +
+ '<li id="autoLinks" class="vr"><\/li>' +
+ '<li id="auto"><a class="isoff" href="javascript:togglePlay();" accesskey="a" title="Auto Play"><\/a><\/li>' +
+ '<li id="pause"><a class="isoff" href="javascript:pausePlay();" accesskey="p" title="Pause"><\/a><\/li>' +
+ '<li id="loop"><a class="isoff" href="javascript:switchLoop();" accesskey="l" title="Loop"><\/a><\/li>' +
+ '<li id="del"><a id="delay" style="cursor:wait;" title="' + tmp + '"><\/a><select id="delaylist" title="select Delay" onchange="setDelay();"><option value="90">90<\/option><option value="75">75<\/option><option value="60">60<\/option><option value="45">45<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="15">15<\/option><option value="10">10<\/option><option value="5">5<\/option><\/select><\/li>' +
+ '<\/ul>' +
+ '<a href="http://s5.netzgesta.de" target="_blank" title="S5 Reloaded"><img style="position: absolute; right: 0; bottom: 0;" src="data:image/png;charset=utf-8;base64,iVBORw0KGgoAAAANSUhEUgAAABQAAAAgCAYAAAASYli2AAAABGdBTUEAANbY1E9YMgAAABl0RVh0U29mdHdhcmUAQWRvYmUgSW1hZ2VSZWFkeXHJZTwAAAYpSURBVHjaYvz//z8DOYCRkZEZRAEx0Ij/f2HiAAHEgqYIpACkkB1J7g8Q/wLRIJ1QNSB5fiDmAOLvQKHPQPoHSB4ggFiQDGMCUnxALAPECkAsBLIdiD8A8SMgfghU8wlqkTgQK1ZVVWldv3792fr16y8D+c9AhgMEECPIy1DDRIDYAojdzMzMWNXV1UG2/v/y5Qvzw4cPP587d24/kH8Gar/uwoULQ+/cufNFXFyciZmZ+UVmZuZKoFmvAQII5kJOIDbi4uKK2Llz53EbG5vP6GGWn5+vMWnSpJtA5jcg5v327Rtnc3PzfSD79+rVq2WBNBtIHUAAwQzkAWKzBQsWnIUZFhISovXmzZsfkpKSDD09PY8kJCR+QtWCguGvrKwsz7Rp07Q4ODh+srCwgILiH0gSIIBgBrICsUBoaCgorBiANgqvXbtWDMg8AcQf9+7d+x0o9xbI/gJV/3fVqlUvmJiY/gIdcaWhoYETahEDQADBDPwNxO9h3gNpBiq6f/HiRbWjR4+yvnr16grQNbeh6kCWsxkYGIhClT8yNDQUgyYhBoAAYoIKfgXi06mpqUowQ+vr6x+uW7fu0suXL8+uWbOGS0BAwB7kC6jXvqmoqLDIyclxzZo1S4udnR2WtBgAAggWyyCXSgOxZUxMjGpWVtY7S0vLr8iRsnnzZl4/P7/DQCYoYtSA2DApKUkVGNNPDh06dBrIvwU06xNAAMEM5AIKqAOxCjQsmHl5eXWdnJx4N2zYcB4prd4CUpfwJRuAAGJCimULoGYpbm5uZ5DBnz9/5tq4caPWkSNHeJEc+g0aTFzfv3/nACabjzk5OW8FBQVloLmHASCAYJECUiTg7+//HJiQTzY2NsrfvXv3n7m5+T5YMgJGEkjDS2gY/pKRkeHt7Ow0Bsb0v9+/fz+HxTJAAMG8DHKFLTC9aRUXF79BT9Qgw4CWHAAy70FdYgjEDgkJCTpWVlYMQBfeAaaMPqBZbwECCGYgMzQGQSleCehS0X///jEDvf9lxYoVoOTyAIjfANX+BqrlBrL1gLFf09vb+0FaWvoHMBctA6USUKQABBAjrPiCliKg7AOKIG5ougLlju9Ipc1foDJWqMWGhYWFtsBg4RISEnrg5uY2BWQgQACxIBkGMkQKijmRfPwXWuI8ASp7DQ1vEP4DDO8PwBzzDJheT0CLPQaAAEJOh0o8zAzeihwMiv+gGZ0B4sz/bIwM797/YTh1/wfDIWj5qAfMmtFnzpz5ICIiwqKoqPgjKChoOtCs5wABhJyXpUCGzddgOIethJ71jEFi1nNwgQrKz8zv37//097eDop15qVLl0pAzWAACCAWhEMYOJFdduELA++b3wxsTIwMfzmYGH6xMDG8gYYpKAg+cnJy/gB6VwuYZFiA7E+wvAwQQCy46ozexwzG17+BYx6UVE4B8Vmo68CRFR0dDQo3UAHBBUwJ4lCLGAACCNnAv4zQxAkCxbIMZ0EuBIYb98EPDFo3voGTzjOogVzArGf969cvNmC2+wssHD7BDAQIIBakmHwPDPy3MAMNeBhgpfbbVEkGhqQbDJaXvjI8BPJB4jz79u37DcwlP+fPn38NuTwECCBkA1+8+8Nwtusxg+STHwwcP/8z8EuwMXA1KjBcBSlwEmRgBhrID/U2O7QMBIEHxsbGkrAwBAggmIGgNMT64AfDWyB+By0EQMVZlC0/wwsXQYa38hzgCGGBWv5ZU1OT4c+fP8yLFi1SA3r5Haw8BAggmIGg5KBpJ8BgeOYTg8S3f2DN/OxMDNwgw0AKnv0EJ+avsITu7u6+EZqzQEHwHFqaMwAEEAtShS/pLcTwrU+Z4QwsyQANOwIL06tfGV5BqwmQWoGKigoLYJnJvWPHjhstLS169vb2M0DqAAIIVh6CXHT/9ndIawEUITCXgUDrQwbGbe8YjkJdAzZQVVVV/t69e8LAgtUYaNgxWKQABBByacMHzfTK9gIMYkBZpj//GT4c+8gAKm1AsfseqPYPtHTXAtaEacBqlgHoyq+srKw3XV1dFwHlvwEEECNyYwmp3cIJjbUf0DbLP7RGEg+0bcMCjQxQzH8BWQgQQIzktr5wAYAAYmKgMgAIMAAp8Fx3osz1lgAAAABJRU5ErkJggg==" width="20" height="32" alt="S5" \/><\/a>' +
+ '<\/div><\/form>';
+ }
+ if (controlVis == 'hidden') {
+ var hidden = document.getElementById('navLinks');
+ } else {
+ var hidden = document.getElementById('jumplist');
+ }
+ addClass(hidden,'hideme');
+}
+
+function fontScale() { // causes layout problems in FireFox that get fixed if browser's Reload is used; same may be true of other Gecko-based browsers
+ if (!s5mode && !isOp) return false;
+ var hScreen = screen.width; var vScreen = screen.height;
+ var vWindow = window.outerHeight; var hWindow = window.outerWidth;
+ if (isOp && s5mode && defaultView=='slideshow' && ((hScreen != hWindow) || (vScreen != vWindow))) {
+ toggle();
+ return false;
+ }
+ if (isOp && !s5mode && ((hScreen != hWindow) || (vScreen != vWindow))) return false;
+ if (isOp && !s5mode && (hScreen == hWindow) && (vScreen == vWindow)) toggle();
+ var vScale = 48; // both yield 16 (the usual browser default) at 1024x768
+ var hScale = 64; // perhaps should auto-calculate based on theme's declared value?
+ if (window.innerHeight) {
+ var vSize = window.innerHeight;
+ var hSize = window.innerWidth;
+ } else if (document.documentElement.clientHeight) {
+ var vSize = document.documentElement.clientHeight;
+ var hSize = document.documentElement.clientWidth;
+ } else if (document.body.clientHeight) {
+ var vSize = document.body.clientHeight;
+ var hSize = document.body.clientWidth;
+ } else {
+ var vSize = 700; // assuming 1024x768, minus chrome and such equals 8:5
+ var hSize = 1024; // these do not account for kiosk mode or Opera Show
+ }
+ var newSize = Math.min(Math.round(vSize/vScale),Math.round(hSize/hScale));
+ extendImgSizes(newSize); // scalable images extension
+ extendCanSizes(newSize); // scalable canvas extension
+ extendObjSizes(newSize); // scalable object extension
+ fontSize(newSize,"px");
+ if(!isS2 || firstTime==0) {
+ generateCanvas(); // dynamic canvas extension
+ }
+ if (isGe) { // hack to counter incremental reflow bugs
+ var obj = document.getElementsByTagName('body')[0];
+ obj.style.visibility = 'hidden';
+ obj.style.display = 'none';
+ obj.style.display = 'block';
+ obj.style.visibility = 'visible';
+ changeOpac(100,'slide' + snum);
+ shiftOpacity('slide' + snum,10);
+ window.setTimeout("fixReflow()",10);
+ }else {
+ setListPos(); // invisible select extension
+ }
+}
+
+function fixReflow() {
+ shiftOpacity('slide' + snum,10);
+ window.setTimeout("finishReflow()",10);
+}
+function finishReflow() {
+ setListPos(); // invisible select extension
+}
+
+function fontSize(val,fmt) {
+ var value = val + fmt;
+ if (!(s5ss = document.getElementById('s5ss'))) {
+ if (!document.createStyleSheet) {
+ document.getElementsByTagName('head')[0].appendChild(s5ss = document.createElement('style'));
+ s5ss.setAttribute('media','screen, projection');
+ s5ss.setAttribute('id','s5ss');
+ } else {
+ document.createStyleSheet();
+ document.s5ss = document.styleSheets[document.styleSheets.length - 1];
+ }
+ }
+ if (!(document.s5ss && document.s5ss.addRule)) {
+ while (s5ss.lastChild) s5ss.removeChild(s5ss.lastChild);
+ s5ss.appendChild(document.createTextNode('html {font-size: ' + value + ' !important;}'));
+ } else {
+ document.s5ss.addRule('html','font-size: ' + value + ' !important;');
+ }
+}
+
+function windowChange() {
+ fontScale();
+}
+
+function notOperaFix() {
+ slideCSS = document.getElementById('slideProj').href;
+ var slides = document.getElementById('slideProj');
+ var outline = document.getElementById('outlineStyle');
+ slides.setAttribute('media','screen');
+ outline.disabled = true;
+ if (isGe) {
+ slides.setAttribute('href','null'); // Gecko fix
+ slides.setAttribute('href',slideCSS); // Gecko fix
+ }
+ if ((isIE && notIE7) && document.styleSheets && document.styleSheets[0]) {
+ document.styleSheets[0].addRule('img', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ document.styleSheets[0].addRule('div', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ document.styleSheets[0].addRule('.slide', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ }
+}
+
+function getIncrementals(obj) {
+ var incrementals = new Array();
+ if (!obj)
+ return incrementals;
+ var children = obj.childNodes;
+ for (var i = 0; i < children.length; i++) {
+ var child = children[i];
+ if (hasClass(child, 'incremental')) {
+ if (child.nodeName == 'OL' || child.nodeName == 'UL') {
+ removeClass(child, 'incremental');
+ for (var j = 0; j < child.childNodes.length; j++) {
+ if (child.childNodes[j].nodeType == 1) {
+ addClass(child.childNodes[j], 'incremental');
+ }
+ }
+ } else {
+ incrementals[incrementals.length] = child;
+ removeClass(child,'incremental');
+ }
+ }
+ if (hasClass(child, 'show-first')) {
+ if (child.nodeName == 'OL' || child.nodeName == 'UL') {
+ removeClass(child, 'show-first');
+ if (child.childNodes[isGe].nodeType == 1) {
+ removeClass(child.childNodes[isGe], 'incremental');
+ }
+ } else {
+ incrementals[incrementals.length] = child;
+ }
+ }
+ incrementals = incrementals.concat(getIncrementals(child));
+ }
+ return incrementals;
+}
+
+function createIncrementals() {
+ var incrementals = new Array();
+ for (var i = 0; i < smax; i++) {
+ incrementals[i] = getIncrementals(document.getElementById('slide'+i));
+ }
+ return incrementals;
+}
+
+function trap(e) {
+ if (!e) {
+ e = event;
+ e.which = e.keyCode;
+ }
+ try {
+ modifierKey = e.ctrlKey || e.altKey || e.metaKey;
+ }
+ catch(e) {
+ modifierKey = false;
+ }
+ return modifierKey || e.which == 0;
+}
+
+// notes extension
+function noteLabel() { // Gives notes id's to match parent slides
+ var notes = GetElementsWithClassName('div','notes');
+ for (var i = 0; i < notes.length; i++) {
+ var note = notes[i];
+ var id = 'note' + note.parentNode.id.substring(5);
+ note.setAttribute('id',id);
+ }
+ resetElapsedSlide();
+ resetRemainingTime();
+ window.setInterval('updateElaspedTime()', 1000);
+}
+
+function createNotesWindow() { // creates a window for our notes
+ if (!s5NotesWindow || s5NotesWindow.closed) { // Create the window if it doesn't exist
+ s5NotesWindowLoaded = false;
+ // Note: Safari has a tendency to ignore window options preferring to default to the settings of the parent window, grr.
+ s5NotesWindow = window.open(s5Path+'ui/s5-notes.html', 's5NotesWindow', 'top=0,left=0');
+ }
+ if (s5NotesWindowLoaded) { // Load the current note if the Note HTML has loaded
+ loadNote();
+ } else { // Keep trying...
+ window.setTimeout('createNotesWindow()', 50);
+ }
+}
+
+function loadNote() {
+// Loads a note into the note window
+ var notes = nextNotes = '<em class="disclaimer">There are no notes for this slide.</em>';
+ if (document.getElementById('note' + snum)) {
+ notes = document.getElementById('note' + snum).innerHTML;
+ }
+ if (document.getElementById('note' + (snum + 1))) {
+ nextNotes = document.getElementById('note' + (snum + 1)).innerHTML;
+ }
+
+ var jl = document.getElementById('jumplist');
+ var slideTitle = jl.options[jl.selectedIndex].text.replace(/^\d+\s+:\s+/, '') + ((jl.selectedIndex) ? ' (' + jl.selectedIndex + '/' + (smax - 1) + ')' : '');
+ if (incrementals[snum].length > 0) {
+ slideTitle += ' <small>[' + incpos + '/' + incrementals[snum].length + ']</small>';
+ }
+ if (jl.selectedIndex < smax - 1) {
+ var nextTitle = jl.options[jl.selectedIndex + 1].text.replace(/^\d+\s+:\s+/, '') + ((jl.selectedIndex + 1) ? ' (' + (jl.selectedIndex + 1) + '/' + (smax - 1) + ')' : '');
+ } else {
+ var nextTitle = '[end of slide show]';
+ }
+
+ if (s5NotesWindow && !s5NotesWindow.closed && s5NotesWindow.document) {
+ s5NotesWindow.document.getElementById('slide').innerHTML = slideTitle;
+ s5NotesWindow.document.getElementById('notes').innerHTML = notes;
+ s5NotesWindow.document.getElementById('next').innerHTML = nextTitle;
+ s5NotesWindow.document.getElementById('nextnotes').innerHTML = nextNotes;
+ }
+ resetElapsedSlide();
+}
+
+function minimizeTimer(id) {
+ var obj = s5NotesWindow.document.getElementById(id);
+ if (hasClass(obj,'collapsed')) {
+ removeClass(obj,'collapsed');
+ } else {
+ addClass(obj,'collapsed');
+ }
+}
+
+function resetElapsedTime() {
+ presentationStart = new Date();
+ slideStart = new Date();
+ updateElaspedTime();
+}
+
+function resetElapsedSlide() {
+ if (snum != previousSlide) {
+ slideStart = new Date();
+ previousSlide = snum;
+ updateElaspedTime();
+ }
+}
+
+function updateElaspedTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var now = new Date();
+ var ep = s5NotesWindow.document.getElementById('elapsed-presentation');
+ var es = s5NotesWindow.document.getElementById('elapsed-slide');
+ ep.innerHTML = formatTime(now.valueOf() - presentationStart.valueOf());
+ es.innerHTML = formatTime(now.valueOf() - slideStart.valueOf());
+}
+
+function resetRemainingTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var startField = s5NotesWindow.document.getElementById('startFrom');
+ startFrom = readTime(startField.value);
+ countdown.remaining = startFrom * 60000; // convert to msecs
+ countdown.start = new Date().valueOf();
+ countdown.end = countdown.start + countdown.remaining;
+ var tl = s5NotesWindow.document.getElementById('timeLeft');
+ var timeLeft = formatTime(countdown.remaining);
+ tl.innerHTML = timeLeft;
+}
+
+function updateRemainingTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var tl = s5NotesWindow.document.getElementById('timeLeft');
+ var now = new Date();
+ if (countdown.state == 'run') {
+ countdown.remaining = countdown.end - now;
+ }
+ tl.style.color = '';
+ tl.style.backgroundColor = '';
+ if (countdown.remaining >= 0) {
+ var timeLeft = formatTime(countdown.remaining);
+ removeClass(tl,'overtime');
+ if (countdown.remaining < 300000) {
+ tl.style.color = 'rgb(' + (255-Math.round(countdown.remaining/2000)) + ',0,0)';
+ tl.style.backgroundColor = 'rgb(255,255,' + (Math.round(countdown.remaining/2000)) + ')';
+ }
+ } else {
+ var timeLeft = '-' + formatTime(-countdown.remaining);
+ addClass(tl,'overtime');
+ }
+ tl.innerHTML = timeLeft;
+}
+
+function toggleRemainingTime() {
+ if (countdown.state == 'pause') countdown.state = 'run'; else countdown.state = 'pause';
+ if (countdown.state == 'pause') {
+ window.clearInterval(countdown.timer);
+ }
+ if (countdown.state == 'run') {
+ countdown.start = new Date().valueOf();
+ countdown.end = countdown.start + countdown.remaining;
+ countdown.timer = window.setInterval('updateRemainingTime()', 1000);
+ }
+}
+
+function alterRemainingTime(amt) {
+ var change = amt * 60000; // convert to msecs
+ countdown.end += change;
+ countdown.remaining += change;
+ updateRemainingTime();
+}
+
+function formatTime(msecs) {
+ var time = new Date(msecs);
+
+ var hrs = time.getUTCHours() + ((time.getUTCDate() -1) * 24); // I doubt anyone will spend more than 24 hours on a presentation or single slide but just in case...
+ hrs = (hrs < 10) ? '0'+hrs : hrs;
+ if (hrs == 'NaN' || isNaN(hrs)) hrs = '--';
+
+ var min = time.getUTCMinutes();
+ min = (min < 10) ? '0'+min : min;
+ if (min == 'NaN' || isNaN(min)) min = '--';
+
+ var sec = time.getUTCSeconds();
+ sec = (sec < 10) ? '0'+sec : sec;
+ if (sec == 'NaN' || isNaN(sec)) sec = '--';
+
+ return hrs + ':' + min + ':' + sec;
+}
+
+function readTime(val) {
+ var sregex = /:/;
+ var matches = sregex.exec(val);
+ if (matches == null) {
+ return val;
+ } else {
+ var times = val.split(':');
+ var hours = parseInt(times[0]);
+ var mins = parseInt(times[1]);
+ var total = (hours * 60) + mins;
+ return total;
+ }
+}
+// notes extension
+
+// startup process
+function createSlideShow() {
+ defaultCheck();
+ if(!isIE) createDetector(); // (degrade IE) scalable images extension
+ if(opac!=0 || isIE) { // &&!isIE (degrade IE)
+ tranSitions = false;
+ fadeModus = false;
+ }
+ if(tranSitions && document.getElementById && document.createElement){
+ createProgress();
+ var nop=document.getElementById('StartupControl');
+ nop.onload = dumpProgress;
+ }else {
+ startup();
+ showAll();
+ setListPos(true); // invisible select extension
+ panelSetup();
+ audioSetup(); // audio extension
+ if(isS2 && firstTime>=1) {
+ generateCanvas(); // dynamic canvas extension
+ } firstTime = 0;
+ }
+}
+
+function defaultCheck() {
+ var allMetas = document.getElementsByTagName('meta');
+ for (var i = 0; i< allMetas.length; i++) {
+ if (allMetas[i].name == 'defaultView') {
+ defaultView = allMetas[i].content;
+ }
+ if (allMetas[i].name == 'controlVis') {
+ controlVis = allMetas[i].content;
+ }
+ if (allMetas[i].name == 'tranSitions') {
+ tranSitions = (allMetas[i].content == "true") ? true : false;
+ fadeModus = (tranSitions == true) ? true : false;
+ }
+ if (allMetas[i].name == 'fadeDuration') {
+ var tmp = parseInt(allMetas[i].content);
+ fadeDuration = Math.max(200,Math.min(tmp,2000));
+ }
+ if (allMetas[i].name == 'incrDuration') {
+ var tmp = parseInt(allMetas[i].content);
+ incrDuration = Math.max(50,Math.min(tmp,500));
+ }
+ if (allMetas[i].name == 'autoMatic') {
+ autoMatic = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'playLoop') {
+ playLoop = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'playDelay') {
+ var tmp = parseInt(allMetas[i].content);
+ playDelay = Math.max(5,Math.min(tmp,90))*1000;
+ playDelay = (fadeModus == true) ? (playDelay+(2*fadeDuration)) : playDelay;
+ }
+ if (allMetas[i].name == 'audioSupport') {
+ audioSupport = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'audioVolume') {
+ var tmp = parseInt(allMetas[i].content);
+ audioVolume = Math.max(0,Math.min(tmp,100));
+ }
+ if (allMetas[i].name == 'audioError') {
+ audioError = (allMetas[i].content == "true") ? true : false;
+ }
+ }
+}
+
+function createProgress() {
+ var obj = document.getElementsByTagName("body")[0].firstChild;
+ var pg = document.createElement('div');
+ pg.id = "StartupProgress";
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=100)";
+ }else {
+ pg.style.opacity = 1.0;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(255, 255, 255)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/progress.gif)";
+ document.getElementsByTagName("body")[0].insertBefore(pg,obj);
+
+ var im = document.createElement('img');
+ im.id = "StartupControl";
+ im.src = s5Path+"ui/graphic_support/blank.gif?" + new Date().valueOf();
+ document.getElementsByTagName("body")[0].appendChild(im);
+}
+
+function startup() {
+ createControls(); // hallvord
+ slideLabel();
+ incrementals = createIncrementals();
+ noteLabel(); // [SI:060104] must follow slideLabel()
+ loadNote();
+ fixLinks();
+ externalLinks();
+ fontScale();
+ if (!isOp) {
+ if(isIE) {
+ document.getElementById('lst').style.backgroundImage = 'none';
+ document.getElementById('del').style.backgroundImage = 'none';
+ }else {
+ document.getElementById('audio').style.backgroundImage = 'none';
+ }
+ notOperaFix();
+ }else if(isOp) {
+ var obj = document.getElementById('exit');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('exit').style.opacity = 0.5;
+ obj = document.getElementById('toggle');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('toggle').style.opacity = 0.5;
+ document.getElementById('jumplist').style.visibility = 'hidden';
+ document.getElementById('list').style.visibility = 'hidden';
+ document.getElementById('lst').style.opacity = 0.5;
+ obj = document.getElementById('audio');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('audio').style.opacity = 0.5;
+ document.getElementById('volumelist').style.visibility = 'hidden';
+ document.getElementById('volume').style.visibility = 'hidden';
+ document.getElementById('vol').style.opacity = 0.5;
+ document.getElementById('delaylist').style.visibility = 'hidden';
+ document.getElementById('delay').style.visibility = 'hidden';
+ document.getElementById('del').style.opacity = 0.5;
+ }
+ slideJump();
+ if (defaultView == 'outline') toggle();
+ document.onkeyup = keys;
+ document.onkeypress = trap;
+ document.onclick = clicker;
+}
+
+function preloadImgages() {
+ var temp = '';
+ var objects = document.getElementsByTagName('img');
+ for (var i=0; i < objects.length; i++) {
+ if(objects[i].src != '') {
+ temp = new Image();
+ temp.src = objects[i].src;
+ }
+ }
+}
+
+function showAll() {
+ var obj1 = GetElementsWithClassName('div','presentation')[0];
+ if(!obj1) var obj1 = GetElementsWithClassName('ol','presentation')[0];
+ var obj2 = GetElementsWithClassName('div','layout')[0];
+ if(!obj1){}else {obj1.style.display = 'block'};
+ if(!obj2){}else {obj2.style.display = 'block'};
+}
+
+function dumpProgress() {
+ document.body.removeChild(document.getElementById('StartupControl'));
+ startup();
+ preloadImgages();
+ showAll();
+ createSoundManagerScript();
+ shiftOpacity('StartupProgress',1000);
+ window.setTimeout("removeProgress()",1000);
+}
+
+function removeProgress() {
+ document.body.removeChild(document.getElementById('StartupProgress'));
+ setListPos(true); // invisible select extension
+ panelSetup();
+ audioSetup(); // audio extension
+ if(isS2 && firstTime>=1) {
+ generateCanvas(); // dynamic canvas extension
+ } firstTime = 0;
+}
+function panelSetup() {
+ if(playPause) {
+ var obj = document.getElementById('pause'); changeClass(obj,'ison');
+ }
+ if(playLoop) {
+ var obj = document.getElementById('loop'); changeClass(obj,'ison');
+ }
+ if(audioSupport && !isIE && !isOp) {
+ var obj = document.getElementById('audio'); changeClass(obj,'ison');
+ }
+ if(fadeModus && !isIE) {
+ var obj = document.getElementById('fade'); changeClass(obj,'ison');
+ }
+ if(autoMatic) {
+ var obj = document.getElementById('auto'); changeClass(obj,'ison');
+ startPlay();
+ }
+ if(audioVolume && !isIE && !isOp) {
+ var idx = 0;
+ if(audioVolume >= 95 && audioVolume <= 100) {idx = 0;}
+ else if(audioVolume >= 85 && audioVolume < 95) {idx = 1;}
+ else if(audioVolume >= 75 && audioVolume < 85) {idx = 2;}
+ else if(audioVolume >= 65 && audioVolume < 75) {idx = 3;}
+ else if(audioVolume >= 55 && audioVolume < 65) {idx = 4;}
+ else if(audioVolume >= 45 && audioVolume < 55) {idx = 5;}
+ else if(audioVolume >= 35 && audioVolume < 45) {idx = 6;}
+ else if(audioVolume >= 25 && audioVolume < 35) {idx = 7;}
+ else if(audioVolume >= 15 && audioVolume < 25) {idx = 8;}
+ else if(audioVolume >= 5 && audioVolume < 15) {idx = 9;}
+ else {idx = 10;}
+ document.getElementById('volumelist').selectedIndex = idx;
+ }
+ if(playDelay && !isOp) {
+ var idx = 0; var vol = parseInt(playDelay/1000);
+ if(vol >= 83 && vol <= 90) {idx = 0;}
+ else if(vol >= 68 && vol < 83) {idx = 1;}
+ else if(vol >= 53 && vol < 68) {idx = 2;}
+ else if(vol >= 38 && vol < 53) {idx = 3;}
+ else if(vol >= 25 && vol < 38) {idx = 4;}
+ else if(vol >= 18 && vol < 25) {idx = 5;}
+ else if(vol >= 13 && vol < 18) {idx = 6;}
+ else if(vol >= 8 && vol < 13) {idx = 7;}
+ else {idx = 8;}
+ document.getElementById('delaylist').selectedIndex = idx;
+ }
+}
+// startup process
+
+// shutdown process
+function byby() {
+ stopPlay();
+ if(tranSitions && fadeModus && s5mode && !isOp) {
+ fadeoutSound(curSoundID,true); // audio support
+ var pg = document.createElement('div');
+ pg.id = "GoodBy";
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=0)";
+ }else {
+ pg.style.opacity = 0.0;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(255, 255, 255)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/finish.gif)";
+ document.getElementsByTagName("body")[0].appendChild(pg);
+ shiftOpacity('GoodBy',1000);
+ window.setTimeout("history.back()",1000);
+ }else {
+ stopSound(curSoundID);
+ history.back();
+ }
+}
+// shutdown process
+
+// scalable images extension
+function createDetector() {
+ var em = document.createElement('div');
+ em.id='EMSizeControl'; em.style.position="absolute"; em.style.left="-999px";
+ em.style.width="1em"; em.style.height="1em"; em.style.opacity=0.0;
+ document.getElementsByTagName("body")[0].appendChild(em);
+ var nop=document.getElementById('EMSizeControl');
+ if(!nop||findPosX(nop)!=-999) {}else {
+ opac=document.getElementById('EMSizeControl').style.opacity;
+ empx=document.getElementById('EMSizeControl').offsetHeight;
+ document.body.removeChild(document.getElementById('EMSizeControl'));
+ var objects = document.getElementsByTagName('img');
+ var j = 0; var i = 0; var k = 0; var d; var obj;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ images[j] = objects[i];
+ ++j;
+ }
+ }
+ var objects = document.getElementsByTagName('canvas'); j = 0;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ canvas[objects[i].id] = objects[i];
+ if(j==0) {
+ if(objects[i].getContext) {
+ canvasSupport = true;
+ }
+ ++j;
+ }
+ }
+ }
+ if(canvasSupport!=true) {
+ for (d in canvas) {
+ try {
+ canvas[d].setAttribute("width",1);
+ canvas[d].setAttribute("height",1);
+ } catch (e) {
+ }
+ }
+ }
+ var objects = document.getElementsByTagName('table');
+ j = 0; k = 0; l = 0; var w; var h; var tmp; var cnt;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^piechart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ piecharts[j] = objects[i];
+ em = piecharts[j].getAttribute("summary");
+ if(em != "") em = "," + em;
+ piecharts[j].setAttribute("summary", w + "," + h + em);
+ ++j;
+ }
+ }
+ }
+ if(objects[i].className.match(/^barchart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ barcharts[k] = objects[i];
+ em = barcharts[k].getAttribute("summary");
+ if(em != "") em = "," + em;
+ barcharts[k].setAttribute("summary", w + "," + h + em);
+ ++k;
+ }
+ }
+ }
+ if(objects[i].className.match(/^linechart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ linecharts[l] = objects[i];
+ em = linecharts[l].getAttribute("summary");
+ if(em != "") em = "," + em;
+ linecharts[l].setAttribute("summary", w + "," + h + em);
+ ++l;
+ }
+ }
+ }
+ }
+ objects = document.getElementsByTagName('object'); j = 0; i = 0;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ medias[j] = objects[i]; ++j;
+ if(!isIE) {
+ if(objects[i].getAttributeNode("classid")) objects[i].removeAttributeNode(objects[i].getAttributeNode("classid"));
+ if(objects[i].getAttributeNode("codebase")) objects[i].removeAttributeNode(objects[i].getAttributeNode("codebase"));
+ }
+ }
+ }
+ }
+}
+function extendImgSizes(f) {
+ if(empx>0) {
+ var q = (f/empx); var w = 0; var h = 0;
+ for(var i=0; i < images.length; i++) {
+ w=images[i].getAttribute("width",0);
+ h=images[i].getAttribute("height",0);
+ if(w>0&&h>0) {
+ images[i].style.width=Math.floor(w*q)+"px";
+ images[i].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+}
+
+function extendCanSizes(f) {
+ if(empx>0 && canvasSupport) {
+ var q = (f/empx); var w = 0; var h = 0; var tmp = ""; var cnt; var obj;
+ for(var i=0; i < piecharts.length; i++) {
+ if(piecharts[i].getAttribute("summary")) {
+ tmp = piecharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = piecharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ for(var i=0; i < barcharts.length; i++) {
+ if(barcharts[i].getAttribute("summary")) {
+ tmp = barcharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = barcharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ for(var i=0; i < linecharts.length; i++) {
+ if(linecharts[i].getAttribute("summary")) {
+ tmp = linecharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = linecharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ }
+}
+function extendObjSizes(f) {
+ if(empx>0) {
+ var q = (f/empx); var w = 0; var h = 0;
+ for(var i=0; i < medias.length; i++) {
+ w=medias[i].getAttribute("width",0);
+ h=medias[i].getAttribute("height",0);
+ if(w>0&&h>0) {
+ medias[i].style.width=Math.floor(w*q)+"px";
+ medias[i].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+}
+function findPosX(obj) {
+ var posLeft = 0;
+ while (obj.offsetParent) {
+ posLeft += obj.offsetLeft;
+ obj = obj.offsetParent;
+ }
+ return posLeft;
+}
+function findPosY(obj) {
+ var posTop = 0;
+ while (obj.offsetParent) {
+ posTop += obj.offsetTop;
+ obj = obj.offsetParent;
+ }
+ return posTop;
+}
+// scalable images extension
+
+// canvas chart extension
+function deg2rad(degrees) {
+ return Math.PI *degrees/180;
+}
+function rad2deg(radians) {
+ return 180.0 *radians/Math.PI;
+}
+function circle_point_x(radians, diameter) {
+ var x = Math.cos(radians)*(diameter/2);
+ return x;
+}
+function circle_point_y(radians, diameter) {
+ var y = Math.sin(radians)*(diameter/2);
+ return y;
+}
+function roundTo(val,dig) {
+ var num = val;
+ if (val > 8191 && val < 10485) {
+ val = val-5000;
+ num = Math.round(val*Math.pow(10,dig))/Math.pow(10,dig);
+ num = num+5000;
+ } else {
+ num = Math.round(val*Math.pow(10,dig))/Math.pow(10,dig);
+ }
+ return num;
+}
+function searchColor(value) {
+ for (var dat in colorNames) {
+ if(dat==value) return colorNames[dat];
+ }
+ return false;
+}
+function scanColor(value) {
+ if(value.match(/^#[0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ var val1 = value.substr(1,1).toLowerCase();
+ var val2 = value.substr(2,1).toLowerCase();
+ var val3 = value.substr(3,1).toLowerCase();
+ value = '#' + val1 + val1 + val2 + val2 + val3 + val3;
+ }
+ if(!value.match(/^#[0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ var tmp = searchColor(value.toLowerCase());
+ if(!tmp) {}else{value = tmp;}
+ }
+ if(!value.match(/^#[0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ value = '#000000';
+ }
+ return value.toLowerCase();
+}
+function hex2rgb(val,trans) {
+ if(val.length==7) {
+ var tp1 = Math.max(0,Math.min(parseInt(val.substr(1,2),16),255));
+ var tp2 = Math.max(0,Math.min(parseInt(val.substr(3,2),16),255));
+ var tp3 = Math.max(0,Math.min(parseInt(val.substr(5,2),16),255));
+ return 'rgba(' + tp1 + ',' + tp2 + ',' + tp3 + ',' + trans + ')';
+ }
+}
+function trim(str) {
+ return (str.replace(/\s+$/,"").replace(/^\s+/,""));
+}
+function roundedRect(ctx,x,y,width,height,radius){
+ ctx.beginPath();
+ ctx.moveTo(x,y+radius);
+ ctx.lineTo(x,y+height-radius);
+ ctx.quadraticCurveTo(x,y+height,x+radius,y+height);
+ ctx.lineTo(x+width-radius,y+height);
+ ctx.quadraticCurveTo(x+width,y+height,x+width,y+height-radius);
+ ctx.lineTo(x+width,y+radius);
+ ctx.quadraticCurveTo(x+width,y,x+width-radius,y);
+ ctx.lineTo(x+radius,y);
+ ctx.quadraticCurveTo(x,y,x,y+radius);
+ ctx.closePath();
+}
+function drawString(ctx, text, fc, tx, ty) {
+ var xp = 0; var c = "";
+ ctx.beginPath();
+ for (var i = 0; i < text.length; i++) {
+ c = text[i];
+ ctx.drawImage(font, signs[c].sx, signs[c].sy, signs[c].sw, signs[c].sh, tx+xp, ty, signs[c].sw*fc, signs[c].sh*fc);
+ xp += (signs[c].sw*fc);
+ }
+ ctx.closePath();
+}
+function strokeString(ctx, txt, col, fh, tx, ty) {
+ var fw = fh*0.666666; var lw = fh*0.125;
+ var ls = lw/2; var cr = lw; var xp = 0;
+ ctx.lineCap = "round"; ctx.lineJoin = "round"
+ ctx.lineWidth = lw; ctx.strokeStyle = col;
+ for (var i = 0; i < txt.length; i++) {
+ strokeSymbol(ctx, txt[i], ls, tx+xp, ty, fw, fh);
+ xp += (txt[i]!="."?fw+cr:(fw/2)+cr);
+ }
+}
+function strokeSymbol(ctx, symbol, fc, cx, cy, cw, ch) {
+ ctx.beginPath();
+ switch (symbol) {
+ case "0":
+ ctx.moveTo(cx+fc,cy+(ch*0.333333));
+ ctx.arc(cx+(cw/2),cy+(cw/2),(cw/2)-fc,deg2rad(180),0, false);
+ ctx.arc(cx+(cw/2),(cy+ch)-(cw/2),(cw/2)-fc,0,deg2rad(180), false);
+ ctx.closePath();
+ break;
+ case "1":
+ ctx.moveTo(cx+(cw*0.1)+fc,cy+ch-fc);
+ ctx.lineTo(cx+cw-fc,cy+ch-fc);
+ ctx.moveTo(cx+(cw*0.666666),cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.666666),cy+fc);
+ ctx.lineTo(cx+(cw*0.25),cy+(ch*0.25));
+ break;
+ case "2":
+ ctx.moveTo(cx+cw-fc,cy+(ch*0.8));
+ ctx.lineTo(cx+cw-fc,cy+ch-fc);
+ ctx.lineTo(cx+fc,cy+ch-fc);
+ ctx.arc(cx+(cw/2),cy+(cw*0.425),(cw*0.425)-fc,deg2rad(45),deg2rad(-180), true);
+ break;
+ case "3":
+ ctx.moveTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.9)-fc,cy+fc);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-90),deg2rad(180), false);
+ break;
+ case "4":
+ ctx.moveTo(cx+(cw*0.75),cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.75),cy+fc);
+ ctx.moveTo(cx+cw-fc,cy+(ch*0.666666));
+ ctx.lineTo(cx+fc,cy+(ch*0.666666));
+ ctx.lineTo(cx+(cw*0.75),cy+fc);
+ ctx.moveTo(cx+cw-fc,cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.5),cy+ch-fc);
+ break;
+ case "5":
+ ctx.moveTo(cx+(cw*0.9)-fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+(ch*0.333333));
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-80),deg2rad(180), false);
+ break;
+ case "6":
+ ctx.moveTo(cx+fc,cy+ch-(cw*0.5)-fc);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-180),deg2rad(180), false);
+ ctx.bezierCurveTo(cx+fc,cy+fc,cx+fc,cy+fc,cx+(cw*0.9)-fc,cy+fc);
+ ctx.moveTo(cx+(cw*0.9)-fc,cy+fc);
+ break;
+ case "7":
+ ctx.moveTo(cx+(cw*0.5),cy+ch-fc);
+ ctx.lineTo(cx+cw-fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+(ch*0.25)-fc);
+ break;
+ case "8":
+ ctx.moveTo(cx+(cw*0.92)-fc,cy+(cw*0.59));
+ ctx.arc(cx+(cw/2),cy+(cw*0.45),(cw*0.45)-fc,deg2rad(25),deg2rad(-205), true);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-135),deg2rad(-45), true);
+ ctx.closePath();
+ ctx.moveTo(cx+(cw*0.79),cy+(ch*0.47));
+ ctx.lineTo(cx+(cw*0.21),cy+(ch*0.47));
+ break;
+ case "9":
+ ctx.moveTo(cx+cw-fc,cy+(cw*0.5));
+ ctx.arc(cx+(cw/2),cy+(cw*0.5),(cw*0.5)-fc,deg2rad(0),deg2rad(360), false);
+ ctx.bezierCurveTo(cx+cw-fc,cy+ch-fc,cx+cw-fc,cy+ch-fc,cx+(cw*0.1)+fc,cy+ch-fc);
+ break;
+ case "%":
+ ctx.moveTo(cx+fc,cy+(ch*0.75));
+ ctx.lineTo(cx+cw-fc,cy+(ch*0.25));
+ ctx.moveTo(cx+(cw*0.505),cy+(cw*0.3));
+ ctx.arc(cx+(cw*0.3),cy+(cw*0.3),(cw*0.3)-fc,deg2rad(0),deg2rad(360), false);
+ ctx.moveTo(cx+(cw*0.905),cy+ch-(cw*0.3));
+ ctx.arc(cx+(cw*0.7),cy+ch-(cw*0.3),(cw*0.3)-fc,deg2rad(0),deg2rad(360), false);
+ break;
+ case ".":
+ ctx.moveTo(cx+(cw*0.25),cy+ch-fc-fc);
+ ctx.arc(cx+(cw*0.25),cy+ch-fc-fc,fc,deg2rad(0),deg2rad(360), false);
+ ctx.closePath();
+ break;
+ default:
+ break;
+ }
+ ctx.stroke();
+}
+function drawBar(ctx,x,y,width,height,color,value,textdiv){
+ var rw = width/2; var rh = rw/2;
+ height = Math.max(height,rw);
+ var dh = Math.max(height-(2*rh),0.1); var S2L;
+ var xx = rw/8; var yy = rh/4;
+ var yo = rh/2; y = y - yy;
+ if(canvas_noshadow <= 0 && canvas_noshade <= 0) {
+ ctx.save();
+ S2L = ctx.createRadialGradient(x+rw+rh,y+height-rw+yy+rh,0,x+rw,y+height-rw+yy,rw);
+ S2L.addColorStop(0, 'rgba(0,0,0,0.5)');
+ S2L.addColorStop(0.7, 'rgba(0,0,0,0.25)');
+ S2L.addColorStop(0.9, 'rgba(0,0,0,0.1)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.fillStyle = S2L;
+ ctx.scale(1,0.5);
+ ctx.translate(xx,y+height+yy);
+ if(isOp){
+ ctx.fillRect(x,y+yy+height-width,width,width);
+ }else {
+ ctx.arc(x+rw,y+yy+height-width,width,0,deg2rad(360), false);
+ ctx.fill();
+ }
+ ctx.restore();
+ }else if(canvas_noshadow <= 0 && canvas_noshade >= 1) {
+ ctx.fillStyle = 'rgba(0,0,0,0.2)';
+ ctx.fillRect(x+yo,y+rh+yo+yo,width,dh);
+ }
+
+ if(canvas_noshade <= 0) {
+ ctx.beginPath();
+ ctx.moveTo(x,y+rh);
+ ctx.lineTo(x,y+rh+dh);
+ ctx.bezierCurveTo(x,y+height,x+width,y+height,x+width,y+rh+dh)
+ ctx.lineTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = color;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x,y+(height/2),x+width,y+(height/2));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.75)');
+ S2L.addColorStop(0.2, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.3, 'rgba(0,0,0,0)');
+ S2L.addColorStop(0.5, 'rgba(0,0,0,0.1)');
+ S2L.addColorStop(0.9, 'rgba(0,0,0,0.35)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.3)');
+ ctx.beginPath();
+ ctx.moveTo(x,y+rh);
+ ctx.lineTo(x,y+rh+dh);
+ ctx.bezierCurveTo(x,y+height,x+width,y+height,x+width,y+rh+dh)
+ ctx.lineTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = S2L;
+ ctx.fill();
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = color;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x+rw-(width*0.15),y-2,x+rw+(width*0.15),y+(2.1*rh));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.9)');
+ S2L.addColorStop(0.5, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.6, 'rgba(0,0,0,0)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.3)');
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = S2L;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x+rw-(width*0.15),y-2,x+rw+(width*0.15),y+(2.1*rh));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.9)');
+ S2L.addColorStop(0.5, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.6, 'rgba(0,0,0,0)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.1)');
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.strokeStyle = S2L;
+ ctx.stroke();
+ }else {
+ ctx.fillStyle = color;
+ ctx.fillRect(x,y+rh+yo,width,dh);
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = 1;
+ ctx.fillStyle = 'rgba(255,255,255,0.5)';
+ var bw = width; var bh = bw/4;
+ var th = roundTo(bh*0.75,0); var tf = bh/80;
+ var c; var w;
+ if(canvas_notext <= 0) {
+ if(canvas_htmltext <= 0) {
+ w = '"' + per + '"';
+ if(w.indexOf(".")!=-1) {
+ c = w.length+0.5;
+ w = parseFloat(c-2)*parseFloat(48*tf);
+ bw = parseFloat(c-1)*parseFloat(48*tf);
+ }else {
+ c = w.length;
+ w = parseFloat(c-1)*parseFloat(48*tf);
+ bw = parseFloat(c)*parseFloat(48*tf);
+ }
+ roundedRect(ctx,x+(width/2)-(bw/2),y,bw,bh,bh/4);
+ ctx.fill();
+ if(canvas_imgtext <= 0) {
+ strokeString(ctx, value + "%","rgba(48,48,48,1)", th, x+(width/2)-(w/2), y+((bh-th)/2));
+ }else {
+ drawString(ctx, value + "%", tf, x+(width/2)-(w/2), y+((bh-th)/2.2));
+ }
+ }else {
+ var bh = bw/3; var th = roundTo(bh*0.75,0);
+ roundedRect(ctx,x,y,bw,bh,bh/4);
+ ctx.fill();
+ var obj = document.createElement('div');
+ obj.style.position = "absolute";
+ obj.style.overflow = "hidden";
+ obj.style.textAlign = "center";
+ obj.style.width = bw + "px";
+ obj.style.left = x + "px";
+ obj.style.top = y+((bh-th)/2.2) + "px";
+ obj.appendChild(document.createTextNode(per + "%"));
+ textdiv.appendChild(obj);
+ }
+
+ }
+}
+function drawLine(ctx,x,y,width,height,dist,array,factor,color,fill){
+ if(fill <= 0){
+ var style = hex2rgb(color,0.5);
+ ctx.lineJoin = "miter";
+ ctx.beginPath();
+ ctx.moveTo(x,y+height);
+ for (var i = 0; i < array.length; i++) {
+ ctx.lineTo(x+(i*dist),y+height-(array[i]*factor));
+ }
+ ctx.lineTo(x+width,y+height);
+ ctx.lineTo(x,y+height);
+ ctx.closePath();
+ ctx.fillStyle = style;
+ ctx.fill();
+ }
+ ctx.lineJoin = "round";
+ ctx.beginPath();
+ ctx.moveTo(x,y+height-(array[0]*factor));
+ for (var i = 0; i < array.length; i++) {
+ ctx.lineTo(x+(i*dist),y+height-(array[i]*factor));
+ }
+ ctx.strokeStyle = color;
+ ctx.stroke();
+}
+function setDataURL(cid) {
+ if(cid.toDataURL) {
+ var obj = document.getElementById(cid.id + "_link");
+ if(obj) {
+ obj.setAttribute("title", "To Data URL");
+ obj.setAttribute("target", "_blank");
+ obj.setAttribute("href", cid.toDataURL());
+ }
+ }
+}
+function get_input(dataobj,canvasobj,linechart) {
+ var table = document.getElementById(dataobj);
+ var canvas = document.getElementById(canvasobj);
+ var row; var clm; var cnt = 0; var val = 0; var nme = ""; var col = "";
+ if(canvas.getContext) {
+ canvas_width = parseInt(canvas.style.width);
+ canvas_height = parseInt(canvas.style.height);
+ if(canvas_width >= 16 && canvas_height >= 16) {
+ var tmp = table.getAttribute("summary");
+ canvas_noshade = 0; canvas_noshadow = 0;
+ canvas_notext = 0; canvas_imgtext = 0;
+ canvas_htmltext = 0; canvas_nofill = 0;
+ if(tmp.search(/noshadow/) != -1) canvas_noshadow = 1;
+ if(tmp.search(/noshade/) != -1) canvas_noshade = 1;
+ if(tmp.search(/nofill/) != -1) canvas_nofill = 1;
+ if(tmp.search(/notext/) != -1) canvas_notext = 1;
+ if(tmp.search(/htmltext/) != -1) canvas_htmltext = 1;
+ if(tmp.search(/imgtext/) != -1) canvas_imgtext = 1;
+ if(table.getAttribute("bgcolor")) {
+ canvas_bgcolor = scanColor(table.getAttribute("bgcolor"));
+ }
+ ChartData = new Array(); colorSlice = new Array();
+ if(table.getElementsByTagName("tr")[0].getElementsByTagName("th")[0]) cnt = 1;
+ for(var r = cnt; r < table.getElementsByTagName("tr").length; r++) {
+ row = table.getElementsByTagName("tr")[r];
+ clm = row.getElementsByTagName("td").length;
+ if(row.getElementsByTagName("td")[0].getAttribute("bgcolor")) {
+ col = scanColor(row.getElementsByTagName("td")[0].getAttribute("bgcolor")); val = 0;
+ nme = trim(row.getElementsByTagName("td")[1].innerHTML.replace(/<[^>]+>/g,""));
+ if(!linechart) {
+ if(clm > 3) {
+ for(var z = 2; z < clm; z++) {
+ val += parseFloat(row.getElementsByTagName("td")[z].innerHTML.replace(/<[^>]+>/g,""));
+ }
+ }else {
+ val = parseFloat(row.getElementsByTagName("td")[2].innerHTML.replace(/<[^>]+>/g,""));
+ }
+ if(!isNaN(val) && val > 0 && nme != '') {
+ colorSlice[r-cnt] = col; ChartData[nme] = Math.abs(val);
+ }else {
+ break;
+ }
+ }else {
+ val = 0; i = 0;
+ if(clm > 3 && nme != '') {
+ ChartData[r-cnt] = new Array();
+ colorSlice[r-cnt] = col;
+ for(var z = 2; z < clm; z++) {
+ val = Math.abs(parseFloat(row.getElementsByTagName("td")[z].innerHTML.replace(/<[^>]+>/g,"")));
+ if(!isNaN(val) && val >= 0) {
+ ChartData[r-cnt][i] = val; i++;
+ }else {
+ ChartData[r-cnt][i] = 0; i++;
+ }
+ }
+ }else {
+ break;
+ }
+ }
+ }else {
+ break;
+ }
+ }
+ }
+ }
+}
+function setPieChart(canvasobj,textobj) {
+ var cd = (Math.min(canvas_width,canvas_height)/110)*100;
+ var cp = cd*0.1; var cr = cd/2;
+ var sr = cr*0.93; var cw = cd+cp; var ch = cw;
+ var cx = (cd/2)+(cp/2); var cy = cx; var ct = 0;
+ for (var data in ChartData) {
+ ct += ChartData[data];
+ }
+ var poc = ct/100;
+ var canvas = document.getElementById(canvasobj);
+ if(canvas_htmltext >= 1) {
+ if(document.getElementById(textobj)) {
+ canvas.parentNode.removeChild(canvas.parentNode.lastChild);
+ }
+ }
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,cw,ch);
+ }else {
+ ctx.clearRect(0,0,cw,ch);
+ }
+ if(canvas_noshadow <= 0) {
+ if(!isOp) {
+ ctx.beginPath();
+ var B2B = ctx.createRadialGradient(cx+(cr*0.1),cy+(cr*0.1),cr,cx+(cr*0.1),cy+(cr*0.1),sr*0.9);
+ B2B.addColorStop(0, 'rgba(0,0,0,0)');
+ B2B.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.arc(cx+(cr*0.1),cy+(cr*0.1),cr,0,deg2rad(360), false);
+ ctx.closePath();
+ ctx.fillStyle = B2B;
+ ctx.fill();
+ }else {
+ var B2B = ctx.createRadialGradient(cx+(cr*0.1),cy+(cr*0.1),0,cx+(cr*0.1),cy+(cr*0.1),cr);
+ B2B.addColorStop(0, 'rgba(0,0,0,0.5)');
+ B2B.addColorStop(0.87, 'rgba(0,0,0,0.5)');
+ B2B.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.fillStyle = B2B;
+ ctx.fillRect(0,0,cw,ch);
+ }
+ }
+ var val = 0; var deg = 0; var idx = 0; var data;
+ for (data in ChartData) {
+ val = ChartData[data]; sdeg = deg;
+ deg += (val/ct)*deg2rad(360); edeg = deg;
+ ctx.beginPath();
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr,sdeg,edeg, false);
+ ctx.lineTo(cx,cy);
+ ctx.closePath();
+ ctx.fillStyle = colorSlice[(idx++)];
+ ctx.fill();
+ }
+ if(canvas_noshade <= 0) {
+ ctx.beginPath();
+ var W2T = ctx.createLinearGradient(cp*2,cp*2,cx,cy);
+ W2T.addColorStop(0, 'rgba(255,255,255,0.8)');
+ W2T.addColorStop(1, 'rgba(255,255,255,0)');
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,sr,deg2rad(135),deg2rad(315), false);
+ ctx.closePath();
+ ctx.fillStyle = W2T;
+ ctx.fill();
+ ctx.beginPath();
+ var T2B = ctx.createLinearGradient(cx,cy,cw-(cp*2),ch-(cp*2));
+ T2B.addColorStop(0, 'rgba(0,0,0,0)');
+ T2B.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,sr,deg2rad(-45),deg2rad(135), false);
+ ctx.closePath();
+ ctx.fillStyle = T2B;
+ ctx.fill();
+ ctx.beginPath();
+ var B2T = ctx.createLinearGradient(cx,cy,cw-(cp*1.9),ch-(cp*1.9));
+ B2T.addColorStop(0, 'rgba(0,0,0,0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.6)');
+ ctx.lineWidth = cr*0.07;
+ ctx.lineCap = "round";
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr*0.965,deg2rad(-45),deg2rad(135), false);
+ ctx.closePath();
+ ctx.strokeStyle = B2T;
+ ctx.stroke();
+ ctx.beginPath();
+ var T2W = ctx.createLinearGradient(cx,cy,(cp*1.9),(cp*1.9));
+ T2W.addColorStop(0, 'rgba(255,255,255,0)');
+ T2W.addColorStop(1, 'rgba(255,255,255,0.6)');
+ ctx.lineWidth = cr*0.07;
+ ctx.lineCap = "round";
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr*0.965,deg2rad(135),deg2rad(315), false);
+ ctx.closePath();
+ ctx.strokeStyle = T2W;
+ ctx.stroke();
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = 1;
+ ctx.fillStyle = 'rgba(255,255,255,0.5)';
+ var mpos = 0; var per = 0; var gx = 0;
+ var gy = 0; var tx = 0; var ty = 0;
+ var obj = ""; var w = 0; var c = 0;
+ var bw = cr*0.45; var bh = cr*0.125;
+ var th = roundTo(bh*0.75,0); var tf = bh/80;
+ if(canvas_notext <= 0) {
+ if(canvas_htmltext >= 1) {
+ bw = (cr*0.365);
+ canvas.parentNode.style.position = "relative";
+ if(!document.getElementById(textobj)) {
+ obj = document.createElement('div');
+ obj.id = textobj;
+ obj.style.color = "rgb(0,0,0)";
+ obj.style.fontFamily = "Arial,sans-serif";
+ obj.style.fontSize = th + "px";
+ obj.style.zIndex = 11;
+ canvas.parentNode.appendChild(obj);
+ }
+ var textdiv = document.getElementById(obj.id);
+ }
+ for (data in ChartData) {
+ val = ChartData[data];
+ sdeg = deg; mpos = deg+(((val/2)/ct)*deg2rad(360));
+ deg += (val/ct)*deg2rad(360); edeg = deg;
+ per = roundTo(val/poc,2);
+ gx = circle_point_x(mpos, cd);
+ gy = circle_point_y(mpos, cd);
+ tx = parseFloat((cx+(2*Math.floor(cx + gx)))/3);
+ ty = (cy+(2*Math.floor(cy + gy)))/3;
+ if(canvas_htmltext <= 0) {
+ w = '"' + per + '"';
+ if(w.indexOf(".")!=-1) {
+ c = w.length+0.5;
+ w = parseFloat(c-2)*parseFloat(48*tf);
+ bw = parseFloat(c-1)*parseFloat(48*tf);
+ }else {
+ c = w.length;
+ w = parseFloat(c-1)*parseFloat(48*tf);
+ bw = parseFloat(c)*parseFloat(48*tf);
+ }
+ roundedRect(ctx,(tx-(bw/2)),ty-(bh/2),bw,bh,bh/4);
+ ctx.fill();
+ if(canvas_imgtext >= 1) {
+ drawString(ctx, per + "%", tf, tx-(w/2), ty-(th/1.8));
+ }else {
+ strokeString(ctx, per + "%","rgba(48,48,48,1)", th, tx-(w/2), ty-(th/2));
+ }
+ }else {
+ roundedRect(ctx,(tx-(bw/2)),ty-(bh/2),bw,bh,bh/4);
+ ctx.fill();
+ obj = document.createElement('div');
+ obj.style.position = "absolute";
+ obj.style.overflow = "hidden";
+ obj.style.textAlign = "center";
+ obj.style.width = bw + "px";
+ obj.style.left = (tx-(bw/2)) + "px";
+ obj.style.top = ty-(th/1.8) + "px";
+ obj.appendChild(document.createTextNode(per + "%"));
+ textdiv.appendChild(obj);
+ }
+ }
+ }
+ }
+}
+function setBarChart(canvasobj,textobj) {
+ var iw = canvas_width*0.9; var ih = canvas_height*0.9;
+ var pw = canvas_width*0.05; var ph = canvas_height*0.05;
+ var cm = 0; var ct = 0;
+ for (var data in ChartData) {
+ cm = Math.max(cm,ChartData[data]);
+ ct += ChartData[data];
+ }
+ var hf = ih/cm; var bs = colorSlice.length;
+ var bw = iw/(bs*1.1); var poc = ct/100;
+ var th = roundTo((bw/3)*0.75,0);
+ var canvas = document.getElementById(canvasobj);
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,canvas_width,canvas_height);
+ }else {
+ ctx.clearRect(0,0,canvas_width,canvas_height);
+ }
+ if(canvas_htmltext >= 1) {
+ if(document.getElementById(textobj)) {
+ canvas.parentNode.removeChild(canvas.parentNode.lastChild);
+ }
+ canvas.parentNode.style.position = "relative";
+ if(!document.getElementById(textobj)) {
+ var obj = document.createElement('div');
+ obj.id = textobj;
+ obj.style.color = "rgb(0,0,0)";
+ obj.style.fontFamily = "Arial,sans-serif";
+ obj.style.fontSize = th + "px";
+ obj.style.zIndex = 11;
+ canvas.parentNode.appendChild(obj);
+ }
+ var textdiv = document.getElementById(obj.id);
+ }
+ var cc = ""; var bh = 0; var idx = 0; var t = 0; per = 0;
+ for (var data in ChartData) {
+ per = roundTo(ChartData[data]/poc,2);
+ bh = ChartData[data]*hf;
+ drawBar(ctx,pw+(t*(bw*1.1)),ph+ih-bh,bw,bh,colorSlice[(idx++)],per,textdiv);
+ t++;
+ }
+ }
+}
+function setLineChart(canvasobj,textobj) {
+ var iw = canvas_width*0.9;
+ var ih = canvas_height*0.9;
+ var pw = canvas_width*0.05;
+ var ph = canvas_height*0.05;
+ var cm = 0;
+ for (var i = 0; i < ChartData.length; i++) {
+ for (var j = 0; j < ChartData[i].length; j++) {
+ cm = Math.max(cm,ChartData[i][j]);
+ }
+ }
+ var hf = (ih*0.95)/cm; var bw = iw;
+ var dw = iw/(ChartData[0].length-1)
+ var dh = ih/(ChartData[0].length-1)
+ var ps = Math.min(pw,ph); lw = ps/4;
+ var B2T;
+ var canvas = document.getElementById(canvasobj);
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,canvas_width,canvas_height);
+ }else {
+ ctx.clearRect(0,0,canvas_width,canvas_height);
+ }
+ if(canvas_noshadow <= 0) {
+ B2T = ctx.createLinearGradient(pw+ps,ph+ih+1,pw+ps+ps,ph+ih+1);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+ps,ph+ih);
+ ctx.lineTo(pw+ps,ph+ih+ps);
+ ctx.lineTo(pw+ps+ps,ph+ih);
+ ctx.closePath();
+ ctx.fill();
+ B2T = ctx.createLinearGradient(pw+ps+ps,ph+ih,pw+ps+ps,ph+ih+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.5)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.0)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+ps+ps,ph+ih);
+ ctx.lineTo(pw+iw,ph+ih);
+ ctx.lineTo(pw+ps+iw,ph+ih+ps);
+ ctx.lineTo(pw+ps,ph+ih+ps);
+ ctx.closePath();
+ ctx.fill();
+ var B2T = ctx.createLinearGradient(pw+iw+1,ph+ps,pw+iw+1,ph+ps+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.35)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+iw,ph+ps);
+ ctx.lineTo(pw+iw,ph+ps+ps);
+ ctx.lineTo(pw+iw+ps,ph+ps);
+ ctx.closePath();
+ ctx.fill();
+ B2T = ctx.createLinearGradient(pw+iw,ph+ps+ps,pw+iw+ps,ph+ps+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.35)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.0)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+iw,ph+ps+ps);
+ ctx.lineTo(pw+iw,ph+ih);
+ ctx.lineTo(pw+iw+ps,ph+ih+ps);
+ ctx.lineTo(pw+iw+ps,ph+ps);
+ ctx.closePath();
+ ctx.fill();
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = lw/2;
+ ctx.strokeStyle = "rgba(255,255,255,0.25)";
+ if(canvas_nofill <= 0) {
+ ctx.fillStyle = "rgba(240,240,240,0.8)";
+ ctx.strokeStyle = "rgba(255,255,255,1)";
+ ctx.fillRect(pw,ph,iw,ih);
+ }
+ for (var i = 0; i < ChartData[0].length-1; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw+(i*dw),ph);
+ ctx.lineTo(pw+(i*dw),ph+ih);
+ ctx.stroke();
+ }
+ for (var i = 1; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw,ph+(i*dh));
+ ctx.lineTo(pw+iw,ph+(i*dh));
+ ctx.stroke();
+ }
+ ctx.lineWidth = lw;
+ var cc = ""; var bh = 0; var idx = 0; var t = 0;
+ for (var i = 0; i < ChartData.length; i++) {
+ for (var j = 0; j < ChartData[i].length; j++) {
+ t = Math.max(t,ChartData[i][j]);
+ }
+ bh = t*hf; cc = colorSlice[(idx++)];
+ drawLine(ctx,pw,ph+ih-bh,bw,bh,dw,ChartData[i],hf,cc,canvas_nofill);
+ }
+ ctx.beginPath();
+ ctx.moveTo(pw,ph);
+ ctx.lineTo(pw,ph+ih);
+ ctx.lineTo(pw+iw+(lw/2),ph+ih);
+ ctx.strokeStyle = "rgba(48,48,48,1)";
+ ctx.stroke();
+ ctx.lineWidth = lw/2;
+ B2T = ctx.createLinearGradient(pw,ph+ih,pw,ph+ih+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,1)');
+ B2T.addColorStop(0.5, 'rgba(0,0,0,1)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.strokeStyle = B2T;
+ for (var i = 0; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw+(i*dw),ph+ih);
+ ctx.lineTo(pw+(i*dw),ph+ih+ps);
+ ctx.stroke();
+ }
+ B2T = ctx.createLinearGradient(pw-ps,ph,pw,ph);
+ B2T.addColorStop(0, 'rgba(0,0,0,0)');
+ B2T.addColorStop(0.5, 'rgba(0,0,0,1)');
+ B2T.addColorStop(1, 'rgba(0,0,0,1)');
+ ctx.strokeStyle = B2T;
+ for (var i = 1; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw-ps,ph+(i*dh));
+ ctx.lineTo(pw,ph+(i*dh));
+ ctx.stroke();
+ }
+ }
+}
+function generateCanvas() {
+ if(canvasSupport) {
+ var canvasID; var tdataID; var textID;
+ var tmp; var dat; var i; var j;
+ for(i=0; i < piecharts.length; i++) {
+ tmp = piecharts[i].id.split("_");
+ tdataID = piecharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID);
+ if(colorSlice.length > 0) {
+ j = 0; dat = ""; for(dat in ChartData) {j++; }
+ if(j > 0 && j == colorSlice.length) {
+ setPieChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ for(i=0; i < barcharts.length; i++) {
+ tmp = barcharts[i].id.split("_");
+ tdataID = barcharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID);
+ if(colorSlice.length > 0) {
+ j = 0; dat = ""; for(dat in ChartData) {j++; }
+ if(j > 0 && j == colorSlice.length) {
+ setBarChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ for(i=0; i < linecharts.length; i++) {
+ tmp = linecharts[i].id.split("_");
+ tdataID = linecharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID,true);
+ if(colorSlice.length > 0) {
+ if(ChartData.length == colorSlice.length) {
+ setLineChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ }
+}
+// canvas chart extension
+
+// invisible select extension
+function setListPos(opt) {
+ if(!isIE) { //(degrade IE)
+ var ref = document.getElementById('list');
+ var x = findPosX(ref); var y = findPosY(ref);
+ var obj = document.getElementById('jumplist');
+ obj.style.position = 'fixed'; obj.style.left = x + 'px'; obj.style.top = y + 'px';
+ var vol = document.getElementById('volumelist');
+ ref = document.getElementById('volume');
+ x = findPosX(ref); if(isOp) {y = window.innerHeight-imgHeight; }else {y = findPosY(ref);}
+ vol.style.position = 'fixed'; vol.style.left = x + 'px'; vol.style.top = y + 'px';
+ var del = document.getElementById('delaylist');
+ ref = document.getElementById('delay');
+ x = findPosX(ref); if(isOp) {y = window.innerHeight-imgHeight; }else {y = findPosY(ref);}
+ del.style.position = 'fixed'; del.style.left = x + 'px'; del.style.top = y + 'px';
+ var pnl = document.getElementById('navLinks');
+ }
+ if(opt) {
+ if(isIE) {
+ //(degrade IE)
+ //pnl.style.filter = "alpha(opacity=80)";
+ }else {
+ obj.style.opacity = 0.0;
+ vol.style.opacity = 0.0;
+ del.style.opacity = 0.0;
+ pnl.style.opacity = 0.8;
+ }
+ }
+}
+// invisible select extension
+
+// transition extension
+function switchFade() {
+ if(tranSitions && s5mode && fadeModus) {
+ fadeModus = false;
+ playDelay = playDelay-(2*fadeDuration);
+ var obj = document.getElementById('fade'); changeClass(obj,'isoff');
+ }else if(tranSitions && s5mode && !fadeModus) {
+ fadeModus = true;
+ playDelay = playDelay+(2*fadeDuration);
+ var obj = document.getElementById('fade'); changeClass(obj,'ison');
+ }
+ currentSlide();
+}
+function opacity(ids, opacStart, opacEnd, millisec) {
+ var speed = Math.round(millisec / 100);
+ var timer = 0;
+ if(opacStart > opacEnd) {
+ for(var i = opacStart; i >= opacEnd; i--) {
+ window.setTimeout("changeOpac(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ } else if(opacStart < opacEnd) {
+ for(var i = opacStart; i <= opacEnd; i++) {
+ window.setTimeout("changeOpac(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ }
+}
+function changeOpac(opacity, ids) {
+ var obj = document.getElementById(ids);
+ if (isIE) {
+ obj.style.filter = "alpha(opacity=" + opacity + ")";
+ } else {
+ obj.style.opacity = (opacity / 100);
+ }
+}
+function shiftOpacity(ids, millisec) {
+ if(document.getElementById(ids).style.opacity != '') {
+ var currentOpac = document.getElementById(ids).style.opacity * 100;
+ } else {
+ var currentOpac = 0;
+ }
+ if(currentOpac == 0) {
+ opacity(ids, currentOpac, 100, millisec);
+ } else if(currentOpac > 0) {
+ opacity(ids, currentOpac, 0, millisec);
+ }
+}
+// transition extension
+
+// autoplay extension
+function autoPlay() {
+ if (s5mode && autoMatic && !playPause) {
+ if ((snum >= (smax-1)) && playLoop) {
+ goTo(0);
+ autoRun = setTimeout('autoPlay();',playDelay);
+ }else if ((snum >= (smax-1)) && !playLoop) {
+ stopPlay();
+ }else {
+ if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ if (incrementals[snum].length >0) {
+ clearTimeout(autoRun); autoRun = null;
+ incrDelay = parseInt(playDelay/(incrementals[snum].length+1));
+ remainDer = parseInt(playDelay-(incrDelay*incrementals[snum].length));
+ autoRun = setTimeout('autoPlayIncr()',incrDelay);
+ }
+ } else {
+ clearTimeout(autoRun); autoRun = null;
+ incrDelay = incrDuration;
+ remainDer = parseInt(playDelay-(incrementals[snum].length*incrDuration));
+ autoRun = setTimeout('autoPlayIncr()',incrDelay);
+ }
+ autoRun = setTimeout('autoPlay();',playDelay);
+ }
+ }
+}
+function autoPlayIncr() {
+ if (incpos < incrementals[snum].length) {
+ subgo(1);
+ autoRun = setTimeout('autoPlayIncr();',incrDelay);
+ }else {
+ autoRun = setTimeout('nop();',remainDer);
+ }
+}
+function nop() {
+ // no operation dummy
+}
+function togglePlay() {
+ if (autoRun && s5mode) {
+ stopPlay();
+ }else if (!autoRun && s5mode) {
+ startPlay();
+ }
+}
+function stopPlay() {
+ if (autoRun && s5mode) {
+ clearTimeout(autoRun); autoRun = null;
+ autoMatic = false; playPause = false; var obj = '';
+ obj = document.getElementById('auto'); changeClass(obj,'isoff');
+ obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ currentSlide();
+ }
+}
+function startPlay() {
+ if (!autoRun && s5mode) {
+ playPause = false; autoMatic = true; var obj = '';
+ obj = document.getElementById('auto'); changeClass(obj,'ison');
+ obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ autoRun = setTimeout('autoPlay();',playDelay);
+ currentSlide();
+ }
+}
+function pausePlay() {
+ if (s5mode && autoMatic) {
+ if (playPause) {
+ playPause = false; autoRun = setTimeout('autoPlay();',playDelay);
+ var obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ }else {
+ if (autoRun){
+ clearTimeout(autoRun); autoRun = null; playPause = true;
+ var obj = document.getElementById('pause'); changeClass(obj,'ison');
+ }
+ }
+ currentSlide();
+ }
+}
+function switchLoop() {
+ if(s5mode) {
+ if (playLoop) {
+ playLoop = false;
+ var obj = document.getElementById('loop'); changeClass(obj,'isoff');
+ }else {
+ playLoop = true;
+ var obj = document.getElementById('loop'); changeClass(obj,'ison');
+ }
+ }
+ currentSlide();
+}
+function setDelay() {
+ var val = document.getElementById('delaylist');
+ if(s5mode) {
+ var delay = Math.max(5,Math.min(parseInt(val.value),300));
+ playDelay = (fadeModus == true) ? ((delay*1000)+(2*fadeDuration)) : (delay*1000);
+ }
+}
+// autoplay extension
+
+// audio extension
+function createSoundManagerScript() {
+ if(typeof soundManager=="undefined") {
+ onerrorSM2();
+ }else {
+ var script=document.createElement('SCRIPT');
+ var tx=document.createTextNode("soundManager.createMovie();");
+ script.appendChild(tx);
+ document.getElementsByTagName("body")[0].appendChild(script);
+ }
+}
+//soundManager.onload = function() {
+function onloadSM2() {
+ if(!isIE) { //(degrade IE)
+ swfUnloaded = false;
+ preloadSounds();
+ }
+}
+//soundManager.onerror = function() {
+function onerrorSM2() {
+ if(typeof soundManager!="undefined") {
+ soundManager.destruct;
+ delete soundManager;
+ }
+ audioSupport = false; swfUnloaded = true;
+ if(audioError && !isIE && !isOp) {
+ var dv = document.createElement('div'); dv.id = "guru";
+ var d2=document.createElement('div'); dv.appendChild(d2);
+ var tx=document.createTextNode('Guru Meditation - SoundManager failed to load/initialize!');
+ d2.appendChild(tx); document.getElementById('slide0').appendChild(dv);
+ }
+}
+function audioSetup() {
+ if(sound[0]) {
+ playSound(0);
+ }else if(sound[bgSoundItem] && !sound[0]) {
+ playSound(bgSoundItem);
+ }
+}
+function fadeoutSound(ids, option) {
+ if(curSoundID >= 0 && !swfUnloaded) {
+ if(isNaN(ids)) {
+ if(ids == "bgSound") {
+ var cnum = parseInt(bgSoundItem);
+ }else {
+ for (var i = 0; i < (sound.length-1); i++) {
+ if(sound[i] && ids == sound[i]["id"]) {
+ var cnum = i;
+ break;
+ }
+ }
+ }
+ }else {
+ var cnum = ids;
+ ids = sound[cnum]["id"];
+ }
+ var vol = getMaxVolume(sound[cnum]["volume"]);
+ var millisec = fadeDuration;
+ var speed = Math.round(millisec / vol);
+ var timer = 0;
+ for(var i = vol; i > 0; i--) {
+ setTimeout("fadeout(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ if(option) setTimeout("stopSound('"+ids+"')",millisec);
+ }
+}
+function fadeout(volume, id) {
+ soundManager.setVolume(id,volume);
+}
+function stopSound(ids) {
+ var sid;
+ if(isNaN(ids)) {
+ sid = ids;
+ }else if(sound[ids]) {
+ sid = sound[ids]["id"];
+ }
+ if(sid!='' && curSoundID >= 0) {
+ soundManager.stop(sid);
+ curSoundID = -1;
+ }
+}
+function toggleSounds() {
+ if(audioSupport && !swfUnloaded) {
+ stopAllSounds();
+ }else if (!audioSupport && !swfUnloaded) {
+ allowSounds();
+ }
+}
+function allowSounds() {
+ if(!swfUnloaded) {
+ audioSupport = true;
+ var obj = document.getElementById('audio'); changeClass(obj,'ison');
+ currentSlide();
+ }
+}
+function stopAllSounds() {
+ if(curSoundID >= 0) {
+ stopSound(curSoundID);
+ }else {
+ soundManager.stopAll();
+ curSoundID = -1;
+ }
+ audioSupport = false;
+ var obj = document.getElementById('audio'); changeClass(obj,'isoff');
+ currentSlide();
+}
+function playSound(id) {
+ if(audioSupport && !swfUnloaded) {
+ var url, sid, vol, lps, cnum;
+ if(isNaN(id)) {
+ sid = id;
+ if(sid == "bgSound") {
+ cnum = parseInt(bgSoundItem);
+ }else {
+ cnum = getSoundID(id);
+ }
+ }else {
+ cnum = parseInt(id);
+ if(sound[cnum]) sid = sound[cnum]["id"];
+ }
+ if(sound[cnum] && sound[cnum]["url"]!='' && sid!=''){
+ url = sound[cnum]["url"];
+ vol = (sound[cnum]["volume"]!='')?getMaxVolume(sound[cnum]["volume"]):getMaxVolume(100);
+ lps = (sound[cnum]["loops"])?true:false;
+ if(lps) {
+ soundManager.play(sid,{volume:vol,onplay:function(){curSoundID=cnum;},onfinish:function(){soundManager.play(sid,{volume:getMaxVolume(sound[cnum]["volume"])});}});
+ }else {
+ soundManager.play(sid,{volume:vol,onplay:function(){curSoundID=cnum;},onfinish:function(){curSoundID=-1;}});
+ }
+ }
+ }
+}
+function getSoundID(str) {
+ for (var i = 0; i < (sound.length-1); i++) {
+ if(sound[i] && str == sound[i]["id"]) {
+ var id = i;
+ break;
+ }
+ }
+ return id;
+}
+function setVolume() {
+ var vol = document.getElementById('volumelist');
+ if(audioSupport && !swfUnloaded) {
+ audioVolume = parseInt(vol.value);
+ if(curSoundID >= 0) {
+ if(sound[curSoundID]) {
+ var sid = sound[curSoundID]["id"];
+ var vid = getMaxVolume(sound[curSoundID]["volume"]);
+ soundManager.setVolume(sid,vid);
+ }
+ }
+ }
+}
+function getMaxVolume(value) {
+ if(audioVolume>0) {var factor = audioVolume/100;}else {var factor = 0;}
+ return Math.max(0,Math.min(parseInt(value*factor),audioVolume));
+}
+function preloadSounds() {
+ var temp = ''; var parm = ''; var t = ''; var cl = '';
+ var objects = document.getElementsByTagName('object');
+ for (var i=0; i < objects.length; i++) {
+ if(objects[i].type.toLowerCase() == 'audio/mp3' && objects[i].data != '') {
+ objects[i].width = 0; objects[i].height = 0;
+ if(objects[i].parentNode.tagName == 'DIV') {
+ cl = objects[i].parentNode.className.toLowerCase();
+ if(cl == 'presentation' || cl == 'slide') {
+ if(cl == 'presentation') {
+ t = parseInt(bgSoundItem);
+ }else {
+ t = parseInt(objects[i].parentNode.id.slice(5, objects[i].parentNode.id.length));
+ }
+ sound[t] = new Object();
+ if(t < bgSoundItem) {
+ sound[t]["id"] = objects[i].parentNode.id;
+ }else {
+ sound[t]["id"] = "bgSound";
+ }
+ sound[t]["url"] = objects[i].data;
+ sound[t]["volume"] = 100; sound[t]["loops"] = false;
+ if(objects[i].archive != '') {
+ parm = objects[i].archive.toLowerCase().split(',');
+ for (var j=0; j < parm.length; j++) {
+ if(parm[j] == 'loop') sound[t]["loops"] = true;
+ if(parm[j].search(/^volume/) != -1) {
+ var tmp = parm[j].split(':');
+ sound[t]["volume"] = parseInt(tmp[1]);
+ }
+ }
+ }
+ soundManager.createSound(sound[t]["id"],sound[t]["url"]);
+ }
+ }
+ }
+ }
+}
+// audio extension
+
+// help extension
+function createHelpReq() {
+ if(!document.getElementById("HelpReq")) {
+ var obj = document.getElementsByTagName("body")[0].firstChild;
+ var pg = document.createElement('div');
+ pg.id = "HelpReq";
+ if (pg.addEventListener) {
+ pg.addEventListener("onclick",dumpHelpReq,false);
+ } else if (pg.attachEvent) {
+ pg.attachEvent("onclick",dumpHelpReq);
+ }
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=90)";
+ } else {
+ pg.style.opacity = 0.9;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(64,64,64)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/help.jpg)";
+ document.getElementsByTagName("body")[0].insertBefore(pg,obj);
+ if(document.getElementById("HelpReq")) {
+ helpmode = true;
+ }
+ }
+}
+function dumpHelpReq() {
+ if(document.getElementById("HelpReq")) {
+ document.body.removeChild(document.getElementById('HelpReq'));
+ helpmode = false;
+ }
+}
+// help extension
+
+//DEBUG
+function ConsoleLog(value) {
+ if(window.console) {
+ window.console.log(value);
+ }
+}
+//DEBUG
+
+document.write('<style type="text/css" media="screen" id="blockStyle">.presentation, .layout {display: none; }</style>');
+
+if(!isIE && !isOp) {
+ document.write('<script type="text/javascript" src="'+s5Path+'ui/audio_support/soundmanager2.js"></script>');
+ if(typeof soundManager!="undefined") {
+ var allMetas = document.getElementsByTagName('meta');
+ for (var i = 0; i< allMetas.length; i++) {
+ if (allMetas[i].name == 'audioDebug') {
+ var audioDebug = (allMetas[i].content == "true") ? true : false;
+ soundManager.defaultOptions.debugMode = audioDebug;
+ }
+ }
+ }
+}
+
+window.onload = createSlideShow;
+window.onresize = function(){setTimeout('windowChange()',5);} \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/toggle_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/toggle_link.png
new file mode 100644
index 000000000..4588e2514
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/toggle_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/toggle_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/toggle_over.png
new file mode 100644
index 000000000..400f613b6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/toggle_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/volume_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/volume_link.png
new file mode 100644
index 000000000..f362acd1f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/volume_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/wrap.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/wrap.css
new file mode 100644
index 000000000..a37315552
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/wrap.css
@@ -0,0 +1,410 @@
+/********************************************************************
+Screen and Print Styles for the Wrap Plugin
+********************************************************************/
+
+/* tables in columns and boxes should span the whole width */
+.wrap_column table,
+.wrap_left table, .wrap_right table, .wrap_center table,
+.wrap_box table,
+.wrap_info table, .wrap_important table, .wrap_alert table, .wrap_tip table, .wrap_help table, .wrap_todo table, .wrap_download table {
+ width: 100%;
+}
+/* emulate a headline */
+.wrap_column em strong,
+.wrap_left em strong, .wrap_right em strong, .wrap_center em strong,
+.wrap_box em strong,
+.wrap_info em strong, .wrap_important em strong, .wrap_alert em strong, .wrap_tip em strong, .wrap_help em strong, .wrap_todo em strong, .wrap_download em strong {
+ font-size: 130%;
+ font-weight: bold;
+ font-style: normal;
+ display: block;
+}
+/* emulate a bigger headline with a bottom border */
+.wrap_column em strong em.u,
+.wrap_left em strong em.u, .wrap_right em strong em.u, .wrap_center em strong em.u,
+.wrap_box em strong em.u,
+.wrap_info em strong em.u, .wrap_important em strong em.u, .wrap_alert em strong em.u, .wrap_tip em strong em.u, .wrap_help em strong em.u, .wrap_todo em strong em.u, .wrap_download em strong em.u {
+ font-size: 115%;
+ border-bottom: 1px solid __border__;
+ font-style: normal;
+ text-decoration: none;
+ display: block;
+}
+/* different bigger headline for safety notes */
+.wrap_danger em strong em.u, .wrap_warning em strong em.u, .wrap_caution em strong em.u, .wrap_notice em strong em.u, .wrap_safety em strong em.u {
+ font-size: 115%;
+ font-style: normal;
+ text-decoration: none;
+ display: block;
+ text-transform: uppercase;
+ border-bottom-width: 0;
+}
+/* change border colour of emulated headlines inside boxes to something more neutral
+ (to match all the different background colours) */
+.wrap_box em strong em.u,
+.wrap_info em strong em.u, .wrap_important em strong em.u, .wrap_alert em strong em.u, .wrap_tip em strong em.u, .wrap_help em strong em.u, .wrap_todo em strong em.u, .wrap_download em strong em.u {
+ border-bottom-color: #999;
+}
+
+
+/* columns
+********************************************************************/
+
+.wrap_left,
+.wrap_column {
+ float: left;
+ margin-right: 1.5em;
+}
+.wrap_right {
+ float: right;
+ margin-left: 1.5em;
+}
+.wrap_center {
+ display: block;
+ margin-left: auto;
+ margin-right: auto;
+}
+/*
+.wrap_column,
+.wrap_left,
+.wrap_right {
+ overflow: hidden;
+}
+*/
+.wrap_container {
+ margin-right: -1.5em;
+}
+
+
+/* alignments
+********************************************************************/
+
+.wrap_leftalign {
+ text-align: left;
+}
+.wrap_centeralign {
+ text-align: center;
+}
+.wrap_rightalign {
+ text-align: right;
+}
+.wrap_justify {
+ text-align: justify;
+}
+
+
+/* box
+********************************************************************/
+
+/* see styles for boxes and notes with icons in style.css */
+
+/*____________ rounded corners ____________*/
+/* (only for modern browsers) */
+
+div.wrap_round {
+ border-radius: 20px;
+ -moz-border-radius: 20px;
+ -webkit-border-radius: 20px;
+ -khtml-border-radius: 20px;
+}
+span.wrap_round {
+ border-radius: 2px;
+ -moz-border-radius: 2px;
+ -webkit-border-radius: 2px;
+ -khtml-border-radius: 2px;
+}
+
+
+/* mark
+********************************************************************/
+
+div.wrap_lo {
+ color: __text_neu__;
+ font-size: 85%;
+}
+div.wrap_em {
+ color: #c00;
+ font-weight: bold;
+}
+
+/* see styles for highlighted text in style.css */
+
+
+/* typography
+********************************************************************/
+
+/*____________ fonts ____________*/
+
+div.wrap_sansserif {
+ font-family: Verdana,Tahoma,Geneva,"DejaVu Sans","Bitstream Vera Sans","Liberation Sans", Arial,Helvetica,FreeSans,"Liberation Sans","Nimbus Sans L", sans-serif;
+}
+div.wrap_serif {
+ font-family: Georgia,Garamond,"Palatino Linotype","Book Antiqua",Palatino,Palladio,"URW Palladio L","Liberation Serif",Didot,Gentium,"Bitstream Charter","Century Schoolbook L", "Times New Roman",Times,"Nimbus Roman No9 L","FreeSerif", serif;
+}
+div.wrap_monospace {
+ font-family: Courier,"Courier New",FreeMono,"Nimbus Mono L","Liberation Mono", "Lucida Console",Monaco,"DejaVu Sans Mono","Bitstream Vera Sans Mono", monospace;
+}
+
+/*____________ font size ____________*/
+
+div.wrap_bigger {
+ font-size: 125%;
+}
+div.wrap_muchbigger {
+ font-size: 200%;
+}
+div.wrap_smaller {
+ font-size: 75%;
+}
+
+/*____________ font colours ____________*/
+
+div.wrap_fgred {
+ color: #900;
+}
+div.wrap_fggreen {
+ color: #090;
+}
+div.wrap_fgblue {
+ color: #009;
+}
+div.wrap_fgcyan {
+ color: #099;
+}
+div.wrap_fgviolet {
+ color: #909;
+}
+div.wrap_fgyellow {
+ color: #990;
+}
+div.wrap_fggrey {
+ color: #666;
+}
+div.wrap_fgblack {
+ color: #000;
+}
+
+/* see styles for background colours and white font colour in style.css */
+
+
+/* miscellaneous
+********************************************************************/
+
+/*____________ indent ____________*/
+
+div.wrap_indent {
+ padding-left: 1.5em;
+}
+
+/*____________ outdent ____________*/
+
+div.wrap_outdent {
+ margin-left: -1.5em;
+}
+
+/*____________ word wrapping in pre ____________*/
+
+divdiv.wrap_prewrap pre {
+ white-space: pre-wrap;
+ word-wrap: break-word;/* for IE < 8 */
+ /* white-space: -moz-pre-wrap; for FF < 3 */
+}
+
+/*____________ spoiler ____________*/
+
+divdiv.wrap_spoiler {
+ margin-bottom: 1.5em;
+}
+/* see rest of spoiler styles in style.css */
+
+/*____________ clear float ____________*/
+
+div.wrap_clear {
+ clear: both;
+ line-height: 0;
+ height: 0;
+ font-size: 1px;
+ visibility: hidden;
+ overflow: hidden;
+}
+
+/*____________ hide ____________*/
+
+div.wrap_hide {
+ display: none;
+}
+
+
+/* STYLE.CSS */
+
+/* box
+********************************************************************/
+
+.wrap_box {
+ background: __background_alt__;
+ color: __text__;
+/*
+ overflow: hidden;
+*/
+}
+div.wrap_box,
+div.wrap_danger, div.wrap_warning, div.wrap_caution, div.wrap_notice, div.wrap_safety {
+ padding: 1em 1em .5em;
+ margin-bottom: 1.5em;
+}
+span.wrap_box,
+span.wrap_danger, span.wrap_warning, span.wrap_caution, span.wrap_notice, span.wrap_safety {
+ padding: 0 .3em;
+}
+
+/*____________ notes with icons ____________*/
+
+/* general styles for all note divs */
+div.wrap_info, div.wrap_important, div.wrap_alert, div.wrap_tip, div.wrap_help, div.wrap_todo, div.wrap_download {
+ padding: 1em 1em .5em 70px;
+ margin-bottom: 1.5em;
+ min-height: 68px;
+ background-position: 10px 50%;
+ background-repeat: no-repeat;
+ color: #000;
+}
+/* special treatment for IE6 */
+* html div.wrap_info, * html div.wrap_important, * html div.wrap_alert, * html div.wrap_tip, * html div.wrap_help, * html div.wrap_todo, * html div.wrap_download {
+ height: 68px;
+}
+/* general styles for all note spans */
+span.wrap_info, span.wrap_important, span.wrap_alert, span.wrap_tip, span.wrap_help, span.wrap_todo, span.wrap_download {
+ padding: 0 2px 0 20px;
+ min-height: 20px;
+ background-position: 2px 50%;
+ background-repeat: no-repeat;
+ color: #000;
+}
+/* special treatment for IE6 */
+* html span.wrap_info, * html span.wrap_important, * html span.wrap_alert, * html span.wrap_tip, * html span.wrap_help, * html span.wrap_todo, * html span.wrap_download {
+ height: 20px;
+}
+
+/*____________ info ____________*/
+.wrap_info { background-color: #d1d7df; }
+div.wrap_info { background-image: url(../../../wrap/images/note/48/info.png); }
+span.wrap_info { background-image: url(../../../wrap/images/note/16/info.png); }
+
+/*____________ important ____________*/
+.wrap_important { background-color: #ffd39f; }
+div.wrap_important { background-image: url(../../../wrap/images/note/48/important.png); }
+span.wrap_important { background-image: url(../../../wrap/images/note/16/important.png); }
+
+/*____________ alert ____________*/
+.wrap_alert { background-color: #ffbcaf; }
+div.wrap_alert { background-image: url(../../../wrap/images/note/48/alert.png); }
+span.wrap_alert { background-image: url(../../../wrap/images/note/16/alert.png); }
+
+/*____________ tip ____________*/
+.wrap_tip { background-color: #fff79f; }
+div.wrap_tip { background-image: url(../../../wrap/images/note/48/tip.png); }
+span.wrap_tip { background-image: url(../../../wrap/images/note/16/tip.png); }
+
+/*____________ help ____________*/
+.wrap_help { background-color: #dcc2ef; }
+div.wrap_help { background-image: url(../../../wrap/images/note/48/help.png); }
+span.wrap_help { background-image: url(../../../wrap/images/note/16/help.png); }
+
+/*____________ todo ____________*/
+.wrap_todo { background-color: #c2efdd; }
+div.wrap_todo { background-image: url(../../../wrap/images/note/48/todo.png); }
+span.wrap_todo { background-image: url(../../../wrap/images/note/16/todo.png); }
+
+/*____________ download ____________*/
+.wrap_download { background-color: #d6efc2; }
+div.wrap_download { background-image: url(../../../wrap/images/note/48/download.png); }
+span.wrap_download { background-image: url(../../../wrap/images/note/16/download.png); }
+
+
+/*____________ safety notes ____________*/
+
+.wrap_danger {
+ background-color: #c00;
+ color: #fff;
+}
+.wrap_warning {
+ background-color: #f60;
+ color: #000;
+}
+.wrap_caution {
+ background-color: #ff0;
+ color: #000;
+}
+.wrap_notice {
+ background-color: #06f;
+ color: #fff;
+}
+.wrap_safety {
+ background-color: #090;
+ color: #fff;
+}
+
+
+/* mark
+********************************************************************/
+
+div.wrap_hi {
+ background-color: #ff9;
+}
+
+/* typography
+********************************************************************/
+
+/*____________ font colours ____________*/
+
+div.wrap_fgwhite {
+ color: #fff;
+}
+
+/*____________ background colours ____________*/
+
+div.wrap_bgred {
+ background-color: #fcc;
+}
+div.wrap_bggreen {
+ background-color: #cfc;
+}
+div.wrap_bgblue {
+ background-color: #ccf;
+}
+div.wrap_bgcyan {
+ background-color: #9ff;
+}
+div.wrap_bgviolet {
+ background-color: #f9f;
+}
+div.wrap_bgyellow {
+ background-color: #ff9;
+}
+div.wrap_bggrey {
+ background-color: #ccc;
+}
+div.wrap_bgwhite {
+ background-color: #fff;
+}
+div.wrap_bgblack {
+ background-color: #000;
+}
+
+
+/* miscellaneous
+********************************************************************/
+
+/*____________ spoiler ____________*/
+
+div.wrap_spoiler {
+ background-color: __background__ !important;
+ color: __background__ !important;
+ border: 1px dotted red;
+}
+
+/*____________ only print ____________*/
+
+div.wrap_onlyprint {
+ display: none;
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/zero_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/zero_link.png
new file mode 100644
index 000000000..5610884d9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/zero_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/zero_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/zero_over.png
new file mode 100644
index 000000000..3a14a577e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/dokuwiki/zero_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/MIT-LICENSE b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/MIT-LICENSE
new file mode 100644
index 000000000..6d1340dbc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/MIT-LICENSE
@@ -0,0 +1,20 @@
+Copyright (c) 2005-2009 Thomas Fuchs (http://script.aculo.us, http://mir.aculo.us)
+
+Permission is hereby granted, free of charge, to any person obtaining
+a copy of this software and associated documentation files (the
+"Software"), to deal in the Software without restriction, including
+without limitation the rights to use, copy, modify, merge, publish,
+distribute, sublicense, and/or sell copies of the Software, and to
+permit persons to whom the Software is furnished to do so, subject to
+the following conditions:
+
+The above copyright notice and this permission notice shall be
+included in all copies or substantial portions of the Software.
+
+THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
+EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF
+MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
+NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE
+LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION
+OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION
+WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/builder.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/builder.js
new file mode 100644
index 000000000..53ea36f64
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/builder.js
@@ -0,0 +1,136 @@
+// script.aculo.us builder.js v1.8.3, Thu Oct 08 11:23:33 +0200 2009
+
+// Copyright (c) 2005-2009 Thomas Fuchs (http://script.aculo.us, http://mir.aculo.us)
+//
+// script.aculo.us is freely distributable under the terms of an MIT-style license.
+// For details, see the script.aculo.us web site: http://script.aculo.us/
+
+var Builder = {
+ NODEMAP: {
+ AREA: 'map',
+ CAPTION: 'table',
+ COL: 'table',
+ COLGROUP: 'table',
+ LEGEND: 'fieldset',
+ OPTGROUP: 'select',
+ OPTION: 'select',
+ PARAM: 'object',
+ TBODY: 'table',
+ TD: 'table',
+ TFOOT: 'table',
+ TH: 'table',
+ THEAD: 'table',
+ TR: 'table'
+ },
+ // note: For Firefox < 1.5, OPTION and OPTGROUP tags are currently broken,
+ // due to a Firefox bug
+ node: function(elementName) {
+ elementName = elementName.toUpperCase();
+
+ // try innerHTML approach
+ var parentTag = this.NODEMAP[elementName] || 'div';
+ var parentElement = document.createElement(parentTag);
+ try { // prevent IE "feature": http://dev.rubyonrails.org/ticket/2707
+ parentElement.innerHTML = "<" + elementName + "></" + elementName + ">";
+ } catch(e) {}
+ var element = parentElement.firstChild || null;
+
+ // see if browser added wrapping tags
+ if(element && (element.tagName.toUpperCase() != elementName))
+ element = element.getElementsByTagName(elementName)[0];
+
+ // fallback to createElement approach
+ if(!element) element = document.createElement(elementName);
+
+ // abort if nothing could be created
+ if(!element) return;
+
+ // attributes (or text)
+ if(arguments[1])
+ if(this._isStringOrNumber(arguments[1]) ||
+ (arguments[1] instanceof Array) ||
+ arguments[1].tagName) {
+ this._children(element, arguments[1]);
+ } else {
+ var attrs = this._attributes(arguments[1]);
+ if(attrs.length) {
+ try { // prevent IE "feature": http://dev.rubyonrails.org/ticket/2707
+ parentElement.innerHTML = "<" +elementName + " " +
+ attrs + "></" + elementName + ">";
+ } catch(e) {}
+ element = parentElement.firstChild || null;
+ // workaround firefox 1.0.X bug
+ if(!element) {
+ element = document.createElement(elementName);
+ for(attr in arguments[1])
+ element[attr == 'class' ? 'className' : attr] = arguments[1][attr];
+ }
+ if(element.tagName.toUpperCase() != elementName)
+ element = parentElement.getElementsByTagName(elementName)[0];
+ }
+ }
+
+ // text, or array of children
+ if(arguments[2])
+ this._children(element, arguments[2]);
+
+ return DOKUid(element);
+ },
+ _text: function(text) {
+ return document.createTextNode(text);
+ },
+
+ ATTR_MAP: {
+ 'className': 'class',
+ 'htmlFor': 'for'
+ },
+
+ _attributes: function(attributes) {
+ var attrs = [];
+ for(attribute in attributes)
+ attrs.push((attribute in this.ATTR_MAP ? this.ATTR_MAP[attribute] : attribute) +
+ '="' + attributes[attribute].toString().escapeHTML().gsub(/"/,'&quot;') + '"');
+ return attrs.join(" ");
+ },
+ _children: function(element, children) {
+ if(children.tagName) {
+ element.appendChild(children);
+ return;
+ }
+ if(typeof children=='object') { // array can hold nodes and text
+ children.flatten().each( function(e) {
+ if(typeof e=='object')
+ element.appendChild(e);
+ else
+ if(Builder._isStringOrNumber(e))
+ element.appendChild(Builder._text(e));
+ });
+ } else
+ if(Builder._isStringOrNumber(children))
+ element.appendChild(Builder._text(children));
+ },
+ _isStringOrNumber: function(param) {
+ return(typeof param=='string' || typeof param=='number');
+ },
+ build: function(html) {
+ var element = this.node('div');
+ DOKUid(element).update(html.strip());
+ return element.down();
+ },
+ dump: function(scope) {
+ if(typeof scope != 'object' && typeof scope != 'function') scope = window; //global scope
+
+ var tags = ("A ABBR ACRONYM ADDRESS APPLET AREA B BASE BASEFONT BDO BIG BLOCKQUOTE BODY " +
+ "BR BUTTON CAPTION CENTER CITE CODE COL COLGROUP DD DEL DFN DIR DIV DL DT EM FIELDSET " +
+ "FONT FORM FRAME FRAMESET H1 H2 H3 H4 H5 H6 HEAD HR HTML I IFRAME IMG INPUT INS ISINDEX "+
+ "KBD LABEL LEGEND LI LINK MAP MENU META NOFRAMES NOSCRIPT OBJECT OL OPTGROUP OPTION P "+
+ "PARAM PRE Q S SAMP SCRIPT SELECT SMALL SPAN STRIKE STRONG STYLE SUB SUP TABLE TBODY TD "+
+ "TEXTAREA TFOOT TH THEAD TITLE TR TT U UL VAR").split(/\s+/);
+
+ tags.each( function(tag){
+ scope[tag] = function() {
+ return Builder.node.apply(Builder, [tag].concat($A(arguments)));
+ };
+ });
+ }
+}; \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/controls.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/controls.js
new file mode 100644
index 000000000..eb9c4c08a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/controls.js
@@ -0,0 +1,965 @@
+// script.aculo.us controls.js v1.8.3, Thu Oct 08 11:23:33 +0200 2009
+
+// Copyright (c) 2005-2009 Thomas Fuchs (http://script.aculo.us, http://mir.aculo.us)
+// (c) 2005-2009 Ivan Krstic (http://blogs.law.harvard.edu/ivan)
+// (c) 2005-2009 Jon Tirsen (http://www.tirsen.com)
+// Contributors:
+// Richard Livsey
+// Rahul Bhargava
+// Rob Wills
+//
+// script.aculo.us is freely distributable under the terms of an MIT-style license.
+// For details, see the script.aculo.us web site: http://script.aculo.us/
+
+// Autocompleter.Base handles all the autocompletion functionality
+// that's independent of the data source for autocompletion. This
+// includes drawing the autocompletion menu, observing keyboard
+// and mouse events, and similar.
+//
+// Specific autocompleters need to provide, at the very least,
+// a getUpdatedChoices function that will be invoked every time
+// the text inside the monitored textbox changes. This method
+// should get the text for which to provide autocompletion by
+// invoking this.getToken(), NOT by directly accessing
+// this.element.value. This is to allow incremental tokenized
+// autocompletion. Specific auto-completion logic (AJAX, etc)
+// belongs in getUpdatedChoices.
+//
+// Tokenized incremental autocompletion is enabled automatically
+// when an autocompleter is instantiated with the 'tokens' option
+// in the options parameter, e.g.:
+// new Ajax.Autocompleter('id','upd', '/url/', { tokens: ',' });
+// will incrementally autocomplete with a comma as the token.
+// Additionally, ',' in the above example can be replaced with
+// a token array, e.g. { tokens: [',', '\n'] } which
+// enables autocompletion on multiple tokens. This is most
+// useful when one of the tokens is \n (a newline), as it
+// allows smart autocompletion after linebreaks.
+
+if(typeof Effect == 'undefined')
+ throw("controls.js requires including script.aculo.us' effects.js library");
+
+var Autocompleter = { };
+Autocompleter.Base = Class.create({
+ baseInitialize: function(element, update, options) {
+ element = DOKUid(element);
+ this.element = element;
+ this.update = DOKUid(update);
+ this.hasFocus = false;
+ this.changed = false;
+ this.active = false;
+ this.index = 0;
+ this.entryCount = 0;
+ this.oldElementValue = this.element.value;
+
+ if(this.setOptions)
+ this.setOptions(options);
+ else
+ this.options = options || { };
+
+ this.options.paramName = this.options.paramName || this.element.name;
+ this.options.tokens = this.options.tokens || [];
+ this.options.frequency = this.options.frequency || 0.4;
+ this.options.minChars = this.options.minChars || 1;
+ this.options.onShow = this.options.onShow ||
+ function(element, update){
+ if(!update.style.position || update.style.position=='absolute') {
+ update.style.position = 'absolute';
+ Position.clone(element, update, {
+ setHeight: false,
+ offsetTop: element.offsetHeight
+ });
+ }
+ Effect.Appear(update,{duration:0.15});
+ };
+ this.options.onHide = this.options.onHide ||
+ function(element, update){ new Effect.Fade(update,{duration:0.15}) };
+
+ if(typeof(this.options.tokens) == 'string')
+ this.options.tokens = new Array(this.options.tokens);
+ // Force carriage returns as token delimiters anyway
+ if (!this.options.tokens.include('\n'))
+ this.options.tokens.push('\n');
+
+ this.observer = null;
+
+ this.element.setAttribute('autocomplete','off');
+
+ Element.hide(this.update);
+
+ Event.observe(this.element, 'blur', this.onBlur.bindAsEventListener(this));
+ Event.observe(this.element, 'keydown', this.onKeyPress.bindAsEventListener(this));
+ },
+
+ show: function() {
+ if(Element.getStyle(this.update, 'display')=='none') this.options.onShow(this.element, this.update);
+ if(!this.iefix &&
+ (Prototype.Browser.IE) &&
+ (Element.getStyle(this.update, 'position')=='absolute')) {
+ new Insertion.After(this.update,
+ '<iframe id="' + this.update.id + '_iefix" '+
+ 'style="display:none;position:absolute;filter:progid:DXImageTransform.Microsoft.Alpha(opacity=0);" ' +
+ 'src="javascript:false;" frameborder="0" scrolling="no"></iframe>');
+ this.iefix = DOKUid(this.update.id+'_iefix');
+ }
+ if(this.iefix) setTimeout(this.fixIEOverlapping.bind(this), 50);
+ },
+
+ fixIEOverlapping: function() {
+ Position.clone(this.update, this.iefix, {setTop:(!this.update.style.height)});
+ this.iefix.style.zIndex = 1;
+ this.update.style.zIndex = 2;
+ Element.show(this.iefix);
+ },
+
+ hide: function() {
+ this.stopIndicator();
+ if(Element.getStyle(this.update, 'display')!='none') this.options.onHide(this.element, this.update);
+ if(this.iefix) Element.hide(this.iefix);
+ },
+
+ startIndicator: function() {
+ if(this.options.indicator) Element.show(this.options.indicator);
+ },
+
+ stopIndicator: function() {
+ if(this.options.indicator) Element.hide(this.options.indicator);
+ },
+
+ onKeyPress: function(event) {
+ if(this.active)
+ switch(event.keyCode) {
+ case Event.KEY_TAB:
+ case Event.KEY_RETURN:
+ this.selectEntry();
+ Event.stop(event);
+ case Event.KEY_ESC:
+ this.hide();
+ this.active = false;
+ Event.stop(event);
+ return;
+ case Event.KEY_LEFT:
+ case Event.KEY_RIGHT:
+ return;
+ case Event.KEY_UP:
+ this.markPrevious();
+ this.render();
+ Event.stop(event);
+ return;
+ case Event.KEY_DOWN:
+ this.markNext();
+ this.render();
+ Event.stop(event);
+ return;
+ }
+ else
+ if(event.keyCode==Event.KEY_TAB || event.keyCode==Event.KEY_RETURN ||
+ (Prototype.Browser.WebKit > 0 && event.keyCode == 0)) return;
+
+ this.changed = true;
+ this.hasFocus = true;
+
+ if(this.observer) clearTimeout(this.observer);
+ this.observer =
+ setTimeout(this.onObserverEvent.bind(this), this.options.frequency*1000);
+ },
+
+ activate: function() {
+ this.changed = false;
+ this.hasFocus = true;
+ this.getUpdatedChoices();
+ },
+
+ onHover: function(event) {
+ var element = Event.findElement(event, 'LI');
+ if(this.index != element.autocompleteIndex)
+ {
+ this.index = element.autocompleteIndex;
+ this.render();
+ }
+ Event.stop(event);
+ },
+
+ onClick: function(event) {
+ var element = Event.findElement(event, 'LI');
+ this.index = element.autocompleteIndex;
+ this.selectEntry();
+ this.hide();
+ },
+
+ onBlur: function(event) {
+ // needed to make click events working
+ setTimeout(this.hide.bind(this), 250);
+ this.hasFocus = false;
+ this.active = false;
+ },
+
+ render: function() {
+ if(this.entryCount > 0) {
+ for (var i = 0; i < this.entryCount; i++)
+ this.index==i ?
+ Element.addClassName(this.getEntry(i),"selected") :
+ Element.removeClassName(this.getEntry(i),"selected");
+ if(this.hasFocus) {
+ this.show();
+ this.active = true;
+ }
+ } else {
+ this.active = false;
+ this.hide();
+ }
+ },
+
+ markPrevious: function() {
+ if(this.index > 0) this.index--;
+ else this.index = this.entryCount-1;
+ this.getEntry(this.index).scrollIntoView(true);
+ },
+
+ markNext: function() {
+ if(this.index < this.entryCount-1) this.index++;
+ else this.index = 0;
+ this.getEntry(this.index).scrollIntoView(false);
+ },
+
+ getEntry: function(index) {
+ return this.update.firstChild.childNodes[index];
+ },
+
+ getCurrentEntry: function() {
+ return this.getEntry(this.index);
+ },
+
+ selectEntry: function() {
+ this.active = false;
+ this.updateElement(this.getCurrentEntry());
+ },
+
+ updateElement: function(selectedElement) {
+ if (this.options.updateElement) {
+ this.options.updateElement(selectedElement);
+ return;
+ }
+ var value = '';
+ if (this.options.select) {
+ var nodes = DOKUid(selectedElement).select('.' + this.options.select) || [];
+ if(nodes.length>0) value = Element.collectTextNodes(nodes[0], this.options.select);
+ } else
+ value = Element.collectTextNodesIgnoreClass(selectedElement, 'informal');
+
+ var bounds = this.getTokenBounds();
+ if (bounds[0] != -1) {
+ var newValue = this.element.value.substr(0, bounds[0]);
+ var whitespace = this.element.value.substr(bounds[0]).match(/^\s+/);
+ if (whitespace)
+ newValue += whitespace[0];
+ this.element.value = newValue + value + this.element.value.substr(bounds[1]);
+ } else {
+ this.element.value = value;
+ }
+ this.oldElementValue = this.element.value;
+ this.element.focus();
+
+ if (this.options.afterUpdateElement)
+ this.options.afterUpdateElement(this.element, selectedElement);
+ },
+
+ updateChoices: function(choices) {
+ if(!this.changed && this.hasFocus) {
+ this.update.innerHTML = choices;
+ Element.cleanWhitespace(this.update);
+ Element.cleanWhitespace(this.update.down());
+
+ if(this.update.firstChild && this.update.down().childNodes) {
+ this.entryCount =
+ this.update.down().childNodes.length;
+ for (var i = 0; i < this.entryCount; i++) {
+ var entry = this.getEntry(i);
+ entry.autocompleteIndex = i;
+ this.addObservers(entry);
+ }
+ } else {
+ this.entryCount = 0;
+ }
+
+ this.stopIndicator();
+ this.index = 0;
+
+ if(this.entryCount==1 && this.options.autoSelect) {
+ this.selectEntry();
+ this.hide();
+ } else {
+ this.render();
+ }
+ }
+ },
+
+ addObservers: function(element) {
+ Event.observe(element, "mouseover", this.onHover.bindAsEventListener(this));
+ Event.observe(element, "click", this.onClick.bindAsEventListener(this));
+ },
+
+ onObserverEvent: function() {
+ this.changed = false;
+ this.tokenBounds = null;
+ if(this.getToken().length>=this.options.minChars) {
+ this.getUpdatedChoices();
+ } else {
+ this.active = false;
+ this.hide();
+ }
+ this.oldElementValue = this.element.value;
+ },
+
+ getToken: function() {
+ var bounds = this.getTokenBounds();
+ return this.element.value.substring(bounds[0], bounds[1]).strip();
+ },
+
+ getTokenBounds: function() {
+ if (null != this.tokenBounds) return this.tokenBounds;
+ var value = this.element.value;
+ if (value.strip().empty()) return [-1, 0];
+ var diff = arguments.callee.getFirstDifferencePos(value, this.oldElementValue);
+ var offset = (diff == this.oldElementValue.length ? 1 : 0);
+ var prevTokenPos = -1, nextTokenPos = value.length;
+ var tp;
+ for (var index = 0, l = this.options.tokens.length; index < l; ++index) {
+ tp = value.lastIndexOf(this.options.tokens[index], diff + offset - 1);
+ if (tp > prevTokenPos) prevTokenPos = tp;
+ tp = value.indexOf(this.options.tokens[index], diff + offset);
+ if (-1 != tp && tp < nextTokenPos) nextTokenPos = tp;
+ }
+ return (this.tokenBounds = [prevTokenPos + 1, nextTokenPos]);
+ }
+});
+
+Autocompleter.Base.prototype.getTokenBounds.getFirstDifferencePos = function(newS, oldS) {
+ var boundary = Math.min(newS.length, oldS.length);
+ for (var index = 0; index < boundary; ++index)
+ if (newS[index] != oldS[index])
+ return index;
+ return boundary;
+};
+
+Ajax.Autocompleter = Class.create(Autocompleter.Base, {
+ initialize: function(element, update, url, options) {
+ this.baseInitialize(element, update, options);
+ this.options.asynchronous = true;
+ this.options.onComplete = this.onComplete.bind(this);
+ this.options.defaultParams = this.options.parameters || null;
+ this.url = url;
+ },
+
+ getUpdatedChoices: function() {
+ this.startIndicator();
+
+ var entry = encodeURIComponent(this.options.paramName) + '=' +
+ encodeURIComponent(this.getToken());
+
+ this.options.parameters = this.options.callback ?
+ this.options.callback(this.element, entry) : entry;
+
+ if(this.options.defaultParams)
+ this.options.parameters += '&' + this.options.defaultParams;
+
+ new Ajax.Request(this.url, this.options);
+ },
+
+ onComplete: function(request) {
+ this.updateChoices(request.responseText);
+ }
+});
+
+// The local array autocompleter. Used when you'd prefer to
+// inject an array of autocompletion options into the page, rather
+// than sending out Ajax queries, which can be quite slow sometimes.
+//
+// The constructor takes four parameters. The first two are, as usual,
+// the id of the monitored textbox, and id of the autocompletion menu.
+// The third is the array you want to autocomplete from, and the fourth
+// is the options block.
+//
+// Extra local autocompletion options:
+// - choices - How many autocompletion choices to offer
+//
+// - partialSearch - If false, the autocompleter will match entered
+// text only at the beginning of strings in the
+// autocomplete array. Defaults to true, which will
+// match text at the beginning of any *word* in the
+// strings in the autocomplete array. If you want to
+// search anywhere in the string, additionally set
+// the option fullSearch to true (default: off).
+//
+// - fullSsearch - Search anywhere in autocomplete array strings.
+//
+// - partialChars - How many characters to enter before triggering
+// a partial match (unlike minChars, which defines
+// how many characters are required to do any match
+// at all). Defaults to 2.
+//
+// - ignoreCase - Whether to ignore case when autocompleting.
+// Defaults to true.
+//
+// It's possible to pass in a custom function as the 'selector'
+// option, if you prefer to write your own autocompletion logic.
+// In that case, the other options above will not apply unless
+// you support them.
+
+Autocompleter.Local = Class.create(Autocompleter.Base, {
+ initialize: function(element, update, array, options) {
+ this.baseInitialize(element, update, options);
+ this.options.array = array;
+ },
+
+ getUpdatedChoices: function() {
+ this.updateChoices(this.options.selector(this));
+ },
+
+ setOptions: function(options) {
+ this.options = Object.extend({
+ choices: 10,
+ partialSearch: true,
+ partialChars: 2,
+ ignoreCase: true,
+ fullSearch: false,
+ selector: function(instance) {
+ var ret = []; // Beginning matches
+ var partial = []; // Inside matches
+ var entry = instance.getToken();
+ var count = 0;
+
+ for (var i = 0; i < instance.options.array.length &&
+ ret.length < instance.options.choices ; i++) {
+
+ var elem = instance.options.array[i];
+ var foundPos = instance.options.ignoreCase ?
+ elem.toLowerCase().indexOf(entry.toLowerCase()) :
+ elem.indexOf(entry);
+
+ while (foundPos != -1) {
+ if (foundPos == 0 && elem.length != entry.length) {
+ ret.push("<li><strong>" + elem.substr(0, entry.length) + "</strong>" +
+ elem.substr(entry.length) + "</li>");
+ break;
+ } else if (entry.length >= instance.options.partialChars &&
+ instance.options.partialSearch && foundPos != -1) {
+ if (instance.options.fullSearch || /\s/.test(elem.substr(foundPos-1,1))) {
+ partial.push("<li>" + elem.substr(0, foundPos) + "<strong>" +
+ elem.substr(foundPos, entry.length) + "</strong>" + elem.substr(
+ foundPos + entry.length) + "</li>");
+ break;
+ }
+ }
+
+ foundPos = instance.options.ignoreCase ?
+ elem.toLowerCase().indexOf(entry.toLowerCase(), foundPos + 1) :
+ elem.indexOf(entry, foundPos + 1);
+
+ }
+ }
+ if (partial.length)
+ ret = ret.concat(partial.slice(0, instance.options.choices - ret.length));
+ return "<ul>" + ret.join('') + "</ul>";
+ }
+ }, options || { });
+ }
+});
+
+// AJAX in-place editor and collection editor
+// Full rewrite by Christophe Porteneuve <tdd@tddsworld.com> (April 2007).
+
+// Use this if you notice weird scrolling problems on some browsers,
+// the DOM might be a bit confused when this gets called so do this
+// waits 1 ms (with setTimeout) until it does the activation
+Field.scrollFreeActivate = function(field) {
+ setTimeout(function() {
+ Field.activate(field);
+ }, 1);
+};
+
+Ajax.InPlaceEditor = Class.create({
+ initialize: function(element, url, options) {
+ this.url = url;
+ this.element = element = DOKUid(element);
+ this.prepareOptions();
+ this._controls = { };
+ arguments.callee.dealWithDeprecatedOptions(options); // DEPRECATION LAYER!!!
+ Object.extend(this.options, options || { });
+ if (!this.options.formId && this.element.id) {
+ this.options.formId = this.element.id + '-inplaceeditor';
+ if (DOKUid(this.options.formId))
+ this.options.formId = '';
+ }
+ if (this.options.externalControl)
+ this.options.externalControl = DOKUid(this.options.externalControl);
+ if (!this.options.externalControl)
+ this.options.externalControlOnly = false;
+ this._originalBackground = this.element.getStyle('background-color') || 'transparent';
+ this.element.title = this.options.clickToEditText;
+ this._boundCancelHandler = this.handleFormCancellation.bind(this);
+ this._boundComplete = (this.options.onComplete || Prototype.emptyFunction).bind(this);
+ this._boundFailureHandler = this.handleAJAXFailure.bind(this);
+ this._boundSubmitHandler = this.handleFormSubmission.bind(this);
+ this._boundWrapperHandler = this.wrapUp.bind(this);
+ this.registerListeners();
+ },
+ checkForEscapeOrReturn: function(e) {
+ if (!this._editing || e.ctrlKey || e.altKey || e.shiftKey) return;
+ if (Event.KEY_ESC == e.keyCode)
+ this.handleFormCancellation(e);
+ else if (Event.KEY_RETURN == e.keyCode)
+ this.handleFormSubmission(e);
+ },
+ createControl: function(mode, handler, extraClasses) {
+ var control = this.options[mode + 'Control'];
+ var text = this.options[mode + 'Text'];
+ if ('button' == control) {
+ var btn = document.createElement('input');
+ btn.type = 'submit';
+ btn.value = text;
+ btn.className = 'editor_' + mode + '_button';
+ if ('cancel' == mode)
+ btn.onclick = this._boundCancelHandler;
+ this._form.appendChild(btn);
+ this._controls[mode] = btn;
+ } else if ('link' == control) {
+ var link = document.createElement('a');
+ link.href = '#';
+ link.appendChild(document.createTextNode(text));
+ link.onclick = 'cancel' == mode ? this._boundCancelHandler : this._boundSubmitHandler;
+ link.className = 'editor_' + mode + '_link';
+ if (extraClasses)
+ link.className += ' ' + extraClasses;
+ this._form.appendChild(link);
+ this._controls[mode] = link;
+ }
+ },
+ createEditField: function() {
+ var text = (this.options.loadTextURL ? this.options.loadingText : this.getText());
+ var fld;
+ if (1 >= this.options.rows && !/\r|\n/.test(this.getText())) {
+ fld = document.createElement('input');
+ fld.type = 'text';
+ var size = this.options.size || this.options.cols || 0;
+ if (0 < size) fld.size = size;
+ } else {
+ fld = document.createElement('textarea');
+ fld.rows = (1 >= this.options.rows ? this.options.autoRows : this.options.rows);
+ fld.cols = this.options.cols || 40;
+ }
+ fld.name = this.options.paramName;
+ fld.value = text; // No HTML breaks conversion anymore
+ fld.className = 'editor_field';
+ if (this.options.submitOnBlur)
+ fld.onblur = this._boundSubmitHandler;
+ this._controls.editor = fld;
+ if (this.options.loadTextURL)
+ this.loadExternalText();
+ this._form.appendChild(this._controls.editor);
+ },
+ createForm: function() {
+ var ipe = this;
+ function addText(mode, condition) {
+ var text = ipe.options['text' + mode + 'Controls'];
+ if (!text || condition === false) return;
+ ipe._form.appendChild(document.createTextNode(text));
+ };
+ this._form = DOKUid(document.createElement('form'));
+ this._form.id = this.options.formId;
+ this._form.addClassName(this.options.formClassName);
+ this._form.onsubmit = this._boundSubmitHandler;
+ this.createEditField();
+ if ('textarea' == this._controls.editor.tagName.toLowerCase())
+ this._form.appendChild(document.createElement('br'));
+ if (this.options.onFormCustomization)
+ this.options.onFormCustomization(this, this._form);
+ addText('Before', this.options.okControl || this.options.cancelControl);
+ this.createControl('ok', this._boundSubmitHandler);
+ addText('Between', this.options.okControl && this.options.cancelControl);
+ this.createControl('cancel', this._boundCancelHandler, 'editor_cancel');
+ addText('After', this.options.okControl || this.options.cancelControl);
+ },
+ destroy: function() {
+ if (this._oldInnerHTML)
+ this.element.innerHTML = this._oldInnerHTML;
+ this.leaveEditMode();
+ this.unregisterListeners();
+ },
+ enterEditMode: function(e) {
+ if (this._saving || this._editing) return;
+ this._editing = true;
+ this.triggerCallback('onEnterEditMode');
+ if (this.options.externalControl)
+ this.options.externalControl.hide();
+ this.element.hide();
+ this.createForm();
+ this.element.parentNode.insertBefore(this._form, this.element);
+ if (!this.options.loadTextURL)
+ this.postProcessEditField();
+ if (e) Event.stop(e);
+ },
+ enterHover: function(e) {
+ if (this.options.hoverClassName)
+ this.element.addClassName(this.options.hoverClassName);
+ if (this._saving) return;
+ this.triggerCallback('onEnterHover');
+ },
+ getText: function() {
+ return this.element.innerHTML.unescapeHTML();
+ },
+ handleAJAXFailure: function(transport) {
+ this.triggerCallback('onFailure', transport);
+ if (this._oldInnerHTML) {
+ this.element.innerHTML = this._oldInnerHTML;
+ this._oldInnerHTML = null;
+ }
+ },
+ handleFormCancellation: function(e) {
+ this.wrapUp();
+ if (e) Event.stop(e);
+ },
+ handleFormSubmission: function(e) {
+ var form = this._form;
+ var value = $F(this._controls.editor);
+ this.prepareSubmission();
+ var params = this.options.callback(form, value) || '';
+ if (Object.isString(params))
+ params = params.toQueryParams();
+ params.editorId = this.element.id;
+ if (this.options.htmlResponse) {
+ var options = Object.extend({ evalScripts: true }, this.options.ajaxOptions);
+ Object.extend(options, {
+ parameters: params,
+ onComplete: this._boundWrapperHandler,
+ onFailure: this._boundFailureHandler
+ });
+ new Ajax.Updater({ success: this.element }, this.url, options);
+ } else {
+ var options = Object.extend({ method: 'get' }, this.options.ajaxOptions);
+ Object.extend(options, {
+ parameters: params,
+ onComplete: this._boundWrapperHandler,
+ onFailure: this._boundFailureHandler
+ });
+ new Ajax.Request(this.url, options);
+ }
+ if (e) Event.stop(e);
+ },
+ leaveEditMode: function() {
+ this.element.removeClassName(this.options.savingClassName);
+ this.removeForm();
+ this.leaveHover();
+ this.element.style.backgroundColor = this._originalBackground;
+ this.element.show();
+ if (this.options.externalControl)
+ this.options.externalControl.show();
+ this._saving = false;
+ this._editing = false;
+ this._oldInnerHTML = null;
+ this.triggerCallback('onLeaveEditMode');
+ },
+ leaveHover: function(e) {
+ if (this.options.hoverClassName)
+ this.element.removeClassName(this.options.hoverClassName);
+ if (this._saving) return;
+ this.triggerCallback('onLeaveHover');
+ },
+ loadExternalText: function() {
+ this._form.addClassName(this.options.loadingClassName);
+ this._controls.editor.disabled = true;
+ var options = Object.extend({ method: 'get' }, this.options.ajaxOptions);
+ Object.extend(options, {
+ parameters: 'editorId=' + encodeURIComponent(this.element.id),
+ onComplete: Prototype.emptyFunction,
+ onSuccess: function(transport) {
+ this._form.removeClassName(this.options.loadingClassName);
+ var text = transport.responseText;
+ if (this.options.stripLoadedTextTags)
+ text = text.stripTags();
+ this._controls.editor.value = text;
+ this._controls.editor.disabled = false;
+ this.postProcessEditField();
+ }.bind(this),
+ onFailure: this._boundFailureHandler
+ });
+ new Ajax.Request(this.options.loadTextURL, options);
+ },
+ postProcessEditField: function() {
+ var fpc = this.options.fieldPostCreation;
+ if (fpc)
+ DOKUid(this._controls.editor)['focus' == fpc ? 'focus' : 'activate']();
+ },
+ prepareOptions: function() {
+ this.options = Object.clone(Ajax.InPlaceEditor.DefaultOptions);
+ Object.extend(this.options, Ajax.InPlaceEditor.DefaultCallbacks);
+ [this._extraDefaultOptions].flatten().compact().each(function(defs) {
+ Object.extend(this.options, defs);
+ }.bind(this));
+ },
+ prepareSubmission: function() {
+ this._saving = true;
+ this.removeForm();
+ this.leaveHover();
+ this.showSaving();
+ },
+ registerListeners: function() {
+ this._listeners = { };
+ var listener;
+ $H(Ajax.InPlaceEditor.Listeners).each(function(pair) {
+ listener = this[pair.value].bind(this);
+ this._listeners[pair.key] = listener;
+ if (!this.options.externalControlOnly)
+ this.element.observe(pair.key, listener);
+ if (this.options.externalControl)
+ this.options.externalControl.observe(pair.key, listener);
+ }.bind(this));
+ },
+ removeForm: function() {
+ if (!this._form) return;
+ this._form.remove();
+ this._form = null;
+ this._controls = { };
+ },
+ showSaving: function() {
+ this._oldInnerHTML = this.element.innerHTML;
+ this.element.innerHTML = this.options.savingText;
+ this.element.addClassName(this.options.savingClassName);
+ this.element.style.backgroundColor = this._originalBackground;
+ this.element.show();
+ },
+ triggerCallback: function(cbName, arg) {
+ if ('function' == typeof this.options[cbName]) {
+ this.options[cbName](this, arg);
+ }
+ },
+ unregisterListeners: function() {
+ $H(this._listeners).each(function(pair) {
+ if (!this.options.externalControlOnly)
+ this.element.stopObserving(pair.key, pair.value);
+ if (this.options.externalControl)
+ this.options.externalControl.stopObserving(pair.key, pair.value);
+ }.bind(this));
+ },
+ wrapUp: function(transport) {
+ this.leaveEditMode();
+ // Can't use triggerCallback due to backward compatibility: requires
+ // binding + direct element
+ this._boundComplete(transport, this.element);
+ }
+});
+
+Object.extend(Ajax.InPlaceEditor.prototype, {
+ dispose: Ajax.InPlaceEditor.prototype.destroy
+});
+
+Ajax.InPlaceCollectionEditor = Class.create(Ajax.InPlaceEditor, {
+ initialize: function($super, element, url, options) {
+ this._extraDefaultOptions = Ajax.InPlaceCollectionEditor.DefaultOptions;
+ $super(element, url, options);
+ },
+
+ createEditField: function() {
+ var list = document.createElement('select');
+ list.name = this.options.paramName;
+ list.size = 1;
+ this._controls.editor = list;
+ this._collection = this.options.collection || [];
+ if (this.options.loadCollectionURL)
+ this.loadCollection();
+ else
+ this.checkForExternalText();
+ this._form.appendChild(this._controls.editor);
+ },
+
+ loadCollection: function() {
+ this._form.addClassName(this.options.loadingClassName);
+ this.showLoadingText(this.options.loadingCollectionText);
+ var options = Object.extend({ method: 'get' }, this.options.ajaxOptions);
+ Object.extend(options, {
+ parameters: 'editorId=' + encodeURIComponent(this.element.id),
+ onComplete: Prototype.emptyFunction,
+ onSuccess: function(transport) {
+ var js = transport.responseText.strip();
+ if (!/^\[.*\]$/.test(js)) // TODO: improve sanity check
+ throw('Server returned an invalid collection representation.');
+ this._collection = eval(js);
+ this.checkForExternalText();
+ }.bind(this),
+ onFailure: this.onFailure
+ });
+ new Ajax.Request(this.options.loadCollectionURL, options);
+ },
+
+ showLoadingText: function(text) {
+ this._controls.editor.disabled = true;
+ var tempOption = this._controls.editor.firstChild;
+ if (!tempOption) {
+ tempOption = document.createElement('option');
+ tempOption.value = '';
+ this._controls.editor.appendChild(tempOption);
+ tempOption.selected = true;
+ }
+ tempOption.update((text || '').stripScripts().stripTags());
+ },
+
+ checkForExternalText: function() {
+ this._text = this.getText();
+ if (this.options.loadTextURL)
+ this.loadExternalText();
+ else
+ this.buildOptionList();
+ },
+
+ loadExternalText: function() {
+ this.showLoadingText(this.options.loadingText);
+ var options = Object.extend({ method: 'get' }, this.options.ajaxOptions);
+ Object.extend(options, {
+ parameters: 'editorId=' + encodeURIComponent(this.element.id),
+ onComplete: Prototype.emptyFunction,
+ onSuccess: function(transport) {
+ this._text = transport.responseText.strip();
+ this.buildOptionList();
+ }.bind(this),
+ onFailure: this.onFailure
+ });
+ new Ajax.Request(this.options.loadTextURL, options);
+ },
+
+ buildOptionList: function() {
+ this._form.removeClassName(this.options.loadingClassName);
+ this._collection = this._collection.map(function(entry) {
+ return 2 === entry.length ? entry : [entry, entry].flatten();
+ });
+ var marker = ('value' in this.options) ? this.options.value : this._text;
+ var textFound = this._collection.any(function(entry) {
+ return entry[0] == marker;
+ }.bind(this));
+ this._controls.editor.update('');
+ var option;
+ this._collection.each(function(entry, index) {
+ option = document.createElement('option');
+ option.value = entry[0];
+ option.selected = textFound ? entry[0] == marker : 0 == index;
+ option.appendChild(document.createTextNode(entry[1]));
+ this._controls.editor.appendChild(option);
+ }.bind(this));
+ this._controls.editor.disabled = false;
+ Field.scrollFreeActivate(this._controls.editor);
+ }
+});
+
+//**** DEPRECATION LAYER FOR InPlace[Collection]Editor! ****
+//**** This only exists for a while, in order to let ****
+//**** users adapt to the new API. Read up on the new ****
+//**** API and convert your code to it ASAP! ****
+
+Ajax.InPlaceEditor.prototype.initialize.dealWithDeprecatedOptions = function(options) {
+ if (!options) return;
+ function fallback(name, expr) {
+ if (name in options || expr === undefined) return;
+ options[name] = expr;
+ };
+ fallback('cancelControl', (options.cancelLink ? 'link' : (options.cancelButton ? 'button' :
+ options.cancelLink == options.cancelButton == false ? false : undefined)));
+ fallback('okControl', (options.okLink ? 'link' : (options.okButton ? 'button' :
+ options.okLink == options.okButton == false ? false : undefined)));
+ fallback('highlightColor', options.highlightcolor);
+ fallback('highlightEndColor', options.highlightendcolor);
+};
+
+Object.extend(Ajax.InPlaceEditor, {
+ DefaultOptions: {
+ ajaxOptions: { },
+ autoRows: 3, // Use when multi-line w/ rows == 1
+ cancelControl: 'link', // 'link'|'button'|false
+ cancelText: 'cancel',
+ clickToEditText: 'Click to edit',
+ externalControl: null, // id|elt
+ externalControlOnly: false,
+ fieldPostCreation: 'activate', // 'activate'|'focus'|false
+ formClassName: 'inplaceeditor-form',
+ formId: null, // id|elt
+ highlightColor: '#ffff99',
+ highlightEndColor: '#ffffff',
+ hoverClassName: '',
+ htmlResponse: true,
+ loadingClassName: 'inplaceeditor-loading',
+ loadingText: 'Loading...',
+ okControl: 'button', // 'link'|'button'|false
+ okText: 'ok',
+ paramName: 'value',
+ rows: 1, // If 1 and multi-line, uses autoRows
+ savingClassName: 'inplaceeditor-saving',
+ savingText: 'Saving...',
+ size: 0,
+ stripLoadedTextTags: false,
+ submitOnBlur: false,
+ textAfterControls: '',
+ textBeforeControls: '',
+ textBetweenControls: ''
+ },
+ DefaultCallbacks: {
+ callback: function(form) {
+ return Form.serialize(form);
+ },
+ onComplete: function(transport, element) {
+ // For backward compatibility, this one is bound to the IPE, and passes
+ // the element directly. It was too often customized, so we don't break it.
+ new Effect.Highlight(element, {
+ startcolor: this.options.highlightColor, keepBackgroundImage: true });
+ },
+ onEnterEditMode: null,
+ onEnterHover: function(ipe) {
+ ipe.element.style.backgroundColor = ipe.options.highlightColor;
+ if (ipe._effect)
+ ipe._effect.cancel();
+ },
+ onFailure: function(transport, ipe) {
+ alert('Error communication with the server: ' + transport.responseText.stripTags());
+ },
+ onFormCustomization: null, // Takes the IPE and its generated form, after editor, before controls.
+ onLeaveEditMode: null,
+ onLeaveHover: function(ipe) {
+ ipe._effect = new Effect.Highlight(ipe.element, {
+ startcolor: ipe.options.highlightColor, endcolor: ipe.options.highlightEndColor,
+ restorecolor: ipe._originalBackground, keepBackgroundImage: true
+ });
+ }
+ },
+ Listeners: {
+ click: 'enterEditMode',
+ keydown: 'checkForEscapeOrReturn',
+ mouseover: 'enterHover',
+ mouseout: 'leaveHover'
+ }
+});
+
+Ajax.InPlaceCollectionEditor.DefaultOptions = {
+ loadingCollectionText: 'Loading options...'
+};
+
+// Delayed observer, like Form.Element.Observer,
+// but waits for delay after last key input
+// Ideal for live-search fields
+
+Form.Element.DelayedObserver = Class.create({
+ initialize: function(element, delay, callback) {
+ this.delay = delay || 0.5;
+ this.element = DOKUid(element);
+ this.callback = callback;
+ this.timer = null;
+ this.lastValue = $F(this.element);
+ Event.observe(this.element,'keyup',this.delayedListener.bindAsEventListener(this));
+ },
+ delayedListener: function(event) {
+ if(this.lastValue == $F(this.element)) return;
+ if(this.timer) clearTimeout(this.timer);
+ this.timer = setTimeout(this.onTimerEvent.bind(this), this.delay * 1000);
+ this.lastValue = $F(this.element);
+ },
+ onTimerEvent: function() {
+ this.timer = null;
+ this.callback(this.element, $F(this.element));
+ }
+}); \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/dragdrop.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/dragdrop.js
new file mode 100644
index 000000000..6e3a1f872
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/dragdrop.js
@@ -0,0 +1,974 @@
+// script.aculo.us dragdrop.js v1.8.3, Thu Oct 08 11:23:33 +0200 2009
+
+// Copyright (c) 2005-2009 Thomas Fuchs (http://script.aculo.us, http://mir.aculo.us)
+//
+// script.aculo.us is freely distributable under the terms of an MIT-style license.
+// For details, see the script.aculo.us web site: http://script.aculo.us/
+
+if(Object.isUndefined(Effect))
+ throw("dragdrop.js requires including script.aculo.us' effects.js library");
+
+var Droppables = {
+ drops: [],
+
+ remove: function(element) {
+ this.drops = this.drops.reject(function(d) { return d.element==DOKUid(element) });
+ },
+
+ add: function(element) {
+ element = DOKUid(element);
+ var options = Object.extend({
+ greedy: true,
+ hoverclass: null,
+ tree: false
+ }, arguments[1] || { });
+
+ // cache containers
+ if(options.containment) {
+ options._containers = [];
+ var containment = options.containment;
+ if(Object.isArray(containment)) {
+ containment.each( function(c) { options._containers.push(DOKUid(c)) });
+ } else {
+ options._containers.push(DOKUid(containment));
+ }
+ }
+
+ if(options.accept) options.accept = [options.accept].flatten();
+
+ Element.makePositioned(element); // fix IE
+ options.element = element;
+
+ this.drops.push(options);
+ },
+
+ findDeepestChild: function(drops) {
+ deepest = drops[0];
+
+ for (i = 1; i < drops.length; ++i)
+ if (Element.isParent(drops[i].element, deepest.element))
+ deepest = drops[i];
+
+ return deepest;
+ },
+
+ isContained: function(element, drop) {
+ var containmentNode;
+ if(drop.tree) {
+ containmentNode = element.treeNode;
+ } else {
+ containmentNode = element.parentNode;
+ }
+ return drop._containers.detect(function(c) { return containmentNode == c });
+ },
+
+ isAffected: function(point, element, drop) {
+ return (
+ (drop.element!=element) &&
+ ((!drop._containers) ||
+ this.isContained(element, drop)) &&
+ ((!drop.accept) ||
+ (Element.classNames(element).detect(
+ function(v) { return drop.accept.include(v) } ) )) &&
+ Position.within(drop.element, point[0], point[1]) );
+ },
+
+ deactivate: function(drop) {
+ if(drop.hoverclass)
+ Element.removeClassName(drop.element, drop.hoverclass);
+ this.last_active = null;
+ },
+
+ activate: function(drop) {
+ if(drop.hoverclass)
+ Element.addClassName(drop.element, drop.hoverclass);
+ this.last_active = drop;
+ },
+
+ show: function(point, element) {
+ if(!this.drops.length) return;
+ var drop, affected = [];
+
+ this.drops.each( function(drop) {
+ if(Droppables.isAffected(point, element, drop))
+ affected.push(drop);
+ });
+
+ if(affected.length>0)
+ drop = Droppables.findDeepestChild(affected);
+
+ if(this.last_active && this.last_active != drop) this.deactivate(this.last_active);
+ if (drop) {
+ Position.within(drop.element, point[0], point[1]);
+ if(drop.onHover)
+ drop.onHover(element, drop.element, Position.overlap(drop.overlap, drop.element));
+
+ if (drop != this.last_active) Droppables.activate(drop);
+ }
+ },
+
+ fire: function(event, element) {
+ if(!this.last_active) return;
+ Position.prepare();
+
+ if (this.isAffected([Event.pointerX(event), Event.pointerY(event)], element, this.last_active))
+ if (this.last_active.onDrop) {
+ this.last_active.onDrop(element, this.last_active.element, event);
+ return true;
+ }
+ },
+
+ reset: function() {
+ if(this.last_active)
+ this.deactivate(this.last_active);
+ }
+};
+
+var Draggables = {
+ drags: [],
+ observers: [],
+
+ register: function(draggable) {
+ if(this.drags.length == 0) {
+ this.eventMouseUp = this.endDrag.bindAsEventListener(this);
+ this.eventMouseMove = this.updateDrag.bindAsEventListener(this);
+ this.eventKeypress = this.keyPress.bindAsEventListener(this);
+
+ Event.observe(document, "mouseup", this.eventMouseUp);
+ Event.observe(document, "mousemove", this.eventMouseMove);
+ Event.observe(document, "keypress", this.eventKeypress);
+ }
+ this.drags.push(draggable);
+ },
+
+ unregister: function(draggable) {
+ this.drags = this.drags.reject(function(d) { return d==draggable });
+ if(this.drags.length == 0) {
+ Event.stopObserving(document, "mouseup", this.eventMouseUp);
+ Event.stopObserving(document, "mousemove", this.eventMouseMove);
+ Event.stopObserving(document, "keypress", this.eventKeypress);
+ }
+ },
+
+ activate: function(draggable) {
+ if(draggable.options.delay) {
+ this._timeout = setTimeout(function() {
+ Draggables._timeout = null;
+ window.focus();
+ Draggables.activeDraggable = draggable;
+ }.bind(this), draggable.options.delay);
+ } else {
+ window.focus(); // allows keypress events if window isn't currently focused, fails for Safari
+ this.activeDraggable = draggable;
+ }
+ },
+
+ deactivate: function() {
+ this.activeDraggable = null;
+ },
+
+ updateDrag: function(event) {
+ if(!this.activeDraggable) return;
+ var pointer = [Event.pointerX(event), Event.pointerY(event)];
+ // Mozilla-based browsers fire successive mousemove events with
+ // the same coordinates, prevent needless redrawing (moz bug?)
+ if(this._lastPointer && (this._lastPointer.inspect() == pointer.inspect())) return;
+ this._lastPointer = pointer;
+
+ this.activeDraggable.updateDrag(event, pointer);
+ },
+
+ endDrag: function(event) {
+ if(this._timeout) {
+ clearTimeout(this._timeout);
+ this._timeout = null;
+ }
+ if(!this.activeDraggable) return;
+ this._lastPointer = null;
+ this.activeDraggable.endDrag(event);
+ this.activeDraggable = null;
+ },
+
+ keyPress: function(event) {
+ if(this.activeDraggable)
+ this.activeDraggable.keyPress(event);
+ },
+
+ addObserver: function(observer) {
+ this.observers.push(observer);
+ this._cacheObserverCallbacks();
+ },
+
+ removeObserver: function(element) { // element instead of observer fixes mem leaks
+ this.observers = this.observers.reject( function(o) { return o.element==element });
+ this._cacheObserverCallbacks();
+ },
+
+ notify: function(eventName, draggable, event) { // 'onStart', 'onEnd', 'onDrag'
+ if(this[eventName+'Count'] > 0)
+ this.observers.each( function(o) {
+ if(o[eventName]) o[eventName](eventName, draggable, event);
+ });
+ if(draggable.options[eventName]) draggable.options[eventName](draggable, event);
+ },
+
+ _cacheObserverCallbacks: function() {
+ ['onStart','onEnd','onDrag'].each( function(eventName) {
+ Draggables[eventName+'Count'] = Draggables.observers.select(
+ function(o) { return o[eventName]; }
+ ).length;
+ });
+ }
+};
+
+/*--------------------------------------------------------------------------*/
+
+var Draggable = Class.create({
+ initialize: function(element) {
+ var defaults = {
+ handle: false,
+ reverteffect: function(element, top_offset, left_offset) {
+ var dur = Math.sqrt(Math.abs(top_offset^2)+Math.abs(left_offset^2))*0.02;
+ new Effect.Move(element, { x: -left_offset, y: -top_offset, duration: dur,
+ queue: {scope:'_draggable', position:'end'}
+ });
+ },
+ endeffect: function(element) {
+ var toOpacity = Object.isNumber(element._opacity) ? element._opacity : 1.0;
+ new Effect.Opacity(element, {duration:0.2, from:0.7, to:toOpacity,
+ queue: {scope:'_draggable', position:'end'},
+ afterFinish: function(){
+ Draggable._dragging[element] = false
+ }
+ });
+ },
+ zindex: 1000,
+ revert: false,
+ quiet: false,
+ scroll: false,
+ scrollSensitivity: 20,
+ scrollSpeed: 15,
+ snap: false, // false, or xy or [x,y] or function(x,y){ return [x,y] }
+ delay: 0
+ };
+
+ if(!arguments[1] || Object.isUndefined(arguments[1].endeffect))
+ Object.extend(defaults, {
+ starteffect: function(element) {
+ element._opacity = Element.getOpacity(element);
+ Draggable._dragging[element] = true;
+ new Effect.Opacity(element, {duration:0.2, from:element._opacity, to:0.7});
+ }
+ });
+
+ var options = Object.extend(defaults, arguments[1] || { });
+
+ this.element = DOKUid(element);
+
+ if(options.handle && Object.isString(options.handle))
+ this.handle = this.element.down('.'+options.handle, 0);
+
+ if(!this.handle) this.handle = DOKUid(options.handle);
+ if(!this.handle) this.handle = this.element;
+
+ if(options.scroll && !options.scroll.scrollTo && !options.scroll.outerHTML) {
+ options.scroll = DOKUid(options.scroll);
+ this._isScrollChild = Element.childOf(this.element, options.scroll);
+ }
+
+ Element.makePositioned(this.element); // fix IE
+
+ this.options = options;
+ this.dragging = false;
+
+ this.eventMouseDown = this.initDrag.bindAsEventListener(this);
+ Event.observe(this.handle, "mousedown", this.eventMouseDown);
+
+ Draggables.register(this);
+ },
+
+ destroy: function() {
+ Event.stopObserving(this.handle, "mousedown", this.eventMouseDown);
+ Draggables.unregister(this);
+ },
+
+ currentDelta: function() {
+ return([
+ parseInt(Element.getStyle(this.element,'left') || '0'),
+ parseInt(Element.getStyle(this.element,'top') || '0')]);
+ },
+
+ initDrag: function(event) {
+ if(!Object.isUndefined(Draggable._dragging[this.element]) &&
+ Draggable._dragging[this.element]) return;
+ if(Event.isLeftClick(event)) {
+ // abort on form elements, fixes a Firefox issue
+ var src = Event.element(event);
+ if((tag_name = src.tagName.toUpperCase()) && (
+ tag_name=='INPUT' ||
+ tag_name=='SELECT' ||
+ tag_name=='OPTION' ||
+ tag_name=='BUTTON' ||
+ tag_name=='TEXTAREA')) return;
+
+ var pointer = [Event.pointerX(event), Event.pointerY(event)];
+ var pos = this.element.cumulativeOffset();
+ this.offset = [0,1].map( function(i) { return (pointer[i] - pos[i]) });
+
+ Draggables.activate(this);
+ Event.stop(event);
+ }
+ },
+
+ startDrag: function(event) {
+ this.dragging = true;
+ if(!this.delta)
+ this.delta = this.currentDelta();
+
+ if(this.options.zindex) {
+ this.originalZ = parseInt(Element.getStyle(this.element,'z-index') || 0);
+ this.element.style.zIndex = this.options.zindex;
+ }
+
+ if(this.options.ghosting) {
+ this._clone = this.element.cloneNode(true);
+ this._originallyAbsolute = (this.element.getStyle('position') == 'absolute');
+ if (!this._originallyAbsolute)
+ Position.absolutize(this.element);
+ this.element.parentNode.insertBefore(this._clone, this.element);
+ }
+
+ if(this.options.scroll) {
+ if (this.options.scroll == window) {
+ var where = this._getWindowScroll(this.options.scroll);
+ this.originalScrollLeft = where.left;
+ this.originalScrollTop = where.top;
+ } else {
+ this.originalScrollLeft = this.options.scroll.scrollLeft;
+ this.originalScrollTop = this.options.scroll.scrollTop;
+ }
+ }
+
+ Draggables.notify('onStart', this, event);
+
+ if(this.options.starteffect) this.options.starteffect(this.element);
+ },
+
+ updateDrag: function(event, pointer) {
+ if(!this.dragging) this.startDrag(event);
+
+ if(!this.options.quiet){
+ Position.prepare();
+ Droppables.show(pointer, this.element);
+ }
+
+ Draggables.notify('onDrag', this, event);
+
+ this.draw(pointer);
+ if(this.options.change) this.options.change(this);
+
+ if(this.options.scroll) {
+ this.stopScrolling();
+
+ var p;
+ if (this.options.scroll == window) {
+ with(this._getWindowScroll(this.options.scroll)) { p = [ left, top, left+width, top+height ]; }
+ } else {
+ p = Position.page(this.options.scroll);
+ p[0] += this.options.scroll.scrollLeft + Position.deltaX;
+ p[1] += this.options.scroll.scrollTop + Position.deltaY;
+ p.push(p[0]+this.options.scroll.offsetWidth);
+ p.push(p[1]+this.options.scroll.offsetHeight);
+ }
+ var speed = [0,0];
+ if(pointer[0] < (p[0]+this.options.scrollSensitivity)) speed[0] = pointer[0]-(p[0]+this.options.scrollSensitivity);
+ if(pointer[1] < (p[1]+this.options.scrollSensitivity)) speed[1] = pointer[1]-(p[1]+this.options.scrollSensitivity);
+ if(pointer[0] > (p[2]-this.options.scrollSensitivity)) speed[0] = pointer[0]-(p[2]-this.options.scrollSensitivity);
+ if(pointer[1] > (p[3]-this.options.scrollSensitivity)) speed[1] = pointer[1]-(p[3]-this.options.scrollSensitivity);
+ this.startScrolling(speed);
+ }
+
+ // fix AppleWebKit rendering
+ if(Prototype.Browser.WebKit) window.scrollBy(0,0);
+
+ Event.stop(event);
+ },
+
+ finishDrag: function(event, success) {
+ this.dragging = false;
+
+ if(this.options.quiet){
+ Position.prepare();
+ var pointer = [Event.pointerX(event), Event.pointerY(event)];
+ Droppables.show(pointer, this.element);
+ }
+
+ if(this.options.ghosting) {
+ if (!this._originallyAbsolute)
+ Position.relativize(this.element);
+ delete this._originallyAbsolute;
+ Element.remove(this._clone);
+ this._clone = null;
+ }
+
+ var dropped = false;
+ if(success) {
+ dropped = Droppables.fire(event, this.element);
+ if (!dropped) dropped = false;
+ }
+ if(dropped && this.options.onDropped) this.options.onDropped(this.element);
+ Draggables.notify('onEnd', this, event);
+
+ var revert = this.options.revert;
+ if(revert && Object.isFunction(revert)) revert = revert(this.element);
+
+ var d = this.currentDelta();
+ if(revert && this.options.reverteffect) {
+ if (dropped == 0 || revert != 'failure')
+ this.options.reverteffect(this.element,
+ d[1]-this.delta[1], d[0]-this.delta[0]);
+ } else {
+ this.delta = d;
+ }
+
+ if(this.options.zindex)
+ this.element.style.zIndex = this.originalZ;
+
+ if(this.options.endeffect)
+ this.options.endeffect(this.element);
+
+ Draggables.deactivate(this);
+ Droppables.reset();
+ },
+
+ keyPress: function(event) {
+ if(event.keyCode!=Event.KEY_ESC) return;
+ this.finishDrag(event, false);
+ Event.stop(event);
+ },
+
+ endDrag: function(event) {
+ if(!this.dragging) return;
+ this.stopScrolling();
+ this.finishDrag(event, true);
+ Event.stop(event);
+ },
+
+ draw: function(point) {
+ var pos = this.element.cumulativeOffset();
+ if(this.options.ghosting) {
+ var r = Position.realOffset(this.element);
+ pos[0] += r[0] - Position.deltaX; pos[1] += r[1] - Position.deltaY;
+ }
+
+ var d = this.currentDelta();
+ pos[0] -= d[0]; pos[1] -= d[1];
+
+ if(this.options.scroll && (this.options.scroll != window && this._isScrollChild)) {
+ pos[0] -= this.options.scroll.scrollLeft-this.originalScrollLeft;
+ pos[1] -= this.options.scroll.scrollTop-this.originalScrollTop;
+ }
+
+ var p = [0,1].map(function(i){
+ return (point[i]-pos[i]-this.offset[i])
+ }.bind(this));
+
+ if(this.options.snap) {
+ if(Object.isFunction(this.options.snap)) {
+ p = this.options.snap(p[0],p[1],this);
+ } else {
+ if(Object.isArray(this.options.snap)) {
+ p = p.map( function(v, i) {
+ return (v/this.options.snap[i]).round()*this.options.snap[i] }.bind(this));
+ } else {
+ p = p.map( function(v) {
+ return (v/this.options.snap).round()*this.options.snap }.bind(this));
+ }
+ }}
+
+ var style = this.element.style;
+ if((!this.options.constraint) || (this.options.constraint=='horizontal'))
+ style.left = p[0] + "px";
+ if((!this.options.constraint) || (this.options.constraint=='vertical'))
+ style.top = p[1] + "px";
+
+ if(style.visibility=="hidden") style.visibility = ""; // fix gecko rendering
+ },
+
+ stopScrolling: function() {
+ if(this.scrollInterval) {
+ clearInterval(this.scrollInterval);
+ this.scrollInterval = null;
+ Draggables._lastScrollPointer = null;
+ }
+ },
+
+ startScrolling: function(speed) {
+ if(!(speed[0] || speed[1])) return;
+ this.scrollSpeed = [speed[0]*this.options.scrollSpeed,speed[1]*this.options.scrollSpeed];
+ this.lastScrolled = new Date();
+ this.scrollInterval = setInterval(this.scroll.bind(this), 10);
+ },
+
+ scroll: function() {
+ var current = new Date();
+ var delta = current - this.lastScrolled;
+ this.lastScrolled = current;
+ if(this.options.scroll == window) {
+ with (this._getWindowScroll(this.options.scroll)) {
+ if (this.scrollSpeed[0] || this.scrollSpeed[1]) {
+ var d = delta / 1000;
+ this.options.scroll.scrollTo( left + d*this.scrollSpeed[0], top + d*this.scrollSpeed[1] );
+ }
+ }
+ } else {
+ this.options.scroll.scrollLeft += this.scrollSpeed[0] * delta / 1000;
+ this.options.scroll.scrollTop += this.scrollSpeed[1] * delta / 1000;
+ }
+
+ Position.prepare();
+ Droppables.show(Draggables._lastPointer, this.element);
+ Draggables.notify('onDrag', this);
+ if (this._isScrollChild) {
+ Draggables._lastScrollPointer = Draggables._lastScrollPointer || $A(Draggables._lastPointer);
+ Draggables._lastScrollPointer[0] += this.scrollSpeed[0] * delta / 1000;
+ Draggables._lastScrollPointer[1] += this.scrollSpeed[1] * delta / 1000;
+ if (Draggables._lastScrollPointer[0] < 0)
+ Draggables._lastScrollPointer[0] = 0;
+ if (Draggables._lastScrollPointer[1] < 0)
+ Draggables._lastScrollPointer[1] = 0;
+ this.draw(Draggables._lastScrollPointer);
+ }
+
+ if(this.options.change) this.options.change(this);
+ },
+
+ _getWindowScroll: function(w) {
+ var T, L, W, H;
+ with (w.document) {
+ if (w.document.documentElement && documentElement.scrollTop) {
+ T = documentElement.scrollTop;
+ L = documentElement.scrollLeft;
+ } else if (w.document.body) {
+ T = body.scrollTop;
+ L = body.scrollLeft;
+ }
+ if (w.innerWidth) {
+ W = w.innerWidth;
+ H = w.innerHeight;
+ } else if (w.document.documentElement && documentElement.clientWidth) {
+ W = documentElement.clientWidth;
+ H = documentElement.clientHeight;
+ } else {
+ W = body.offsetWidth;
+ H = body.offsetHeight;
+ }
+ }
+ return { top: T, left: L, width: W, height: H };
+ }
+});
+
+Draggable._dragging = { };
+
+/*--------------------------------------------------------------------------*/
+
+var SortableObserver = Class.create({
+ initialize: function(element, observer) {
+ this.element = DOKUid(element);
+ this.observer = observer;
+ this.lastValue = Sortable.serialize(this.element);
+ },
+
+ onStart: function() {
+ this.lastValue = Sortable.serialize(this.element);
+ },
+
+ onEnd: function() {
+ Sortable.unmark();
+ if(this.lastValue != Sortable.serialize(this.element))
+ this.observer(this.element)
+ }
+});
+
+var Sortable = {
+ SERIALIZE_RULE: /^[^_\-](?:[A-Za-z0-9\-\_]*)[_](.*)$/,
+
+ sortables: { },
+
+ _findRootElement: function(element) {
+ while (element.tagName.toUpperCase() != "BODY") {
+ if(element.id && Sortable.sortables[element.id]) return element;
+ element = element.parentNode;
+ }
+ },
+
+ options: function(element) {
+ element = Sortable._findRootElement(DOKUid(element));
+ if(!element) return;
+ return Sortable.sortables[element.id];
+ },
+
+ destroy: function(element){
+ element = DOKUid(element);
+ var s = Sortable.sortables[element.id];
+
+ if(s) {
+ Draggables.removeObserver(s.element);
+ s.droppables.each(function(d){ Droppables.remove(d) });
+ s.draggables.invoke('destroy');
+
+ delete Sortable.sortables[s.element.id];
+ }
+ },
+
+ create: function(element) {
+ element = DOKUid(element);
+ var options = Object.extend({
+ element: element,
+ tag: 'li', // assumes li children, override with tag: 'tagname'
+ dropOnEmpty: false,
+ tree: false,
+ treeTag: 'ul',
+ overlap: 'vertical', // one of 'vertical', 'horizontal'
+ constraint: 'vertical', // one of 'vertical', 'horizontal', false
+ containment: element, // also takes array of elements (or id's); or false
+ handle: false, // or a CSS class
+ only: false,
+ delay: 0,
+ hoverclass: null,
+ ghosting: false,
+ quiet: false,
+ scroll: false,
+ scrollSensitivity: 20,
+ scrollSpeed: 15,
+ format: this.SERIALIZE_RULE,
+
+ // these take arrays of elements or ids and can be
+ // used for better initialization performance
+ elements: false,
+ handles: false,
+
+ onChange: Prototype.emptyFunction,
+ onUpdate: Prototype.emptyFunction
+ }, arguments[1] || { });
+
+ // clear any old sortable with same element
+ this.destroy(element);
+
+ // build options for the draggables
+ var options_for_draggable = {
+ revert: true,
+ quiet: options.quiet,
+ scroll: options.scroll,
+ scrollSpeed: options.scrollSpeed,
+ scrollSensitivity: options.scrollSensitivity,
+ delay: options.delay,
+ ghosting: options.ghosting,
+ constraint: options.constraint,
+ handle: options.handle };
+
+ if(options.starteffect)
+ options_for_draggable.starteffect = options.starteffect;
+
+ if(options.reverteffect)
+ options_for_draggable.reverteffect = options.reverteffect;
+ else
+ if(options.ghosting) options_for_draggable.reverteffect = function(element) {
+ element.style.top = 0;
+ element.style.left = 0;
+ };
+
+ if(options.endeffect)
+ options_for_draggable.endeffect = options.endeffect;
+
+ if(options.zindex)
+ options_for_draggable.zindex = options.zindex;
+
+ // build options for the droppables
+ var options_for_droppable = {
+ overlap: options.overlap,
+ containment: options.containment,
+ tree: options.tree,
+ hoverclass: options.hoverclass,
+ onHover: Sortable.onHover
+ };
+
+ var options_for_tree = {
+ onHover: Sortable.onEmptyHover,
+ overlap: options.overlap,
+ containment: options.containment,
+ hoverclass: options.hoverclass
+ };
+
+ // fix for gecko engine
+ Element.cleanWhitespace(element);
+
+ options.draggables = [];
+ options.droppables = [];
+
+ // drop on empty handling
+ if(options.dropOnEmpty || options.tree) {
+ Droppables.add(element, options_for_tree);
+ options.droppables.push(element);
+ }
+
+ (options.elements || this.findElements(element, options) || []).each( function(e,i) {
+ var handle = options.handles ? DOKUid(options.handles[i]) :
+ (options.handle ? DOKUid(e).select('.' + options.handle)[0] : e);
+ options.draggables.push(
+ new Draggable(e, Object.extend(options_for_draggable, { handle: handle })));
+ Droppables.add(e, options_for_droppable);
+ if(options.tree) e.treeNode = element;
+ options.droppables.push(e);
+ });
+
+ if(options.tree) {
+ (Sortable.findTreeElements(element, options) || []).each( function(e) {
+ Droppables.add(e, options_for_tree);
+ e.treeNode = element;
+ options.droppables.push(e);
+ });
+ }
+
+ // keep reference
+ this.sortables[element.identify()] = options;
+
+ // for onupdate
+ Draggables.addObserver(new SortableObserver(element, options.onUpdate));
+
+ },
+
+ // return all suitable-for-sortable elements in a guaranteed order
+ findElements: function(element, options) {
+ return Element.findChildren(
+ element, options.only, options.tree ? true : false, options.tag);
+ },
+
+ findTreeElements: function(element, options) {
+ return Element.findChildren(
+ element, options.only, options.tree ? true : false, options.treeTag);
+ },
+
+ onHover: function(element, dropon, overlap) {
+ if(Element.isParent(dropon, element)) return;
+
+ if(overlap > .33 && overlap < .66 && Sortable.options(dropon).tree) {
+ return;
+ } else if(overlap>0.5) {
+ Sortable.mark(dropon, 'before');
+ if(dropon.previousSibling != element) {
+ var oldParentNode = element.parentNode;
+ element.style.visibility = "hidden"; // fix gecko rendering
+ dropon.parentNode.insertBefore(element, dropon);
+ if(dropon.parentNode!=oldParentNode)
+ Sortable.options(oldParentNode).onChange(element);
+ Sortable.options(dropon.parentNode).onChange(element);
+ }
+ } else {
+ Sortable.mark(dropon, 'after');
+ var nextElement = dropon.nextSibling || null;
+ if(nextElement != element) {
+ var oldParentNode = element.parentNode;
+ element.style.visibility = "hidden"; // fix gecko rendering
+ dropon.parentNode.insertBefore(element, nextElement);
+ if(dropon.parentNode!=oldParentNode)
+ Sortable.options(oldParentNode).onChange(element);
+ Sortable.options(dropon.parentNode).onChange(element);
+ }
+ }
+ },
+
+ onEmptyHover: function(element, dropon, overlap) {
+ var oldParentNode = element.parentNode;
+ var droponOptions = Sortable.options(dropon);
+
+ if(!Element.isParent(dropon, element)) {
+ var index;
+
+ var children = Sortable.findElements(dropon, {tag: droponOptions.tag, only: droponOptions.only});
+ var child = null;
+
+ if(children) {
+ var offset = Element.offsetSize(dropon, droponOptions.overlap) * (1.0 - overlap);
+
+ for (index = 0; index < children.length; index += 1) {
+ if (offset - Element.offsetSize (children[index], droponOptions.overlap) >= 0) {
+ offset -= Element.offsetSize (children[index], droponOptions.overlap);
+ } else if (offset - (Element.offsetSize (children[index], droponOptions.overlap) / 2) >= 0) {
+ child = index + 1 < children.length ? children[index + 1] : null;
+ break;
+ } else {
+ child = children[index];
+ break;
+ }
+ }
+ }
+
+ dropon.insertBefore(element, child);
+
+ Sortable.options(oldParentNode).onChange(element);
+ droponOptions.onChange(element);
+ }
+ },
+
+ unmark: function() {
+ if(Sortable._marker) Sortable._marker.hide();
+ },
+
+ mark: function(dropon, position) {
+ // mark on ghosting only
+ var sortable = Sortable.options(dropon.parentNode);
+ if(sortable && !sortable.ghosting) return;
+
+ if(!Sortable._marker) {
+ Sortable._marker =
+ (DOKUid('dropmarker') || Element.extend(document.createElement('DIV'))).
+ hide().addClassName('dropmarker').setStyle({position:'absolute'});
+ document.getElementsByTagName("body").item(0).appendChild(Sortable._marker);
+ }
+ var offsets = dropon.cumulativeOffset();
+ Sortable._marker.setStyle({left: offsets[0]+'px', top: offsets[1] + 'px'});
+
+ if(position=='after')
+ if(sortable.overlap == 'horizontal')
+ Sortable._marker.setStyle({left: (offsets[0]+dropon.clientWidth) + 'px'});
+ else
+ Sortable._marker.setStyle({top: (offsets[1]+dropon.clientHeight) + 'px'});
+
+ Sortable._marker.show();
+ },
+
+ _tree: function(element, options, parent) {
+ var children = Sortable.findElements(element, options) || [];
+
+ for (var i = 0; i < children.length; ++i) {
+ var match = children[i].id.match(options.format);
+
+ if (!match) continue;
+
+ var child = {
+ id: encodeURIComponent(match ? match[1] : null),
+ element: element,
+ parent: parent,
+ children: [],
+ position: parent.children.length,
+ container: DOKUid(children[i]).down(options.treeTag)
+ };
+
+ /* Get the element containing the children and recurse over it */
+ if (child.container)
+ this._tree(child.container, options, child);
+
+ parent.children.push (child);
+ }
+
+ return parent;
+ },
+
+ tree: function(element) {
+ element = DOKUid(element);
+ var sortableOptions = this.options(element);
+ var options = Object.extend({
+ tag: sortableOptions.tag,
+ treeTag: sortableOptions.treeTag,
+ only: sortableOptions.only,
+ name: element.id,
+ format: sortableOptions.format
+ }, arguments[1] || { });
+
+ var root = {
+ id: null,
+ parent: null,
+ children: [],
+ container: element,
+ position: 0
+ };
+
+ return Sortable._tree(element, options, root);
+ },
+
+ /* Construct a [i] index for a particular node */
+ _constructIndex: function(node) {
+ var index = '';
+ do {
+ if (node.id) index = '[' + node.position + ']' + index;
+ } while ((node = node.parent) != null);
+ return index;
+ },
+
+ sequence: function(element) {
+ element = DOKUid(element);
+ var options = Object.extend(this.options(element), arguments[1] || { });
+
+ return DOKUid(this.findElements(element, options) || []).map( function(item) {
+ return item.id.match(options.format) ? item.id.match(options.format)[1] : '';
+ });
+ },
+
+ setSequence: function(element, new_sequence) {
+ element = DOKUid(element);
+ var options = Object.extend(this.options(element), arguments[2] || { });
+
+ var nodeMap = { };
+ this.findElements(element, options).each( function(n) {
+ if (n.id.match(options.format))
+ nodeMap[n.id.match(options.format)[1]] = [n, n.parentNode];
+ n.parentNode.removeChild(n);
+ });
+
+ new_sequence.each(function(ident) {
+ var n = nodeMap[ident];
+ if (n) {
+ n[1].appendChild(n[0]);
+ delete nodeMap[ident];
+ }
+ });
+ },
+
+ serialize: function(element) {
+ element = DOKUid(element);
+ var options = Object.extend(Sortable.options(element), arguments[1] || { });
+ var name = encodeURIComponent(
+ (arguments[1] && arguments[1].name) ? arguments[1].name : element.id);
+
+ if (options.tree) {
+ return Sortable.tree(element, arguments[1]).children.map( function (item) {
+ return [name + Sortable._constructIndex(item) + "[id]=" +
+ encodeURIComponent(item.id)].concat(item.children.map(arguments.callee));
+ }).flatten().join('&');
+ } else {
+ return Sortable.sequence(element, arguments[1]).map( function(item) {
+ return name + "[]=" + encodeURIComponent(item);
+ }).join('&');
+ }
+ }
+};
+
+// Returns true if child is contained within element
+Element.isParent = function(child, element) {
+ if (!child.parentNode || child == element) return false;
+ if (child.parentNode == element) return true;
+ return Element.isParent(child.parentNode, element);
+};
+
+Element.findChildren = function(element, only, recursive, tagName) {
+ if(!element.hasChildNodes()) return null;
+ tagName = tagName.toUpperCase();
+ if(only) only = [only].flatten();
+ var elements = [];
+ $A(element.childNodes).each( function(e) {
+ if(e.tagName && e.tagName.toUpperCase()==tagName &&
+ (!only || (Element.classNames(e).detect(function(v) { return only.include(v) }))))
+ elements.push(e);
+ if(recursive) {
+ var grandchildren = Element.findChildren(e, only, recursive, tagName);
+ if(grandchildren) elements.push(grandchildren);
+ }
+ });
+
+ return (elements.length>0 ? elements.flatten() : []);
+};
+
+Element.offsetSize = function (element, type) {
+ return element['offset' + ((type=='vertical' || type=='height') ? 'Height' : 'Width')];
+}; \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/effects.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/effects.js
new file mode 100644
index 000000000..5ee17d3e2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/effects.js
@@ -0,0 +1,1123 @@
+// script.aculo.us effects.js v1.8.3, Thu Oct 08 11:23:33 +0200 2009
+
+// Copyright (c) 2005-2009 Thomas Fuchs (http://script.aculo.us, http://mir.aculo.us)
+// Contributors:
+// Justin Palmer (http://encytemedia.com/)
+// Mark Pilgrim (http://diveintomark.org/)
+// Martin Bialasinki
+//
+// script.aculo.us is freely distributable under the terms of an MIT-style license.
+// For details, see the script.aculo.us web site: http://script.aculo.us/
+
+// converts rgb() and #xxx to #xxxxxx format,
+// returns self (or first argument) if not convertable
+String.prototype.parseColor = function() {
+ var color = '#';
+ if (this.slice(0,4) == 'rgb(') {
+ var cols = this.slice(4,this.length-1).split(',');
+ var i=0; do { color += parseInt(cols[i]).toColorPart() } while (++i<3);
+ } else {
+ if (this.slice(0,1) == '#') {
+ if (this.length==4) for(var i=1;i<4;i++) color += (this.charAt(i) + this.charAt(i)).toLowerCase();
+ if (this.length==7) color = this.toLowerCase();
+ }
+ }
+ return (color.length==7 ? color : (arguments[0] || this));
+};
+
+/*--------------------------------------------------------------------------*/
+
+Element.collectTextNodes = function(element) {
+ return $A(DOKUid(element).childNodes).collect( function(node) {
+ return (node.nodeType==3 ? node.nodeValue :
+ (node.hasChildNodes() ? Element.collectTextNodes(node) : ''));
+ }).flatten().join('');
+};
+
+Element.collectTextNodesIgnoreClass = function(element, className) {
+ return $A(DOKUid(element).childNodes).collect( function(node) {
+ return (node.nodeType==3 ? node.nodeValue :
+ ((node.hasChildNodes() && !Element.hasClassName(node,className)) ?
+ Element.collectTextNodesIgnoreClass(node, className) : ''));
+ }).flatten().join('');
+};
+
+Element.setContentZoom = function(element, percent) {
+ element = DOKUid(element);
+ element.setStyle({fontSize: (percent/100) + 'em'});
+ if (Prototype.Browser.WebKit) window.scrollBy(0,0);
+ return element;
+};
+
+Element.getInlineOpacity = function(element){
+ return DOKUid(element).style.opacity || '';
+};
+
+Element.forceRerendering = function(element) {
+ try {
+ element = DOKUid(element);
+ var n = document.createTextNode(' ');
+ element.appendChild(n);
+ element.removeChild(n);
+ } catch(e) { }
+};
+
+/*--------------------------------------------------------------------------*/
+
+var Effect = {
+ _elementDoesNotExistError: {
+ name: 'ElementDoesNotExistError',
+ message: 'The specified DOM element does not exist, but is required for this effect to operate'
+ },
+ Transitions: {
+ linear: Prototype.K,
+ sinoidal: function(pos) {
+ return (-Math.cos(pos*Math.PI)/2) + .5;
+ },
+ reverse: function(pos) {
+ return 1-pos;
+ },
+ flicker: function(pos) {
+ var pos = ((-Math.cos(pos*Math.PI)/4) + .75) + Math.random()/4;
+ return pos > 1 ? 1 : pos;
+ },
+ wobble: function(pos) {
+ return (-Math.cos(pos*Math.PI*(9*pos))/2) + .5;
+ },
+ pulse: function(pos, pulses) {
+ return (-Math.cos((pos*((pulses||5)-.5)*2)*Math.PI)/2) + .5;
+ },
+ spring: function(pos) {
+ return 1 - (Math.cos(pos * 4.5 * Math.PI) * Math.exp(-pos * 6));
+ },
+ none: function(pos) {
+ return 0;
+ },
+ full: function(pos) {
+ return 1;
+ }
+ },
+ DefaultOptions: {
+ duration: 1.0, // seconds
+ fps: 100, // 100= assume 66fps max.
+ sync: false, // true for combining
+ from: 0.0,
+ to: 1.0,
+ delay: 0.0,
+ queue: 'parallel'
+ },
+ tagifyText: function(element) {
+ var tagifyStyle = 'position:relative';
+ if (Prototype.Browser.IE) tagifyStyle += ';zoom:1';
+
+ element = DOKUid(element);
+ $A(element.childNodes).each( function(child) {
+ if (child.nodeType==3) {
+ child.nodeValue.toArray().each( function(character) {
+ element.insertBefore(
+ new Element('span', {style: tagifyStyle}).update(
+ character == ' ' ? String.fromCharCode(160) : character),
+ child);
+ });
+ Element.remove(child);
+ }
+ });
+ },
+ multiple: function(element, effect) {
+ var elements;
+ if (((typeof element == 'object') ||
+ Object.isFunction(element)) &&
+ (element.length))
+ elements = element;
+ else
+ elements = DOKUid(element).childNodes;
+
+ var options = Object.extend({
+ speed: 0.1,
+ delay: 0.0
+ }, arguments[2] || { });
+ var masterDelay = options.delay;
+
+ $A(elements).each( function(element, index) {
+ new effect(element, Object.extend(options, { delay: index * options.speed + masterDelay }));
+ });
+ },
+ PAIRS: {
+ 'slide': ['SlideDown','SlideUp'],
+ 'blind': ['BlindDown','BlindUp'],
+ 'appear': ['Appear','Fade']
+ },
+ toggle: function(element, effect, options) {
+ element = DOKUid(element);
+ effect = (effect || 'appear').toLowerCase();
+
+ return Effect[ Effect.PAIRS[ effect ][ element.visible() ? 1 : 0 ] ](element, Object.extend({
+ queue: { position:'end', scope:(element.id || 'global'), limit: 1 }
+ }, options || {}));
+ }
+};
+
+Effect.DefaultOptions.transition = Effect.Transitions.sinoidal;
+
+/* ------------- core effects ------------- */
+
+Effect.ScopedQueue = Class.create(Enumerable, {
+ initialize: function() {
+ this.effects = [];
+ this.interval = null;
+ },
+ _each: function(iterator) {
+ this.effects._each(iterator);
+ },
+ add: function(effect) {
+ var timestamp = new Date().getTime();
+
+ var position = Object.isString(effect.options.queue) ?
+ effect.options.queue : effect.options.queue.position;
+
+ switch(position) {
+ case 'front':
+ // move unstarted effects after this effect
+ this.effects.findAll(function(e){ return e.state=='idle' }).each( function(e) {
+ e.startOn += effect.finishOn;
+ e.finishOn += effect.finishOn;
+ });
+ break;
+ case 'with-last':
+ timestamp = this.effects.pluck('startOn').max() || timestamp;
+ break;
+ case 'end':
+ // start effect after last queued effect has finished
+ timestamp = this.effects.pluck('finishOn').max() || timestamp;
+ break;
+ }
+
+ effect.startOn += timestamp;
+ effect.finishOn += timestamp;
+
+ if (!effect.options.queue.limit || (this.effects.length < effect.options.queue.limit))
+ this.effects.push(effect);
+
+ if (!this.interval)
+ this.interval = setInterval(this.loop.bind(this), 15);
+ },
+ remove: function(effect) {
+ this.effects = this.effects.reject(function(e) { return e==effect });
+ if (this.effects.length == 0) {
+ clearInterval(this.interval);
+ this.interval = null;
+ }
+ },
+ loop: function() {
+ var timePos = new Date().getTime();
+ for(var i=0, len=this.effects.length;i<len;i++)
+ this.effects[i] && this.effects[i].loop(timePos);
+ }
+});
+
+Effect.Queues = {
+ instances: $H(),
+ get: function(queueName) {
+ if (!Object.isString(queueName)) return queueName;
+
+ return this.instances.get(queueName) ||
+ this.instances.set(queueName, new Effect.ScopedQueue());
+ }
+};
+Effect.Queue = Effect.Queues.get('global');
+
+Effect.Base = Class.create({
+ position: null,
+ start: function(options) {
+ if (options && options.transition === false) options.transition = Effect.Transitions.linear;
+ this.options = Object.extend(Object.extend({ },Effect.DefaultOptions), options || { });
+ this.currentFrame = 0;
+ this.state = 'idle';
+ this.startOn = this.options.delay*1000;
+ this.finishOn = this.startOn+(this.options.duration*1000);
+ this.fromToDelta = this.options.to-this.options.from;
+ this.totalTime = this.finishOn-this.startOn;
+ this.totalFrames = this.options.fps*this.options.duration;
+
+ this.render = (function() {
+ function dispatch(effect, eventName) {
+ if (effect.options[eventName + 'Internal'])
+ effect.options[eventName + 'Internal'](effect);
+ if (effect.options[eventName])
+ effect.options[eventName](effect);
+ }
+
+ return function(pos) {
+ if (this.state === "idle") {
+ this.state = "running";
+ dispatch(this, 'beforeSetup');
+ if (this.setup) this.setup();
+ dispatch(this, 'afterSetup');
+ }
+ if (this.state === "running") {
+ pos = (this.options.transition(pos) * this.fromToDelta) + this.options.from;
+ this.position = pos;
+ dispatch(this, 'beforeUpdate');
+ if (this.update) this.update(pos);
+ dispatch(this, 'afterUpdate');
+ }
+ };
+ })();
+
+ this.event('beforeStart');
+ if (!this.options.sync)
+ Effect.Queues.get(Object.isString(this.options.queue) ?
+ 'global' : this.options.queue.scope).add(this);
+ },
+ loop: function(timePos) {
+ if (timePos >= this.startOn) {
+ if (timePos >= this.finishOn) {
+ this.render(1.0);
+ this.cancel();
+ this.event('beforeFinish');
+ if (this.finish) this.finish();
+ this.event('afterFinish');
+ return;
+ }
+ var pos = (timePos - this.startOn) / this.totalTime,
+ frame = (pos * this.totalFrames).round();
+ if (frame > this.currentFrame) {
+ this.render(pos);
+ this.currentFrame = frame;
+ }
+ }
+ },
+ cancel: function() {
+ if (!this.options.sync)
+ Effect.Queues.get(Object.isString(this.options.queue) ?
+ 'global' : this.options.queue.scope).remove(this);
+ this.state = 'finished';
+ },
+ event: function(eventName) {
+ if (this.options[eventName + 'Internal']) this.options[eventName + 'Internal'](this);
+ if (this.options[eventName]) this.options[eventName](this);
+ },
+ inspect: function() {
+ var data = $H();
+ for(property in this)
+ if (!Object.isFunction(this[property])) data.set(property, this[property]);
+ return '#<Effect:' + data.inspect() + ',options:' + $H(this.options).inspect() + '>';
+ }
+});
+
+Effect.Parallel = Class.create(Effect.Base, {
+ initialize: function(effects) {
+ this.effects = effects || [];
+ this.start(arguments[1]);
+ },
+ update: function(position) {
+ this.effects.invoke('render', position);
+ },
+ finish: function(position) {
+ this.effects.each( function(effect) {
+ effect.render(1.0);
+ effect.cancel();
+ effect.event('beforeFinish');
+ if (effect.finish) effect.finish(position);
+ effect.event('afterFinish');
+ });
+ }
+});
+
+Effect.Tween = Class.create(Effect.Base, {
+ initialize: function(object, from, to) {
+ object = Object.isString(object) ? DOKUid(object) : object;
+ var args = $A(arguments), method = args.last(),
+ options = args.length == 5 ? args[3] : null;
+ this.method = Object.isFunction(method) ? method.bind(object) :
+ Object.isFunction(object[method]) ? object[method].bind(object) :
+ function(value) { object[method] = value };
+ this.start(Object.extend({ from: from, to: to }, options || { }));
+ },
+ update: function(position) {
+ this.method(position);
+ }
+});
+
+Effect.Event = Class.create(Effect.Base, {
+ initialize: function() {
+ this.start(Object.extend({ duration: 0 }, arguments[0] || { }));
+ },
+ update: Prototype.emptyFunction
+});
+
+Effect.Opacity = Class.create(Effect.Base, {
+ initialize: function(element) {
+ this.element = DOKUid(element);
+ if (!this.element) throw(Effect._elementDoesNotExistError);
+ // make this work on IE on elements without 'layout'
+ if (Prototype.Browser.IE && (!this.element.currentStyle.hasLayout))
+ this.element.setStyle({zoom: 1});
+ var options = Object.extend({
+ from: this.element.getOpacity() || 0.0,
+ to: 1.0
+ }, arguments[1] || { });
+ this.start(options);
+ },
+ update: function(position) {
+ this.element.setOpacity(position);
+ }
+});
+
+Effect.Move = Class.create(Effect.Base, {
+ initialize: function(element) {
+ this.element = DOKUid(element);
+ if (!this.element) throw(Effect._elementDoesNotExistError);
+ var options = Object.extend({
+ x: 0,
+ y: 0,
+ mode: 'relative'
+ }, arguments[1] || { });
+ this.start(options);
+ },
+ setup: function() {
+ this.element.makePositioned();
+ this.originalLeft = parseFloat(this.element.getStyle('left') || '0');
+ this.originalTop = parseFloat(this.element.getStyle('top') || '0');
+ if (this.options.mode == 'absolute') {
+ this.options.x = this.options.x - this.originalLeft;
+ this.options.y = this.options.y - this.originalTop;
+ }
+ },
+ update: function(position) {
+ this.element.setStyle({
+ left: (this.options.x * position + this.originalLeft).round() + 'px',
+ top: (this.options.y * position + this.originalTop).round() + 'px'
+ });
+ }
+});
+
+// for backwards compatibility
+Effect.MoveBy = function(element, toTop, toLeft) {
+ return new Effect.Move(element,
+ Object.extend({ x: toLeft, y: toTop }, arguments[3] || { }));
+};
+
+Effect.Scale = Class.create(Effect.Base, {
+ initialize: function(element, percent) {
+ this.element = DOKUid(element);
+ if (!this.element) throw(Effect._elementDoesNotExistError);
+ var options = Object.extend({
+ scaleX: true,
+ scaleY: true,
+ scaleContent: true,
+ scaleFromCenter: false,
+ scaleMode: 'box', // 'box' or 'contents' or { } with provided values
+ scaleFrom: 100.0,
+ scaleTo: percent
+ }, arguments[2] || { });
+ this.start(options);
+ },
+ setup: function() {
+ this.restoreAfterFinish = this.options.restoreAfterFinish || false;
+ this.elementPositioning = this.element.getStyle('position');
+
+ this.originalStyle = { };
+ ['top','left','width','height','fontSize'].each( function(k) {
+ this.originalStyle[k] = this.element.style[k];
+ }.bind(this));
+
+ this.originalTop = this.element.offsetTop;
+ this.originalLeft = this.element.offsetLeft;
+
+ var fontSize = this.element.getStyle('font-size') || '100%';
+ ['em','px','%','pt'].each( function(fontSizeType) {
+ if (fontSize.indexOf(fontSizeType)>0) {
+ this.fontSize = parseFloat(fontSize);
+ this.fontSizeType = fontSizeType;
+ }
+ }.bind(this));
+
+ this.factor = (this.options.scaleTo - this.options.scaleFrom)/100;
+
+ this.dims = null;
+ if (this.options.scaleMode=='box')
+ this.dims = [this.element.offsetHeight, this.element.offsetWidth];
+ if (/^content/.test(this.options.scaleMode))
+ this.dims = [this.element.scrollHeight, this.element.scrollWidth];
+ if (!this.dims)
+ this.dims = [this.options.scaleMode.originalHeight,
+ this.options.scaleMode.originalWidth];
+ },
+ update: function(position) {
+ var currentScale = (this.options.scaleFrom/100.0) + (this.factor * position);
+ if (this.options.scaleContent && this.fontSize)
+ this.element.setStyle({fontSize: this.fontSize * currentScale + this.fontSizeType });
+ this.setDimensions(this.dims[0] * currentScale, this.dims[1] * currentScale);
+ },
+ finish: function(position) {
+ if (this.restoreAfterFinish) this.element.setStyle(this.originalStyle);
+ },
+ setDimensions: function(height, width) {
+ var d = { };
+ if (this.options.scaleX) d.width = width.round() + 'px';
+ if (this.options.scaleY) d.height = height.round() + 'px';
+ if (this.options.scaleFromCenter) {
+ var topd = (height - this.dims[0])/2;
+ var leftd = (width - this.dims[1])/2;
+ if (this.elementPositioning == 'absolute') {
+ if (this.options.scaleY) d.top = this.originalTop-topd + 'px';
+ if (this.options.scaleX) d.left = this.originalLeft-leftd + 'px';
+ } else {
+ if (this.options.scaleY) d.top = -topd + 'px';
+ if (this.options.scaleX) d.left = -leftd + 'px';
+ }
+ }
+ this.element.setStyle(d);
+ }
+});
+
+Effect.Highlight = Class.create(Effect.Base, {
+ initialize: function(element) {
+ this.element = DOKUid(element);
+ if (!this.element) throw(Effect._elementDoesNotExistError);
+ var options = Object.extend({ startcolor: '#ffff99' }, arguments[1] || { });
+ this.start(options);
+ },
+ setup: function() {
+ // Prevent executing on elements not in the layout flow
+ if (this.element.getStyle('display')=='none') { this.cancel(); return; }
+ // Disable background image during the effect
+ this.oldStyle = { };
+ if (!this.options.keepBackgroundImage) {
+ this.oldStyle.backgroundImage = this.element.getStyle('background-image');
+ this.element.setStyle({backgroundImage: 'none'});
+ }
+ if (!this.options.endcolor)
+ this.options.endcolor = this.element.getStyle('background-color').parseColor('#ffffff');
+ if (!this.options.restorecolor)
+ this.options.restorecolor = this.element.getStyle('background-color');
+ // init color calculations
+ this._base = $R(0,2).map(function(i){ return parseInt(this.options.startcolor.slice(i*2+1,i*2+3),16) }.bind(this));
+ this._delta = $R(0,2).map(function(i){ return parseInt(this.options.endcolor.slice(i*2+1,i*2+3),16)-this._base[i] }.bind(this));
+ },
+ update: function(position) {
+ this.element.setStyle({backgroundColor: $R(0,2).inject('#',function(m,v,i){
+ return m+((this._base[i]+(this._delta[i]*position)).round().toColorPart()); }.bind(this)) });
+ },
+ finish: function() {
+ this.element.setStyle(Object.extend(this.oldStyle, {
+ backgroundColor: this.options.restorecolor
+ }));
+ }
+});
+
+Effect.ScrollTo = function(element) {
+ var options = arguments[1] || { },
+ scrollOffsets = document.viewport.getScrollOffsets(),
+ elementOffsets = DOKUid(element).cumulativeOffset();
+
+ if (options.offset) elementOffsets[1] += options.offset;
+
+ return new Effect.Tween(null,
+ scrollOffsets.top,
+ elementOffsets[1],
+ options,
+ function(p){ scrollTo(scrollOffsets.left, p.round()); }
+ );
+};
+
+/* ------------- combination effects ------------- */
+
+Effect.Fade = function(element) {
+ element = DOKUid(element);
+ var oldOpacity = element.getInlineOpacity();
+ var options = Object.extend({
+ from: element.getOpacity() || 1.0,
+ to: 0.0,
+ afterFinishInternal: function(effect) {
+ if (effect.options.to!=0) return;
+ effect.element.hide().setStyle({opacity: oldOpacity});
+ }
+ }, arguments[1] || { });
+ return new Effect.Opacity(element,options);
+};
+
+Effect.Appear = function(element) {
+ element = DOKUid(element);
+ var options = Object.extend({
+ from: (element.getStyle('display') == 'none' ? 0.0 : element.getOpacity() || 0.0),
+ to: 1.0,
+ // force Safari to render floated elements properly
+ afterFinishInternal: function(effect) {
+ effect.element.forceRerendering();
+ },
+ beforeSetup: function(effect) {
+ effect.element.setOpacity(effect.options.from).show();
+ }}, arguments[1] || { });
+ return new Effect.Opacity(element,options);
+};
+
+Effect.Puff = function(element) {
+ element = DOKUid(element);
+ var oldStyle = {
+ opacity: element.getInlineOpacity(),
+ position: element.getStyle('position'),
+ top: element.style.top,
+ left: element.style.left,
+ width: element.style.width,
+ height: element.style.height
+ };
+ return new Effect.Parallel(
+ [ new Effect.Scale(element, 200,
+ { sync: true, scaleFromCenter: true, scaleContent: true, restoreAfterFinish: true }),
+ new Effect.Opacity(element, { sync: true, to: 0.0 } ) ],
+ Object.extend({ duration: 1.0,
+ beforeSetupInternal: function(effect) {
+ Position.absolutize(effect.effects[0].element);
+ },
+ afterFinishInternal: function(effect) {
+ effect.effects[0].element.hide().setStyle(oldStyle); }
+ }, arguments[1] || { })
+ );
+};
+
+Effect.BlindUp = function(element) {
+ element = DOKUid(element);
+ element.makeClipping();
+ return new Effect.Scale(element, 0,
+ Object.extend({ scaleContent: false,
+ scaleX: false,
+ restoreAfterFinish: true,
+ afterFinishInternal: function(effect) {
+ effect.element.hide().undoClipping();
+ }
+ }, arguments[1] || { })
+ );
+};
+
+Effect.BlindDown = function(element) {
+ element = DOKUid(element);
+ var elementDimensions = element.getDimensions();
+ return new Effect.Scale(element, 100, Object.extend({
+ scaleContent: false,
+ scaleX: false,
+ scaleFrom: 0,
+ scaleMode: {originalHeight: elementDimensions.height, originalWidth: elementDimensions.width},
+ restoreAfterFinish: true,
+ afterSetup: function(effect) {
+ effect.element.makeClipping().setStyle({height: '0px'}).show();
+ },
+ afterFinishInternal: function(effect) {
+ effect.element.undoClipping();
+ }
+ }, arguments[1] || { }));
+};
+
+Effect.SwitchOff = function(element) {
+ element = DOKUid(element);
+ var oldOpacity = element.getInlineOpacity();
+ return new Effect.Appear(element, Object.extend({
+ duration: 0.4,
+ from: 0,
+ transition: Effect.Transitions.flicker,
+ afterFinishInternal: function(effect) {
+ new Effect.Scale(effect.element, 1, {
+ duration: 0.3, scaleFromCenter: true,
+ scaleX: false, scaleContent: false, restoreAfterFinish: true,
+ beforeSetup: function(effect) {
+ effect.element.makePositioned().makeClipping();
+ },
+ afterFinishInternal: function(effect) {
+ effect.element.hide().undoClipping().undoPositioned().setStyle({opacity: oldOpacity});
+ }
+ });
+ }
+ }, arguments[1] || { }));
+};
+
+Effect.DropOut = function(element) {
+ element = DOKUid(element);
+ var oldStyle = {
+ top: element.getStyle('top'),
+ left: element.getStyle('left'),
+ opacity: element.getInlineOpacity() };
+ return new Effect.Parallel(
+ [ new Effect.Move(element, {x: 0, y: 100, sync: true }),
+ new Effect.Opacity(element, { sync: true, to: 0.0 }) ],
+ Object.extend(
+ { duration: 0.5,
+ beforeSetup: function(effect) {
+ effect.effects[0].element.makePositioned();
+ },
+ afterFinishInternal: function(effect) {
+ effect.effects[0].element.hide().undoPositioned().setStyle(oldStyle);
+ }
+ }, arguments[1] || { }));
+};
+
+Effect.Shake = function(element) {
+ element = DOKUid(element);
+ var options = Object.extend({
+ distance: 20,
+ duration: 0.5
+ }, arguments[1] || {});
+ var distance = parseFloat(options.distance);
+ var split = parseFloat(options.duration) / 10.0;
+ var oldStyle = {
+ top: element.getStyle('top'),
+ left: element.getStyle('left') };
+ return new Effect.Move(element,
+ { x: distance, y: 0, duration: split, afterFinishInternal: function(effect) {
+ new Effect.Move(effect.element,
+ { x: -distance*2, y: 0, duration: split*2, afterFinishInternal: function(effect) {
+ new Effect.Move(effect.element,
+ { x: distance*2, y: 0, duration: split*2, afterFinishInternal: function(effect) {
+ new Effect.Move(effect.element,
+ { x: -distance*2, y: 0, duration: split*2, afterFinishInternal: function(effect) {
+ new Effect.Move(effect.element,
+ { x: distance*2, y: 0, duration: split*2, afterFinishInternal: function(effect) {
+ new Effect.Move(effect.element,
+ { x: -distance, y: 0, duration: split, afterFinishInternal: function(effect) {
+ effect.element.undoPositioned().setStyle(oldStyle);
+ }}); }}); }}); }}); }}); }});
+};
+
+Effect.SlideDown = function(element) {
+ element = DOKUid(element).cleanWhitespace();
+ // SlideDown need to have the content of the element wrapped in a container element with fixed height!
+ var oldInnerBottom = element.down().getStyle('bottom');
+ var elementDimensions = element.getDimensions();
+ return new Effect.Scale(element, 100, Object.extend({
+ scaleContent: false,
+ scaleX: false,
+ scaleFrom: window.opera ? 0 : 1,
+ scaleMode: {originalHeight: elementDimensions.height, originalWidth: elementDimensions.width},
+ restoreAfterFinish: true,
+ afterSetup: function(effect) {
+ effect.element.makePositioned();
+ effect.element.down().makePositioned();
+ if (window.opera) effect.element.setStyle({top: ''});
+ effect.element.makeClipping().setStyle({height: '0px'}).show();
+ },
+ afterUpdateInternal: function(effect) {
+ effect.element.down().setStyle({bottom:
+ (effect.dims[0] - effect.element.clientHeight) + 'px' });
+ },
+ afterFinishInternal: function(effect) {
+ effect.element.undoClipping().undoPositioned();
+ effect.element.down().undoPositioned().setStyle({bottom: oldInnerBottom}); }
+ }, arguments[1] || { })
+ );
+};
+
+Effect.SlideUp = function(element) {
+ element = DOKUid(element).cleanWhitespace();
+ var oldInnerBottom = element.down().getStyle('bottom');
+ var elementDimensions = element.getDimensions();
+ return new Effect.Scale(element, window.opera ? 0 : 1,
+ Object.extend({ scaleContent: false,
+ scaleX: false,
+ scaleMode: 'box',
+ scaleFrom: 100,
+ scaleMode: {originalHeight: elementDimensions.height, originalWidth: elementDimensions.width},
+ restoreAfterFinish: true,
+ afterSetup: function(effect) {
+ effect.element.makePositioned();
+ effect.element.down().makePositioned();
+ if (window.opera) effect.element.setStyle({top: ''});
+ effect.element.makeClipping().show();
+ },
+ afterUpdateInternal: function(effect) {
+ effect.element.down().setStyle({bottom:
+ (effect.dims[0] - effect.element.clientHeight) + 'px' });
+ },
+ afterFinishInternal: function(effect) {
+ effect.element.hide().undoClipping().undoPositioned();
+ effect.element.down().undoPositioned().setStyle({bottom: oldInnerBottom});
+ }
+ }, arguments[1] || { })
+ );
+};
+
+// Bug in opera makes the TD containing this element expand for a instance after finish
+Effect.Squish = function(element) {
+ return new Effect.Scale(element, window.opera ? 1 : 0, {
+ restoreAfterFinish: true,
+ beforeSetup: function(effect) {
+ effect.element.makeClipping();
+ },
+ afterFinishInternal: function(effect) {
+ effect.element.hide().undoClipping();
+ }
+ });
+};
+
+Effect.Grow = function(element) {
+ element = DOKUid(element);
+ var options = Object.extend({
+ direction: 'center',
+ moveTransition: Effect.Transitions.sinoidal,
+ scaleTransition: Effect.Transitions.sinoidal,
+ opacityTransition: Effect.Transitions.full
+ }, arguments[1] || { });
+ var oldStyle = {
+ top: element.style.top,
+ left: element.style.left,
+ height: element.style.height,
+ width: element.style.width,
+ opacity: element.getInlineOpacity() };
+
+ var dims = element.getDimensions();
+ var initialMoveX, initialMoveY;
+ var moveX, moveY;
+
+ switch (options.direction) {
+ case 'top-left':
+ initialMoveX = initialMoveY = moveX = moveY = 0;
+ break;
+ case 'top-right':
+ initialMoveX = dims.width;
+ initialMoveY = moveY = 0;
+ moveX = -dims.width;
+ break;
+ case 'bottom-left':
+ initialMoveX = moveX = 0;
+ initialMoveY = dims.height;
+ moveY = -dims.height;
+ break;
+ case 'bottom-right':
+ initialMoveX = dims.width;
+ initialMoveY = dims.height;
+ moveX = -dims.width;
+ moveY = -dims.height;
+ break;
+ case 'center':
+ initialMoveX = dims.width / 2;
+ initialMoveY = dims.height / 2;
+ moveX = -dims.width / 2;
+ moveY = -dims.height / 2;
+ break;
+ }
+
+ return new Effect.Move(element, {
+ x: initialMoveX,
+ y: initialMoveY,
+ duration: 0.01,
+ beforeSetup: function(effect) {
+ effect.element.hide().makeClipping().makePositioned();
+ },
+ afterFinishInternal: function(effect) {
+ new Effect.Parallel(
+ [ new Effect.Opacity(effect.element, { sync: true, to: 1.0, from: 0.0, transition: options.opacityTransition }),
+ new Effect.Move(effect.element, { x: moveX, y: moveY, sync: true, transition: options.moveTransition }),
+ new Effect.Scale(effect.element, 100, {
+ scaleMode: { originalHeight: dims.height, originalWidth: dims.width },
+ sync: true, scaleFrom: window.opera ? 1 : 0, transition: options.scaleTransition, restoreAfterFinish: true})
+ ], Object.extend({
+ beforeSetup: function(effect) {
+ effect.effects[0].element.setStyle({height: '0px'}).show();
+ },
+ afterFinishInternal: function(effect) {
+ effect.effects[0].element.undoClipping().undoPositioned().setStyle(oldStyle);
+ }
+ }, options)
+ );
+ }
+ });
+};
+
+Effect.Shrink = function(element) {
+ element = DOKUid(element);
+ var options = Object.extend({
+ direction: 'center',
+ moveTransition: Effect.Transitions.sinoidal,
+ scaleTransition: Effect.Transitions.sinoidal,
+ opacityTransition: Effect.Transitions.none
+ }, arguments[1] || { });
+ var oldStyle = {
+ top: element.style.top,
+ left: element.style.left,
+ height: element.style.height,
+ width: element.style.width,
+ opacity: element.getInlineOpacity() };
+
+ var dims = element.getDimensions();
+ var moveX, moveY;
+
+ switch (options.direction) {
+ case 'top-left':
+ moveX = moveY = 0;
+ break;
+ case 'top-right':
+ moveX = dims.width;
+ moveY = 0;
+ break;
+ case 'bottom-left':
+ moveX = 0;
+ moveY = dims.height;
+ break;
+ case 'bottom-right':
+ moveX = dims.width;
+ moveY = dims.height;
+ break;
+ case 'center':
+ moveX = dims.width / 2;
+ moveY = dims.height / 2;
+ break;
+ }
+
+ return new Effect.Parallel(
+ [ new Effect.Opacity(element, { sync: true, to: 0.0, from: 1.0, transition: options.opacityTransition }),
+ new Effect.Scale(element, window.opera ? 1 : 0, { sync: true, transition: options.scaleTransition, restoreAfterFinish: true}),
+ new Effect.Move(element, { x: moveX, y: moveY, sync: true, transition: options.moveTransition })
+ ], Object.extend({
+ beforeStartInternal: function(effect) {
+ effect.effects[0].element.makePositioned().makeClipping();
+ },
+ afterFinishInternal: function(effect) {
+ effect.effects[0].element.hide().undoClipping().undoPositioned().setStyle(oldStyle); }
+ }, options)
+ );
+};
+
+Effect.Pulsate = function(element) {
+ element = DOKUid(element);
+ var options = arguments[1] || { },
+ oldOpacity = element.getInlineOpacity(),
+ transition = options.transition || Effect.Transitions.linear,
+ reverser = function(pos){
+ return 1 - transition((-Math.cos((pos*(options.pulses||5)*2)*Math.PI)/2) + .5);
+ };
+
+ return new Effect.Opacity(element,
+ Object.extend(Object.extend({ duration: 2.0, from: 0,
+ afterFinishInternal: function(effect) { effect.element.setStyle({opacity: oldOpacity}); }
+ }, options), {transition: reverser}));
+};
+
+Effect.Fold = function(element) {
+ element = DOKUid(element);
+ var oldStyle = {
+ top: element.style.top,
+ left: element.style.left,
+ width: element.style.width,
+ height: element.style.height };
+ element.makeClipping();
+ return new Effect.Scale(element, 5, Object.extend({
+ scaleContent: false,
+ scaleX: false,
+ afterFinishInternal: function(effect) {
+ new Effect.Scale(element, 1, {
+ scaleContent: false,
+ scaleY: false,
+ afterFinishInternal: function(effect) {
+ effect.element.hide().undoClipping().setStyle(oldStyle);
+ } });
+ }}, arguments[1] || { }));
+};
+
+Effect.Morph = Class.create(Effect.Base, {
+ initialize: function(element) {
+ this.element = DOKUid(element);
+ if (!this.element) throw(Effect._elementDoesNotExistError);
+ var options = Object.extend({
+ style: { }
+ }, arguments[1] || { });
+
+ if (!Object.isString(options.style)) this.style = $H(options.style);
+ else {
+ if (options.style.include(':'))
+ this.style = options.style.parseStyle();
+ else {
+ this.element.addClassName(options.style);
+ this.style = $H(this.element.getStyles());
+ this.element.removeClassName(options.style);
+ var css = this.element.getStyles();
+ this.style = this.style.reject(function(style) {
+ return style.value == css[style.key];
+ });
+ options.afterFinishInternal = function(effect) {
+ effect.element.addClassName(effect.options.style);
+ effect.transforms.each(function(transform) {
+ effect.element.style[transform.style] = '';
+ });
+ };
+ }
+ }
+ this.start(options);
+ },
+
+ setup: function(){
+ function parseColor(color){
+ if (!color || ['rgba(0, 0, 0, 0)','transparent'].include(color)) color = '#ffffff';
+ color = color.parseColor();
+ return $R(0,2).map(function(i){
+ return parseInt( color.slice(i*2+1,i*2+3), 16 );
+ });
+ }
+ this.transforms = this.style.map(function(pair){
+ var property = pair[0], value = pair[1], unit = null;
+
+ if (value.parseColor('#zzzzzz') != '#zzzzzz') {
+ value = value.parseColor();
+ unit = 'color';
+ } else if (property == 'opacity') {
+ value = parseFloat(value);
+ if (Prototype.Browser.IE && (!this.element.currentStyle.hasLayout))
+ this.element.setStyle({zoom: 1});
+ } else if (Element.CSS_LENGTH.test(value)) {
+ var components = value.match(/^([\+\-]?[0-9\.]+)(.*)$/);
+ value = parseFloat(components[1]);
+ unit = (components.length == 3) ? components[2] : null;
+ }
+
+ var originalValue = this.element.getStyle(property);
+ return {
+ style: property.camelize(),
+ originalValue: unit=='color' ? parseColor(originalValue) : parseFloat(originalValue || 0),
+ targetValue: unit=='color' ? parseColor(value) : value,
+ unit: unit
+ };
+ }.bind(this)).reject(function(transform){
+ return (
+ (transform.originalValue == transform.targetValue) ||
+ (
+ transform.unit != 'color' &&
+ (isNaN(transform.originalValue) || isNaN(transform.targetValue))
+ )
+ );
+ });
+ },
+ update: function(position) {
+ var style = { }, transform, i = this.transforms.length;
+ while(i--)
+ style[(transform = this.transforms[i]).style] =
+ transform.unit=='color' ? '#'+
+ (Math.round(transform.originalValue[0]+
+ (transform.targetValue[0]-transform.originalValue[0])*position)).toColorPart() +
+ (Math.round(transform.originalValue[1]+
+ (transform.targetValue[1]-transform.originalValue[1])*position)).toColorPart() +
+ (Math.round(transform.originalValue[2]+
+ (transform.targetValue[2]-transform.originalValue[2])*position)).toColorPart() :
+ (transform.originalValue +
+ (transform.targetValue - transform.originalValue) * position).toFixed(3) +
+ (transform.unit === null ? '' : transform.unit);
+ this.element.setStyle(style, true);
+ }
+});
+
+Effect.Transform = Class.create({
+ initialize: function(tracks){
+ this.tracks = [];
+ this.options = arguments[1] || { };
+ this.addTracks(tracks);
+ },
+ addTracks: function(tracks){
+ tracks.each(function(track){
+ track = $H(track);
+ var data = track.values().first();
+ this.tracks.push($H({
+ ids: track.keys().first(),
+ effect: Effect.Morph,
+ options: { style: data }
+ }));
+ }.bind(this));
+ return this;
+ },
+ play: function(){
+ return new Effect.Parallel(
+ this.tracks.map(function(track){
+ var ids = track.get('ids'), effect = track.get('effect'), options = track.get('options');
+ var elements = [DOKUid(ids) || $$(ids)].flatten();
+ return elements.map(function(e){ return new effect(e, Object.extend({ sync:true }, options)) });
+ }).flatten(),
+ this.options
+ );
+ }
+});
+
+Element.CSS_PROPERTIES = $w(
+ 'backgroundColor backgroundPosition borderBottomColor borderBottomStyle ' +
+ 'borderBottomWidth borderLeftColor borderLeftStyle borderLeftWidth ' +
+ 'borderRightColor borderRightStyle borderRightWidth borderSpacing ' +
+ 'borderTopColor borderTopStyle borderTopWidth bottom clip color ' +
+ 'fontSize fontWeight height left letterSpacing lineHeight ' +
+ 'marginBottom marginLeft marginRight marginTop markerOffset maxHeight '+
+ 'maxWidth minHeight minWidth opacity outlineColor outlineOffset ' +
+ 'outlineWidth paddingBottom paddingLeft paddingRight paddingTop ' +
+ 'right textIndent top width wordSpacing zIndex');
+
+Element.CSS_LENGTH = /^(([\+\-]?[0-9\.]+)(em|ex|px|in|cm|mm|pt|pc|\%))|0$/;
+
+String.__parseStyleElement = document.createElement('div');
+String.prototype.parseStyle = function(){
+ var style, styleRules = $H();
+ if (Prototype.Browser.WebKit)
+ style = new Element('div',{style:this}).style;
+ else {
+ String.__parseStyleElement.innerHTML = '<div style="' + this + '"></div>';
+ style = String.__parseStyleElement.childNodes[0].style;
+ }
+
+ Element.CSS_PROPERTIES.each(function(property){
+ if (style[property]) styleRules.set(property, style[property]);
+ });
+
+ if (Prototype.Browser.IE && this.include('opacity'))
+ styleRules.set('opacity', this.match(/opacity:\s*((?:0|1)?(?:\.\d*)?)/)[1]);
+
+ return styleRules;
+};
+
+if (document.defaultView && document.defaultView.getComputedStyle) {
+ Element.getStyles = function(element) {
+ var css = document.defaultView.getComputedStyle(DOKUid(element), null);
+ return Element.CSS_PROPERTIES.inject({ }, function(styles, property) {
+ styles[property] = css[property];
+ return styles;
+ });
+ };
+} else {
+ Element.getStyles = function(element) {
+ element = DOKUid(element);
+ var css = element.currentStyle, styles;
+ styles = Element.CSS_PROPERTIES.inject({ }, function(results, property) {
+ results[property] = css[property];
+ return results;
+ });
+ if (!styles.opacity) styles.opacity = element.getOpacity();
+ return styles;
+ };
+}
+
+Effect.Methods = {
+ morph: function(element, style) {
+ element = DOKUid(element);
+ new Effect.Morph(element, Object.extend({ style: style }, arguments[2] || { }));
+ return element;
+ },
+ visualEffect: function(element, effect, options) {
+ element = DOKUid(element);
+ var s = effect.dasherize().camelize(), klass = s.charAt(0).toUpperCase() + s.substring(1);
+ new Effect[klass](element, options);
+ return element;
+ },
+ highlight: function(element, options) {
+ element = DOKUid(element);
+ new Effect.Highlight(element, options);
+ return element;
+ }
+};
+
+$w('fade appear grow shrink fold blindUp blindDown slideUp slideDown '+
+ 'pulsate shake puff squish switchOff dropOut').each(
+ function(effect) {
+ Effect.Methods[effect] = function(element, options){
+ element = DOKUid(element);
+ Effect[effect.charAt(0).toUpperCase() + effect.substring(1)](element, options);
+ return element;
+ };
+ }
+);
+
+$w('getInlineOpacity forceRerendering setContentZoom collectTextNodes collectTextNodesIgnoreClass getStyles').each(
+ function(f) { Effect.Methods[f] = Element[f]; }
+);
+
+Element.addMethods(Effect.Methods);
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/presentacular.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/presentacular.js
new file mode 100755
index 000000000..d6ec5cd63
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/presentacular.js
@@ -0,0 +1,153 @@
+/*
+Presentacular. v 0.1
+http://labs.cavorite.com/presentacular/
+(c) 2005, Juan Manuel Caicedo
+
+This program is free software; you can redistribute it and/or modify it under the terms of the GNU General Public License as published by the Free Software Foundation; either version 2 of the License, or (at your option) any later version.
+
+See http://www.gnu.org/copyleft/gpl.html for more information
+
+*/
+
+/*
+Creates a chain function.
+
+Todo:
+ Handle return values
+ Add a chained property with a reference to the original function
+
+Static method
+*/
+Function.chain = function(from,ext){
+ return function() {
+ from.apply(this,arguments)
+ ext.apply(this,arguments)
+ }
+}
+
+/*
+Creates a chained function
+Instance method
+*/
+Function.prototype.chain = function(){
+ var __source = this
+ var exts = arguments
+ return function(){
+ __source.apply(this,arguments)
+ for (e = 0; e < exts.length; e++){
+ exts[e].apply(this,arguments)
+ }
+ }
+}
+
+/*
+Applies function f to each element of the list
+*/
+function Map(list,f){
+ var r = []
+ for (var i=0; i < list.length; i++){
+ r.push(f.call(this,list[i]))
+ }
+ return r
+}
+
+
+
+var Presentacular = {}
+
+/*
+Available effects
+TODO: Provide a function to add effects
+*/
+Presentacular.effects = {
+ blinddown: Effect.BlindDown,
+ blindup: Effect.BlindUp,
+ appear: Effect.Appear,
+ puff: Effect.Puff,
+ shake: Effect.Shake,
+ pulsate: Effect.Pulsate,
+ slidedown: Effect.SlideDown,
+ slideup: Effect.SlideUp,
+ highlight: Effect.Highlight,
+ grow: Effect.Grow,
+ fade: Effect.Fade,
+ fold: Effect.Fold,
+ shrink: Effect.Shrink,
+ dropout: Effect.DropOut,
+ switchoff: Effect.SwitchOff,
+ squish: Effect.Squish
+}
+
+/*
+Apply effects to element elm.
+
+parentClasses: If true, include effects defined in the parent element. Used for lists (ul, ol)
+
+*/
+Presentacular.applyEffects = function(elm,parentClasses){
+
+ var c = []
+ if (parentClasses && elm.className)
+ try {
+ c = c.concat(elm.parentNode.className.split(' '))
+ c = c.concat(elm.className.split(' '))
+ } catch (exc) {
+ return;
+ }
+ Map(c, function(cl){
+ if (!cl) return
+
+
+ //Proof of concept. this code could (and should) be more elegant
+ cl = cl.toLowerCase().split('_')
+
+ var opts = {duration: 1}
+ if (cl.length > 1){
+ opts.duration = cl[1]
+ }
+
+ if (Presentacular.effects[cl[0]]){
+ Presentacular.effects[cl[0]].call(this,elm,opts)
+ }
+ return
+ })
+}
+
+
+/*
+Chained function
+*/
+function ChangeSlide(step){
+
+ var ce = DOKUid('slide' + snum)
+
+ //Apply slide effects
+ Presentacular.applyEffects(ce)
+
+ //Apply effects to all elements but the incrementals
+ Map(ce.getElementsByTagName('*'), function(elm){
+ if (!hasClass(elm,"incremental")){
+ Presentacular.applyEffects(elm)
+ }
+ })
+}
+
+
+/*
+Chained function
+*/
+function ApplyCurrentElement(elm,className){
+ if (className == "current"){
+ Presentacular.applyEffects(elm,true)
+ }
+}
+
+/*
+Function chaining: execute the second function after the first one has finished
+
+This was necesary in order to keep the same function names and because I want
+to *extend* S5 instead of *edit* it.
+
+*/
+window.addClass = addClass.chain(ApplyCurrentElement)
+window.go = go.chain(ChangeSlide)
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/prototype.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/prototype.js
new file mode 100644
index 000000000..f100f84a7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/prototype.js
@@ -0,0 +1,4874 @@
+/* Prototype JavaScript framework, version 1.6.1
+ * (c) 2005-2009 Sam Stephenson
+ *
+ * Prototype is freely distributable under the terms of an MIT-style license.
+ * For details, see the Prototype web site: http://www.prototypejs.org/
+ *
+ *--------------------------------------------------------------------------*/
+
+var Prototype = {
+ Version: '1.6.1',
+
+ Browser: (function(){
+ var ua = navigator.userAgent;
+ var isOpera = Object.prototype.toString.call(window.opera) == '[object Opera]';
+ return {
+ IE: !!window.attachEvent && !isOpera,
+ Opera: isOpera,
+ WebKit: ua.indexOf('AppleWebKit/') > -1,
+ Gecko: ua.indexOf('Gecko') > -1 && ua.indexOf('KHTML') === -1,
+ MobileSafari: /Apple.*Mobile.*Safari/.test(ua)
+ }
+ })(),
+
+ BrowserFeatures: {
+ XPath: !!document.evaluate,
+ SelectorsAPI: !!document.querySelector,
+ ElementExtensions: (function() {
+ var constructor = window.Element || window.HTMLElement;
+ return !!(constructor && constructor.prototype);
+ })(),
+ SpecificElementExtensions: (function() {
+ if (typeof window.HTMLDivElement !== 'undefined')
+ return true;
+
+ var div = document.createElement('div');
+ var form = document.createElement('form');
+ var isSupported = false;
+
+ if (div['__proto__'] && (div['__proto__'] !== form['__proto__'])) {
+ isSupported = true;
+ }
+
+ div = form = null;
+
+ return isSupported;
+ })()
+ },
+
+ ScriptFragment: '<script[^>]*>([\\S\\s]*?)<\/script>',
+ JSONFilter: /^\/\*-secure-([\s\S]*)\*\/\s*$/,
+
+ emptyFunction: function() { },
+ K: function(x) { return x }
+};
+
+if (Prototype.Browser.MobileSafari)
+ Prototype.BrowserFeatures.SpecificElementExtensions = false;
+
+
+var Abstract = { };
+
+
+var Try = {
+ these: function() {
+ var returnValue;
+
+ for (var i = 0, length = arguments.length; i < length; i++) {
+ var lambda = arguments[i];
+ try {
+ returnValue = lambda();
+ break;
+ } catch (e) { }
+ }
+
+ return returnValue;
+ }
+};
+
+/* Based on Alex Arnell's inheritance implementation. */
+
+var Class = (function() {
+ function subclass() {};
+ function create() {
+ var parent = null, properties = $A(arguments);
+ if (Object.isFunction(properties[0]))
+ parent = properties.shift();
+
+ function klass() {
+ this.initialize.apply(this, arguments);
+ }
+
+ Object.extend(klass, Class.Methods);
+ klass.superclass = parent;
+ klass.subclasses = [];
+
+ if (parent) {
+ subclass.prototype = parent.prototype;
+ klass.prototype = new subclass;
+ parent.subclasses.push(klass);
+ }
+
+ for (var i = 0; i < properties.length; i++)
+ klass.addMethods(properties[i]);
+
+ if (!klass.prototype.initialize)
+ klass.prototype.initialize = Prototype.emptyFunction;
+
+ klass.prototype.constructor = klass;
+ return klass;
+ }
+
+ function addMethods(source) {
+ var ancestor = this.superclass && this.superclass.prototype;
+ var properties = Object.keys(source);
+
+ if (!Object.keys({ toString: true }).length) {
+ if (source.toString != Object.prototype.toString)
+ properties.push("toString");
+ if (source.valueOf != Object.prototype.valueOf)
+ properties.push("valueOf");
+ }
+
+ for (var i = 0, length = properties.length; i < length; i++) {
+ var property = properties[i], value = source[property];
+ if (ancestor && Object.isFunction(value) &&
+ value.argumentNames().first() == "$super") {
+ var method = value;
+ value = (function(m) {
+ return function() { return ancestor[m].apply(this, arguments); };
+ })(property).wrap(method);
+
+ value.valueOf = method.valueOf.bind(method);
+ value.toString = method.toString.bind(method);
+ }
+ this.prototype[property] = value;
+ }
+
+ return this;
+ }
+
+ return {
+ create: create,
+ Methods: {
+ addMethods: addMethods
+ }
+ };
+})();
+(function() {
+
+ var _toString = Object.prototype.toString;
+
+ function extend(destination, source) {
+ for (var property in source)
+ destination[property] = source[property];
+ return destination;
+ }
+
+ function inspect(object) {
+ try {
+ if (isUndefined(object)) return 'undefined';
+ if (object === null) return 'null';
+ return object.inspect ? object.inspect() : String(object);
+ } catch (e) {
+ if (e instanceof RangeError) return '...';
+ throw e;
+ }
+ }
+
+ function toJSON(object) {
+ var type = typeof object;
+ switch (type) {
+ case 'undefined':
+ case 'function':
+ case 'unknown': return;
+ case 'boolean': return object.toString();
+ }
+
+ if (object === null) return 'null';
+ if (object.toJSON) return object.toJSON();
+ if (isElement(object)) return;
+
+ var results = [];
+ for (var property in object) {
+ var value = toJSON(object[property]);
+ if (!isUndefined(value))
+ results.push(property.toJSON() + ': ' + value);
+ }
+
+ return '{' + results.join(', ') + '}';
+ }
+
+ function toQueryString(object) {
+ return $H(object).toQueryString();
+ }
+
+ function toHTML(object) {
+ return object && object.toHTML ? object.toHTML() : String.interpret(object);
+ }
+
+ function keys(object) {
+ var results = [];
+ for (var property in object)
+ results.push(property);
+ return results;
+ }
+
+ function values(object) {
+ var results = [];
+ for (var property in object)
+ results.push(object[property]);
+ return results;
+ }
+
+ function clone(object) {
+ return extend({ }, object);
+ }
+
+ function isElement(object) {
+ return !!(object && object.nodeType == 1);
+ }
+
+ function isArray(object) {
+ return _toString.call(object) == "[object Array]";
+ }
+
+
+ function isHash(object) {
+ return object instanceof Hash;
+ }
+
+ function isFunction(object) {
+ return typeof object === "function";
+ }
+
+ function isString(object) {
+ return _toString.call(object) == "[object String]";
+ }
+
+ function isNumber(object) {
+ return _toString.call(object) == "[object Number]";
+ }
+
+ function isUndefined(object) {
+ return typeof object === "undefined";
+ }
+
+ extend(Object, {
+ extend: extend,
+ inspect: inspect,
+ toJSON: toJSON,
+ toQueryString: toQueryString,
+ toHTML: toHTML,
+ keys: keys,
+ values: values,
+ clone: clone,
+ isElement: isElement,
+ isArray: isArray,
+ isHash: isHash,
+ isFunction: isFunction,
+ isString: isString,
+ isNumber: isNumber,
+ isUndefined: isUndefined
+ });
+})();
+Object.extend(Function.prototype, (function() {
+ var slice = Array.prototype.slice;
+
+ function update(array, args) {
+ var arrayLength = array.length, length = args.length;
+ while (length--) array[arrayLength + length] = args[length];
+ return array;
+ }
+
+ function merge(array, args) {
+ array = slice.call(array, 0);
+ return update(array, args);
+ }
+
+ function argumentNames() {
+ var names = this.toString().match(/^[\s\(]*function[^(]*\(([^)]*)\)/)[1]
+ .replace(/\/\/.*?[\r\n]|\/\*(?:.|[\r\n])*?\*\//g, '')
+ .replace(/\s+/g, '').split(',');
+ return names.length == 1 && !names[0] ? [] : names;
+ }
+
+ function bind(context) {
+ if (arguments.length < 2 && Object.isUndefined(arguments[0])) return this;
+ var __method = this, args = slice.call(arguments, 1);
+ return function() {
+ var a = merge(args, arguments);
+ return __method.apply(context, a);
+ }
+ }
+
+ function bindAsEventListener(context) {
+ var __method = this, args = slice.call(arguments, 1);
+ return function(event) {
+ var a = update([event || window.event], args);
+ return __method.apply(context, a);
+ }
+ }
+
+ function curry() {
+ if (!arguments.length) return this;
+ var __method = this, args = slice.call(arguments, 0);
+ return function() {
+ var a = merge(args, arguments);
+ return __method.apply(this, a);
+ }
+ }
+
+ function delay(timeout) {
+ var __method = this, args = slice.call(arguments, 1);
+ timeout = timeout * 1000
+ return window.setTimeout(function() {
+ return __method.apply(__method, args);
+ }, timeout);
+ }
+
+ function defer() {
+ var args = update([0.01], arguments);
+ return this.delay.apply(this, args);
+ }
+
+ function wrap(wrapper) {
+ var __method = this;
+ return function() {
+ var a = update([__method.bind(this)], arguments);
+ return wrapper.apply(this, a);
+ }
+ }
+
+ function methodize() {
+ if (this._methodized) return this._methodized;
+ var __method = this;
+ return this._methodized = function() {
+ var a = update([this], arguments);
+ return __method.apply(null, a);
+ };
+ }
+
+ return {
+ argumentNames: argumentNames,
+ bind: bind,
+ bindAsEventListener: bindAsEventListener,
+ curry: curry,
+ delay: delay,
+ defer: defer,
+ wrap: wrap,
+ methodize: methodize
+ }
+})());
+
+
+Date.prototype.toJSON = function() {
+ return '"' + this.getUTCFullYear() + '-' +
+ (this.getUTCMonth() + 1).toPaddedString(2) + '-' +
+ this.getUTCDate().toPaddedString(2) + 'T' +
+ this.getUTCHours().toPaddedString(2) + ':' +
+ this.getUTCMinutes().toPaddedString(2) + ':' +
+ this.getUTCSeconds().toPaddedString(2) + 'Z"';
+};
+
+
+RegExp.prototype.match = RegExp.prototype.test;
+
+RegExp.escape = function(str) {
+ return String(str).replace(/([.*+?^=!:${}()|[\]\/\\])/g, '\\$1');
+};
+var PeriodicalExecuter = Class.create({
+ initialize: function(callback, frequency) {
+ this.callback = callback;
+ this.frequency = frequency;
+ this.currentlyExecuting = false;
+
+ this.registerCallback();
+ },
+
+ registerCallback: function() {
+ this.timer = setInterval(this.onTimerEvent.bind(this), this.frequency * 1000);
+ },
+
+ execute: function() {
+ this.callback(this);
+ },
+
+ stop: function() {
+ if (!this.timer) return;
+ clearInterval(this.timer);
+ this.timer = null;
+ },
+
+ onTimerEvent: function() {
+ if (!this.currentlyExecuting) {
+ try {
+ this.currentlyExecuting = true;
+ this.execute();
+ this.currentlyExecuting = false;
+ } catch(e) {
+ this.currentlyExecuting = false;
+ throw e;
+ }
+ }
+ }
+});
+Object.extend(String, {
+ interpret: function(value) {
+ return value == null ? '' : String(value);
+ },
+ specialChar: {
+ '\b': '\\b',
+ '\t': '\\t',
+ '\n': '\\n',
+ '\f': '\\f',
+ '\r': '\\r',
+ '\\': '\\\\'
+ }
+});
+
+Object.extend(String.prototype, (function() {
+
+ function prepareReplacement(replacement) {
+ if (Object.isFunction(replacement)) return replacement;
+ var template = new Template(replacement);
+ return function(match) { return template.evaluate(match) };
+ }
+
+ function gsub(pattern, replacement) {
+ var result = '', source = this, match;
+ replacement = prepareReplacement(replacement);
+
+ if (Object.isString(pattern))
+ pattern = RegExp.escape(pattern);
+
+ if (!(pattern.length || pattern.source)) {
+ replacement = replacement('');
+ return replacement + source.split('').join(replacement) + replacement;
+ }
+
+ while (source.length > 0) {
+ if (match = source.match(pattern)) {
+ result += source.slice(0, match.index);
+ result += String.interpret(replacement(match));
+ source = source.slice(match.index + match[0].length);
+ } else {
+ result += source, source = '';
+ }
+ }
+ return result;
+ }
+
+ function sub(pattern, replacement, count) {
+ replacement = prepareReplacement(replacement);
+ count = Object.isUndefined(count) ? 1 : count;
+
+ return this.gsub(pattern, function(match) {
+ if (--count < 0) return match[0];
+ return replacement(match);
+ });
+ }
+
+ function scan(pattern, iterator) {
+ this.gsub(pattern, iterator);
+ return String(this);
+ }
+
+ function truncate(length, truncation) {
+ length = length || 30;
+ truncation = Object.isUndefined(truncation) ? '...' : truncation;
+ return this.length > length ?
+ this.slice(0, length - truncation.length) + truncation : String(this);
+ }
+
+ function strip() {
+ return this.replace(/^\s+/, '').replace(/\s+$/, '');
+ }
+
+ function stripTags() {
+ return this.replace(/<\w+(\s+("[^"]*"|'[^']*'|[^>])+)?>|<\/\w+>/gi, '');
+ }
+
+ function stripScripts() {
+ return this.replace(new RegExp(Prototype.ScriptFragment, 'img'), '');
+ }
+
+ function extractScripts() {
+ var matchAll = new RegExp(Prototype.ScriptFragment, 'img');
+ var matchOne = new RegExp(Prototype.ScriptFragment, 'im');
+ return (this.match(matchAll) || []).map(function(scriptTag) {
+ return (scriptTag.match(matchOne) || ['', ''])[1];
+ });
+ }
+
+ function evalScripts() {
+ return this.extractScripts().map(function(script) { return eval(script) });
+ }
+
+ function escapeHTML() {
+ return this.replace(/&/g,'&amp;').replace(/</g,'&lt;').replace(/>/g,'&gt;');
+ }
+
+ function unescapeHTML() {
+ return this.stripTags().replace(/&lt;/g,'<').replace(/&gt;/g,'>').replace(/&amp;/g,'&');
+ }
+
+
+ function toQueryParams(separator) {
+ var match = this.strip().match(/([^?#]*)(#.*)?$/);
+ if (!match) return { };
+
+ return match[1].split(separator || '&').inject({ }, function(hash, pair) {
+ if ((pair = pair.split('='))[0]) {
+ var key = decodeURIComponent(pair.shift());
+ var value = pair.length > 1 ? pair.join('=') : pair[0];
+ if (value != undefined) value = decodeURIComponent(value);
+
+ if (key in hash) {
+ if (!Object.isArray(hash[key])) hash[key] = [hash[key]];
+ hash[key].push(value);
+ }
+ else hash[key] = value;
+ }
+ return hash;
+ });
+ }
+
+ function toArray() {
+ return this.split('');
+ }
+
+ function succ() {
+ return this.slice(0, this.length - 1) +
+ String.fromCharCode(this.charCodeAt(this.length - 1) + 1);
+ }
+
+ function times(count) {
+ return count < 1 ? '' : new Array(count + 1).join(this);
+ }
+
+ function camelize() {
+ var parts = this.split('-'), len = parts.length;
+ if (len == 1) return parts[0];
+
+ var camelized = this.charAt(0) == '-'
+ ? parts[0].charAt(0).toUpperCase() + parts[0].substring(1)
+ : parts[0];
+
+ for (var i = 1; i < len; i++)
+ camelized += parts[i].charAt(0).toUpperCase() + parts[i].substring(1);
+
+ return camelized;
+ }
+
+ function capitalize() {
+ return this.charAt(0).toUpperCase() + this.substring(1).toLowerCase();
+ }
+
+ function underscore() {
+ return this.replace(/::/g, '/')
+ .replace(/([A-Z]+)([A-Z][a-z])/g, '$1_$2')
+ .replace(/([a-z\d])([A-Z])/g, '$1_$2')
+ .replace(/-/g, '_')
+ .toLowerCase();
+ }
+
+ function dasherize() {
+ return this.replace(/_/g, '-');
+ }
+
+ function inspect(useDoubleQuotes) {
+ var escapedString = this.replace(/[\x00-\x1f\\]/g, function(character) {
+ if (character in String.specialChar) {
+ return String.specialChar[character];
+ }
+ return '\\u00' + character.charCodeAt().toPaddedString(2, 16);
+ });
+ if (useDoubleQuotes) return '"' + escapedString.replace(/"/g, '\\"') + '"';
+ return "'" + escapedString.replace(/'/g, '\\\'') + "'";
+ }
+
+ function toJSON() {
+ return this.inspect(true);
+ }
+
+ function unfilterJSON(filter) {
+ return this.replace(filter || Prototype.JSONFilter, '$1');
+ }
+
+ function isJSON() {
+ var str = this;
+ if (str.blank()) return false;
+ str = this.replace(/\\./g, '@').replace(/"[^"\\\n\r]*"/g, '');
+ return (/^[,:{}\[\]0-9.\-+Eaeflnr-u \n\r\t]*$/).test(str);
+ }
+
+ function evalJSON(sanitize) {
+ var json = this.unfilterJSON();
+ try {
+ if (!sanitize || json.isJSON()) return eval('(' + json + ')');
+ } catch (e) { }
+ throw new SyntaxError('Badly formed JSON string: ' + this.inspect());
+ }
+
+ function include(pattern) {
+ return this.indexOf(pattern) > -1;
+ }
+
+ function startsWith(pattern) {
+ return this.indexOf(pattern) === 0;
+ }
+
+ function endsWith(pattern) {
+ var d = this.length - pattern.length;
+ return d >= 0 && this.lastIndexOf(pattern) === d;
+ }
+
+ function empty() {
+ return this == '';
+ }
+
+ function blank() {
+ return /^\s*$/.test(this);
+ }
+
+ function interpolate(object, pattern) {
+ return new Template(this, pattern).evaluate(object);
+ }
+
+ return {
+ gsub: gsub,
+ sub: sub,
+ scan: scan,
+ truncate: truncate,
+ strip: String.prototype.trim ? String.prototype.trim : strip,
+ stripTags: stripTags,
+ stripScripts: stripScripts,
+ extractScripts: extractScripts,
+ evalScripts: evalScripts,
+ escapeHTML: escapeHTML,
+ unescapeHTML: unescapeHTML,
+ toQueryParams: toQueryParams,
+ parseQuery: toQueryParams,
+ toArray: toArray,
+ succ: succ,
+ times: times,
+ camelize: camelize,
+ capitalize: capitalize,
+ underscore: underscore,
+ dasherize: dasherize,
+ inspect: inspect,
+ toJSON: toJSON,
+ unfilterJSON: unfilterJSON,
+ isJSON: isJSON,
+ evalJSON: evalJSON,
+ include: include,
+ startsWith: startsWith,
+ endsWith: endsWith,
+ empty: empty,
+ blank: blank,
+ interpolate: interpolate
+ };
+})());
+
+var Template = Class.create({
+ initialize: function(template, pattern) {
+ this.template = template.toString();
+ this.pattern = pattern || Template.Pattern;
+ },
+
+ evaluate: function(object) {
+ if (object && Object.isFunction(object.toTemplateReplacements))
+ object = object.toTemplateReplacements();
+
+ return this.template.gsub(this.pattern, function(match) {
+ if (object == null) return (match[1] + '');
+
+ var before = match[1] || '';
+ if (before == '\\') return match[2];
+
+ var ctx = object, expr = match[3];
+ var pattern = /^([^.[]+|\[((?:.*?[^\\])?)\])(\.|\[|$)/;
+ match = pattern.exec(expr);
+ if (match == null) return before;
+
+ while (match != null) {
+ var comp = match[1].startsWith('[') ? match[2].replace(/\\\\]/g, ']') : match[1];
+ ctx = ctx[comp];
+ if (null == ctx || '' == match[3]) break;
+ expr = expr.substring('[' == match[3] ? match[1].length : match[0].length);
+ match = pattern.exec(expr);
+ }
+
+ return before + String.interpret(ctx);
+ });
+ }
+});
+Template.Pattern = /(^|.|\r|\n)(#\{(.*?)\})/;
+
+var $break = { };
+
+var Enumerable = (function() {
+ function each(iterator, context) {
+ var index = 0;
+ try {
+ this._each(function(value) {
+ iterator.call(context, value, index++);
+ });
+ } catch (e) {
+ if (e != $break) throw e;
+ }
+ return this;
+ }
+
+ function eachSlice(number, iterator, context) {
+ var index = -number, slices = [], array = this.toArray();
+ if (number < 1) return array;
+ while ((index += number) < array.length)
+ slices.push(array.slice(index, index+number));
+ return slices.collect(iterator, context);
+ }
+
+ function all(iterator, context) {
+ iterator = iterator || Prototype.K;
+ var result = true;
+ this.each(function(value, index) {
+ result = result && !!iterator.call(context, value, index);
+ if (!result) throw $break;
+ });
+ return result;
+ }
+
+ function any(iterator, context) {
+ iterator = iterator || Prototype.K;
+ var result = false;
+ this.each(function(value, index) {
+ if (result = !!iterator.call(context, value, index))
+ throw $break;
+ });
+ return result;
+ }
+
+ function collect(iterator, context) {
+ iterator = iterator || Prototype.K;
+ var results = [];
+ this.each(function(value, index) {
+ results.push(iterator.call(context, value, index));
+ });
+ return results;
+ }
+
+ function detect(iterator, context) {
+ var result;
+ this.each(function(value, index) {
+ if (iterator.call(context, value, index)) {
+ result = value;
+ throw $break;
+ }
+ });
+ return result;
+ }
+
+ function findAll(iterator, context) {
+ var results = [];
+ this.each(function(value, index) {
+ if (iterator.call(context, value, index))
+ results.push(value);
+ });
+ return results;
+ }
+
+ function grep(filter, iterator, context) {
+ iterator = iterator || Prototype.K;
+ var results = [];
+
+ if (Object.isString(filter))
+ filter = new RegExp(RegExp.escape(filter));
+
+ this.each(function(value, index) {
+ if (filter.match(value))
+ results.push(iterator.call(context, value, index));
+ });
+ return results;
+ }
+
+ function include(object) {
+ if (Object.isFunction(this.indexOf))
+ if (this.indexOf(object) != -1) return true;
+
+ var found = false;
+ this.each(function(value) {
+ if (value == object) {
+ found = true;
+ throw $break;
+ }
+ });
+ return found;
+ }
+
+ function inGroupsOf(number, fillWith) {
+ fillWith = Object.isUndefined(fillWith) ? null : fillWith;
+ return this.eachSlice(number, function(slice) {
+ while(slice.length < number) slice.push(fillWith);
+ return slice;
+ });
+ }
+
+ function inject(memo, iterator, context) {
+ this.each(function(value, index) {
+ memo = iterator.call(context, memo, value, index);
+ });
+ return memo;
+ }
+
+ function invoke(method) {
+ var args = $A(arguments).slice(1);
+ return this.map(function(value) {
+ return value[method].apply(value, args);
+ });
+ }
+
+ function max(iterator, context) {
+ iterator = iterator || Prototype.K;
+ var result;
+ this.each(function(value, index) {
+ value = iterator.call(context, value, index);
+ if (result == null || value >= result)
+ result = value;
+ });
+ return result;
+ }
+
+ function min(iterator, context) {
+ iterator = iterator || Prototype.K;
+ var result;
+ this.each(function(value, index) {
+ value = iterator.call(context, value, index);
+ if (result == null || value < result)
+ result = value;
+ });
+ return result;
+ }
+
+ function partition(iterator, context) {
+ iterator = iterator || Prototype.K;
+ var trues = [], falses = [];
+ this.each(function(value, index) {
+ (iterator.call(context, value, index) ?
+ trues : falses).push(value);
+ });
+ return [trues, falses];
+ }
+
+ function pluck(property) {
+ var results = [];
+ this.each(function(value) {
+ results.push(value[property]);
+ });
+ return results;
+ }
+
+ function reject(iterator, context) {
+ var results = [];
+ this.each(function(value, index) {
+ if (!iterator.call(context, value, index))
+ results.push(value);
+ });
+ return results;
+ }
+
+ function sortBy(iterator, context) {
+ return this.map(function(value, index) {
+ return {
+ value: value,
+ criteria: iterator.call(context, value, index)
+ };
+ }).sort(function(left, right) {
+ var a = left.criteria, b = right.criteria;
+ return a < b ? -1 : a > b ? 1 : 0;
+ }).pluck('value');
+ }
+
+ function toArray() {
+ return this.map();
+ }
+
+ function zip() {
+ var iterator = Prototype.K, args = $A(arguments);
+ if (Object.isFunction(args.last()))
+ iterator = args.pop();
+
+ var collections = [this].concat(args).map($A);
+ return this.map(function(value, index) {
+ return iterator(collections.pluck(index));
+ });
+ }
+
+ function size() {
+ return this.toArray().length;
+ }
+
+ function inspect() {
+ return '#<Enumerable:' + this.toArray().inspect() + '>';
+ }
+
+
+
+
+
+
+
+
+
+ return {
+ each: each,
+ eachSlice: eachSlice,
+ all: all,
+ every: all,
+ any: any,
+ some: any,
+ collect: collect,
+ map: collect,
+ detect: detect,
+ findAll: findAll,
+ select: findAll,
+ filter: findAll,
+ grep: grep,
+ include: include,
+ member: include,
+ inGroupsOf: inGroupsOf,
+ inject: inject,
+ invoke: invoke,
+ max: max,
+ min: min,
+ partition: partition,
+ pluck: pluck,
+ reject: reject,
+ sortBy: sortBy,
+ toArray: toArray,
+ entries: toArray,
+ zip: zip,
+ size: size,
+ inspect: inspect,
+ find: detect
+ };
+})();
+function $A(iterable) {
+ if (!iterable) return [];
+ if ('toArray' in Object(iterable)) return iterable.toArray();
+ var length = iterable.length || 0, results = new Array(length);
+ while (length--) results[length] = iterable[length];
+ return results;
+}
+
+function $w(string) {
+ if (!Object.isString(string)) return [];
+ string = string.strip();
+ return string ? string.split(/\s+/) : [];
+}
+
+Array.from = $A;
+
+
+(function() {
+ var arrayProto = Array.prototype,
+ slice = arrayProto.slice,
+ _each = arrayProto.forEach; // use native browser JS 1.6 implementation if available
+
+ function each(iterator) {
+ for (var i = 0, length = this.length; i < length; i++)
+ iterator(this[i]);
+ }
+ if (!_each) _each = each;
+
+ function clear() {
+ this.length = 0;
+ return this;
+ }
+
+ function first() {
+ return this[0];
+ }
+
+ function last() {
+ return this[this.length - 1];
+ }
+
+ function compact() {
+ return this.select(function(value) {
+ return value != null;
+ });
+ }
+
+ function flatten() {
+ return this.inject([], function(array, value) {
+ if (Object.isArray(value))
+ return array.concat(value.flatten());
+ array.push(value);
+ return array;
+ });
+ }
+
+ function without() {
+ var values = slice.call(arguments, 0);
+ return this.select(function(value) {
+ return !values.include(value);
+ });
+ }
+
+ function reverse(inline) {
+ return (inline !== false ? this : this.toArray())._reverse();
+ }
+
+ function uniq(sorted) {
+ return this.inject([], function(array, value, index) {
+ if (0 == index || (sorted ? array.last() != value : !array.include(value)))
+ array.push(value);
+ return array;
+ });
+ }
+
+ function intersect(array) {
+ return this.uniq().findAll(function(item) {
+ return array.detect(function(value) { return item === value });
+ });
+ }
+
+
+ function clone() {
+ return slice.call(this, 0);
+ }
+
+ function size() {
+ return this.length;
+ }
+
+ function inspect() {
+ return '[' + this.map(Object.inspect).join(', ') + ']';
+ }
+
+ function toJSON() {
+ var results = [];
+ this.each(function(object) {
+ var value = Object.toJSON(object);
+ if (!Object.isUndefined(value)) results.push(value);
+ });
+ return '[' + results.join(', ') + ']';
+ }
+
+ function indexOf(item, i) {
+ i || (i = 0);
+ var length = this.length;
+ if (i < 0) i = length + i;
+ for (; i < length; i++)
+ if (this[i] === item) return i;
+ return -1;
+ }
+
+ function lastIndexOf(item, i) {
+ i = isNaN(i) ? this.length : (i < 0 ? this.length + i : i) + 1;
+ var n = this.slice(0, i).reverse().indexOf(item);
+ return (n < 0) ? n : i - n - 1;
+ }
+
+ function concat() {
+ var array = slice.call(this, 0), item;
+ for (var i = 0, length = arguments.length; i < length; i++) {
+ item = arguments[i];
+ if (Object.isArray(item) && !('callee' in item)) {
+ for (var j = 0, arrayLength = item.length; j < arrayLength; j++)
+ array.push(item[j]);
+ } else {
+ array.push(item);
+ }
+ }
+ return array;
+ }
+
+ Object.extend(arrayProto, Enumerable);
+
+ if (!arrayProto._reverse)
+ arrayProto._reverse = arrayProto.reverse;
+
+ Object.extend(arrayProto, {
+ _each: _each,
+ clear: clear,
+ first: first,
+ last: last,
+ compact: compact,
+ flatten: flatten,
+ without: without,
+ reverse: reverse,
+ uniq: uniq,
+ intersect: intersect,
+ clone: clone,
+ toArray: clone,
+ size: size,
+ inspect: inspect,
+ toJSON: toJSON
+ });
+
+ var CONCAT_ARGUMENTS_BUGGY = (function() {
+ return [].concat(arguments)[0][0] !== 1;
+ })(1,2)
+
+ if (CONCAT_ARGUMENTS_BUGGY) arrayProto.concat = concat;
+
+ if (!arrayProto.indexOf) arrayProto.indexOf = indexOf;
+ if (!arrayProto.lastIndexOf) arrayProto.lastIndexOf = lastIndexOf;
+})();
+function $H(object) {
+ return new Hash(object);
+};
+
+var Hash = Class.create(Enumerable, (function() {
+ function initialize(object) {
+ this._object = Object.isHash(object) ? object.toObject() : Object.clone(object);
+ }
+
+ function _each(iterator) {
+ for (var key in this._object) {
+ var value = this._object[key], pair = [key, value];
+ pair.key = key;
+ pair.value = value;
+ iterator(pair);
+ }
+ }
+
+ function set(key, value) {
+ return this._object[key] = value;
+ }
+
+ function get(key) {
+ if (this._object[key] !== Object.prototype[key])
+ return this._object[key];
+ }
+
+ function unset(key) {
+ var value = this._object[key];
+ delete this._object[key];
+ return value;
+ }
+
+ function toObject() {
+ return Object.clone(this._object);
+ }
+
+ function keys() {
+ return this.pluck('key');
+ }
+
+ function values() {
+ return this.pluck('value');
+ }
+
+ function index(value) {
+ var match = this.detect(function(pair) {
+ return pair.value === value;
+ });
+ return match && match.key;
+ }
+
+ function merge(object) {
+ return this.clone().update(object);
+ }
+
+ function update(object) {
+ return new Hash(object).inject(this, function(result, pair) {
+ result.set(pair.key, pair.value);
+ return result;
+ });
+ }
+
+ function toQueryPair(key, value) {
+ if (Object.isUndefined(value)) return key;
+ return key + '=' + encodeURIComponent(String.interpret(value));
+ }
+
+ function toQueryString() {
+ return this.inject([], function(results, pair) {
+ var key = encodeURIComponent(pair.key), values = pair.value;
+
+ if (values && typeof values == 'object') {
+ if (Object.isArray(values))
+ return results.concat(values.map(toQueryPair.curry(key)));
+ } else results.push(toQueryPair(key, values));
+ return results;
+ }).join('&');
+ }
+
+ function inspect() {
+ return '#<Hash:{' + this.map(function(pair) {
+ return pair.map(Object.inspect).join(': ');
+ }).join(', ') + '}>';
+ }
+
+ function toJSON() {
+ return Object.toJSON(this.toObject());
+ }
+
+ function clone() {
+ return new Hash(this);
+ }
+
+ return {
+ initialize: initialize,
+ _each: _each,
+ set: set,
+ get: get,
+ unset: unset,
+ toObject: toObject,
+ toTemplateReplacements: toObject,
+ keys: keys,
+ values: values,
+ index: index,
+ merge: merge,
+ update: update,
+ toQueryString: toQueryString,
+ inspect: inspect,
+ toJSON: toJSON,
+ clone: clone
+ };
+})());
+
+Hash.from = $H;
+Object.extend(Number.prototype, (function() {
+ function toColorPart() {
+ return this.toPaddedString(2, 16);
+ }
+
+ function succ() {
+ return this + 1;
+ }
+
+ function times(iterator, context) {
+ $R(0, this, true).each(iterator, context);
+ return this;
+ }
+
+ function toPaddedString(length, radix) {
+ var string = this.toString(radix || 10);
+ return '0'.times(length - string.length) + string;
+ }
+
+ function toJSON() {
+ return isFinite(this) ? this.toString() : 'null';
+ }
+
+ function abs() {
+ return Math.abs(this);
+ }
+
+ function round() {
+ return Math.round(this);
+ }
+
+ function ceil() {
+ return Math.ceil(this);
+ }
+
+ function floor() {
+ return Math.floor(this);
+ }
+
+ return {
+ toColorPart: toColorPart,
+ succ: succ,
+ times: times,
+ toPaddedString: toPaddedString,
+ toJSON: toJSON,
+ abs: abs,
+ round: round,
+ ceil: ceil,
+ floor: floor
+ };
+})());
+
+function $R(start, end, exclusive) {
+ return new ObjectRange(start, end, exclusive);
+}
+
+var ObjectRange = Class.create(Enumerable, (function() {
+ function initialize(start, end, exclusive) {
+ this.start = start;
+ this.end = end;
+ this.exclusive = exclusive;
+ }
+
+ function _each(iterator) {
+ var value = this.start;
+ while (this.include(value)) {
+ iterator(value);
+ value = value.succ();
+ }
+ }
+
+ function include(value) {
+ if (value < this.start)
+ return false;
+ if (this.exclusive)
+ return value < this.end;
+ return value <= this.end;
+ }
+
+ return {
+ initialize: initialize,
+ _each: _each,
+ include: include
+ };
+})());
+
+
+
+var Ajax = {
+ getTransport: function() {
+ return Try.these(
+ function() {return new XMLHttpRequest()},
+ function() {return new ActiveXObject('Msxml2.XMLHTTP')},
+ function() {return new ActiveXObject('Microsoft.XMLHTTP')}
+ ) || false;
+ },
+
+ activeRequestCount: 0
+};
+
+Ajax.Responders = {
+ responders: [],
+
+ _each: function(iterator) {
+ this.responders._each(iterator);
+ },
+
+ register: function(responder) {
+ if (!this.include(responder))
+ this.responders.push(responder);
+ },
+
+ unregister: function(responder) {
+ this.responders = this.responders.without(responder);
+ },
+
+ dispatch: function(callback, request, transport, json) {
+ this.each(function(responder) {
+ if (Object.isFunction(responder[callback])) {
+ try {
+ responder[callback].apply(responder, [request, transport, json]);
+ } catch (e) { }
+ }
+ });
+ }
+};
+
+Object.extend(Ajax.Responders, Enumerable);
+
+Ajax.Responders.register({
+ onCreate: function() { Ajax.activeRequestCount++ },
+ onComplete: function() { Ajax.activeRequestCount-- }
+});
+Ajax.Base = Class.create({
+ initialize: function(options) {
+ this.options = {
+ method: 'post',
+ asynchronous: true,
+ contentType: 'application/x-www-form-urlencoded',
+ encoding: 'UTF-8',
+ parameters: '',
+ evalJSON: true,
+ evalJS: true
+ };
+ Object.extend(this.options, options || { });
+
+ this.options.method = this.options.method.toLowerCase();
+
+ if (Object.isString(this.options.parameters))
+ this.options.parameters = this.options.parameters.toQueryParams();
+ else if (Object.isHash(this.options.parameters))
+ this.options.parameters = this.options.parameters.toObject();
+ }
+});
+Ajax.Request = Class.create(Ajax.Base, {
+ _complete: false,
+
+ initialize: function($super, url, options) {
+ $super(options);
+ this.transport = Ajax.getTransport();
+ this.request(url);
+ },
+
+ request: function(url) {
+ this.url = url;
+ this.method = this.options.method;
+ var params = Object.clone(this.options.parameters);
+
+ if (!['get', 'post'].include(this.method)) {
+ params['_method'] = this.method;
+ this.method = 'post';
+ }
+
+ this.parameters = params;
+
+ if (params = Object.toQueryString(params)) {
+ if (this.method == 'get')
+ this.url += (this.url.include('?') ? '&' : '?') + params;
+ else if (/Konqueror|Safari|KHTML/.test(navigator.userAgent))
+ params += '&_=';
+ }
+
+ try {
+ var response = new Ajax.Response(this);
+ if (this.options.onCreate) this.options.onCreate(response);
+ Ajax.Responders.dispatch('onCreate', this, response);
+
+ this.transport.open(this.method.toUpperCase(), this.url,
+ this.options.asynchronous);
+
+ if (this.options.asynchronous) this.respondToReadyState.bind(this).defer(1);
+
+ this.transport.onreadystatechange = this.onStateChange.bind(this);
+ this.setRequestHeaders();
+
+ this.body = this.method == 'post' ? (this.options.postBody || params) : null;
+ this.transport.send(this.body);
+
+ /* Force Firefox to handle ready state 4 for synchronous requests */
+ if (!this.options.asynchronous && this.transport.overrideMimeType)
+ this.onStateChange();
+
+ }
+ catch (e) {
+ this.dispatchException(e);
+ }
+ },
+
+ onStateChange: function() {
+ var readyState = this.transport.readyState;
+ if (readyState > 1 && !((readyState == 4) && this._complete))
+ this.respondToReadyState(this.transport.readyState);
+ },
+
+ setRequestHeaders: function() {
+ var headers = {
+ 'X-Requested-With': 'XMLHttpRequest',
+ 'X-Prototype-Version': Prototype.Version,
+ 'Accept': 'text/javascript, text/html, application/xml, text/xml, */*'
+ };
+
+ if (this.method == 'post') {
+ headers['Content-type'] = this.options.contentType +
+ (this.options.encoding ? '; charset=' + this.options.encoding : '');
+
+ /* Force "Connection: close" for older Mozilla browsers to work
+ * around a bug where XMLHttpRequest sends an incorrect
+ * Content-length header. See Mozilla Bugzilla #246651.
+ */
+ if (this.transport.overrideMimeType &&
+ (navigator.userAgent.match(/Gecko\/(\d{4})/) || [0,2005])[1] < 2005)
+ headers['Connection'] = 'close';
+ }
+
+ if (typeof this.options.requestHeaders == 'object') {
+ var extras = this.options.requestHeaders;
+
+ if (Object.isFunction(extras.push))
+ for (var i = 0, length = extras.length; i < length; i += 2)
+ headers[extras[i]] = extras[i+1];
+ else
+ $H(extras).each(function(pair) { headers[pair.key] = pair.value });
+ }
+
+ for (var name in headers)
+ this.transport.setRequestHeader(name, headers[name]);
+ },
+
+ success: function() {
+ var status = this.getStatus();
+ return !status || (status >= 200 && status < 300);
+ },
+
+ getStatus: function() {
+ try {
+ return this.transport.status || 0;
+ } catch (e) { return 0 }
+ },
+
+ respondToReadyState: function(readyState) {
+ var state = Ajax.Request.Events[readyState], response = new Ajax.Response(this);
+
+ if (state == 'Complete') {
+ try {
+ this._complete = true;
+ (this.options['on' + response.status]
+ || this.options['on' + (this.success() ? 'Success' : 'Failure')]
+ || Prototype.emptyFunction)(response, response.headerJSON);
+ } catch (e) {
+ this.dispatchException(e);
+ }
+
+ var contentType = response.getHeader('Content-type');
+ if (this.options.evalJS == 'force'
+ || (this.options.evalJS && this.isSameOrigin() && contentType
+ && contentType.match(/^\s*(text|application)\/(x-)?(java|ecma)script(;.*)?\s*$/i)))
+ this.evalResponse();
+ }
+
+ try {
+ (this.options['on' + state] || Prototype.emptyFunction)(response, response.headerJSON);
+ Ajax.Responders.dispatch('on' + state, this, response, response.headerJSON);
+ } catch (e) {
+ this.dispatchException(e);
+ }
+
+ if (state == 'Complete') {
+ this.transport.onreadystatechange = Prototype.emptyFunction;
+ }
+ },
+
+ isSameOrigin: function() {
+ var m = this.url.match(/^\s*https?:\/\/[^\/]*/);
+ return !m || (m[0] == '#{protocol}//#{domain}#{port}'.interpolate({
+ protocol: location.protocol,
+ domain: document.domain,
+ port: location.port ? ':' + location.port : ''
+ }));
+ },
+
+ getHeader: function(name) {
+ try {
+ return this.transport.getResponseHeader(name) || null;
+ } catch (e) { return null; }
+ },
+
+ evalResponse: function() {
+ try {
+ return eval((this.transport.responseText || '').unfilterJSON());
+ } catch (e) {
+ this.dispatchException(e);
+ }
+ },
+
+ dispatchException: function(exception) {
+ (this.options.onException || Prototype.emptyFunction)(this, exception);
+ Ajax.Responders.dispatch('onException', this, exception);
+ }
+});
+
+Ajax.Request.Events =
+ ['Uninitialized', 'Loading', 'Loaded', 'Interactive', 'Complete'];
+
+
+
+
+
+
+
+
+Ajax.Response = Class.create({
+ initialize: function(request){
+ this.request = request;
+ var transport = this.transport = request.transport,
+ readyState = this.readyState = transport.readyState;
+
+ if((readyState > 2 && !Prototype.Browser.IE) || readyState == 4) {
+ this.status = this.getStatus();
+ this.statusText = this.getStatusText();
+ this.responseText = String.interpret(transport.responseText);
+ this.headerJSON = this._getHeaderJSON();
+ }
+
+ if(readyState == 4) {
+ var xml = transport.responseXML;
+ this.responseXML = Object.isUndefined(xml) ? null : xml;
+ this.responseJSON = this._getResponseJSON();
+ }
+ },
+
+ status: 0,
+
+ statusText: '',
+
+ getStatus: Ajax.Request.prototype.getStatus,
+
+ getStatusText: function() {
+ try {
+ return this.transport.statusText || '';
+ } catch (e) { return '' }
+ },
+
+ getHeader: Ajax.Request.prototype.getHeader,
+
+ getAllHeaders: function() {
+ try {
+ return this.getAllResponseHeaders();
+ } catch (e) { return null }
+ },
+
+ getResponseHeader: function(name) {
+ return this.transport.getResponseHeader(name);
+ },
+
+ getAllResponseHeaders: function() {
+ return this.transport.getAllResponseHeaders();
+ },
+
+ _getHeaderJSON: function() {
+ var json = this.getHeader('X-JSON');
+ if (!json) return null;
+ json = decodeURIComponent(escape(json));
+ try {
+ return json.evalJSON(this.request.options.sanitizeJSON ||
+ !this.request.isSameOrigin());
+ } catch (e) {
+ this.request.dispatchException(e);
+ }
+ },
+
+ _getResponseJSON: function() {
+ var options = this.request.options;
+ if (!options.evalJSON || (options.evalJSON != 'force' &&
+ !(this.getHeader('Content-type') || '').include('application/json')) ||
+ this.responseText.blank())
+ return null;
+ try {
+ return this.responseText.evalJSON(options.sanitizeJSON ||
+ !this.request.isSameOrigin());
+ } catch (e) {
+ this.request.dispatchException(e);
+ }
+ }
+});
+
+Ajax.Updater = Class.create(Ajax.Request, {
+ initialize: function($super, container, url, options) {
+ this.container = {
+ success: (container.success || container),
+ failure: (container.failure || (container.success ? null : container))
+ };
+
+ options = Object.clone(options);
+ var onComplete = options.onComplete;
+ options.onComplete = (function(response, json) {
+ this.updateContent(response.responseText);
+ if (Object.isFunction(onComplete)) onComplete(response, json);
+ }).bind(this);
+
+ $super(url, options);
+ },
+
+ updateContent: function(responseText) {
+ var receiver = this.container[this.success() ? 'success' : 'failure'],
+ options = this.options;
+
+ if (!options.evalScripts) responseText = responseText.stripScripts();
+
+ if (receiver = DOKUid(receiver)) {
+ if (options.insertion) {
+ if (Object.isString(options.insertion)) {
+ var insertion = { }; insertion[options.insertion] = responseText;
+ receiver.insert(insertion);
+ }
+ else options.insertion(receiver, responseText);
+ }
+ else receiver.update(responseText);
+ }
+ }
+});
+
+Ajax.PeriodicalUpdater = Class.create(Ajax.Base, {
+ initialize: function($super, container, url, options) {
+ $super(options);
+ this.onComplete = this.options.onComplete;
+
+ this.frequency = (this.options.frequency || 2);
+ this.decay = (this.options.decay || 1);
+
+ this.updater = { };
+ this.container = container;
+ this.url = url;
+
+ this.start();
+ },
+
+ start: function() {
+ this.options.onComplete = this.updateComplete.bind(this);
+ this.onTimerEvent();
+ },
+
+ stop: function() {
+ this.updater.options.onComplete = undefined;
+ clearTimeout(this.timer);
+ (this.onComplete || Prototype.emptyFunction).apply(this, arguments);
+ },
+
+ updateComplete: function(response) {
+ if (this.options.decay) {
+ this.decay = (response.responseText == this.lastText ?
+ this.decay * this.options.decay : 1);
+
+ this.lastText = response.responseText;
+ }
+ this.timer = this.onTimerEvent.bind(this).delay(this.decay * this.frequency);
+ },
+
+ onTimerEvent: function() {
+ this.updater = new Ajax.Updater(this.container, this.url, this.options);
+ }
+});
+
+
+
+function DOKUid(element) {
+ if (arguments.length > 1) {
+ for (var i = 0, elements = [], length = arguments.length; i < length; i++)
+ elements.push(DOKUid(arguments[i]));
+ return elements;
+ }
+ if (Object.isString(element))
+ element = document.getElementById(element);
+ return Element.extend(element);
+}
+
+if (Prototype.BrowserFeatures.XPath) {
+ document._getElementsByXPath = function(expression, parentElement) {
+ var results = [];
+ var query = document.evaluate(expression, DOKUid(parentElement) || document,
+ null, XPathResult.ORDERED_NODE_SNAPSHOT_TYPE, null);
+ for (var i = 0, length = query.snapshotLength; i < length; i++)
+ results.push(Element.extend(query.snapshotItem(i)));
+ return results;
+ };
+}
+
+/*--------------------------------------------------------------------------*/
+
+if (!window.Node) var Node = { };
+
+if (!Node.ELEMENT_NODE) {
+ Object.extend(Node, {
+ ELEMENT_NODE: 1,
+ ATTRIBUTE_NODE: 2,
+ TEXT_NODE: 3,
+ CDATA_SECTION_NODE: 4,
+ ENTITY_REFERENCE_NODE: 5,
+ ENTITY_NODE: 6,
+ PROCESSING_INSTRUCTION_NODE: 7,
+ COMMENT_NODE: 8,
+ DOCUMENT_NODE: 9,
+ DOCUMENT_TYPE_NODE: 10,
+ DOCUMENT_FRAGMENT_NODE: 11,
+ NOTATION_NODE: 12
+ });
+}
+
+
+(function(global) {
+
+ var SETATTRIBUTE_IGNORES_NAME = (function(){
+ var elForm = document.createElement("form");
+ var elInput = document.createElement("input");
+ var root = document.documentElement;
+ elInput.setAttribute("name", "test");
+ elForm.appendChild(elInput);
+ root.appendChild(elForm);
+ var isBuggy = elForm.elements
+ ? (typeof elForm.elements.test == "undefined")
+ : null;
+ root.removeChild(elForm);
+ elForm = elInput = null;
+ return isBuggy;
+ })();
+
+ var element = global.Element;
+ global.Element = function(tagName, attributes) {
+ attributes = attributes || { };
+ tagName = tagName.toLowerCase();
+ var cache = Element.cache;
+ if (SETATTRIBUTE_IGNORES_NAME && attributes.name) {
+ tagName = '<' + tagName + ' name="' + attributes.name + '">';
+ delete attributes.name;
+ return Element.writeAttribute(document.createElement(tagName), attributes);
+ }
+ if (!cache[tagName]) cache[tagName] = Element.extend(document.createElement(tagName));
+ return Element.writeAttribute(cache[tagName].cloneNode(false), attributes);
+ };
+ Object.extend(global.Element, element || { });
+ if (element) global.Element.prototype = element.prototype;
+})(this);
+
+Element.cache = { };
+Element.idCounter = 1;
+
+Element.Methods = {
+ visible: function(element) {
+ return DOKUid(element).style.display != 'none';
+ },
+
+ toggle: function(element) {
+ element = DOKUid(element);
+ Element[Element.visible(element) ? 'hide' : 'show'](element);
+ return element;
+ },
+
+
+ hide: function(element) {
+ element = DOKUid(element);
+ element.style.display = 'none';
+ return element;
+ },
+
+ show: function(element) {
+ element = DOKUid(element);
+ element.style.display = '';
+ return element;
+ },
+
+ remove: function(element) {
+ element = DOKUid(element);
+ element.parentNode.removeChild(element);
+ return element;
+ },
+
+ update: (function(){
+
+ var SELECT_ELEMENT_INNERHTML_BUGGY = (function(){
+ var el = document.createElement("select"),
+ isBuggy = true;
+ el.innerHTML = "<option value=\"test\">test</option>";
+ if (el.options && el.options[0]) {
+ isBuggy = el.options[0].nodeName.toUpperCase() !== "OPTION";
+ }
+ el = null;
+ return isBuggy;
+ })();
+
+ var TABLE_ELEMENT_INNERHTML_BUGGY = (function(){
+ try {
+ var el = document.createElement("table");
+ if (el && el.tBodies) {
+ el.innerHTML = "<tbody><tr><td>test</td></tr></tbody>";
+ var isBuggy = typeof el.tBodies[0] == "undefined";
+ el = null;
+ return isBuggy;
+ }
+ } catch (e) {
+ return true;
+ }
+ })();
+
+ var SCRIPT_ELEMENT_REJECTS_TEXTNODE_APPENDING = (function () {
+ var s = document.createElement("script"),
+ isBuggy = false;
+ try {
+ s.appendChild(document.createTextNode(""));
+ isBuggy = !s.firstChild ||
+ s.firstChild && s.firstChild.nodeType !== 3;
+ } catch (e) {
+ isBuggy = true;
+ }
+ s = null;
+ return isBuggy;
+ })();
+
+ function update(element, content) {
+ element = DOKUid(element);
+
+ if (content && content.toElement)
+ content = content.toElement();
+
+ if (Object.isElement(content))
+ return element.update().insert(content);
+
+ content = Object.toHTML(content);
+
+ var tagName = element.tagName.toUpperCase();
+
+ if (tagName === 'SCRIPT' && SCRIPT_ELEMENT_REJECTS_TEXTNODE_APPENDING) {
+ element.text = content;
+ return element;
+ }
+
+ if (SELECT_ELEMENT_INNERHTML_BUGGY || TABLE_ELEMENT_INNERHTML_BUGGY) {
+ if (tagName in Element._insertionTranslations.tags) {
+ while (element.firstChild) {
+ element.removeChild(element.firstChild);
+ }
+ Element._getContentFromAnonymousElement(tagName, content.stripScripts())
+ .each(function(node) {
+ element.appendChild(node)
+ });
+ }
+ else {
+ element.innerHTML = content.stripScripts();
+ }
+ }
+ else {
+ element.innerHTML = content.stripScripts();
+ }
+
+ content.evalScripts.bind(content).defer();
+ return element;
+ }
+
+ return update;
+ })(),
+
+ replace: function(element, content) {
+ element = DOKUid(element);
+ if (content && content.toElement) content = content.toElement();
+ else if (!Object.isElement(content)) {
+ content = Object.toHTML(content);
+ var range = element.ownerDocument.createRange();
+ range.selectNode(element);
+ content.evalScripts.bind(content).defer();
+ content = range.createContextualFragment(content.stripScripts());
+ }
+ element.parentNode.replaceChild(content, element);
+ return element;
+ },
+
+ insert: function(element, insertions) {
+ element = DOKUid(element);
+
+ if (Object.isString(insertions) || Object.isNumber(insertions) ||
+ Object.isElement(insertions) || (insertions && (insertions.toElement || insertions.toHTML)))
+ insertions = {bottom:insertions};
+
+ var content, insert, tagName, childNodes;
+
+ for (var position in insertions) {
+ content = insertions[position];
+ position = position.toLowerCase();
+ insert = Element._insertionTranslations[position];
+
+ if (content && content.toElement) content = content.toElement();
+ if (Object.isElement(content)) {
+ insert(element, content);
+ continue;
+ }
+
+ content = Object.toHTML(content);
+
+ tagName = ((position == 'before' || position == 'after')
+ ? element.parentNode : element).tagName.toUpperCase();
+
+ childNodes = Element._getContentFromAnonymousElement(tagName, content.stripScripts());
+
+ if (position == 'top' || position == 'after') childNodes.reverse();
+ childNodes.each(insert.curry(element));
+
+ content.evalScripts.bind(content).defer();
+ }
+
+ return element;
+ },
+
+ wrap: function(element, wrapper, attributes) {
+ element = DOKUid(element);
+ if (Object.isElement(wrapper))
+ DOKUid(wrapper).writeAttribute(attributes || { });
+ else if (Object.isString(wrapper)) wrapper = new Element(wrapper, attributes);
+ else wrapper = new Element('div', wrapper);
+ if (element.parentNode)
+ element.parentNode.replaceChild(wrapper, element);
+ wrapper.appendChild(element);
+ return wrapper;
+ },
+
+ inspect: function(element) {
+ element = DOKUid(element);
+ var result = '<' + element.tagName.toLowerCase();
+ $H({'id': 'id', 'className': 'class'}).each(function(pair) {
+ var property = pair.first(), attribute = pair.last();
+ var value = (element[property] || '').toString();
+ if (value) result += ' ' + attribute + '=' + value.inspect(true);
+ });
+ return result + '>';
+ },
+
+ recursivelyCollect: function(element, property) {
+ element = DOKUid(element);
+ var elements = [];
+ while (element = element[property])
+ if (element.nodeType == 1)
+ elements.push(Element.extend(element));
+ return elements;
+ },
+
+ ancestors: function(element) {
+ return Element.recursivelyCollect(element, 'parentNode');
+ },
+
+ descendants: function(element) {
+ return Element.select(element, "*");
+ },
+
+ firstDescendant: function(element) {
+ element = DOKUid(element).firstChild;
+ while (element && element.nodeType != 1) element = element.nextSibling;
+ return DOKUid(element);
+ },
+
+ immediateDescendants: function(element) {
+ if (!(element = DOKUid(element).firstChild)) return [];
+ while (element && element.nodeType != 1) element = element.nextSibling;
+ if (element) return [element].concat(DOKUid(element).nextSiblings());
+ return [];
+ },
+
+ previousSiblings: function(element) {
+ return Element.recursivelyCollect(element, 'previousSibling');
+ },
+
+ nextSiblings: function(element) {
+ return Element.recursivelyCollect(element, 'nextSibling');
+ },
+
+ siblings: function(element) {
+ element = DOKUid(element);
+ return Element.previousSiblings(element).reverse()
+ .concat(Element.nextSiblings(element));
+ },
+
+ match: function(element, selector) {
+ if (Object.isString(selector))
+ selector = new Selector(selector);
+ return selector.match(DOKUid(element));
+ },
+
+ up: function(element, expression, index) {
+ element = DOKUid(element);
+ if (arguments.length == 1) return DOKUid(element.parentNode);
+ var ancestors = Element.ancestors(element);
+ return Object.isNumber(expression) ? ancestors[expression] :
+ Selector.findElement(ancestors, expression, index);
+ },
+
+ down: function(element, expression, index) {
+ element = DOKUid(element);
+ if (arguments.length == 1) return Element.firstDescendant(element);
+ return Object.isNumber(expression) ? Element.descendants(element)[expression] :
+ Element.select(element, expression)[index || 0];
+ },
+
+ previous: function(element, expression, index) {
+ element = DOKUid(element);
+ if (arguments.length == 1) return DOKUid(Selector.handlers.previousElementSibling(element));
+ var previousSiblings = Element.previousSiblings(element);
+ return Object.isNumber(expression) ? previousSiblings[expression] :
+ Selector.findElement(previousSiblings, expression, index);
+ },
+
+ next: function(element, expression, index) {
+ element = DOKUid(element);
+ if (arguments.length == 1) return DOKUid(Selector.handlers.nextElementSibling(element));
+ var nextSiblings = Element.nextSiblings(element);
+ return Object.isNumber(expression) ? nextSiblings[expression] :
+ Selector.findElement(nextSiblings, expression, index);
+ },
+
+
+ select: function(element) {
+ var args = Array.prototype.slice.call(arguments, 1);
+ return Selector.findChildElements(element, args);
+ },
+
+ adjacent: function(element) {
+ var args = Array.prototype.slice.call(arguments, 1);
+ return Selector.findChildElements(element.parentNode, args).without(element);
+ },
+
+ identify: function(element) {
+ element = DOKUid(element);
+ var id = Element.readAttribute(element, 'id');
+ if (id) return id;
+ do { id = 'anonymous_element_' + Element.idCounter++ } while (DOKUid(id));
+ Element.writeAttribute(element, 'id', id);
+ return id;
+ },
+
+ readAttribute: function(element, name) {
+ element = DOKUid(element);
+ if (Prototype.Browser.IE) {
+ var t = Element._attributeTranslations.read;
+ if (t.values[name]) return t.values[name](element, name);
+ if (t.names[name]) name = t.names[name];
+ if (name.include(':')) {
+ return (!element.attributes || !element.attributes[name]) ? null :
+ element.attributes[name].value;
+ }
+ }
+ return element.getAttribute(name);
+ },
+
+ writeAttribute: function(element, name, value) {
+ element = DOKUid(element);
+ var attributes = { }, t = Element._attributeTranslations.write;
+
+ if (typeof name == 'object') attributes = name;
+ else attributes[name] = Object.isUndefined(value) ? true : value;
+
+ for (var attr in attributes) {
+ name = t.names[attr] || attr;
+ value = attributes[attr];
+ if (t.values[attr]) name = t.values[attr](element, value);
+ if (value === false || value === null)
+ element.removeAttribute(name);
+ else if (value === true)
+ element.setAttribute(name, name);
+ else element.setAttribute(name, value);
+ }
+ return element;
+ },
+
+ getHeight: function(element) {
+ return Element.getDimensions(element).height;
+ },
+
+ getWidth: function(element) {
+ return Element.getDimensions(element).width;
+ },
+
+ classNames: function(element) {
+ return new Element.ClassNames(element);
+ },
+
+ hasClassName: function(element, className) {
+ if (!(element = DOKUid(element))) return;
+ var elementClassName = element.className;
+ return (elementClassName.length > 0 && (elementClassName == className ||
+ new RegExp("(^|\\s)" + className + "(\\s|$)").test(elementClassName)));
+ },
+
+ addClassName: function(element, className) {
+ if (!(element = DOKUid(element))) return;
+ if (!Element.hasClassName(element, className))
+ element.className += (element.className ? ' ' : '') + className;
+ return element;
+ },
+
+ removeClassName: function(element, className) {
+ if (!(element = DOKUid(element))) return;
+ element.className = element.className.replace(
+ new RegExp("(^|\\s+)" + className + "(\\s+|$)"), ' ').strip();
+ return element;
+ },
+
+ toggleClassName: function(element, className) {
+ if (!(element = DOKUid(element))) return;
+ return Element[Element.hasClassName(element, className) ?
+ 'removeClassName' : 'addClassName'](element, className);
+ },
+
+ cleanWhitespace: function(element) {
+ element = DOKUid(element);
+ var node = element.firstChild;
+ while (node) {
+ var nextNode = node.nextSibling;
+ if (node.nodeType == 3 && !/\S/.test(node.nodeValue))
+ element.removeChild(node);
+ node = nextNode;
+ }
+ return element;
+ },
+
+ empty: function(element) {
+ return DOKUid(element).innerHTML.blank();
+ },
+
+ descendantOf: function(element, ancestor) {
+ element = DOKUid(element), ancestor = DOKUid(ancestor);
+
+ if (element.compareDocumentPosition)
+ return (element.compareDocumentPosition(ancestor) & 8) === 8;
+
+ if (ancestor.contains)
+ return ancestor.contains(element) && ancestor !== element;
+
+ while (element = element.parentNode)
+ if (element == ancestor) return true;
+
+ return false;
+ },
+
+ scrollTo: function(element) {
+ element = DOKUid(element);
+ var pos = Element.cumulativeOffset(element);
+ window.scrollTo(pos[0], pos[1]);
+ return element;
+ },
+
+ getStyle: function(element, style) {
+ element = DOKUid(element);
+ style = style == 'float' ? 'cssFloat' : style.camelize();
+ var value = element.style[style];
+ if (!value || value == 'auto') {
+ var css = document.defaultView.getComputedStyle(element, null);
+ value = css ? css[style] : null;
+ }
+ if (style == 'opacity') return value ? parseFloat(value) : 1.0;
+ return value == 'auto' ? null : value;
+ },
+
+ getOpacity: function(element) {
+ return DOKUid(element).getStyle('opacity');
+ },
+
+ setStyle: function(element, styles) {
+ element = DOKUid(element);
+ var elementStyle = element.style, match;
+ if (Object.isString(styles)) {
+ element.style.cssText += ';' + styles;
+ return styles.include('opacity') ?
+ element.setOpacity(styles.match(/opacity:\s*(\d?\.?\d*)/)[1]) : element;
+ }
+ for (var property in styles)
+ if (property == 'opacity') element.setOpacity(styles[property]);
+ else
+ elementStyle[(property == 'float' || property == 'cssFloat') ?
+ (Object.isUndefined(elementStyle.styleFloat) ? 'cssFloat' : 'styleFloat') :
+ property] = styles[property];
+
+ return element;
+ },
+
+ setOpacity: function(element, value) {
+ element = DOKUid(element);
+ element.style.opacity = (value == 1 || value === '') ? '' :
+ (value < 0.00001) ? 0 : value;
+ return element;
+ },
+
+ getDimensions: function(element) {
+ element = DOKUid(element);
+ var display = Element.getStyle(element, 'display');
+ if (display != 'none' && display != null) // Safari bug
+ return {width: element.offsetWidth, height: element.offsetHeight};
+
+ var els = element.style;
+ var originalVisibility = els.visibility;
+ var originalPosition = els.position;
+ var originalDisplay = els.display;
+ els.visibility = 'hidden';
+ if (originalPosition != 'fixed') // Switching fixed to absolute causes issues in Safari
+ els.position = 'absolute';
+ els.display = 'block';
+ var originalWidth = element.clientWidth;
+ var originalHeight = element.clientHeight;
+ els.display = originalDisplay;
+ els.position = originalPosition;
+ els.visibility = originalVisibility;
+ return {width: originalWidth, height: originalHeight};
+ },
+
+ makePositioned: function(element) {
+ element = DOKUid(element);
+ var pos = Element.getStyle(element, 'position');
+ if (pos == 'static' || !pos) {
+ element._madePositioned = true;
+ element.style.position = 'relative';
+ if (Prototype.Browser.Opera) {
+ element.style.top = 0;
+ element.style.left = 0;
+ }
+ }
+ return element;
+ },
+
+ undoPositioned: function(element) {
+ element = DOKUid(element);
+ if (element._madePositioned) {
+ element._madePositioned = undefined;
+ element.style.position =
+ element.style.top =
+ element.style.left =
+ element.style.bottom =
+ element.style.right = '';
+ }
+ return element;
+ },
+
+ makeClipping: function(element) {
+ element = DOKUid(element);
+ if (element._overflow) return element;
+ element._overflow = Element.getStyle(element, 'overflow') || 'auto';
+ if (element._overflow !== 'hidden')
+ element.style.overflow = 'hidden';
+ return element;
+ },
+
+ undoClipping: function(element) {
+ element = DOKUid(element);
+ if (!element._overflow) return element;
+ element.style.overflow = element._overflow == 'auto' ? '' : element._overflow;
+ element._overflow = null;
+ return element;
+ },
+
+ cumulativeOffset: function(element) {
+ var valueT = 0, valueL = 0;
+ do {
+ valueT += element.offsetTop || 0;
+ valueL += element.offsetLeft || 0;
+ element = element.offsetParent;
+ } while (element);
+ return Element._returnOffset(valueL, valueT);
+ },
+
+ positionedOffset: function(element) {
+ var valueT = 0, valueL = 0;
+ do {
+ valueT += element.offsetTop || 0;
+ valueL += element.offsetLeft || 0;
+ element = element.offsetParent;
+ if (element) {
+ if (element.tagName.toUpperCase() == 'BODY') break;
+ var p = Element.getStyle(element, 'position');
+ if (p !== 'static') break;
+ }
+ } while (element);
+ return Element._returnOffset(valueL, valueT);
+ },
+
+ absolutize: function(element) {
+ element = DOKUid(element);
+ if (Element.getStyle(element, 'position') == 'absolute') return element;
+
+ var offsets = Element.positionedOffset(element);
+ var top = offsets[1];
+ var left = offsets[0];
+ var width = element.clientWidth;
+ var height = element.clientHeight;
+
+ element._originalLeft = left - parseFloat(element.style.left || 0);
+ element._originalTop = top - parseFloat(element.style.top || 0);
+ element._originalWidth = element.style.width;
+ element._originalHeight = element.style.height;
+
+ element.style.position = 'absolute';
+ element.style.top = top + 'px';
+ element.style.left = left + 'px';
+ element.style.width = width + 'px';
+ element.style.height = height + 'px';
+ return element;
+ },
+
+ relativize: function(element) {
+ element = DOKUid(element);
+ if (Element.getStyle(element, 'position') == 'relative') return element;
+
+ element.style.position = 'relative';
+ var top = parseFloat(element.style.top || 0) - (element._originalTop || 0);
+ var left = parseFloat(element.style.left || 0) - (element._originalLeft || 0);
+
+ element.style.top = top + 'px';
+ element.style.left = left + 'px';
+ element.style.height = element._originalHeight;
+ element.style.width = element._originalWidth;
+ return element;
+ },
+
+ cumulativeScrollOffset: function(element) {
+ var valueT = 0, valueL = 0;
+ do {
+ valueT += element.scrollTop || 0;
+ valueL += element.scrollLeft || 0;
+ element = element.parentNode;
+ } while (element);
+ return Element._returnOffset(valueL, valueT);
+ },
+
+ getOffsetParent: function(element) {
+ if (element.offsetParent) return DOKUid(element.offsetParent);
+ if (element == document.body) return DOKUid(element);
+
+ while ((element = element.parentNode) && element != document.body)
+ if (Element.getStyle(element, 'position') != 'static')
+ return DOKUid(element);
+
+ return DOKUid(document.body);
+ },
+
+ viewportOffset: function(forElement) {
+ var valueT = 0, valueL = 0;
+
+ var element = forElement;
+ do {
+ valueT += element.offsetTop || 0;
+ valueL += element.offsetLeft || 0;
+
+ if (element.offsetParent == document.body &&
+ Element.getStyle(element, 'position') == 'absolute') break;
+
+ } while (element = element.offsetParent);
+
+ element = forElement;
+ do {
+ if (!Prototype.Browser.Opera || (element.tagName && (element.tagName.toUpperCase() == 'BODY'))) {
+ valueT -= element.scrollTop || 0;
+ valueL -= element.scrollLeft || 0;
+ }
+ } while (element = element.parentNode);
+
+ return Element._returnOffset(valueL, valueT);
+ },
+
+ clonePosition: function(element, source) {
+ var options = Object.extend({
+ setLeft: true,
+ setTop: true,
+ setWidth: true,
+ setHeight: true,
+ offsetTop: 0,
+ offsetLeft: 0
+ }, arguments[2] || { });
+
+ source = DOKUid(source);
+ var p = Element.viewportOffset(source);
+
+ element = DOKUid(element);
+ var delta = [0, 0];
+ var parent = null;
+ if (Element.getStyle(element, 'position') == 'absolute') {
+ parent = Element.getOffsetParent(element);
+ delta = Element.viewportOffset(parent);
+ }
+
+ if (parent == document.body) {
+ delta[0] -= document.body.offsetLeft;
+ delta[1] -= document.body.offsetTop;
+ }
+
+ if (options.setLeft) element.style.left = (p[0] - delta[0] + options.offsetLeft) + 'px';
+ if (options.setTop) element.style.top = (p[1] - delta[1] + options.offsetTop) + 'px';
+ if (options.setWidth) element.style.width = source.offsetWidth + 'px';
+ if (options.setHeight) element.style.height = source.offsetHeight + 'px';
+ return element;
+ }
+};
+
+Object.extend(Element.Methods, {
+ getElementsBySelector: Element.Methods.select,
+
+ childElements: Element.Methods.immediateDescendants
+});
+
+Element._attributeTranslations = {
+ write: {
+ names: {
+ className: 'class',
+ htmlFor: 'for'
+ },
+ values: { }
+ }
+};
+
+if (Prototype.Browser.Opera) {
+ Element.Methods.getStyle = Element.Methods.getStyle.wrap(
+ function(proceed, element, style) {
+ switch (style) {
+ case 'left': case 'top': case 'right': case 'bottom':
+ if (proceed(element, 'position') === 'static') return null;
+ case 'height': case 'width':
+ if (!Element.visible(element)) return null;
+
+ var dim = parseInt(proceed(element, style), 10);
+
+ if (dim !== element['offset' + style.capitalize()])
+ return dim + 'px';
+
+ var properties;
+ if (style === 'height') {
+ properties = ['border-top-width', 'padding-top',
+ 'padding-bottom', 'border-bottom-width'];
+ }
+ else {
+ properties = ['border-left-width', 'padding-left',
+ 'padding-right', 'border-right-width'];
+ }
+ return properties.inject(dim, function(memo, property) {
+ var val = proceed(element, property);
+ return val === null ? memo : memo - parseInt(val, 10);
+ }) + 'px';
+ default: return proceed(element, style);
+ }
+ }
+ );
+
+ Element.Methods.readAttribute = Element.Methods.readAttribute.wrap(
+ function(proceed, element, attribute) {
+ if (attribute === 'title') return element.title;
+ return proceed(element, attribute);
+ }
+ );
+}
+
+else if (Prototype.Browser.IE) {
+ Element.Methods.getOffsetParent = Element.Methods.getOffsetParent.wrap(
+ function(proceed, element) {
+ element = DOKUid(element);
+ try { element.offsetParent }
+ catch(e) { return DOKUid(document.body) }
+ var position = element.getStyle('position');
+ if (position !== 'static') return proceed(element);
+ element.setStyle({ position: 'relative' });
+ var value = proceed(element);
+ element.setStyle({ position: position });
+ return value;
+ }
+ );
+
+ $w('positionedOffset viewportOffset').each(function(method) {
+ Element.Methods[method] = Element.Methods[method].wrap(
+ function(proceed, element) {
+ element = DOKUid(element);
+ try { element.offsetParent }
+ catch(e) { return Element._returnOffset(0,0) }
+ var position = element.getStyle('position');
+ if (position !== 'static') return proceed(element);
+ var offsetParent = element.getOffsetParent();
+ if (offsetParent && offsetParent.getStyle('position') === 'fixed')
+ offsetParent.setStyle({ zoom: 1 });
+ element.setStyle({ position: 'relative' });
+ var value = proceed(element);
+ element.setStyle({ position: position });
+ return value;
+ }
+ );
+ });
+
+ Element.Methods.cumulativeOffset = Element.Methods.cumulativeOffset.wrap(
+ function(proceed, element) {
+ try { element.offsetParent }
+ catch(e) { return Element._returnOffset(0,0) }
+ return proceed(element);
+ }
+ );
+
+ Element.Methods.getStyle = function(element, style) {
+ element = DOKUid(element);
+ style = (style == 'float' || style == 'cssFloat') ? 'styleFloat' : style.camelize();
+ var value = element.style[style];
+ if (!value && element.currentStyle) value = element.currentStyle[style];
+
+ if (style == 'opacity') {
+ if (value = (element.getStyle('filter') || '').match(/alpha\(opacity=(.*)\)/))
+ if (value[1]) return parseFloat(value[1]) / 100;
+ return 1.0;
+ }
+
+ if (value == 'auto') {
+ if ((style == 'width' || style == 'height') && (element.getStyle('display') != 'none'))
+ return element['offset' + style.capitalize()] + 'px';
+ return null;
+ }
+ return value;
+ };
+
+ Element.Methods.setOpacity = function(element, value) {
+ function stripAlpha(filter){
+ return filter.replace(/alpha\([^\)]*\)/gi,'');
+ }
+ element = DOKUid(element);
+ var currentStyle = element.currentStyle;
+ if ((currentStyle && !currentStyle.hasLayout) ||
+ (!currentStyle && element.style.zoom == 'normal'))
+ element.style.zoom = 1;
+
+ var filter = element.getStyle('filter'), style = element.style;
+ if (value == 1 || value === '') {
+ (filter = stripAlpha(filter)) ?
+ style.filter = filter : style.removeAttribute('filter');
+ return element;
+ } else if (value < 0.00001) value = 0;
+ style.filter = stripAlpha(filter) +
+ 'alpha(opacity=' + (value * 100) + ')';
+ return element;
+ };
+
+ Element._attributeTranslations = (function(){
+
+ var classProp = 'className';
+ var forProp = 'for';
+
+ var el = document.createElement('div');
+
+ el.setAttribute(classProp, 'x');
+
+ if (el.className !== 'x') {
+ el.setAttribute('class', 'x');
+ if (el.className === 'x') {
+ classProp = 'class';
+ }
+ }
+ el = null;
+
+ el = document.createElement('label');
+ el.setAttribute(forProp, 'x');
+ if (el.htmlFor !== 'x') {
+ el.setAttribute('htmlFor', 'x');
+ if (el.htmlFor === 'x') {
+ forProp = 'htmlFor';
+ }
+ }
+ el = null;
+
+ return {
+ read: {
+ names: {
+ 'class': classProp,
+ 'className': classProp,
+ 'for': forProp,
+ 'htmlFor': forProp
+ },
+ values: {
+ _getAttr: function(element, attribute) {
+ return element.getAttribute(attribute);
+ },
+ _getAttr2: function(element, attribute) {
+ return element.getAttribute(attribute, 2);
+ },
+ _getAttrNode: function(element, attribute) {
+ var node = element.getAttributeNode(attribute);
+ return node ? node.value : "";
+ },
+ _getEv: (function(){
+
+ var el = document.createElement('div');
+ el.onclick = Prototype.emptyFunction;
+ var value = el.getAttribute('onclick');
+ var f;
+
+ if (String(value).indexOf('{') > -1) {
+ f = function(element, attribute) {
+ attribute = element.getAttribute(attribute);
+ if (!attribute) return null;
+ attribute = attribute.toString();
+ attribute = attribute.split('{')[1];
+ attribute = attribute.split('}')[0];
+ return attribute.strip();
+ };
+ }
+ else if (value === '') {
+ f = function(element, attribute) {
+ attribute = element.getAttribute(attribute);
+ if (!attribute) return null;
+ return attribute.strip();
+ };
+ }
+ el = null;
+ return f;
+ })(),
+ _flag: function(element, attribute) {
+ return DOKUid(element).hasAttribute(attribute) ? attribute : null;
+ },
+ style: function(element) {
+ return element.style.cssText.toLowerCase();
+ },
+ title: function(element) {
+ return element.title;
+ }
+ }
+ }
+ }
+ })();
+
+ Element._attributeTranslations.write = {
+ names: Object.extend({
+ cellpadding: 'cellPadding',
+ cellspacing: 'cellSpacing'
+ }, Element._attributeTranslations.read.names),
+ values: {
+ checked: function(element, value) {
+ element.checked = !!value;
+ },
+
+ style: function(element, value) {
+ element.style.cssText = value ? value : '';
+ }
+ }
+ };
+
+ Element._attributeTranslations.has = {};
+
+ $w('colSpan rowSpan vAlign dateTime accessKey tabIndex ' +
+ 'encType maxLength readOnly longDesc frameBorder').each(function(attr) {
+ Element._attributeTranslations.write.names[attr.toLowerCase()] = attr;
+ Element._attributeTranslations.has[attr.toLowerCase()] = attr;
+ });
+
+ (function(v) {
+ Object.extend(v, {
+ href: v._getAttr2,
+ src: v._getAttr2,
+ type: v._getAttr,
+ action: v._getAttrNode,
+ disabled: v._flag,
+ checked: v._flag,
+ readonly: v._flag,
+ multiple: v._flag,
+ onload: v._getEv,
+ onunload: v._getEv,
+ onclick: v._getEv,
+ ondblclick: v._getEv,
+ onmousedown: v._getEv,
+ onmouseup: v._getEv,
+ onmouseover: v._getEv,
+ onmousemove: v._getEv,
+ onmouseout: v._getEv,
+ onfocus: v._getEv,
+ onblur: v._getEv,
+ onkeypress: v._getEv,
+ onkeydown: v._getEv,
+ onkeyup: v._getEv,
+ onsubmit: v._getEv,
+ onreset: v._getEv,
+ onselect: v._getEv,
+ onchange: v._getEv
+ });
+ })(Element._attributeTranslations.read.values);
+
+ if (Prototype.BrowserFeatures.ElementExtensions) {
+ (function() {
+ function _descendants(element) {
+ var nodes = element.getElementsByTagName('*'), results = [];
+ for (var i = 0, node; node = nodes[i]; i++)
+ if (node.tagName !== "!") // Filter out comment nodes.
+ results.push(node);
+ return results;
+ }
+
+ Element.Methods.down = function(element, expression, index) {
+ element = DOKUid(element);
+ if (arguments.length == 1) return element.firstDescendant();
+ return Object.isNumber(expression) ? _descendants(element)[expression] :
+ Element.select(element, expression)[index || 0];
+ }
+ })();
+ }
+
+}
+
+else if (Prototype.Browser.Gecko && /rv:1\.8\.0/.test(navigator.userAgent)) {
+ Element.Methods.setOpacity = function(element, value) {
+ element = DOKUid(element);
+ element.style.opacity = (value == 1) ? 0.999999 :
+ (value === '') ? '' : (value < 0.00001) ? 0 : value;
+ return element;
+ };
+}
+
+else if (Prototype.Browser.WebKit) {
+ Element.Methods.setOpacity = function(element, value) {
+ element = DOKUid(element);
+ element.style.opacity = (value == 1 || value === '') ? '' :
+ (value < 0.00001) ? 0 : value;
+
+ if (value == 1)
+ if(element.tagName.toUpperCase() == 'IMG' && element.width) {
+ element.width++; element.width--;
+ } else try {
+ var n = document.createTextNode(' ');
+ element.appendChild(n);
+ element.removeChild(n);
+ } catch (e) { }
+
+ return element;
+ };
+
+ Element.Methods.cumulativeOffset = function(element) {
+ var valueT = 0, valueL = 0;
+ do {
+ valueT += element.offsetTop || 0;
+ valueL += element.offsetLeft || 0;
+ if (element.offsetParent == document.body)
+ if (Element.getStyle(element, 'position') == 'absolute') break;
+
+ element = element.offsetParent;
+ } while (element);
+
+ return Element._returnOffset(valueL, valueT);
+ };
+}
+
+if ('outerHTML' in document.documentElement) {
+ Element.Methods.replace = function(element, content) {
+ element = DOKUid(element);
+
+ if (content && content.toElement) content = content.toElement();
+ if (Object.isElement(content)) {
+ element.parentNode.replaceChild(content, element);
+ return element;
+ }
+
+ content = Object.toHTML(content);
+ var parent = element.parentNode, tagName = parent.tagName.toUpperCase();
+
+ if (Element._insertionTranslations.tags[tagName]) {
+ var nextSibling = element.next();
+ var fragments = Element._getContentFromAnonymousElement(tagName, content.stripScripts());
+ parent.removeChild(element);
+ if (nextSibling)
+ fragments.each(function(node) { parent.insertBefore(node, nextSibling) });
+ else
+ fragments.each(function(node) { parent.appendChild(node) });
+ }
+ else element.outerHTML = content.stripScripts();
+
+ content.evalScripts.bind(content).defer();
+ return element;
+ };
+}
+
+Element._returnOffset = function(l, t) {
+ var result = [l, t];
+ result.left = l;
+ result.top = t;
+ return result;
+};
+
+Element._getContentFromAnonymousElement = function(tagName, html) {
+ var div = new Element('div'), t = Element._insertionTranslations.tags[tagName];
+ if (t) {
+ div.innerHTML = t[0] + html + t[1];
+ t[2].times(function() { div = div.firstChild });
+ } else div.innerHTML = html;
+ return $A(div.childNodes);
+};
+
+Element._insertionTranslations = {
+ before: function(element, node) {
+ element.parentNode.insertBefore(node, element);
+ },
+ top: function(element, node) {
+ element.insertBefore(node, element.firstChild);
+ },
+ bottom: function(element, node) {
+ element.appendChild(node);
+ },
+ after: function(element, node) {
+ element.parentNode.insertBefore(node, element.nextSibling);
+ },
+ tags: {
+ TABLE: ['<table>', '</table>', 1],
+ TBODY: ['<table><tbody>', '</tbody></table>', 2],
+ TR: ['<table><tbody><tr>', '</tr></tbody></table>', 3],
+ TD: ['<table><tbody><tr><td>', '</td></tr></tbody></table>', 4],
+ SELECT: ['<select>', '</select>', 1]
+ }
+};
+
+(function() {
+ var tags = Element._insertionTranslations.tags;
+ Object.extend(tags, {
+ THEAD: tags.TBODY,
+ TFOOT: tags.TBODY,
+ TH: tags.TD
+ });
+})();
+
+Element.Methods.Simulated = {
+ hasAttribute: function(element, attribute) {
+ attribute = Element._attributeTranslations.has[attribute] || attribute;
+ var node = DOKUid(element).getAttributeNode(attribute);
+ return !!(node && node.specified);
+ }
+};
+
+Element.Methods.ByTag = { };
+
+Object.extend(Element, Element.Methods);
+
+(function(div) {
+
+ if (!Prototype.BrowserFeatures.ElementExtensions && div['__proto__']) {
+ window.HTMLElement = { };
+ window.HTMLElement.prototype = div['__proto__'];
+ Prototype.BrowserFeatures.ElementExtensions = true;
+ }
+
+ div = null;
+
+})(document.createElement('div'))
+
+Element.extend = (function() {
+
+ function checkDeficiency(tagName) {
+ if (typeof window.Element != 'undefined') {
+ var proto = window.Element.prototype;
+ if (proto) {
+ var id = '_' + (Math.random()+'').slice(2);
+ var el = document.createElement(tagName);
+ proto[id] = 'x';
+ var isBuggy = (el[id] !== 'x');
+ delete proto[id];
+ el = null;
+ return isBuggy;
+ }
+ }
+ return false;
+ }
+
+ function extendElementWith(element, methods) {
+ for (var property in methods) {
+ var value = methods[property];
+ if (Object.isFunction(value) && !(property in element))
+ element[property] = value.methodize();
+ }
+ }
+
+ var HTMLOBJECTELEMENT_PROTOTYPE_BUGGY = checkDeficiency('object');
+
+ if (Prototype.BrowserFeatures.SpecificElementExtensions) {
+ if (HTMLOBJECTELEMENT_PROTOTYPE_BUGGY) {
+ return function(element) {
+ if (element && typeof element._extendedByPrototype == 'undefined') {
+ var t = element.tagName;
+ if (t && (/^(?:object|applet|embed)$/i.test(t))) {
+ extendElementWith(element, Element.Methods);
+ extendElementWith(element, Element.Methods.Simulated);
+ extendElementWith(element, Element.Methods.ByTag[t.toUpperCase()]);
+ }
+ }
+ return element;
+ }
+ }
+ return Prototype.K;
+ }
+
+ var Methods = { }, ByTag = Element.Methods.ByTag;
+
+ var extend = Object.extend(function(element) {
+ if (!element || typeof element._extendedByPrototype != 'undefined' ||
+ element.nodeType != 1 || element == window) return element;
+
+ var methods = Object.clone(Methods),
+ tagName = element.tagName.toUpperCase();
+
+ if (ByTag[tagName]) Object.extend(methods, ByTag[tagName]);
+
+ extendElementWith(element, methods);
+
+ element._extendedByPrototype = Prototype.emptyFunction;
+ return element;
+
+ }, {
+ refresh: function() {
+ if (!Prototype.BrowserFeatures.ElementExtensions) {
+ Object.extend(Methods, Element.Methods);
+ Object.extend(Methods, Element.Methods.Simulated);
+ }
+ }
+ });
+
+ extend.refresh();
+ return extend;
+})();
+
+Element.hasAttribute = function(element, attribute) {
+ if (element.hasAttribute) return element.hasAttribute(attribute);
+ return Element.Methods.Simulated.hasAttribute(element, attribute);
+};
+
+Element.addMethods = function(methods) {
+ var F = Prototype.BrowserFeatures, T = Element.Methods.ByTag;
+
+ if (!methods) {
+ Object.extend(Form, Form.Methods);
+ Object.extend(Form.Element, Form.Element.Methods);
+ Object.extend(Element.Methods.ByTag, {
+ "FORM": Object.clone(Form.Methods),
+ "INPUT": Object.clone(Form.Element.Methods),
+ "SELECT": Object.clone(Form.Element.Methods),
+ "TEXTAREA": Object.clone(Form.Element.Methods)
+ });
+ }
+
+ if (arguments.length == 2) {
+ var tagName = methods;
+ methods = arguments[1];
+ }
+
+ if (!tagName) Object.extend(Element.Methods, methods || { });
+ else {
+ if (Object.isArray(tagName)) tagName.each(extend);
+ else extend(tagName);
+ }
+
+ function extend(tagName) {
+ tagName = tagName.toUpperCase();
+ if (!Element.Methods.ByTag[tagName])
+ Element.Methods.ByTag[tagName] = { };
+ Object.extend(Element.Methods.ByTag[tagName], methods);
+ }
+
+ function copy(methods, destination, onlyIfAbsent) {
+ onlyIfAbsent = onlyIfAbsent || false;
+ for (var property in methods) {
+ var value = methods[property];
+ if (!Object.isFunction(value)) continue;
+ if (!onlyIfAbsent || !(property in destination))
+ destination[property] = value.methodize();
+ }
+ }
+
+ function findDOMClass(tagName) {
+ var klass;
+ var trans = {
+ "OPTGROUP": "OptGroup", "TEXTAREA": "TextArea", "P": "Paragraph",
+ "FIELDSET": "FieldSet", "UL": "UList", "OL": "OList", "DL": "DList",
+ "DIR": "Directory", "H1": "Heading", "H2": "Heading", "H3": "Heading",
+ "H4": "Heading", "H5": "Heading", "H6": "Heading", "Q": "Quote",
+ "INS": "Mod", "DEL": "Mod", "A": "Anchor", "IMG": "Image", "CAPTION":
+ "TableCaption", "COL": "TableCol", "COLGROUP": "TableCol", "THEAD":
+ "TableSection", "TFOOT": "TableSection", "TBODY": "TableSection", "TR":
+ "TableRow", "TH": "TableCell", "TD": "TableCell", "FRAMESET":
+ "FrameSet", "IFRAME": "IFrame"
+ };
+ if (trans[tagName]) klass = 'HTML' + trans[tagName] + 'Element';
+ if (window[klass]) return window[klass];
+ klass = 'HTML' + tagName + 'Element';
+ if (window[klass]) return window[klass];
+ klass = 'HTML' + tagName.capitalize() + 'Element';
+ if (window[klass]) return window[klass];
+
+ var element = document.createElement(tagName);
+ var proto = element['__proto__'] || element.constructor.prototype;
+ element = null;
+ return proto;
+ }
+
+ var elementPrototype = window.HTMLElement ? HTMLElement.prototype :
+ Element.prototype;
+
+ if (F.ElementExtensions) {
+ copy(Element.Methods, elementPrototype);
+ copy(Element.Methods.Simulated, elementPrototype, true);
+ }
+
+ if (F.SpecificElementExtensions) {
+ for (var tag in Element.Methods.ByTag) {
+ var klass = findDOMClass(tag);
+ if (Object.isUndefined(klass)) continue;
+ copy(T[tag], klass.prototype);
+ }
+ }
+
+ Object.extend(Element, Element.Methods);
+ delete Element.ByTag;
+
+ if (Element.extend.refresh) Element.extend.refresh();
+ Element.cache = { };
+};
+
+
+document.viewport = {
+
+ getDimensions: function() {
+ return { width: this.getWidth(), height: this.getHeight() };
+ },
+
+ getScrollOffsets: function() {
+ return Element._returnOffset(
+ window.pageXOffset || document.documentElement.scrollLeft || document.body.scrollLeft,
+ window.pageYOffset || document.documentElement.scrollTop || document.body.scrollTop);
+ }
+};
+
+(function(viewport) {
+ var B = Prototype.Browser, doc = document, element, property = {};
+
+ function getRootElement() {
+ if (B.WebKit && !doc.evaluate)
+ return document;
+
+ if (B.Opera && window.parseFloat(window.opera.version()) < 9.5)
+ return document.body;
+
+ return document.documentElement;
+ }
+
+ function define(D) {
+ if (!element) element = getRootElement();
+
+ property[D] = 'client' + D;
+
+ viewport['get' + D] = function() { return element[property[D]] };
+ return viewport['get' + D]();
+ }
+
+ viewport.getWidth = define.curry('Width');
+
+ viewport.getHeight = define.curry('Height');
+})(document.viewport);
+
+
+Element.Storage = {
+ UID: 1
+};
+
+Element.addMethods({
+ getStorage: function(element) {
+ if (!(element = DOKUid(element))) return;
+
+ var uid;
+ if (element === window) {
+ uid = 0;
+ } else {
+ if (typeof element._prototypeUID === "undefined")
+ element._prototypeUID = [Element.Storage.UID++];
+ uid = element._prototypeUID[0];
+ }
+
+ if (!Element.Storage[uid])
+ Element.Storage[uid] = $H();
+
+ return Element.Storage[uid];
+ },
+
+ store: function(element, key, value) {
+ if (!(element = DOKUid(element))) return;
+
+ if (arguments.length === 2) {
+ Element.getStorage(element).update(key);
+ } else {
+ Element.getStorage(element).set(key, value);
+ }
+
+ return element;
+ },
+
+ retrieve: function(element, key, defaultValue) {
+ if (!(element = DOKUid(element))) return;
+ var hash = Element.getStorage(element), value = hash.get(key);
+
+ if (Object.isUndefined(value)) {
+ hash.set(key, defaultValue);
+ value = defaultValue;
+ }
+
+ return value;
+ },
+
+ clone: function(element, deep) {
+ if (!(element = DOKUid(element))) return;
+ var clone = element.cloneNode(deep);
+ clone._prototypeUID = void 0;
+ if (deep) {
+ var descendants = Element.select(clone, '*'),
+ i = descendants.length;
+ while (i--) {
+ descendants[i]._prototypeUID = void 0;
+ }
+ }
+ return Element.extend(clone);
+ }
+});
+/* Portions of the Selector class are derived from Jack Slocum's DomQuery,
+ * part of YUI-Ext version 0.40, distributed under the terms of an MIT-style
+ * license. Please see http://www.yui-ext.com/ for more information. */
+
+var Selector = Class.create({
+ initialize: function(expression) {
+ this.expression = expression.strip();
+
+ if (this.shouldUseSelectorsAPI()) {
+ this.mode = 'selectorsAPI';
+ } else if (this.shouldUseXPath()) {
+ this.mode = 'xpath';
+ this.compileXPathMatcher();
+ } else {
+ this.mode = "normal";
+ this.compileMatcher();
+ }
+
+ },
+
+ shouldUseXPath: (function() {
+
+ var IS_DESCENDANT_SELECTOR_BUGGY = (function(){
+ var isBuggy = false;
+ if (document.evaluate && window.XPathResult) {
+ var el = document.createElement('div');
+ el.innerHTML = '<ul><li></li></ul><div><ul><li></li></ul></div>';
+
+ var xpath = ".//*[local-name()='ul' or local-name()='UL']" +
+ "//*[local-name()='li' or local-name()='LI']";
+
+ var result = document.evaluate(xpath, el, null,
+ XPathResult.ORDERED_NODE_SNAPSHOT_TYPE, null);
+
+ isBuggy = (result.snapshotLength !== 2);
+ el = null;
+ }
+ return isBuggy;
+ })();
+
+ return function() {
+ if (!Prototype.BrowserFeatures.XPath) return false;
+
+ var e = this.expression;
+
+ if (Prototype.Browser.WebKit &&
+ (e.include("-of-type") || e.include(":empty")))
+ return false;
+
+ if ((/(\[[\w-]*?:|:checked)/).test(e))
+ return false;
+
+ if (IS_DESCENDANT_SELECTOR_BUGGY) return false;
+
+ return true;
+ }
+
+ })(),
+
+ shouldUseSelectorsAPI: function() {
+ if (!Prototype.BrowserFeatures.SelectorsAPI) return false;
+
+ if (Selector.CASE_INSENSITIVE_CLASS_NAMES) return false;
+
+ if (!Selector._div) Selector._div = new Element('div');
+
+ try {
+ Selector._div.querySelector(this.expression);
+ } catch(e) {
+ return false;
+ }
+
+ return true;
+ },
+
+ compileMatcher: function() {
+ var e = this.expression, ps = Selector.patterns, h = Selector.handlers,
+ c = Selector.criteria, le, p, m, len = ps.length, name;
+
+ if (Selector._cache[e]) {
+ this.matcher = Selector._cache[e];
+ return;
+ }
+
+ this.matcher = ["this.matcher = function(root) {",
+ "var r = root, h = Selector.handlers, c = false, n;"];
+
+ while (e && le != e && (/\S/).test(e)) {
+ le = e;
+ for (var i = 0; i<len; i++) {
+ p = ps[i].re;
+ name = ps[i].name;
+ if (m = e.match(p)) {
+ this.matcher.push(Object.isFunction(c[name]) ? c[name](m) :
+ new Template(c[name]).evaluate(m));
+ e = e.replace(m[0], '');
+ break;
+ }
+ }
+ }
+
+ this.matcher.push("return h.unique(n);\n}");
+ eval(this.matcher.join('\n'));
+ Selector._cache[this.expression] = this.matcher;
+ },
+
+ compileXPathMatcher: function() {
+ var e = this.expression, ps = Selector.patterns,
+ x = Selector.xpath, le, m, len = ps.length, name;
+
+ if (Selector._cache[e]) {
+ this.xpath = Selector._cache[e]; return;
+ }
+
+ this.matcher = ['.//*'];
+ while (e && le != e && (/\S/).test(e)) {
+ le = e;
+ for (var i = 0; i<len; i++) {
+ name = ps[i].name;
+ if (m = e.match(ps[i].re)) {
+ this.matcher.push(Object.isFunction(x[name]) ? x[name](m) :
+ new Template(x[name]).evaluate(m));
+ e = e.replace(m[0], '');
+ break;
+ }
+ }
+ }
+
+ this.xpath = this.matcher.join('');
+ Selector._cache[this.expression] = this.xpath;
+ },
+
+ findElements: function(root) {
+ root = root || document;
+ var e = this.expression, results;
+
+ switch (this.mode) {
+ case 'selectorsAPI':
+ if (root !== document) {
+ var oldId = root.id, id = DOKUid(root).identify();
+ id = id.replace(/([\.:])/g, "\\$1");
+ e = "#" + id + " " + e;
+ }
+
+ results = $A(root.querySelectorAll(e)).map(Element.extend);
+ root.id = oldId;
+
+ return results;
+ case 'xpath':
+ return document._getElementsByXPath(this.xpath, root);
+ default:
+ return this.matcher(root);
+ }
+ },
+
+ match: function(element) {
+ this.tokens = [];
+
+ var e = this.expression, ps = Selector.patterns, as = Selector.assertions;
+ var le, p, m, len = ps.length, name;
+
+ while (e && le !== e && (/\S/).test(e)) {
+ le = e;
+ for (var i = 0; i<len; i++) {
+ p = ps[i].re;
+ name = ps[i].name;
+ if (m = e.match(p)) {
+ if (as[name]) {
+ this.tokens.push([name, Object.clone(m)]);
+ e = e.replace(m[0], '');
+ } else {
+ return this.findElements(document).include(element);
+ }
+ }
+ }
+ }
+
+ var match = true, name, matches;
+ for (var i = 0, token; token = this.tokens[i]; i++) {
+ name = token[0], matches = token[1];
+ if (!Selector.assertions[name](element, matches)) {
+ match = false; break;
+ }
+ }
+
+ return match;
+ },
+
+ toString: function() {
+ return this.expression;
+ },
+
+ inspect: function() {
+ return "#<Selector:" + this.expression.inspect() + ">";
+ }
+});
+
+if (Prototype.BrowserFeatures.SelectorsAPI &&
+ document.compatMode === 'BackCompat') {
+ Selector.CASE_INSENSITIVE_CLASS_NAMES = (function(){
+ var div = document.createElement('div'),
+ span = document.createElement('span');
+
+ div.id = "prototype_test_id";
+ span.className = 'Test';
+ div.appendChild(span);
+ var isIgnored = (div.querySelector('#prototype_test_id .test') !== null);
+ div = span = null;
+ return isIgnored;
+ })();
+}
+
+Object.extend(Selector, {
+ _cache: { },
+
+ xpath: {
+ descendant: "//*",
+ child: "/*",
+ adjacent: "/following-sibling::*[1]",
+ laterSibling: '/following-sibling::*',
+ tagName: function(m) {
+ if (m[1] == '*') return '';
+ return "[local-name()='" + m[1].toLowerCase() +
+ "' or local-name()='" + m[1].toUpperCase() + "']";
+ },
+ className: "[contains(concat(' ', @class, ' '), ' #{1} ')]",
+ id: "[@id='#{1}']",
+ attrPresence: function(m) {
+ m[1] = m[1].toLowerCase();
+ return new Template("[@#{1}]").evaluate(m);
+ },
+ attr: function(m) {
+ m[1] = m[1].toLowerCase();
+ m[3] = m[5] || m[6];
+ return new Template(Selector.xpath.operators[m[2]]).evaluate(m);
+ },
+ pseudo: function(m) {
+ var h = Selector.xpath.pseudos[m[1]];
+ if (!h) return '';
+ if (Object.isFunction(h)) return h(m);
+ return new Template(Selector.xpath.pseudos[m[1]]).evaluate(m);
+ },
+ operators: {
+ '=': "[@#{1}='#{3}']",
+ '!=': "[@#{1}!='#{3}']",
+ '^=': "[starts-with(@#{1}, '#{3}')]",
+ '$=': "[substring(@#{1}, (string-length(@#{1}) - string-length('#{3}') + 1))='#{3}']",
+ '*=': "[contains(@#{1}, '#{3}')]",
+ '~=': "[contains(concat(' ', @#{1}, ' '), ' #{3} ')]",
+ '|=': "[contains(concat('-', @#{1}, '-'), '-#{3}-')]"
+ },
+ pseudos: {
+ 'first-child': '[not(preceding-sibling::*)]',
+ 'last-child': '[not(following-sibling::*)]',
+ 'only-child': '[not(preceding-sibling::* or following-sibling::*)]',
+ 'empty': "[count(*) = 0 and (count(text()) = 0)]",
+ 'checked': "[@checked]",
+ 'disabled': "[(@disabled) and (@type!='hidden')]",
+ 'enabled': "[not(@disabled) and (@type!='hidden')]",
+ 'not': function(m) {
+ var e = m[6], p = Selector.patterns,
+ x = Selector.xpath, le, v, len = p.length, name;
+
+ var exclusion = [];
+ while (e && le != e && (/\S/).test(e)) {
+ le = e;
+ for (var i = 0; i<len; i++) {
+ name = p[i].name
+ if (m = e.match(p[i].re)) {
+ v = Object.isFunction(x[name]) ? x[name](m) : new Template(x[name]).evaluate(m);
+ exclusion.push("(" + v.substring(1, v.length - 1) + ")");
+ e = e.replace(m[0], '');
+ break;
+ }
+ }
+ }
+ return "[not(" + exclusion.join(" and ") + ")]";
+ },
+ 'nth-child': function(m) {
+ return Selector.xpath.pseudos.nth("(count(./preceding-sibling::*) + 1) ", m);
+ },
+ 'nth-last-child': function(m) {
+ return Selector.xpath.pseudos.nth("(count(./following-sibling::*) + 1) ", m);
+ },
+ 'nth-of-type': function(m) {
+ return Selector.xpath.pseudos.nth("position() ", m);
+ },
+ 'nth-last-of-type': function(m) {
+ return Selector.xpath.pseudos.nth("(last() + 1 - position()) ", m);
+ },
+ 'first-of-type': function(m) {
+ m[6] = "1"; return Selector.xpath.pseudos['nth-of-type'](m);
+ },
+ 'last-of-type': function(m) {
+ m[6] = "1"; return Selector.xpath.pseudos['nth-last-of-type'](m);
+ },
+ 'only-of-type': function(m) {
+ var p = Selector.xpath.pseudos; return p['first-of-type'](m) + p['last-of-type'](m);
+ },
+ nth: function(fragment, m) {
+ var mm, formula = m[6], predicate;
+ if (formula == 'even') formula = '2n+0';
+ if (formula == 'odd') formula = '2n+1';
+ if (mm = formula.match(/^(\d+)$/)) // digit only
+ return '[' + fragment + "= " + mm[1] + ']';
+ if (mm = formula.match(/^(-?\d*)?n(([+-])(\d+))?/)) { // an+b
+ if (mm[1] == "-") mm[1] = -1;
+ var a = mm[1] ? Number(mm[1]) : 1;
+ var b = mm[2] ? Number(mm[2]) : 0;
+ predicate = "[((#{fragment} - #{b}) mod #{a} = 0) and " +
+ "((#{fragment} - #{b}) div #{a} >= 0)]";
+ return new Template(predicate).evaluate({
+ fragment: fragment, a: a, b: b });
+ }
+ }
+ }
+ },
+
+ criteria: {
+ tagName: 'n = h.tagName(n, r, "#{1}", c); c = false;',
+ className: 'n = h.className(n, r, "#{1}", c); c = false;',
+ id: 'n = h.id(n, r, "#{1}", c); c = false;',
+ attrPresence: 'n = h.attrPresence(n, r, "#{1}", c); c = false;',
+ attr: function(m) {
+ m[3] = (m[5] || m[6]);
+ return new Template('n = h.attr(n, r, "#{1}", "#{3}", "#{2}", c); c = false;').evaluate(m);
+ },
+ pseudo: function(m) {
+ if (m[6]) m[6] = m[6].replace(/"/g, '\\"');
+ return new Template('n = h.pseudo(n, "#{1}", "#{6}", r, c); c = false;').evaluate(m);
+ },
+ descendant: 'c = "descendant";',
+ child: 'c = "child";',
+ adjacent: 'c = "adjacent";',
+ laterSibling: 'c = "laterSibling";'
+ },
+
+ patterns: [
+ { name: 'laterSibling', re: /^\s*~\s*/ },
+ { name: 'child', re: /^\s*>\s*/ },
+ { name: 'adjacent', re: /^\s*\+\s*/ },
+ { name: 'descendant', re: /^\s/ },
+
+ { name: 'tagName', re: /^\s*(\*|[\w\-]+)(\b|$)?/ },
+ { name: 'id', re: /^#([\w\-\*]+)(\b|$)/ },
+ { name: 'className', re: /^\.([\w\-\*]+)(\b|$)/ },
+ { name: 'pseudo', re: /^:((first|last|nth|nth-last|only)(-child|-of-type)|empty|checked|(en|dis)abled|not)(\((.*?)\))?(\b|$|(?=\s|[:+~>]))/ },
+ { name: 'attrPresence', re: /^\[((?:[\w-]+:)?[\w-]+)\]/ },
+ { name: 'attr', re: /\[((?:[\w-]*:)?[\w-]+)\s*(?:([!^$*~|]?=)\s*((['"])([^\4]*?)\4|([^'"][^\]]*?)))?\]/ }
+ ],
+
+ assertions: {
+ tagName: function(element, matches) {
+ return matches[1].toUpperCase() == element.tagName.toUpperCase();
+ },
+
+ className: function(element, matches) {
+ return Element.hasClassName(element, matches[1]);
+ },
+
+ id: function(element, matches) {
+ return element.id === matches[1];
+ },
+
+ attrPresence: function(element, matches) {
+ return Element.hasAttribute(element, matches[1]);
+ },
+
+ attr: function(element, matches) {
+ var nodeValue = Element.readAttribute(element, matches[1]);
+ return nodeValue && Selector.operators[matches[2]](nodeValue, matches[5] || matches[6]);
+ }
+ },
+
+ handlers: {
+ concat: function(a, b) {
+ for (var i = 0, node; node = b[i]; i++)
+ a.push(node);
+ return a;
+ },
+
+ mark: function(nodes) {
+ var _true = Prototype.emptyFunction;
+ for (var i = 0, node; node = nodes[i]; i++)
+ node._countedByPrototype = _true;
+ return nodes;
+ },
+
+ unmark: (function(){
+
+ var PROPERTIES_ATTRIBUTES_MAP = (function(){
+ var el = document.createElement('div'),
+ isBuggy = false,
+ propName = '_countedByPrototype',
+ value = 'x'
+ el[propName] = value;
+ isBuggy = (el.getAttribute(propName) === value);
+ el = null;
+ return isBuggy;
+ })();
+
+ return PROPERTIES_ATTRIBUTES_MAP ?
+ function(nodes) {
+ for (var i = 0, node; node = nodes[i]; i++)
+ node.removeAttribute('_countedByPrototype');
+ return nodes;
+ } :
+ function(nodes) {
+ for (var i = 0, node; node = nodes[i]; i++)
+ node._countedByPrototype = void 0;
+ return nodes;
+ }
+ })(),
+
+ index: function(parentNode, reverse, ofType) {
+ parentNode._countedByPrototype = Prototype.emptyFunction;
+ if (reverse) {
+ for (var nodes = parentNode.childNodes, i = nodes.length - 1, j = 1; i >= 0; i--) {
+ var node = nodes[i];
+ if (node.nodeType == 1 && (!ofType || node._countedByPrototype)) node.nodeIndex = j++;
+ }
+ } else {
+ for (var i = 0, j = 1, nodes = parentNode.childNodes; node = nodes[i]; i++)
+ if (node.nodeType == 1 && (!ofType || node._countedByPrototype)) node.nodeIndex = j++;
+ }
+ },
+
+ unique: function(nodes) {
+ if (nodes.length == 0) return nodes;
+ var results = [], n;
+ for (var i = 0, l = nodes.length; i < l; i++)
+ if (typeof (n = nodes[i])._countedByPrototype == 'undefined') {
+ n._countedByPrototype = Prototype.emptyFunction;
+ results.push(Element.extend(n));
+ }
+ return Selector.handlers.unmark(results);
+ },
+
+ descendant: function(nodes) {
+ var h = Selector.handlers;
+ for (var i = 0, results = [], node; node = nodes[i]; i++)
+ h.concat(results, node.getElementsByTagName('*'));
+ return results;
+ },
+
+ child: function(nodes) {
+ var h = Selector.handlers;
+ for (var i = 0, results = [], node; node = nodes[i]; i++) {
+ for (var j = 0, child; child = node.childNodes[j]; j++)
+ if (child.nodeType == 1 && child.tagName != '!') results.push(child);
+ }
+ return results;
+ },
+
+ adjacent: function(nodes) {
+ for (var i = 0, results = [], node; node = nodes[i]; i++) {
+ var next = this.nextElementSibling(node);
+ if (next) results.push(next);
+ }
+ return results;
+ },
+
+ laterSibling: function(nodes) {
+ var h = Selector.handlers;
+ for (var i = 0, results = [], node; node = nodes[i]; i++)
+ h.concat(results, Element.nextSiblings(node));
+ return results;
+ },
+
+ nextElementSibling: function(node) {
+ while (node = node.nextSibling)
+ if (node.nodeType == 1) return node;
+ return null;
+ },
+
+ previousElementSibling: function(node) {
+ while (node = node.previousSibling)
+ if (node.nodeType == 1) return node;
+ return null;
+ },
+
+ tagName: function(nodes, root, tagName, combinator) {
+ var uTagName = tagName.toUpperCase();
+ var results = [], h = Selector.handlers;
+ if (nodes) {
+ if (combinator) {
+ if (combinator == "descendant") {
+ for (var i = 0, node; node = nodes[i]; i++)
+ h.concat(results, node.getElementsByTagName(tagName));
+ return results;
+ } else nodes = this[combinator](nodes);
+ if (tagName == "*") return nodes;
+ }
+ for (var i = 0, node; node = nodes[i]; i++)
+ if (node.tagName.toUpperCase() === uTagName) results.push(node);
+ return results;
+ } else return root.getElementsByTagName(tagName);
+ },
+
+ id: function(nodes, root, id, combinator) {
+ var targetNode = DOKUid(id), h = Selector.handlers;
+
+ if (root == document) {
+ if (!targetNode) return [];
+ if (!nodes) return [targetNode];
+ } else {
+ if (!root.sourceIndex || root.sourceIndex < 1) {
+ var nodes = root.getElementsByTagName('*');
+ for (var j = 0, node; node = nodes[j]; j++) {
+ if (node.id === id) return [node];
+ }
+ }
+ }
+
+ if (nodes) {
+ if (combinator) {
+ if (combinator == 'child') {
+ for (var i = 0, node; node = nodes[i]; i++)
+ if (targetNode.parentNode == node) return [targetNode];
+ } else if (combinator == 'descendant') {
+ for (var i = 0, node; node = nodes[i]; i++)
+ if (Element.descendantOf(targetNode, node)) return [targetNode];
+ } else if (combinator == 'adjacent') {
+ for (var i = 0, node; node = nodes[i]; i++)
+ if (Selector.handlers.previousElementSibling(targetNode) == node)
+ return [targetNode];
+ } else nodes = h[combinator](nodes);
+ }
+ for (var i = 0, node; node = nodes[i]; i++)
+ if (node == targetNode) return [targetNode];
+ return [];
+ }
+ return (targetNode && Element.descendantOf(targetNode, root)) ? [targetNode] : [];
+ },
+
+ className: function(nodes, root, className, combinator) {
+ if (nodes && combinator) nodes = this[combinator](nodes);
+ return Selector.handlers.byClassName(nodes, root, className);
+ },
+
+ byClassName: function(nodes, root, className) {
+ if (!nodes) nodes = Selector.handlers.descendant([root]);
+ var needle = ' ' + className + ' ';
+ for (var i = 0, results = [], node, nodeClassName; node = nodes[i]; i++) {
+ nodeClassName = node.className;
+ if (nodeClassName.length == 0) continue;
+ if (nodeClassName == className || (' ' + nodeClassName + ' ').include(needle))
+ results.push(node);
+ }
+ return results;
+ },
+
+ attrPresence: function(nodes, root, attr, combinator) {
+ if (!nodes) nodes = root.getElementsByTagName("*");
+ if (nodes && combinator) nodes = this[combinator](nodes);
+ var results = [];
+ for (var i = 0, node; node = nodes[i]; i++)
+ if (Element.hasAttribute(node, attr)) results.push(node);
+ return results;
+ },
+
+ attr: function(nodes, root, attr, value, operator, combinator) {
+ if (!nodes) nodes = root.getElementsByTagName("*");
+ if (nodes && combinator) nodes = this[combinator](nodes);
+ var handler = Selector.operators[operator], results = [];
+ for (var i = 0, node; node = nodes[i]; i++) {
+ var nodeValue = Element.readAttribute(node, attr);
+ if (nodeValue === null) continue;
+ if (handler(nodeValue, value)) results.push(node);
+ }
+ return results;
+ },
+
+ pseudo: function(nodes, name, value, root, combinator) {
+ if (nodes && combinator) nodes = this[combinator](nodes);
+ if (!nodes) nodes = root.getElementsByTagName("*");
+ return Selector.pseudos[name](nodes, value, root);
+ }
+ },
+
+ pseudos: {
+ 'first-child': function(nodes, value, root) {
+ for (var i = 0, results = [], node; node = nodes[i]; i++) {
+ if (Selector.handlers.previousElementSibling(node)) continue;
+ results.push(node);
+ }
+ return results;
+ },
+ 'last-child': function(nodes, value, root) {
+ for (var i = 0, results = [], node; node = nodes[i]; i++) {
+ if (Selector.handlers.nextElementSibling(node)) continue;
+ results.push(node);
+ }
+ return results;
+ },
+ 'only-child': function(nodes, value, root) {
+ var h = Selector.handlers;
+ for (var i = 0, results = [], node; node = nodes[i]; i++)
+ if (!h.previousElementSibling(node) && !h.nextElementSibling(node))
+ results.push(node);
+ return results;
+ },
+ 'nth-child': function(nodes, formula, root) {
+ return Selector.pseudos.nth(nodes, formula, root);
+ },
+ 'nth-last-child': function(nodes, formula, root) {
+ return Selector.pseudos.nth(nodes, formula, root, true);
+ },
+ 'nth-of-type': function(nodes, formula, root) {
+ return Selector.pseudos.nth(nodes, formula, root, false, true);
+ },
+ 'nth-last-of-type': function(nodes, formula, root) {
+ return Selector.pseudos.nth(nodes, formula, root, true, true);
+ },
+ 'first-of-type': function(nodes, formula, root) {
+ return Selector.pseudos.nth(nodes, "1", root, false, true);
+ },
+ 'last-of-type': function(nodes, formula, root) {
+ return Selector.pseudos.nth(nodes, "1", root, true, true);
+ },
+ 'only-of-type': function(nodes, formula, root) {
+ var p = Selector.pseudos;
+ return p['last-of-type'](p['first-of-type'](nodes, formula, root), formula, root);
+ },
+
+ getIndices: function(a, b, total) {
+ if (a == 0) return b > 0 ? [b] : [];
+ return $R(1, total).inject([], function(memo, i) {
+ if (0 == (i - b) % a && (i - b) / a >= 0) memo.push(i);
+ return memo;
+ });
+ },
+
+ nth: function(nodes, formula, root, reverse, ofType) {
+ if (nodes.length == 0) return [];
+ if (formula == 'even') formula = '2n+0';
+ if (formula == 'odd') formula = '2n+1';
+ var h = Selector.handlers, results = [], indexed = [], m;
+ h.mark(nodes);
+ for (var i = 0, node; node = nodes[i]; i++) {
+ if (!node.parentNode._countedByPrototype) {
+ h.index(node.parentNode, reverse, ofType);
+ indexed.push(node.parentNode);
+ }
+ }
+ if (formula.match(/^\d+$/)) { // just a number
+ formula = Number(formula);
+ for (var i = 0, node; node = nodes[i]; i++)
+ if (node.nodeIndex == formula) results.push(node);
+ } else if (m = formula.match(/^(-?\d*)?n(([+-])(\d+))?/)) { // an+b
+ if (m[1] == "-") m[1] = -1;
+ var a = m[1] ? Number(m[1]) : 1;
+ var b = m[2] ? Number(m[2]) : 0;
+ var indices = Selector.pseudos.getIndices(a, b, nodes.length);
+ for (var i = 0, node, l = indices.length; node = nodes[i]; i++) {
+ for (var j = 0; j < l; j++)
+ if (node.nodeIndex == indices[j]) results.push(node);
+ }
+ }
+ h.unmark(nodes);
+ h.unmark(indexed);
+ return results;
+ },
+
+ 'empty': function(nodes, value, root) {
+ for (var i = 0, results = [], node; node = nodes[i]; i++) {
+ if (node.tagName == '!' || node.firstChild) continue;
+ results.push(node);
+ }
+ return results;
+ },
+
+ 'not': function(nodes, selector, root) {
+ var h = Selector.handlers, selectorType, m;
+ var exclusions = new Selector(selector).findElements(root);
+ h.mark(exclusions);
+ for (var i = 0, results = [], node; node = nodes[i]; i++)
+ if (!node._countedByPrototype) results.push(node);
+ h.unmark(exclusions);
+ return results;
+ },
+
+ 'enabled': function(nodes, value, root) {
+ for (var i = 0, results = [], node; node = nodes[i]; i++)
+ if (!node.disabled && (!node.type || node.type !== 'hidden'))
+ results.push(node);
+ return results;
+ },
+
+ 'disabled': function(nodes, value, root) {
+ for (var i = 0, results = [], node; node = nodes[i]; i++)
+ if (node.disabled) results.push(node);
+ return results;
+ },
+
+ 'checked': function(nodes, value, root) {
+ for (var i = 0, results = [], node; node = nodes[i]; i++)
+ if (node.checked) results.push(node);
+ return results;
+ }
+ },
+
+ operators: {
+ '=': function(nv, v) { return nv == v; },
+ '!=': function(nv, v) { return nv != v; },
+ '^=': function(nv, v) { return nv == v || nv && nv.startsWith(v); },
+ '$=': function(nv, v) { return nv == v || nv && nv.endsWith(v); },
+ '*=': function(nv, v) { return nv == v || nv && nv.include(v); },
+ '~=': function(nv, v) { return (' ' + nv + ' ').include(' ' + v + ' '); },
+ '|=': function(nv, v) { return ('-' + (nv || "").toUpperCase() +
+ '-').include('-' + (v || "").toUpperCase() + '-'); }
+ },
+
+ split: function(expression) {
+ var expressions = [];
+ expression.scan(/(([\w#:.~>+()\s-]+|\*|\[.*?\])+)\s*(,|$)/, function(m) {
+ expressions.push(m[1].strip());
+ });
+ return expressions;
+ },
+
+ matchElements: function(elements, expression) {
+ var matches = $$(expression), h = Selector.handlers;
+ h.mark(matches);
+ for (var i = 0, results = [], element; element = elements[i]; i++)
+ if (element._countedByPrototype) results.push(element);
+ h.unmark(matches);
+ return results;
+ },
+
+ findElement: function(elements, expression, index) {
+ if (Object.isNumber(expression)) {
+ index = expression; expression = false;
+ }
+ return Selector.matchElements(elements, expression || '*')[index || 0];
+ },
+
+ findChildElements: function(element, expressions) {
+ expressions = Selector.split(expressions.join(','));
+ var results = [], h = Selector.handlers;
+ for (var i = 0, l = expressions.length, selector; i < l; i++) {
+ selector = new Selector(expressions[i].strip());
+ h.concat(results, selector.findElements(element));
+ }
+ return (l > 1) ? h.unique(results) : results;
+ }
+});
+
+if (Prototype.Browser.IE) {
+ Object.extend(Selector.handlers, {
+ concat: function(a, b) {
+ for (var i = 0, node; node = b[i]; i++)
+ if (node.tagName !== "!") a.push(node);
+ return a;
+ }
+ });
+}
+
+function $$() {
+ return Selector.findChildElements(document, $A(arguments));
+}
+
+var Form = {
+ reset: function(form) {
+ form = DOKUid(form);
+ form.reset();
+ return form;
+ },
+
+ serializeElements: function(elements, options) {
+ if (typeof options != 'object') options = { hash: !!options };
+ else if (Object.isUndefined(options.hash)) options.hash = true;
+ var key, value, submitted = false, submit = options.submit;
+
+ var data = elements.inject({ }, function(result, element) {
+ if (!element.disabled && element.name) {
+ key = element.name; value = DOKUid(element).getValue();
+ if (value != null && element.type != 'file' && (element.type != 'submit' || (!submitted &&
+ submit !== false && (!submit || key == submit) && (submitted = true)))) {
+ if (key in result) {
+ if (!Object.isArray(result[key])) result[key] = [result[key]];
+ result[key].push(value);
+ }
+ else result[key] = value;
+ }
+ }
+ return result;
+ });
+
+ return options.hash ? data : Object.toQueryString(data);
+ }
+};
+
+Form.Methods = {
+ serialize: function(form, options) {
+ return Form.serializeElements(Form.getElements(form), options);
+ },
+
+ getElements: function(form) {
+ var elements = DOKUid(form).getElementsByTagName('*'),
+ element,
+ arr = [ ],
+ serializers = Form.Element.Serializers;
+ for (var i = 0; element = elements[i]; i++) {
+ arr.push(element);
+ }
+ return arr.inject([], function(elements, child) {
+ if (serializers[child.tagName.toLowerCase()])
+ elements.push(Element.extend(child));
+ return elements;
+ })
+ },
+
+ getInputs: function(form, typeName, name) {
+ form = DOKUid(form);
+ var inputs = form.getElementsByTagName('input');
+
+ if (!typeName && !name) return $A(inputs).map(Element.extend);
+
+ for (var i = 0, matchingInputs = [], length = inputs.length; i < length; i++) {
+ var input = inputs[i];
+ if ((typeName && input.type != typeName) || (name && input.name != name))
+ continue;
+ matchingInputs.push(Element.extend(input));
+ }
+
+ return matchingInputs;
+ },
+
+ disable: function(form) {
+ form = DOKUid(form);
+ Form.getElements(form).invoke('disable');
+ return form;
+ },
+
+ enable: function(form) {
+ form = DOKUid(form);
+ Form.getElements(form).invoke('enable');
+ return form;
+ },
+
+ findFirstElement: function(form) {
+ var elements = DOKUid(form).getElements().findAll(function(element) {
+ return 'hidden' != element.type && !element.disabled;
+ });
+ var firstByIndex = elements.findAll(function(element) {
+ return element.hasAttribute('tabIndex') && element.tabIndex >= 0;
+ }).sortBy(function(element) { return element.tabIndex }).first();
+
+ return firstByIndex ? firstByIndex : elements.find(function(element) {
+ return /^(?:input|select|textarea)$/i.test(element.tagName);
+ });
+ },
+
+ focusFirstElement: function(form) {
+ form = DOKUid(form);
+ form.findFirstElement().activate();
+ return form;
+ },
+
+ request: function(form, options) {
+ form = DOKUid(form), options = Object.clone(options || { });
+
+ var params = options.parameters, action = form.readAttribute('action') || '';
+ if (action.blank()) action = window.location.href;
+ options.parameters = form.serialize(true);
+
+ if (params) {
+ if (Object.isString(params)) params = params.toQueryParams();
+ Object.extend(options.parameters, params);
+ }
+
+ if (form.hasAttribute('method') && !options.method)
+ options.method = form.method;
+
+ return new Ajax.Request(action, options);
+ }
+};
+
+/*--------------------------------------------------------------------------*/
+
+
+Form.Element = {
+ focus: function(element) {
+ DOKUid(element).focus();
+ return element;
+ },
+
+ select: function(element) {
+ DOKUid(element).select();
+ return element;
+ }
+};
+
+Form.Element.Methods = {
+
+ serialize: function(element) {
+ element = DOKUid(element);
+ if (!element.disabled && element.name) {
+ var value = element.getValue();
+ if (value != undefined) {
+ var pair = { };
+ pair[element.name] = value;
+ return Object.toQueryString(pair);
+ }
+ }
+ return '';
+ },
+
+ getValue: function(element) {
+ element = DOKUid(element);
+ var method = element.tagName.toLowerCase();
+ return Form.Element.Serializers[method](element);
+ },
+
+ setValue: function(element, value) {
+ element = DOKUid(element);
+ var method = element.tagName.toLowerCase();
+ Form.Element.Serializers[method](element, value);
+ return element;
+ },
+
+ clear: function(element) {
+ DOKUid(element).value = '';
+ return element;
+ },
+
+ present: function(element) {
+ return DOKUid(element).value != '';
+ },
+
+ activate: function(element) {
+ element = DOKUid(element);
+ try {
+ element.focus();
+ if (element.select && (element.tagName.toLowerCase() != 'input' ||
+ !(/^(?:button|reset|submit)$/i.test(element.type))))
+ element.select();
+ } catch (e) { }
+ return element;
+ },
+
+ disable: function(element) {
+ element = DOKUid(element);
+ element.disabled = true;
+ return element;
+ },
+
+ enable: function(element) {
+ element = DOKUid(element);
+ element.disabled = false;
+ return element;
+ }
+};
+
+/*--------------------------------------------------------------------------*/
+
+var Field = Form.Element;
+
+var $F = Form.Element.Methods.getValue;
+
+/*--------------------------------------------------------------------------*/
+
+Form.Element.Serializers = {
+ input: function(element, value) {
+ switch (element.type.toLowerCase()) {
+ case 'checkbox':
+ case 'radio':
+ return Form.Element.Serializers.inputSelector(element, value);
+ default:
+ return Form.Element.Serializers.textarea(element, value);
+ }
+ },
+
+ inputSelector: function(element, value) {
+ if (Object.isUndefined(value)) return element.checked ? element.value : null;
+ else element.checked = !!value;
+ },
+
+ textarea: function(element, value) {
+ if (Object.isUndefined(value)) return element.value;
+ else element.value = value;
+ },
+
+ select: function(element, value) {
+ if (Object.isUndefined(value))
+ return this[element.type == 'select-one' ?
+ 'selectOne' : 'selectMany'](element);
+ else {
+ var opt, currentValue, single = !Object.isArray(value);
+ for (var i = 0, length = element.length; i < length; i++) {
+ opt = element.options[i];
+ currentValue = this.optionValue(opt);
+ if (single) {
+ if (currentValue == value) {
+ opt.selected = true;
+ return;
+ }
+ }
+ else opt.selected = value.include(currentValue);
+ }
+ }
+ },
+
+ selectOne: function(element) {
+ var index = element.selectedIndex;
+ return index >= 0 ? this.optionValue(element.options[index]) : null;
+ },
+
+ selectMany: function(element) {
+ var values, length = element.length;
+ if (!length) return null;
+
+ for (var i = 0, values = []; i < length; i++) {
+ var opt = element.options[i];
+ if (opt.selected) values.push(this.optionValue(opt));
+ }
+ return values;
+ },
+
+ optionValue: function(opt) {
+ return Element.extend(opt).hasAttribute('value') ? opt.value : opt.text;
+ }
+};
+
+/*--------------------------------------------------------------------------*/
+
+
+Abstract.TimedObserver = Class.create(PeriodicalExecuter, {
+ initialize: function($super, element, frequency, callback) {
+ $super(callback, frequency);
+ this.element = DOKUid(element);
+ this.lastValue = this.getValue();
+ },
+
+ execute: function() {
+ var value = this.getValue();
+ if (Object.isString(this.lastValue) && Object.isString(value) ?
+ this.lastValue != value : String(this.lastValue) != String(value)) {
+ this.callback(this.element, value);
+ this.lastValue = value;
+ }
+ }
+});
+
+Form.Element.Observer = Class.create(Abstract.TimedObserver, {
+ getValue: function() {
+ return Form.Element.getValue(this.element);
+ }
+});
+
+Form.Observer = Class.create(Abstract.TimedObserver, {
+ getValue: function() {
+ return Form.serialize(this.element);
+ }
+});
+
+/*--------------------------------------------------------------------------*/
+
+Abstract.EventObserver = Class.create({
+ initialize: function(element, callback) {
+ this.element = DOKUid(element);
+ this.callback = callback;
+
+ this.lastValue = this.getValue();
+ if (this.element.tagName.toLowerCase() == 'form')
+ this.registerFormCallbacks();
+ else
+ this.registerCallback(this.element);
+ },
+
+ onElementEvent: function() {
+ var value = this.getValue();
+ if (this.lastValue != value) {
+ this.callback(this.element, value);
+ this.lastValue = value;
+ }
+ },
+
+ registerFormCallbacks: function() {
+ Form.getElements(this.element).each(this.registerCallback, this);
+ },
+
+ registerCallback: function(element) {
+ if (element.type) {
+ switch (element.type.toLowerCase()) {
+ case 'checkbox':
+ case 'radio':
+ Event.observe(element, 'click', this.onElementEvent.bind(this));
+ break;
+ default:
+ Event.observe(element, 'change', this.onElementEvent.bind(this));
+ break;
+ }
+ }
+ }
+});
+
+Form.Element.EventObserver = Class.create(Abstract.EventObserver, {
+ getValue: function() {
+ return Form.Element.getValue(this.element);
+ }
+});
+
+Form.EventObserver = Class.create(Abstract.EventObserver, {
+ getValue: function() {
+ return Form.serialize(this.element);
+ }
+});
+(function() {
+
+ var Event = {
+ KEY_BACKSPACE: 8,
+ KEY_TAB: 9,
+ KEY_RETURN: 13,
+ KEY_ESC: 27,
+ KEY_LEFT: 37,
+ KEY_UP: 38,
+ KEY_RIGHT: 39,
+ KEY_DOWN: 40,
+ KEY_DELETE: 46,
+ KEY_HOME: 36,
+ KEY_END: 35,
+ KEY_PAGEUP: 33,
+ KEY_PAGEDOWN: 34,
+ KEY_INSERT: 45,
+
+ cache: {}
+ };
+
+ var docEl = document.documentElement;
+ var MOUSEENTER_MOUSELEAVE_EVENTS_SUPPORTED = 'onmouseenter' in docEl
+ && 'onmouseleave' in docEl;
+
+ var _isButton;
+ if (Prototype.Browser.IE) {
+ var buttonMap = { 0: 1, 1: 4, 2: 2 };
+ _isButton = function(event, code) {
+ return event.button === buttonMap[code];
+ };
+ } else if (Prototype.Browser.WebKit) {
+ _isButton = function(event, code) {
+ switch (code) {
+ case 0: return event.which == 1 && !event.metaKey;
+ case 1: return event.which == 1 && event.metaKey;
+ default: return false;
+ }
+ };
+ } else {
+ _isButton = function(event, code) {
+ return event.which ? (event.which === code + 1) : (event.button === code);
+ };
+ }
+
+ function isLeftClick(event) { return _isButton(event, 0) }
+
+ function isMiddleClick(event) { return _isButton(event, 1) }
+
+ function isRightClick(event) { return _isButton(event, 2) }
+
+ function element(event) {
+ event = Event.extend(event);
+
+ var node = event.target, type = event.type,
+ currentTarget = event.currentTarget;
+
+ if (currentTarget && currentTarget.tagName) {
+ if (type === 'load' || type === 'error' ||
+ (type === 'click' && currentTarget.tagName.toLowerCase() === 'input'
+ && currentTarget.type === 'radio'))
+ node = currentTarget;
+ }
+
+ if (node.nodeType == Node.TEXT_NODE)
+ node = node.parentNode;
+
+ return Element.extend(node);
+ }
+
+ function findElement(event, expression) {
+ var element = Event.element(event);
+ if (!expression) return element;
+ var elements = [element].concat(element.ancestors());
+ return Selector.findElement(elements, expression, 0);
+ }
+
+ function pointer(event) {
+ return { x: pointerX(event), y: pointerY(event) };
+ }
+
+ function pointerX(event) {
+ var docElement = document.documentElement,
+ body = document.body || { scrollLeft: 0 };
+
+ return event.pageX || (event.clientX +
+ (docElement.scrollLeft || body.scrollLeft) -
+ (docElement.clientLeft || 0));
+ }
+
+ function pointerY(event) {
+ var docElement = document.documentElement,
+ body = document.body || { scrollTop: 0 };
+
+ return event.pageY || (event.clientY +
+ (docElement.scrollTop || body.scrollTop) -
+ (docElement.clientTop || 0));
+ }
+
+
+ function stop(event) {
+ Event.extend(event);
+ event.preventDefault();
+ event.stopPropagation();
+
+ event.stopped = true;
+ }
+
+ Event.Methods = {
+ isLeftClick: isLeftClick,
+ isMiddleClick: isMiddleClick,
+ isRightClick: isRightClick,
+
+ element: element,
+ findElement: findElement,
+
+ pointer: pointer,
+ pointerX: pointerX,
+ pointerY: pointerY,
+
+ stop: stop
+ };
+
+
+ var methods = Object.keys(Event.Methods).inject({ }, function(m, name) {
+ m[name] = Event.Methods[name].methodize();
+ return m;
+ });
+
+ if (Prototype.Browser.IE) {
+ function _relatedTarget(event) {
+ var element;
+ switch (event.type) {
+ case 'mouseover': element = event.fromElement; break;
+ case 'mouseout': element = event.toElement; break;
+ default: return null;
+ }
+ return Element.extend(element);
+ }
+
+ Object.extend(methods, {
+ stopPropagation: function() { this.cancelBubble = true },
+ preventDefault: function() { this.returnValue = false },
+ inspect: function() { return '[object Event]' }
+ });
+
+ Event.extend = function(event, element) {
+ if (!event) return false;
+ if (event._extendedByPrototype) return event;
+
+ event._extendedByPrototype = Prototype.emptyFunction;
+ var pointer = Event.pointer(event);
+
+ Object.extend(event, {
+ target: event.srcElement || element,
+ relatedTarget: _relatedTarget(event),
+ pageX: pointer.x,
+ pageY: pointer.y
+ });
+
+ return Object.extend(event, methods);
+ };
+ } else {
+ Event.prototype = window.Event.prototype || document.createEvent('HTMLEvents').__proto__;
+ Object.extend(Event.prototype, methods);
+ Event.extend = Prototype.K;
+ }
+
+ function _createResponder(element, eventName, handler) {
+ var registry = Element.retrieve(element, 'prototype_event_registry');
+
+ if (Object.isUndefined(registry)) {
+ CACHE.push(element);
+ registry = Element.retrieve(element, 'prototype_event_registry', $H());
+ }
+
+ var respondersForEvent = registry.get(eventName);
+ if (Object.isUndefined(respondersForEvent)) {
+ respondersForEvent = [];
+ registry.set(eventName, respondersForEvent);
+ }
+
+ if (respondersForEvent.pluck('handler').include(handler)) return false;
+
+ var responder;
+ if (eventName.include(":")) {
+ responder = function(event) {
+ if (Object.isUndefined(event.eventName))
+ return false;
+
+ if (event.eventName !== eventName)
+ return false;
+
+ Event.extend(event, element);
+ handler.call(element, event);
+ };
+ } else {
+ if (!MOUSEENTER_MOUSELEAVE_EVENTS_SUPPORTED &&
+ (eventName === "mouseenter" || eventName === "mouseleave")) {
+ if (eventName === "mouseenter" || eventName === "mouseleave") {
+ responder = function(event) {
+ Event.extend(event, element);
+
+ var parent = event.relatedTarget;
+ while (parent && parent !== element) {
+ try { parent = parent.parentNode; }
+ catch(e) { parent = element; }
+ }
+
+ if (parent === element) return;
+
+ handler.call(element, event);
+ };
+ }
+ } else {
+ responder = function(event) {
+ Event.extend(event, element);
+ handler.call(element, event);
+ };
+ }
+ }
+
+ responder.handler = handler;
+ respondersForEvent.push(responder);
+ return responder;
+ }
+
+ function _destroyCache() {
+ for (var i = 0, length = CACHE.length; i < length; i++) {
+ Event.stopObserving(CACHE[i]);
+ CACHE[i] = null;
+ }
+ }
+
+ var CACHE = [];
+
+ if (Prototype.Browser.IE)
+ window.attachEvent('onunload', _destroyCache);
+
+ if (Prototype.Browser.WebKit)
+ window.addEventListener('unload', Prototype.emptyFunction, false);
+
+
+ var _getDOMEventName = Prototype.K;
+
+ if (!MOUSEENTER_MOUSELEAVE_EVENTS_SUPPORTED) {
+ _getDOMEventName = function(eventName) {
+ var translations = { mouseenter: "mouseover", mouseleave: "mouseout" };
+ return eventName in translations ? translations[eventName] : eventName;
+ };
+ }
+
+ function observe(element, eventName, handler) {
+ element = DOKUid(element);
+
+ var responder = _createResponder(element, eventName, handler);
+
+ if (!responder) return element;
+
+ if (eventName.include(':')) {
+ if (element.addEventListener)
+ element.addEventListener("dataavailable", responder, false);
+ else {
+ element.attachEvent("ondataavailable", responder);
+ element.attachEvent("onfilterchange", responder);
+ }
+ } else {
+ var actualEventName = _getDOMEventName(eventName);
+
+ if (element.addEventListener)
+ element.addEventListener(actualEventName, responder, false);
+ else
+ element.attachEvent("on" + actualEventName, responder);
+ }
+
+ return element;
+ }
+
+ function stopObserving(element, eventName, handler) {
+ element = DOKUid(element);
+
+ var registry = Element.retrieve(element, 'prototype_event_registry');
+
+ if (Object.isUndefined(registry)) return element;
+
+ if (eventName && !handler) {
+ var responders = registry.get(eventName);
+
+ if (Object.isUndefined(responders)) return element;
+
+ responders.each( function(r) {
+ Element.stopObserving(element, eventName, r.handler);
+ });
+ return element;
+ } else if (!eventName) {
+ registry.each( function(pair) {
+ var eventName = pair.key, responders = pair.value;
+
+ responders.each( function(r) {
+ Element.stopObserving(element, eventName, r.handler);
+ });
+ });
+ return element;
+ }
+
+ var responders = registry.get(eventName);
+
+ if (!responders) return;
+
+ var responder = responders.find( function(r) { return r.handler === handler; });
+ if (!responder) return element;
+
+ var actualEventName = _getDOMEventName(eventName);
+
+ if (eventName.include(':')) {
+ if (element.removeEventListener)
+ element.removeEventListener("dataavailable", responder, false);
+ else {
+ element.detachEvent("ondataavailable", responder);
+ element.detachEvent("onfilterchange", responder);
+ }
+ } else {
+ if (element.removeEventListener)
+ element.removeEventListener(actualEventName, responder, false);
+ else
+ element.detachEvent('on' + actualEventName, responder);
+ }
+
+ registry.set(eventName, responders.without(responder));
+
+ return element;
+ }
+
+ function fire(element, eventName, memo, bubble) {
+ element = DOKUid(element);
+
+ if (Object.isUndefined(bubble))
+ bubble = true;
+
+ if (element == document && document.createEvent && !element.dispatchEvent)
+ element = document.documentElement;
+
+ var event;
+ if (document.createEvent) {
+ event = document.createEvent('HTMLEvents');
+ event.initEvent('dataavailable', true, true);
+ } else {
+ event = document.createEventObject();
+ event.eventType = bubble ? 'ondataavailable' : 'onfilterchange';
+ }
+
+ event.eventName = eventName;
+ event.memo = memo || { };
+
+ if (document.createEvent)
+ element.dispatchEvent(event);
+ else
+ element.fireEvent(event.eventType, event);
+
+ return Event.extend(event);
+ }
+
+
+ Object.extend(Event, Event.Methods);
+
+ Object.extend(Event, {
+ fire: fire,
+ observe: observe,
+ stopObserving: stopObserving
+ });
+
+ Element.addMethods({
+ fire: fire,
+
+ observe: observe,
+
+ stopObserving: stopObserving
+ });
+
+ Object.extend(document, {
+ fire: fire.methodize(),
+
+ observe: observe.methodize(),
+
+ stopObserving: stopObserving.methodize(),
+
+ loaded: false
+ });
+
+ if (window.Event) Object.extend(window.Event, Event);
+ else window.Event = Event;
+})();
+
+(function() {
+ /* Support for the DOMContentLoaded event is based on work by Dan Webb,
+ Matthias Miller, Dean Edwards, John Resig, and Diego Perini. */
+
+ var timer;
+
+ function fireContentLoadedEvent() {
+ if (document.loaded) return;
+ if (timer) window.clearTimeout(timer);
+ document.loaded = true;
+ document.fire('dom:loaded');
+ }
+
+ function checkReadyState() {
+ if (document.readyState === 'complete') {
+ document.stopObserving('readystatechange', checkReadyState);
+ fireContentLoadedEvent();
+ }
+ }
+
+ function pollDoScroll() {
+ try { document.documentElement.doScroll('left'); }
+ catch(e) {
+ timer = pollDoScroll.defer();
+ return;
+ }
+ fireContentLoadedEvent();
+ }
+
+ if (document.addEventListener) {
+ document.addEventListener('DOMContentLoaded', fireContentLoadedEvent, false);
+ } else {
+ document.observe('readystatechange', checkReadyState);
+ if (window == top)
+ timer = pollDoScroll.defer();
+ }
+
+ Event.observe(window, 'load', fireContentLoadedEvent);
+})();
+
+Element.addMethods();
+
+/*------------------------------- DEPRECATED -------------------------------*/
+
+Hash.toQueryString = Object.toQueryString;
+
+var Toggle = { display: Element.toggle };
+
+Element.Methods.childOf = Element.Methods.descendantOf;
+
+var Insertion = {
+ Before: function(element, content) {
+ return Element.insert(element, {before:content});
+ },
+
+ Top: function(element, content) {
+ return Element.insert(element, {top:content});
+ },
+
+ Bottom: function(element, content) {
+ return Element.insert(element, {bottom:content});
+ },
+
+ After: function(element, content) {
+ return Element.insert(element, {after:content});
+ }
+};
+
+var $continue = new Error('"throw $continue" is deprecated, use "return" instead');
+
+var Position = {
+ includeScrollOffsets: false,
+
+ prepare: function() {
+ this.deltaX = window.pageXOffset
+ || document.documentElement.scrollLeft
+ || document.body.scrollLeft
+ || 0;
+ this.deltaY = window.pageYOffset
+ || document.documentElement.scrollTop
+ || document.body.scrollTop
+ || 0;
+ },
+
+ within: function(element, x, y) {
+ if (this.includeScrollOffsets)
+ return this.withinIncludingScrolloffsets(element, x, y);
+ this.xcomp = x;
+ this.ycomp = y;
+ this.offset = Element.cumulativeOffset(element);
+
+ return (y >= this.offset[1] &&
+ y < this.offset[1] + element.offsetHeight &&
+ x >= this.offset[0] &&
+ x < this.offset[0] + element.offsetWidth);
+ },
+
+ withinIncludingScrolloffsets: function(element, x, y) {
+ var offsetcache = Element.cumulativeScrollOffset(element);
+
+ this.xcomp = x + offsetcache[0] - this.deltaX;
+ this.ycomp = y + offsetcache[1] - this.deltaY;
+ this.offset = Element.cumulativeOffset(element);
+
+ return (this.ycomp >= this.offset[1] &&
+ this.ycomp < this.offset[1] + element.offsetHeight &&
+ this.xcomp >= this.offset[0] &&
+ this.xcomp < this.offset[0] + element.offsetWidth);
+ },
+
+ overlap: function(mode, element) {
+ if (!mode) return 0;
+ if (mode == 'vertical')
+ return ((this.offset[1] + element.offsetHeight) - this.ycomp) /
+ element.offsetHeight;
+ if (mode == 'horizontal')
+ return ((this.offset[0] + element.offsetWidth) - this.xcomp) /
+ element.offsetWidth;
+ },
+
+
+ cumulativeOffset: Element.Methods.cumulativeOffset,
+
+ positionedOffset: Element.Methods.positionedOffset,
+
+ absolutize: function(element) {
+ Position.prepare();
+ return Element.absolutize(element);
+ },
+
+ relativize: function(element) {
+ Position.prepare();
+ return Element.relativize(element);
+ },
+
+ realOffset: Element.Methods.cumulativeScrollOffset,
+
+ offsetParent: Element.Methods.getOffsetParent,
+
+ page: Element.Methods.viewportOffset,
+
+ clone: function(source, target, options) {
+ options = options || { };
+ return Element.clonePosition(target, source, options);
+ }
+};
+
+/*--------------------------------------------------------------------------*/
+
+if (!document.getElementsByClassName) document.getElementsByClassName = function(instanceMethods){
+ function iter(name) {
+ return name.blank() ? null : "[contains(concat(' ', @class, ' '), ' " + name + " ')]";
+ }
+
+ instanceMethods.getElementsByClassName = Prototype.BrowserFeatures.XPath ?
+ function(element, className) {
+ className = className.toString().strip();
+ var cond = /\s/.test(className) ? $w(className).map(iter).join('') : iter(className);
+ return cond ? document._getElementsByXPath('.//*' + cond, element) : [];
+ } : function(element, className) {
+ className = className.toString().strip();
+ var elements = [], classNames = (/\s/.test(className) ? $w(className) : null);
+ if (!classNames && !className) return elements;
+
+ var nodes = DOKUid(element).getElementsByTagName('*');
+ className = ' ' + className + ' ';
+
+ for (var i = 0, child, cn; child = nodes[i]; i++) {
+ if (child.className && (cn = ' ' + child.className + ' ') && (cn.include(className) ||
+ (classNames && classNames.all(function(name) {
+ return !name.toString().blank() && cn.include(' ' + name + ' ');
+ }))))
+ elements.push(Element.extend(child));
+ }
+ return elements;
+ };
+
+ return function(className, parentElement) {
+ return DOKUid(parentElement || document.body).getElementsByClassName(className);
+ };
+}(Element.Methods);
+
+/*--------------------------------------------------------------------------*/
+
+Element.ClassNames = Class.create();
+Element.ClassNames.prototype = {
+ initialize: function(element) {
+ this.element = DOKUid(element);
+ },
+
+ _each: function(iterator) {
+ this.element.className.split(/\s+/).select(function(name) {
+ return name.length > 0;
+ })._each(iterator);
+ },
+
+ set: function(className) {
+ this.element.className = className;
+ },
+
+ add: function(classNameToAdd) {
+ if (this.include(classNameToAdd)) return;
+ this.set($A(this).concat(classNameToAdd).join(' '));
+ },
+
+ remove: function(classNameToRemove) {
+ if (!this.include(classNameToRemove)) return;
+ this.set($A(this).without(classNameToRemove).join(' '));
+ },
+
+ toString: function() {
+ return $A(this).join(' ');
+ }
+};
+
+Object.extend(Element.ClassNames.prototype, Enumerable);
+
+/*--------------------------------------------------------------------------*/ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/scriptaculous.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/scriptaculous.js
new file mode 100644
index 000000000..37a0733a5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/scriptaculous.js
@@ -0,0 +1,68 @@
+// script.aculo.us scriptaculous.js v1.8.3, Thu Oct 08 11:23:33 +0200 2009
+
+// Copyright (c) 2005-2009 Thomas Fuchs (http://script.aculo.us, http://mir.aculo.us)
+//
+// Permission is hereby granted, free of charge, to any person obtaining
+// a copy of this software and associated documentation files (the
+// "Software"), to deal in the Software without restriction, including
+// without limitation the rights to use, copy, modify, merge, publish,
+// distribute, sublicense, and/or sell copies of the Software, and to
+// permit persons to whom the Software is furnished to do so, subject to
+// the following conditions:
+//
+// The above copyright notice and this permission notice shall be
+// included in all copies or substantial portions of the Software.
+//
+// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
+// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF
+// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
+// NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE
+// LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION
+// OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION
+// WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
+//
+// For details, see the script.aculo.us web site: http://script.aculo.us/
+
+var Scriptaculous = {
+ Version: '1.8.3',
+ require: function(libraryName) {
+ try{
+ // inserting via DOM fails in Safari 2.0, so brute force approach
+ document.write('<script type="text/javascript" src="'+libraryName+'"><\/script>');
+ } catch(e) {
+ // for xhtml+xml served content, fall back to DOM methods
+ var script = document.createElement('script');
+ script.type = 'text/javascript';
+ script.src = libraryName;
+ document.getElementsByTagName('head')[0].appendChild(script);
+ }
+ },
+ REQUIRED_PROTOTYPE: '1.6.0.3',
+ load: function() {
+ function convertVersionString(versionString) {
+ var v = versionString.replace(/_.*|\./g, '');
+ v = parseInt(v + '0'.times(4-v.length));
+ return versionString.indexOf('_') > -1 ? v-1 : v;
+ }
+
+ if((typeof Prototype=='undefined') ||
+ (typeof Element == 'undefined') ||
+ (typeof Element.Methods=='undefined') ||
+ (convertVersionString(Prototype.Version) <
+ convertVersionString(Scriptaculous.REQUIRED_PROTOTYPE)))
+ throw("script.aculo.us requires the Prototype JavaScript framework >= " +
+ Scriptaculous.REQUIRED_PROTOTYPE);
+
+ var js = /scriptaculous\.js(\?.*)?$/;
+ $$('head script[src]').findAll(function(s) {
+ return s.src.match(js);
+ }).each(function(s) {
+ var path = s.src.replace(js, ''),
+ includes = s.src.match(/\?.*load=([a-z,]*)/);
+ (includes ? includes[1] : 'builder,effects,dragdrop,controls,slider').split(',').each(
+ function(include) { Scriptaculous.require(path+include+'.js') });
+ });
+ }
+};
+
+Scriptaculous.load(); \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/slider.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/slider.js
new file mode 100644
index 000000000..ac2fef2d5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/slider.js
@@ -0,0 +1,275 @@
+// script.aculo.us slider.js v1.8.3, Thu Oct 08 11:23:33 +0200 2009
+
+// Copyright (c) 2005-2009 Marty Haught, Thomas Fuchs
+//
+// script.aculo.us is freely distributable under the terms of an MIT-style license.
+// For details, see the script.aculo.us web site: http://script.aculo.us/
+
+if (!Control) var Control = { };
+
+// options:
+// axis: 'vertical', or 'horizontal' (default)
+//
+// callbacks:
+// onChange(value)
+// onSlide(value)
+Control.Slider = Class.create({
+ initialize: function(handle, track, options) {
+ var slider = this;
+
+ if (Object.isArray(handle)) {
+ this.handles = handle.collect( function(e) { return DOKUid(e) });
+ } else {
+ this.handles = [DOKUid(handle)];
+ }
+
+ this.track = DOKUid(track);
+ this.options = options || { };
+
+ this.axis = this.options.axis || 'horizontal';
+ this.increment = this.options.increment || 1;
+ this.step = parseInt(this.options.step || '1');
+ this.range = this.options.range || $R(0,1);
+
+ this.value = 0; // assure backwards compat
+ this.values = this.handles.map( function() { return 0 });
+ this.spans = this.options.spans ? this.options.spans.map(function(s){ return DOKUid(s) }) : false;
+ this.options.startSpan = DOKUid(this.options.startSpan || null);
+ this.options.endSpan = DOKUid(this.options.endSpan || null);
+
+ this.restricted = this.options.restricted || false;
+
+ this.maximum = this.options.maximum || this.range.end;
+ this.minimum = this.options.minimum || this.range.start;
+
+ // Will be used to align the handle onto the track, if necessary
+ this.alignX = parseInt(this.options.alignX || '0');
+ this.alignY = parseInt(this.options.alignY || '0');
+
+ this.trackLength = this.maximumOffset() - this.minimumOffset();
+
+ this.handleLength = this.isVertical() ?
+ (this.handles[0].offsetHeight != 0 ?
+ this.handles[0].offsetHeight : this.handles[0].style.height.replace(/px$/,"")) :
+ (this.handles[0].offsetWidth != 0 ? this.handles[0].offsetWidth :
+ this.handles[0].style.width.replace(/px$/,""));
+
+ this.active = false;
+ this.dragging = false;
+ this.disabled = false;
+
+ if (this.options.disabled) this.setDisabled();
+
+ // Allowed values array
+ this.allowedValues = this.options.values ? this.options.values.sortBy(Prototype.K) : false;
+ if (this.allowedValues) {
+ this.minimum = this.allowedValues.min();
+ this.maximum = this.allowedValues.max();
+ }
+
+ this.eventMouseDown = this.startDrag.bindAsEventListener(this);
+ this.eventMouseUp = this.endDrag.bindAsEventListener(this);
+ this.eventMouseMove = this.update.bindAsEventListener(this);
+
+ // Initialize handles in reverse (make sure first handle is active)
+ this.handles.each( function(h,i) {
+ i = slider.handles.length-1-i;
+ slider.setValue(parseFloat(
+ (Object.isArray(slider.options.sliderValue) ?
+ slider.options.sliderValue[i] : slider.options.sliderValue) ||
+ slider.range.start), i);
+ h.makePositioned().observe("mousedown", slider.eventMouseDown);
+ });
+
+ this.track.observe("mousedown", this.eventMouseDown);
+ document.observe("mouseup", this.eventMouseUp);
+ document.observe("mousemove", this.eventMouseMove);
+
+ this.initialized = true;
+ },
+ dispose: function() {
+ var slider = this;
+ Event.stopObserving(this.track, "mousedown", this.eventMouseDown);
+ Event.stopObserving(document, "mouseup", this.eventMouseUp);
+ Event.stopObserving(document, "mousemove", this.eventMouseMove);
+ this.handles.each( function(h) {
+ Event.stopObserving(h, "mousedown", slider.eventMouseDown);
+ });
+ },
+ setDisabled: function(){
+ this.disabled = true;
+ },
+ setEnabled: function(){
+ this.disabled = false;
+ },
+ getNearestValue: function(value){
+ if (this.allowedValues){
+ if (value >= this.allowedValues.max()) return(this.allowedValues.max());
+ if (value <= this.allowedValues.min()) return(this.allowedValues.min());
+
+ var offset = Math.abs(this.allowedValues[0] - value);
+ var newValue = this.allowedValues[0];
+ this.allowedValues.each( function(v) {
+ var currentOffset = Math.abs(v - value);
+ if (currentOffset <= offset){
+ newValue = v;
+ offset = currentOffset;
+ }
+ });
+ return newValue;
+ }
+ if (value > this.range.end) return this.range.end;
+ if (value < this.range.start) return this.range.start;
+ return value;
+ },
+ setValue: function(sliderValue, handleIdx){
+ if (!this.active) {
+ this.activeHandleIdx = handleIdx || 0;
+ this.activeHandle = this.handles[this.activeHandleIdx];
+ this.updateStyles();
+ }
+ handleIdx = handleIdx || this.activeHandleIdx || 0;
+ if (this.initialized && this.restricted) {
+ if ((handleIdx>0) && (sliderValue<this.values[handleIdx-1]))
+ sliderValue = this.values[handleIdx-1];
+ if ((handleIdx < (this.handles.length-1)) && (sliderValue>this.values[handleIdx+1]))
+ sliderValue = this.values[handleIdx+1];
+ }
+ sliderValue = this.getNearestValue(sliderValue);
+ this.values[handleIdx] = sliderValue;
+ this.value = this.values[0]; // assure backwards compat
+
+ this.handles[handleIdx].style[this.isVertical() ? 'top' : 'left'] =
+ this.translateToPx(sliderValue);
+
+ this.drawSpans();
+ if (!this.dragging || !this.event) this.updateFinished();
+ },
+ setValueBy: function(delta, handleIdx) {
+ this.setValue(this.values[handleIdx || this.activeHandleIdx || 0] + delta,
+ handleIdx || this.activeHandleIdx || 0);
+ },
+ translateToPx: function(value) {
+ return Math.round(
+ ((this.trackLength-this.handleLength)/(this.range.end-this.range.start)) *
+ (value - this.range.start)) + "px";
+ },
+ translateToValue: function(offset) {
+ return ((offset/(this.trackLength-this.handleLength) *
+ (this.range.end-this.range.start)) + this.range.start);
+ },
+ getRange: function(range) {
+ var v = this.values.sortBy(Prototype.K);
+ range = range || 0;
+ return $R(v[range],v[range+1]);
+ },
+ minimumOffset: function(){
+ return(this.isVertical() ? this.alignY : this.alignX);
+ },
+ maximumOffset: function(){
+ return(this.isVertical() ?
+ (this.track.offsetHeight != 0 ? this.track.offsetHeight :
+ this.track.style.height.replace(/px$/,"")) - this.alignY :
+ (this.track.offsetWidth != 0 ? this.track.offsetWidth :
+ this.track.style.width.replace(/px$/,"")) - this.alignX);
+ },
+ isVertical: function(){
+ return (this.axis == 'vertical');
+ },
+ drawSpans: function() {
+ var slider = this;
+ if (this.spans)
+ $R(0, this.spans.length-1).each(function(r) { slider.setSpan(slider.spans[r], slider.getRange(r)) });
+ if (this.options.startSpan)
+ this.setSpan(this.options.startSpan,
+ $R(0, this.values.length>1 ? this.getRange(0).min() : this.value ));
+ if (this.options.endSpan)
+ this.setSpan(this.options.endSpan,
+ $R(this.values.length>1 ? this.getRange(this.spans.length-1).max() : this.value, this.maximum));
+ },
+ setSpan: function(span, range) {
+ if (this.isVertical()) {
+ span.style.top = this.translateToPx(range.start);
+ span.style.height = this.translateToPx(range.end - range.start + this.range.start);
+ } else {
+ span.style.left = this.translateToPx(range.start);
+ span.style.width = this.translateToPx(range.end - range.start + this.range.start);
+ }
+ },
+ updateStyles: function() {
+ this.handles.each( function(h){ Element.removeClassName(h, 'selected') });
+ Element.addClassName(this.activeHandle, 'selected');
+ },
+ startDrag: function(event) {
+ if (Event.isLeftClick(event)) {
+ if (!this.disabled){
+ this.active = true;
+
+ var handle = Event.element(event);
+ var pointer = [Event.pointerX(event), Event.pointerY(event)];
+ var track = handle;
+ if (track==this.track) {
+ var offsets = this.track.cumulativeOffset();
+ this.event = event;
+ this.setValue(this.translateToValue(
+ (this.isVertical() ? pointer[1]-offsets[1] : pointer[0]-offsets[0])-(this.handleLength/2)
+ ));
+ var offsets = this.activeHandle.cumulativeOffset();
+ this.offsetX = (pointer[0] - offsets[0]);
+ this.offsetY = (pointer[1] - offsets[1]);
+ } else {
+ // find the handle (prevents issues with Safari)
+ while((this.handles.indexOf(handle) == -1) && handle.parentNode)
+ handle = handle.parentNode;
+
+ if (this.handles.indexOf(handle)!=-1) {
+ this.activeHandle = handle;
+ this.activeHandleIdx = this.handles.indexOf(this.activeHandle);
+ this.updateStyles();
+
+ var offsets = this.activeHandle.cumulativeOffset();
+ this.offsetX = (pointer[0] - offsets[0]);
+ this.offsetY = (pointer[1] - offsets[1]);
+ }
+ }
+ }
+ Event.stop(event);
+ }
+ },
+ update: function(event) {
+ if (this.active) {
+ if (!this.dragging) this.dragging = true;
+ this.draw(event);
+ if (Prototype.Browser.WebKit) window.scrollBy(0,0);
+ Event.stop(event);
+ }
+ },
+ draw: function(event) {
+ var pointer = [Event.pointerX(event), Event.pointerY(event)];
+ var offsets = this.track.cumulativeOffset();
+ pointer[0] -= this.offsetX + offsets[0];
+ pointer[1] -= this.offsetY + offsets[1];
+ this.event = event;
+ this.setValue(this.translateToValue( this.isVertical() ? pointer[1] : pointer[0] ));
+ if (this.initialized && this.options.onSlide)
+ this.options.onSlide(this.values.length>1 ? this.values : this.value, this);
+ },
+ endDrag: function(event) {
+ if (this.active && this.dragging) {
+ this.finishDrag(event, true);
+ Event.stop(event);
+ }
+ this.active = false;
+ this.dragging = false;
+ },
+ finishDrag: function(event, success) {
+ this.active = false;
+ this.dragging = false;
+ this.updateFinished();
+ },
+ updateFinished: function() {
+ if (this.initialized && this.options.onChange)
+ this.options.onChange(this.values.length>1 ? this.values : this.value, this);
+ this.event = null;
+ }
+}); \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/sound.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/sound.js
new file mode 100644
index 000000000..edacf4101
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/sound.js
@@ -0,0 +1,59 @@
+// script.aculo.us sound.js v1.8.3, Thu Oct 08 11:23:33 +0200 2009
+
+// Copyright (c) 2005-2009 Thomas Fuchs (http://script.aculo.us, http://mir.aculo.us)
+//
+// Based on code created by Jules Gravinese (http://www.webveteran.com/)
+//
+// script.aculo.us is freely distributable under the terms of an MIT-style license.
+// For details, see the script.aculo.us web site: http://script.aculo.us/
+
+Sound = {
+ tracks: {},
+ _enabled: true,
+ template:
+ new Template('<embed style="height:0" id="sound_#{track}_#{id}" src="#{url}" loop="false" autostart="true" hidden="true"/>'),
+ enable: function(){
+ Sound._enabled = true;
+ },
+ disable: function(){
+ Sound._enabled = false;
+ },
+ play: function(url){
+ if(!Sound._enabled) return;
+ var options = Object.extend({
+ track: 'global', url: url, replace: false
+ }, arguments[1] || {});
+
+ if(options.replace && this.tracks[options.track]) {
+ $R(0, this.tracks[options.track].id).each(function(id){
+ var sound = DOKUid('sound_'+options.track+'_'+id);
+ sound.Stop && sound.Stop();
+ sound.remove();
+ });
+ this.tracks[options.track] = null;
+ }
+
+ if(!this.tracks[options.track])
+ this.tracks[options.track] = { id: 0 };
+ else
+ this.tracks[options.track].id++;
+
+ options.id = this.tracks[options.track].id;
+ $$('body')[0].insert(
+ Prototype.Browser.IE ? new Element('bgsound',{
+ id: 'sound_'+options.track+'_'+options.id,
+ src: options.url, loop: 1, autostart: true
+ }) : Sound.template.evaluate(options));
+ }
+};
+
+if(Prototype.Browser.Gecko && navigator.userAgent.indexOf("Win") > 0){
+ if(navigator.plugins && $A(navigator.plugins).detect(function(p){ return p.name.indexOf('QuickTime') != -1 }))
+ Sound.template = new Template('<object id="sound_#{track}_#{id}" width="0" height="0" type="audio/mpeg" data="#{url}"/>');
+ else if(navigator.plugins && $A(navigator.plugins).detect(function(p){ return p.name.indexOf('Windows Media') != -1 }))
+ Sound.template = new Template('<object id="sound_#{track}_#{id}" type="application/x-mplayer2" data="#{url}"></object>');
+ else if(navigator.plugins && $A(navigator.plugins).detect(function(p){ return p.name.indexOf('RealPlayer') != -1 }))
+ Sound.template = new Template('<embed type="audio/x-pn-realaudio-plugin" style="height:0" id="sound_#{track}_#{id}" src="#{url}" loop="false" autostart="true" hidden="true"/>');
+ else
+ Sound.play = function(){};
+} \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/unittest.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/unittest.js
new file mode 100644
index 000000000..90e97b8d6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/effects_support/unittest.js
@@ -0,0 +1,568 @@
+// script.aculo.us unittest.js v1.8.3, Thu Oct 08 11:23:33 +0200 2009
+
+// Copyright (c) 2005-2009 Thomas Fuchs (http://script.aculo.us, http://mir.aculo.us)
+// (c) 2005-2009 Jon Tirsen (http://www.tirsen.com)
+// (c) 2005-2009 Michael Schuerig (http://www.schuerig.de/michael/)
+//
+// script.aculo.us is freely distributable under the terms of an MIT-style license.
+// For details, see the script.aculo.us web site: http://script.aculo.us/
+
+// experimental, Firefox-only
+Event.simulateMouse = function(element, eventName) {
+ var options = Object.extend({
+ pointerX: 0,
+ pointerY: 0,
+ buttons: 0,
+ ctrlKey: false,
+ altKey: false,
+ shiftKey: false,
+ metaKey: false
+ }, arguments[2] || {});
+ var oEvent = document.createEvent("MouseEvents");
+ oEvent.initMouseEvent(eventName, true, true, document.defaultView,
+ options.buttons, options.pointerX, options.pointerY, options.pointerX, options.pointerY,
+ options.ctrlKey, options.altKey, options.shiftKey, options.metaKey, 0, DOKUid(element));
+
+ if(this.mark) Element.remove(this.mark);
+ this.mark = document.createElement('div');
+ this.mark.appendChild(document.createTextNode(" "));
+ document.body.appendChild(this.mark);
+ this.mark.style.position = 'absolute';
+ this.mark.style.top = options.pointerY + "px";
+ this.mark.style.left = options.pointerX + "px";
+ this.mark.style.width = "5px";
+ this.mark.style.height = "5px;";
+ this.mark.style.borderTop = "1px solid red;";
+ this.mark.style.borderLeft = "1px solid red;";
+
+ if(this.step)
+ alert('['+new Date().getTime().toString()+'] '+eventName+'/'+Test.Unit.inspect(options));
+
+ DOKUid(element).dispatchEvent(oEvent);
+};
+
+// Note: Due to a fix in Firefox 1.0.5/6 that probably fixed "too much", this doesn't work in 1.0.6 or DP2.
+// You need to downgrade to 1.0.4 for now to get this working
+// See https://bugzilla.mozilla.org/show_bug.cgi?id=289940 for the fix that fixed too much
+Event.simulateKey = function(element, eventName) {
+ var options = Object.extend({
+ ctrlKey: false,
+ altKey: false,
+ shiftKey: false,
+ metaKey: false,
+ keyCode: 0,
+ charCode: 0
+ }, arguments[2] || {});
+
+ var oEvent = document.createEvent("KeyEvents");
+ oEvent.initKeyEvent(eventName, true, true, window,
+ options.ctrlKey, options.altKey, options.shiftKey, options.metaKey,
+ options.keyCode, options.charCode );
+ DOKUid(element).dispatchEvent(oEvent);
+};
+
+Event.simulateKeys = function(element, command) {
+ for(var i=0; i<command.length; i++) {
+ Event.simulateKey(element,'keypress',{charCode:command.charCodeAt(i)});
+ }
+};
+
+var Test = {};
+Test.Unit = {};
+
+// security exception workaround
+Test.Unit.inspect = Object.inspect;
+
+Test.Unit.Logger = Class.create();
+Test.Unit.Logger.prototype = {
+ initialize: function(log) {
+ this.log = DOKUid(log);
+ if (this.log) {
+ this._createLogTable();
+ }
+ },
+ start: function(testName) {
+ if (!this.log) return;
+ this.testName = testName;
+ this.lastLogLine = document.createElement('tr');
+ this.statusCell = document.createElement('td');
+ this.nameCell = document.createElement('td');
+ this.nameCell.className = "nameCell";
+ this.nameCell.appendChild(document.createTextNode(testName));
+ this.messageCell = document.createElement('td');
+ this.lastLogLine.appendChild(this.statusCell);
+ this.lastLogLine.appendChild(this.nameCell);
+ this.lastLogLine.appendChild(this.messageCell);
+ this.loglines.appendChild(this.lastLogLine);
+ },
+ finish: function(status, summary) {
+ if (!this.log) return;
+ this.lastLogLine.className = status;
+ this.statusCell.innerHTML = status;
+ this.messageCell.innerHTML = this._toHTML(summary);
+ this.addLinksToResults();
+ },
+ message: function(message) {
+ if (!this.log) return;
+ this.messageCell.innerHTML = this._toHTML(message);
+ },
+ summary: function(summary) {
+ if (!this.log) return;
+ this.logsummary.innerHTML = this._toHTML(summary);
+ },
+ _createLogTable: function() {
+ this.log.innerHTML =
+ '<div id="logsummary"></div>' +
+ '<table id="logtable">' +
+ '<thead><tr><th>Status</th><th>Test</th><th>Message</th></tr></thead>' +
+ '<tbody id="loglines"></tbody>' +
+ '</table>';
+ this.logsummary = DOKUid('logsummary');
+ this.loglines = DOKUid('loglines');
+ },
+ _toHTML: function(txt) {
+ return txt.escapeHTML().replace(/\n/g,"<br/>");
+ },
+ addLinksToResults: function(){
+ $$("tr.failed .nameCell").each( function(td){ // todo: limit to children of this.log
+ td.title = "Run only this test";
+ Event.observe(td, 'click', function(){ window.location.search = "?tests=" + td.innerHTML;});
+ });
+ $$("tr.passed .nameCell").each( function(td){ // todo: limit to children of this.log
+ td.title = "Run all tests";
+ Event.observe(td, 'click', function(){ window.location.search = "";});
+ });
+ }
+};
+
+Test.Unit.Runner = Class.create();
+Test.Unit.Runner.prototype = {
+ initialize: function(testcases) {
+ this.options = Object.extend({
+ testLog: 'testlog'
+ }, arguments[1] || {});
+ this.options.resultsURL = this.parseResultsURLQueryParameter();
+ this.options.tests = this.parseTestsQueryParameter();
+ if (this.options.testLog) {
+ this.options.testLog = DOKUid(this.options.testLog) || null;
+ }
+ if(this.options.tests) {
+ this.tests = [];
+ for(var i = 0; i < this.options.tests.length; i++) {
+ if(/^test/.test(this.options.tests[i])) {
+ this.tests.push(new Test.Unit.Testcase(this.options.tests[i], testcases[this.options.tests[i]], testcases["setup"], testcases["teardown"]));
+ }
+ }
+ } else {
+ if (this.options.test) {
+ this.tests = [new Test.Unit.Testcase(this.options.test, testcases[this.options.test], testcases["setup"], testcases["teardown"])];
+ } else {
+ this.tests = [];
+ for(var testcase in testcases) {
+ if(/^test/.test(testcase)) {
+ this.tests.push(
+ new Test.Unit.Testcase(
+ this.options.context ? ' -> ' + this.options.titles[testcase] : testcase,
+ testcases[testcase], testcases["setup"], testcases["teardown"]
+ ));
+ }
+ }
+ }
+ }
+ this.currentTest = 0;
+ this.logger = new Test.Unit.Logger(this.options.testLog);
+ setTimeout(this.runTests.bind(this), 1000);
+ },
+ parseResultsURLQueryParameter: function() {
+ return window.location.search.parseQuery()["resultsURL"];
+ },
+ parseTestsQueryParameter: function(){
+ if (window.location.search.parseQuery()["tests"]){
+ return window.location.search.parseQuery()["tests"].split(',');
+ };
+ },
+ // Returns:
+ // "ERROR" if there was an error,
+ // "FAILURE" if there was a failure, or
+ // "SUCCESS" if there was neither
+ getResult: function() {
+ var hasFailure = false;
+ for(var i=0;i<this.tests.length;i++) {
+ if (this.tests[i].errors > 0) {
+ return "ERROR";
+ }
+ if (this.tests[i].failures > 0) {
+ hasFailure = true;
+ }
+ }
+ if (hasFailure) {
+ return "FAILURE";
+ } else {
+ return "SUCCESS";
+ }
+ },
+ postResults: function() {
+ if (this.options.resultsURL) {
+ new Ajax.Request(this.options.resultsURL,
+ { method: 'get', parameters: 'result=' + this.getResult(), asynchronous: false });
+ }
+ },
+ runTests: function() {
+ var test = this.tests[this.currentTest];
+ if (!test) {
+ // finished!
+ this.postResults();
+ this.logger.summary(this.summary());
+ return;
+ }
+ if(!test.isWaiting) {
+ this.logger.start(test.name);
+ }
+ test.run();
+ if(test.isWaiting) {
+ this.logger.message("Waiting for " + test.timeToWait + "ms");
+ setTimeout(this.runTests.bind(this), test.timeToWait || 1000);
+ } else {
+ this.logger.finish(test.status(), test.summary());
+ this.currentTest++;
+ // tail recursive, hopefully the browser will skip the stackframe
+ this.runTests();
+ }
+ },
+ summary: function() {
+ var assertions = 0;
+ var failures = 0;
+ var errors = 0;
+ var messages = [];
+ for(var i=0;i<this.tests.length;i++) {
+ assertions += this.tests[i].assertions;
+ failures += this.tests[i].failures;
+ errors += this.tests[i].errors;
+ }
+ return (
+ (this.options.context ? this.options.context + ': ': '') +
+ this.tests.length + " tests, " +
+ assertions + " assertions, " +
+ failures + " failures, " +
+ errors + " errors");
+ }
+};
+
+Test.Unit.Assertions = Class.create();
+Test.Unit.Assertions.prototype = {
+ initialize: function() {
+ this.assertions = 0;
+ this.failures = 0;
+ this.errors = 0;
+ this.messages = [];
+ },
+ summary: function() {
+ return (
+ this.assertions + " assertions, " +
+ this.failures + " failures, " +
+ this.errors + " errors" + "\n" +
+ this.messages.join("\n"));
+ },
+ pass: function() {
+ this.assertions++;
+ },
+ fail: function(message) {
+ this.failures++;
+ this.messages.push("Failure: " + message);
+ },
+ info: function(message) {
+ this.messages.push("Info: " + message);
+ },
+ error: function(error) {
+ this.errors++;
+ this.messages.push(error.name + ": "+ error.message + "(" + Test.Unit.inspect(error) +")");
+ },
+ status: function() {
+ if (this.failures > 0) return 'failed';
+ if (this.errors > 0) return 'error';
+ return 'passed';
+ },
+ assert: function(expression) {
+ var message = arguments[1] || 'assert: got "' + Test.Unit.inspect(expression) + '"';
+ try { expression ? this.pass() :
+ this.fail(message); }
+ catch(e) { this.error(e); }
+ },
+ assertEqual: function(expected, actual) {
+ var message = arguments[2] || "assertEqual";
+ try { (expected == actual) ? this.pass() :
+ this.fail(message + ': expected "' + Test.Unit.inspect(expected) +
+ '", actual "' + Test.Unit.inspect(actual) + '"'); }
+ catch(e) { this.error(e); }
+ },
+ assertInspect: function(expected, actual) {
+ var message = arguments[2] || "assertInspect";
+ try { (expected == actual.inspect()) ? this.pass() :
+ this.fail(message + ': expected "' + Test.Unit.inspect(expected) +
+ '", actual "' + Test.Unit.inspect(actual) + '"'); }
+ catch(e) { this.error(e); }
+ },
+ assertEnumEqual: function(expected, actual) {
+ var message = arguments[2] || "assertEnumEqual";
+ try { $A(expected).length == $A(actual).length &&
+ expected.zip(actual).all(function(pair) { return pair[0] == pair[1] }) ?
+ this.pass() : this.fail(message + ': expected ' + Test.Unit.inspect(expected) +
+ ', actual ' + Test.Unit.inspect(actual)); }
+ catch(e) { this.error(e); }
+ },
+ assertNotEqual: function(expected, actual) {
+ var message = arguments[2] || "assertNotEqual";
+ try { (expected != actual) ? this.pass() :
+ this.fail(message + ': got "' + Test.Unit.inspect(actual) + '"'); }
+ catch(e) { this.error(e); }
+ },
+ assertIdentical: function(expected, actual) {
+ var message = arguments[2] || "assertIdentical";
+ try { (expected === actual) ? this.pass() :
+ this.fail(message + ': expected "' + Test.Unit.inspect(expected) +
+ '", actual "' + Test.Unit.inspect(actual) + '"'); }
+ catch(e) { this.error(e); }
+ },
+ assertNotIdentical: function(expected, actual) {
+ var message = arguments[2] || "assertNotIdentical";
+ try { !(expected === actual) ? this.pass() :
+ this.fail(message + ': expected "' + Test.Unit.inspect(expected) +
+ '", actual "' + Test.Unit.inspect(actual) + '"'); }
+ catch(e) { this.error(e); }
+ },
+ assertNull: function(obj) {
+ var message = arguments[1] || 'assertNull';
+ try { (obj==null) ? this.pass() :
+ this.fail(message + ': got "' + Test.Unit.inspect(obj) + '"'); }
+ catch(e) { this.error(e); }
+ },
+ assertMatch: function(expected, actual) {
+ var message = arguments[2] || 'assertMatch';
+ var regex = new RegExp(expected);
+ try { (regex.exec(actual)) ? this.pass() :
+ this.fail(message + ' : regex: "' + Test.Unit.inspect(expected) + ' did not match: ' + Test.Unit.inspect(actual) + '"'); }
+ catch(e) { this.error(e); }
+ },
+ assertHidden: function(element) {
+ var message = arguments[1] || 'assertHidden';
+ this.assertEqual("none", element.style.display, message);
+ },
+ assertNotNull: function(object) {
+ var message = arguments[1] || 'assertNotNull';
+ this.assert(object != null, message);
+ },
+ assertType: function(expected, actual) {
+ var message = arguments[2] || 'assertType';
+ try {
+ (actual.constructor == expected) ? this.pass() :
+ this.fail(message + ': expected "' + Test.Unit.inspect(expected) +
+ '", actual "' + (actual.constructor) + '"'); }
+ catch(e) { this.error(e); }
+ },
+ assertNotOfType: function(expected, actual) {
+ var message = arguments[2] || 'assertNotOfType';
+ try {
+ (actual.constructor != expected) ? this.pass() :
+ this.fail(message + ': expected "' + Test.Unit.inspect(expected) +
+ '", actual "' + (actual.constructor) + '"'); }
+ catch(e) { this.error(e); }
+ },
+ assertInstanceOf: function(expected, actual) {
+ var message = arguments[2] || 'assertInstanceOf';
+ try {
+ (actual instanceof expected) ? this.pass() :
+ this.fail(message + ": object was not an instance of the expected type"); }
+ catch(e) { this.error(e); }
+ },
+ assertNotInstanceOf: function(expected, actual) {
+ var message = arguments[2] || 'assertNotInstanceOf';
+ try {
+ !(actual instanceof expected) ? this.pass() :
+ this.fail(message + ": object was an instance of the not expected type"); }
+ catch(e) { this.error(e); }
+ },
+ assertRespondsTo: function(method, obj) {
+ var message = arguments[2] || 'assertRespondsTo';
+ try {
+ (obj[method] && typeof obj[method] == 'function') ? this.pass() :
+ this.fail(message + ": object doesn't respond to [" + method + "]"); }
+ catch(e) { this.error(e); }
+ },
+ assertReturnsTrue: function(method, obj) {
+ var message = arguments[2] || 'assertReturnsTrue';
+ try {
+ var m = obj[method];
+ if(!m) m = obj['is'+method.charAt(0).toUpperCase()+method.slice(1)];
+ m() ? this.pass() :
+ this.fail(message + ": method returned false"); }
+ catch(e) { this.error(e); }
+ },
+ assertReturnsFalse: function(method, obj) {
+ var message = arguments[2] || 'assertReturnsFalse';
+ try {
+ var m = obj[method];
+ if(!m) m = obj['is'+method.charAt(0).toUpperCase()+method.slice(1)];
+ !m() ? this.pass() :
+ this.fail(message + ": method returned true"); }
+ catch(e) { this.error(e); }
+ },
+ assertRaise: function(exceptionName, method) {
+ var message = arguments[2] || 'assertRaise';
+ try {
+ method();
+ this.fail(message + ": exception expected but none was raised"); }
+ catch(e) {
+ ((exceptionName == null) || (e.name==exceptionName)) ? this.pass() : this.error(e);
+ }
+ },
+ assertElementsMatch: function() {
+ var expressions = $A(arguments), elements = $A(expressions.shift());
+ if (elements.length != expressions.length) {
+ this.fail('assertElementsMatch: size mismatch: ' + elements.length + ' elements, ' + expressions.length + ' expressions');
+ return false;
+ }
+ elements.zip(expressions).all(function(pair, index) {
+ var element = DOKUid(pair.first()), expression = pair.last();
+ if (element.match(expression)) return true;
+ this.fail('assertElementsMatch: (in index ' + index + ') expected ' + expression.inspect() + ' but got ' + element.inspect());
+ }.bind(this)) && this.pass();
+ },
+ assertElementMatches: function(element, expression) {
+ this.assertElementsMatch([element], expression);
+ },
+ benchmark: function(operation, iterations) {
+ var startAt = new Date();
+ (iterations || 1).times(operation);
+ var timeTaken = ((new Date())-startAt);
+ this.info((arguments[2] || 'Operation') + ' finished ' +
+ iterations + ' iterations in ' + (timeTaken/1000)+'s' );
+ return timeTaken;
+ },
+ _isVisible: function(element) {
+ element = DOKUid(element);
+ if(!element.parentNode) return true;
+ this.assertNotNull(element);
+ if(element.style && Element.getStyle(element, 'display') == 'none')
+ return false;
+
+ return this._isVisible(element.parentNode);
+ },
+ assertNotVisible: function(element) {
+ this.assert(!this._isVisible(element), Test.Unit.inspect(element) + " was not hidden and didn't have a hidden parent either. " + ("" || arguments[1]));
+ },
+ assertVisible: function(element) {
+ this.assert(this._isVisible(element), Test.Unit.inspect(element) + " was not visible. " + ("" || arguments[1]));
+ },
+ benchmark: function(operation, iterations) {
+ var startAt = new Date();
+ (iterations || 1).times(operation);
+ var timeTaken = ((new Date())-startAt);
+ this.info((arguments[2] || 'Operation') + ' finished ' +
+ iterations + ' iterations in ' + (timeTaken/1000)+'s' );
+ return timeTaken;
+ }
+};
+
+Test.Unit.Testcase = Class.create();
+Object.extend(Object.extend(Test.Unit.Testcase.prototype, Test.Unit.Assertions.prototype), {
+ initialize: function(name, test, setup, teardown) {
+ Test.Unit.Assertions.prototype.initialize.bind(this)();
+ this.name = name;
+
+ if(typeof test == 'string') {
+ test = test.gsub(/(\.should[^\(]+\()/,'#{0}this,');
+ test = test.gsub(/(\.should[^\(]+)\(this,\)/,'#{1}(this)');
+ this.test = function() {
+ eval('with(this){'+test+'}');
+ }
+ } else {
+ this.test = test || function() {};
+ }
+
+ this.setup = setup || function() {};
+ this.teardown = teardown || function() {};
+ this.isWaiting = false;
+ this.timeToWait = 1000;
+ },
+ wait: function(time, nextPart) {
+ this.isWaiting = true;
+ this.test = nextPart;
+ this.timeToWait = time;
+ },
+ run: function() {
+ try {
+ try {
+ if (!this.isWaiting) this.setup.bind(this)();
+ this.isWaiting = false;
+ this.test.bind(this)();
+ } finally {
+ if(!this.isWaiting) {
+ this.teardown.bind(this)();
+ }
+ }
+ }
+ catch(e) { this.error(e); }
+ }
+});
+
+// *EXPERIMENTAL* BDD-style testing to please non-technical folk
+// This draws many ideas from RSpec http://rspec.rubyforge.org/
+
+Test.setupBDDExtensionMethods = function(){
+ var METHODMAP = {
+ shouldEqual: 'assertEqual',
+ shouldNotEqual: 'assertNotEqual',
+ shouldEqualEnum: 'assertEnumEqual',
+ shouldBeA: 'assertType',
+ shouldNotBeA: 'assertNotOfType',
+ shouldBeAn: 'assertType',
+ shouldNotBeAn: 'assertNotOfType',
+ shouldBeNull: 'assertNull',
+ shouldNotBeNull: 'assertNotNull',
+
+ shouldBe: 'assertReturnsTrue',
+ shouldNotBe: 'assertReturnsFalse',
+ shouldRespondTo: 'assertRespondsTo'
+ };
+ var makeAssertion = function(assertion, args, object) {
+ this[assertion].apply(this,(args || []).concat([object]));
+ };
+
+ Test.BDDMethods = {};
+ $H(METHODMAP).each(function(pair) {
+ Test.BDDMethods[pair.key] = function() {
+ var args = $A(arguments);
+ var scope = args.shift();
+ makeAssertion.apply(scope, [pair.value, args, this]); };
+ });
+
+ [Array.prototype, String.prototype, Number.prototype, Boolean.prototype].each(
+ function(p){ Object.extend(p, Test.BDDMethods) }
+ );
+};
+
+Test.context = function(name, spec, log){
+ Test.setupBDDExtensionMethods();
+
+ var compiledSpec = {};
+ var titles = {};
+ for(specName in spec) {
+ switch(specName){
+ case "setup":
+ case "teardown":
+ compiledSpec[specName] = spec[specName];
+ break;
+ default:
+ var testName = 'test'+specName.gsub(/\s+/,'-').camelize();
+ var body = spec[specName].toString().split('\n').slice(1);
+ if(/^\{/.test(body[0])) body = body.slice(1);
+ body.pop();
+ body = body.map(function(statement){
+ return statement.strip()
+ });
+ compiledSpec[testName] = body.join('\n');
+ titles[testName] = specName;
+ }
+ }
+ new Test.Unit.Runner(compiledSpec, { titles: titles, testLog: log || 'testlog', context: name });
+}; \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/LEEME.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/LEEME.txt
new file mode 100755
index 000000000..5c00e8abd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/LEEME.txt
@@ -0,0 +1,31 @@
+EXECUTIVE
+
+Tema para S5, creado originalmente por jEsuSdA 8)
+http://www.jesusda.com
+
+M谩s temas en: http://www.jesusda.com/projects/presentaciones_s5
+
+
+
+Para customizar este tema modifica el archivo CSS:
+
+s5-ultraviolet/ui/default/pretty.css
+
+
+
+Los archivos gr谩ficos referentes al tema est谩n en la carpeta:
+
+/ui/default/pics
+
+
+Puedes personalizar el favicon copiando el tuyo en la carpeta:
+
+/ui/default/pics
+
+con el nombre favicon.ico
+
+
+
+Para cualquier sugerencia e idea, por favor, contacta conmigo a trav茅s de mi web. ;)
+
+--jEsuSdA 8) \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/audio_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/audio_link.png
new file mode 100644
index 000000000..daa740c40
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/audio_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/audio_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/audio_on.png
new file mode 100644
index 000000000..cd590aae9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/audio_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/audio_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/audio_over.png
new file mode 100644
index 000000000..cf537a008
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/audio_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/auto_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/auto_link.png
new file mode 100644
index 000000000..1c2ca4817
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/auto_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/auto_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/auto_on.png
new file mode 100644
index 000000000..4b0c923b8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/auto_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/auto_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/auto_over.png
new file mode 100644
index 000000000..dbea88975
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/auto_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/backgrnd.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/backgrnd.png
new file mode 100644
index 000000000..0e48413d3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/backgrnd.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/blank.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/blank.gif
new file mode 100755
index 000000000..75b945d25
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/blank.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/delay_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/delay_link.png
new file mode 100644
index 000000000..c4d99cd57
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/delay_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/exit_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/exit_link.png
new file mode 100644
index 000000000..1bfd38790
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/exit_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/exit_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/exit_over.png
new file mode 100644
index 000000000..0fdd1c605
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/exit_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/fade_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/fade_link.png
new file mode 100644
index 000000000..482e4d53e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/fade_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/fade_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/fade_on.png
new file mode 100644
index 000000000..e12561fb2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/fade_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/fade_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/fade_over.png
new file mode 100644
index 000000000..fb8943c98
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/fade_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/footer.jpg b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/footer.jpg
new file mode 100644
index 000000000..49370dbba
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/footer.jpg
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/framing.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/framing.css
new file mode 100755
index 000000000..14d8509e9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/framing.css
@@ -0,0 +1,23 @@
+/* The following styles size, place, and layer the slide components.
+ Edit these if you want to change the overall slide layout.
+ The commented lines can be uncommented (and modified, if necessary)
+ to help you with the rearrangement process. */
+
+/* target = 1024x768 */
+
+div#header, div#footer, .slide {width: 100%; top: 0; left: 0;}
+div#header {top: 0; height: 3em; z-index: 1;}
+div#footer {top: auto; bottom: 0; height: 2.5em; z-index: 5;}
+.slide {top: 0; width: 92%; padding: 3.5em 4% 4%; z-index: 2; list-style: none;}
+div#controls {left: 50%; bottom: 0; width: 50%; z-index: 100;}
+div#controls form {position: absolute; bottom: 0; right: 0; width: 100%;
+ margin: 0;}
+#currentSlide {position: absolute; width: 10%; left: 45%; bottom: 1em; z-index: 10;}
+html>body #currentSlide {position: fixed;}
+
+/*
+div#header {background: #FCC;}
+div#footer {background: #CCF;}
+div#controls {background: #BBD;}
+div#currentSlide {background: #FFC;}
+*/
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/header.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/header.png
new file mode 100644
index 000000000..929dabba1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/header.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/iepngfix.htc b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/iepngfix.htc
new file mode 100755
index 000000000..bba2db756
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/iepngfix.htc
@@ -0,0 +1,42 @@
+<public:component>
+<public:attach event="onpropertychange" onevent="doFix()" />
+
+<script>
+
+// IE5.5+ PNG Alpha Fix v1.0 by Angus Turnbull http://www.twinhelix.com
+// Free usage permitted as long as this notice remains intact.
+
+// This must be a path to a blank image. That's all the configuration you need here.
+var blankImg = 'ui/default/blank.gif';
+
+var f = 'DXImageTransform.Microsoft.AlphaImageLoader';
+
+function filt(s, m) {
+ if (filters[f]) {
+ filters[f].enabled = s ? true : false;
+ if (s) with (filters[f]) { src = s; sizingMethod = m }
+ } else if (s) style.filter = 'progid:'+f+'(src="'+s+'",sizingMethod="'+m+'")';
+}
+
+function doFix() {
+ if ((parseFloat(navigator.userAgent.match(/MSIE (\S+)/)[1]) < 5.5) ||
+ (event && !/(background|src)/.test(event.propertyName))) return;
+
+ if (tagName == 'IMG') {
+ if ((/\.png$/i).test(src)) {
+ filt(src, 'image'); // was 'scale'
+ src = blankImg;
+ } else if (src.indexOf(blankImg) < 0) filt();
+ } else if (style.backgroundImage) {
+ if (style.backgroundImage.match(/^url[("']+(.*\.png)[)"']+$/i)) {
+ var s = RegExp.$1;
+ style.backgroundImage = '';
+ filt(s, 'crop');
+ } else filt();
+ }
+}
+
+doFix();
+
+</script>
+</public:component> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/index.html b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/index.html
new file mode 100755
index 000000000..39d8f46ad
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/index.html
@@ -0,0 +1,100 @@
+<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Strict//EN"
+ "http://www.w3.org/TR/xhtml1/DTD/xhtml1-strict.dtd">
+
+<html xmlns="http://www.w3.org/1999/xhtml" xml:lang="es" lang="es-es" >
+
+
+<head>
+
+ <title>[T铆tulo de la presentaci贸n aqu铆]</title>
+ <!-- metadata -->
+ <meta http-equiv="content-type" content="text/html; charset=utf-8" />
+ <meta http-equiv="content-language" content="es" />
+
+ <!--titulo y datos de publicaci贸n de la presentaci贸n-->
+
+ <meta name="description" content="[T铆tulo de la presentaci贸n aqu铆]" />
+
+ <meta name="keywords" content="[keywords aqu铆]" />
+ <meta name="presdate" content="[Aqu铆 la fecha de publicaci贸n en formato aaaammdd]" />
+
+ <meta name="author" lang="es" content="[Nombre del autor de la presentaci贸n aqu铆]" />
+ <meta name="company" content="[Organizaci贸n del autor de la presentaci贸n aqu铆]" />
+
+ <link rel="shortcut icon" href="ui/default/pics/favicon.ico" />
+
+ <meta name="generator" content="S5" />
+ <meta name="progid" content="quanta+" />
+ <meta name="version" content="S5 路 jEsuSdA 路 1.1" />
+ <!--Versi贸n adaptada del estandard S5 1.1 por jEsuSdA-->
+
+ <meta name="robots" content="all" />
+
+ <!-- configuration parameters -->
+ <meta name="defaultView" content="slideshow" />
+ <meta name="controlVis" content="hidden" />
+
+ <!-- style sheet links -->
+ <link rel="stylesheet" href="ui/default/slides.css" type="text/css" media="projection" id="slideProj" />
+ <link rel="stylesheet" href="ui/default/outline.css" type="text/css" media="screen" id="outlineStyle" />
+ <link rel="stylesheet" href="ui/default/print.css" type="text/css" media="print" id="slidePrint" />
+ <link rel="stylesheet" href="ui/default/opera.css" type="text/css" media="projection" id="operaFix" />
+
+ <!-- S5 JS -->
+ <script src="ui/default/slides.js" type="text/javascript"></script>
+
+</head>
+
+<body>
+
+ <div class="layout">
+ <div id="controls"><!-- NO EDITAR --></div>
+ <div id="currentSlide"><!-- NO EDITAR --></div>
+
+ <div id="header">
+ <div id="logo"><!-- NO EDITAR --></div>
+ </div>
+
+ </div>
+
+ <div id="footer">
+ <h1>[Lugar/Fecha de la presentaci贸n aqu铆]</h1>
+ <h2>[T铆tulo de la presentaci贸n aqu铆]</h2>
+ </div>
+
+
+
+
+<div class="presentation">
+
+ <div class="slide">
+ <h1>[T铆tulo de la presentaci贸n aqu铆]</h1>
+ <h3>[Nombre del ponente aqu铆]</h3>
+ <h4>[Datos extra aqu铆]</h4>
+ </div>
+
+
+ <div class="slide">
+ <h1>[T铆tulo de la diapositiva]</h1>
+
+ <ul>
+ <li>[Punto uno]</li>
+ <li>[Punto dos]</li>
+ <li>[Punto tres]</li>
+ <li>[Punto cuatro]</li>
+ <li>[Punto cinco]</li>
+ </ul>
+
+ <div class="handout">
+
+ [A帽ade aqu铆 cualquier nota o informaci贸n que desees mostrar en la versi贸n impresa, pero no en la versi贸n en pantalla]
+
+ </div>
+
+ </div>
+ <!--fin slide-->
+
+</div>
+
+</body>
+</html>
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/last_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/last_link.png
new file mode 100644
index 000000000..4f158d35d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/last_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/last_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/last_over.png
new file mode 100644
index 000000000..a7b629995
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/last_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/list_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/list_link.png
new file mode 100644
index 000000000..7e299bb50
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/list_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/loop_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/loop_link.png
new file mode 100644
index 000000000..41e12cb15
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/loop_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/loop_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/loop_on.png
new file mode 100644
index 000000000..2ffcacae1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/loop_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/loop_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/loop_over.png
new file mode 100644
index 000000000..9fe420153
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/loop_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/next_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/next_link.png
new file mode 100644
index 000000000..2b9f44c4d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/next_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/next_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/next_over.png
new file mode 100644
index 000000000..25788124d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/next_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/notes_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/notes_link.png
new file mode 100644
index 000000000..bb4a8ae37
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/notes_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/notes_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/notes_over.png
new file mode 100644
index 000000000..c6bfe69fc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/notes_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/opera.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/opera.css
new file mode 100755
index 000000000..9e9d2a3c5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/opera.css
@@ -0,0 +1,7 @@
+/* DO NOT CHANGE THESE unless you really want to break Opera Show */
+.slide {
+ visibility: visible !important;
+ position: static !important;
+ page-break-before: always;
+}
+#slide0 {page-break-before: avoid;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/outline.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/outline.css
new file mode 100755
index 000000000..62db519ed
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/outline.css
@@ -0,0 +1,15 @@
+/* don't change this unless you want the layout stuff to show up in the outline view! */
+
+.layout div, #footer *, #controlForm * {display: none;}
+#footer, #controls, #controlForm, #navLinks, #toggle {
+ display: block; visibility: visible; margin: 0; padding: 0;}
+#toggle {float: right; padding: 0.5em;}
+html>body #toggle {position: fixed; top: 0; right: 0;}
+
+/* making the outline look pretty-ish */
+
+#slide0 h1, #slide0 h2, #slide0 h3, #slide0 h4 {border: none; margin: 0;}
+#slide0 h1 {padding-top: 1.5em;}
+.slide h1 {margin: 1.5em 0 0; padding-top: 0.25em;
+ border-top: 1px solid #888; border-bottom: 1px solid #AAA;}
+#toggle {border: 1px solid; border-width: 0 0 1px 1px; background: #FFF;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pause_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pause_link.png
new file mode 100644
index 000000000..2561cb4a4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pause_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pause_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pause_on.png
new file mode 100644
index 000000000..2fc9c4a4a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pause_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pause_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pause_over.png
new file mode 100644
index 000000000..7a7c80ddc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pause_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/back-handout-print.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/back-handout-print.png
new file mode 100755
index 000000000..9e49dd625
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/back-handout-print.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/back-slide-print.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/back-slide-print.png
new file mode 100755
index 000000000..e8b5f9d82
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/back-slide-print.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/back_console.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/back_console.png
new file mode 100755
index 000000000..ac1708379
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/back_console.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/background-foot.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/background-foot.png
new file mode 100755
index 000000000..811b676bf
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/background-foot.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/background-head.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/background-head.png
new file mode 100755
index 000000000..c1df59a15
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/background-head.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/background-head.xcf b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/background-head.xcf
new file mode 100755
index 000000000..ff6497417
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/background-head.xcf
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/background-main.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/background-main.png
new file mode 100755
index 000000000..9ed390d97
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/background-main.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/favicon.ico b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/favicon.ico
new file mode 100755
index 000000000..10ee10936
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/favicon.ico
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/logo.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/logo.png
new file mode 100755
index 000000000..119fe742e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/logo.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/table-background.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/table-background.png
new file mode 100755
index 000000000..89a7e4fa2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/table-background.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/table-header.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/table-header.png
new file mode 100755
index 000000000..1001624dd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pics/table-header.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pretty.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pretty.css
new file mode 100755
index 000000000..e34ead879
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/pretty.css
@@ -0,0 +1,545 @@
+/* Following are the presentation styles -- edit away! */
+
+body
+{
+ background: #FFF url(pics/background-main.png) top left repeat;
+ color: #000; font-size: 2em;
+/* font-family: Trebuchet MS, Arial, Helvetica, sans-serif; */
+ font-family: Arial, Helvetica, sans-serif;
+
+}
+
+:link, :visited
+{
+ text-decoration: none;
+ color: #00C;
+}
+
+
+
+h1, h2, h3, h4
+{
+ font-size: 100%;
+ margin: 0;
+ padding: 0;
+ font-weight: inherit;
+}
+
+h1 abbr
+{
+ font-variant: small-caps;
+}
+
+ul, pre
+{
+ margin: 0;
+ line-height: 1em;
+}
+
+html, body
+{
+ margin: 0;
+ padding: 0;
+}
+
+blockquote, q
+{
+ font-style: italic;
+}
+
+blockquote
+{
+ padding: 0 2em 0.5em;
+ margin: 0 1.5em 0.5em;
+ text-align: center;
+ font-size: 1em;
+}
+
+blockquote p
+{
+ margin: 0;
+}
+
+blockquote i
+{
+ font-style: normal;
+}
+
+blockquote b
+{
+ display: block;
+ margin-top: 0.5em;
+ font-weight: normal;
+ font-size: smaller;
+ font-style: normal;
+}
+
+blockquote b i
+{
+ font-style: italic;
+}
+
+kbd
+{
+ font-weight: bold;
+ font-size: 1em;
+}
+
+sup
+{
+ font-size: smaller;
+ line-height: 1px;
+}
+
+
+ul.urls
+{
+ list-style: none;
+ display: inline;
+ margin: 0;
+}
+
+.urls li
+{
+ display: inline;
+ margin: 0;
+}
+
+.notes
+{
+ display: none;
+}
+
+.external
+{
+ border-bottom: 1px dotted gray;
+}
+
+html>body .external
+{
+ border-bottom: none;
+}
+
+.external:after
+{
+ content: " \274F";
+ font-size: smaller;
+ color: #fff;
+}
+
+.incremental, .incremental *, .incremental *:after
+{
+ color: #fff;
+ visibility: visible;
+}
+
+img.incremental
+{
+ visibility: hidden;
+}
+
+.slide .current
+{
+ color: #fff;
+}
+
+
+/* ============== */
+/* === SLIDES === */
+/* ============== */
+
+.slide code
+{
+ padding: 2px 0.25em;
+ font-weight: bold;
+}
+
+.slide code.bad, code del
+{
+ color: red;
+}
+
+.slide code.old
+{
+ color: silver;
+}
+
+.slide pre
+{
+
+ color: #ffca00;
+ display:block;
+ font-weight:bold;
+ font-family: Arial, Sans-Serif !important;
+ font-size:41.5%;
+ line-height:1.5em;
+ background:#2e3436 url(pics/back_console.png) right bottom no-repeat ;
+ border:5px solid #ccc;
+ padding:1em 1em 1em 1em;
+
+
+}
+
+.slide pre code
+{
+ font-family: Arial, Sans-Serif !important;
+ display:block;
+ margin:0;
+ padding:0;
+}
+
+
+
+.slide ul
+{
+ margin-left: 5%;
+ margin-right: 7%;
+ list-style: disc;
+}
+
+.slide li
+{
+ margin-top: 0.75em;
+ margin-right: 0;
+}
+
+.slide ul ul
+{
+ line-height: 1;
+}
+
+.slide ul ul li
+{
+ margin: .2em;
+ font-size: 85%;
+ list-style: square;
+}
+
+.slide img.leader
+{
+ display: block;
+ margin: 0 auto;
+}
+
+
+/* ========================= */
+/* === HEADER AND FOOTER === */
+/* ========================= */
+
+div#logo
+{
+ position:absolute;
+ top:0%;
+ right:0%;
+ background:url(pics/logo.png) 50% 50% no-repeat;
+ height:200%;
+ width:150px;
+}
+
+div#header, div#footer
+{
+ background: #a80231;
+ color: #FFF;
+}
+
+
+div#header
+{
+ background:#000 url(pics/background-head.png) bottom left repeat-x;
+ line-height: 1px;
+}
+
+div#footer
+{
+ background:#000 url(pics/background-foot.png) top left repeat-x;
+ font-size: 0.5em;
+ font-weight: bold;
+ padding: 1em 0;
+}
+
+
+
+
+
+#footer h1, #footer h2
+{
+ display: block;
+ padding: 0 1em;
+}
+
+#footer h2
+{
+ font-style: italic;
+}
+
+
+
+/* ============== */
+/* === CLASES === */
+/* ============== */
+
+div.long
+{
+ font-size: 0.75em;
+}
+
+.slide h1
+{
+ position: absolute;
+ top: 0.7em;
+ left: 87px;
+ z-index: 1;
+ margin: 0;
+ padding: 0.3em 0 0 50px;
+ white-space: nowrap;
+ font-weight: bold;
+ font-size: 1em;
+
+ text-transform: capitalize;
+ color: #fff;
+ background: transparent;
+}
+
+.slide h3
+{
+ font-size: 130%;
+}
+
+/* ================ */
+/* === CONTROLS === */
+/* ================ */
+
+#controls :active
+{
+ color: #fff !important;
+}
+
+#controls :focus
+{
+ outline: 1px dotted #227;
+}
+
+
+/* ================ */
+/* === JESUSDA === */
+/* ================ */
+
+.first-pic
+{
+
+ float:right;
+ margin-top:-2em;
+}
+
+/* ================ */
+
+.align-center
+{
+ text-align:center;
+}
+/* ================ */
+
+.float-left , .left-column
+{
+ float:left !important;
+ width:49% !important;
+}
+
+.float-right , .right-column
+{
+ float:right !important;
+ width:49% !important;
+}
+/* ================ */
+.fullscreen
+{
+ z-index:1000 !important;
+ position:fixed !important;
+ top:0;
+ left:0;
+ width:100% !important;
+ height:100% !important;
+
+ background: #fff url(pics/background-main.png) top left no-repeat;
+
+ text-align:center;
+ margin-left:-1.65em;
+
+}
+
+.fullscreen h1
+{
+ display:none;
+}
+
+.rotulo
+{
+ font-size:800%;
+ text-align:center;
+ margin-top:-0.05em;
+
+}
+
+.rotulo2
+{
+ font-size:200%;
+ text-align:center;
+ font-weight:bolder;
+ margin-top:-0.05em;
+
+}
+
+.align-center img
+{
+ margin:auto;
+ text-align:center;
+ margin:0;
+}
+
+/* ========================= */
+/* === TABLAS === */
+/* ========================= */
+
+table
+{
+ background:url(pics/table-background.png) bottom left repeat-x #fff;
+ margin-right:1em;
+ font-size:70%;
+ border:1px solid #d2d7cf !important;
+ border-spacing: 0;
+ text-align:center;
+}
+
+table td
+{
+ padding:0.5em;
+ font-size:90%;
+ border:1px solid #d2d7cf !important;
+ border-spacing: 0;
+ text-align:center;
+}
+
+th
+{
+ background:url(pics/table-header.png) bottom left repeat-x #000;
+ color:#fff;
+}
+
+th a
+{
+ color:#fff !important;
+}
+
+
+
+table caption
+{
+ margin-bottom:1em;
+ margin-top:1em;
+ margin-left:-7em;
+
+
+}
+
+
+display-none
+{
+ display:none;
+}
+
+div#controls {position: absolute; left: 0; bottom: 0; width: 100%; text-align: center; font: bold 1em Verdana, Arial, Helvetica, sans-serif;}
+html>body div#controls {background: transparent; position: fixed; padding: 0; top: auto; text-align: center;}
+#controls #sheet {display: none;}
+#controls #controlForm {height: 32px; width: 100%; text-align: center; vertical-align: middle;}
+#controls #navLinks {border-top: 1px solid #999; overflow: hidden; padding: 0; margin: 0; height: 32px; width: 100%; background-color: #808080; text-align: center; vertical-align: middle; white-space: nowrap;}
+#controls #navLinks ul {margin: 0 auto; padding: 0; height: 32px; width: 500px; white-space: nowrap; text-align: center;}
+#controls #navLinks ul li {list-style: none; display: inline; margin: 0; padding: 0; float: left; height: 32px; width: 32px; text-align: center; background-color: transparent;}
+#controls #navLinks ul li.vr {list-style: none; display: inline; margin: 0px 2px; padding: 0; float: left; height: 32px; width: 1px; text-align: center; background-color: #606060;}
+#controls #navLinks ul li a {margin: 0; padding: 0; float: left; height: 32px; width: 32px; background-color: transparent;}
+
+#controls #navLinks ul li#exit {background: url(exit_link.png) no-repeat top left;}
+#controls #navLinks ul li#exit a:hover {background: url(exit_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#toggle {background: url(toggle_link.png) no-repeat top left;}
+#controls #navLinks ul li#toggle a:hover {background: url(toggle_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#show-notes {background: url(notes_link.png) no-repeat top left;}
+#controls #navLinks ul li#show-notes a:hover {background: url(notes_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#zero {background: url(zero_link.png) no-repeat top left;}
+#controls #navLinks ul li#zero a:hover {background: url(zero_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#prev {background: url(prev_link.png) no-repeat top left;}
+#controls #navLinks ul li#prev a:hover {background: url(prev_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#next {background: url(next_link.png) no-repeat top left;}
+#controls #navLinks ul li#next a:hover {background: url(next_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#last {background: url(last_link.png) no-repeat top left;}
+#controls #navLinks ul li#last a:hover {background: url(last_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#lst {background: url(list_link.png) no-repeat top left;}
+
+#controls #navLinks ul li#fade a.isoff {background: url(fade_link.png) no-repeat top left;}
+#controls #navLinks ul li#fade a.ison {background: url(fade_on.png) no-repeat top right;}
+#controls #navLinks ul li#fade a:hover {background: url(fade_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#audio {background: url(audio_link.png) no-repeat top left;}
+#controls #navLinks ul li#audio a.isoff {background: url(audio_link.png) no-repeat top left;}
+#controls #navLinks ul li#audio a.ison {background: url(audio_on.png) no-repeat top right;}
+#controls #navLinks ul li#audio a:hover {background: url(audio_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#vol {background: url(volume_link.png) no-repeat top left;}
+
+#controls #navLinks ul li#auto a.isoff {background: url(auto_link.png) no-repeat top left;}
+#controls #navLinks ul li#auto a.ison {background: url(auto_on.png) no-repeat top right;}
+#controls #navLinks ul li#auto a:hover {background: url(auto_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#pause a.isoff {background: url(pause_link.png) no-repeat top left;}
+#controls #navLinks ul li#pause a.ison {background: url(pause_on.png) no-repeat top right;}
+#controls #navLinks ul li#pause a:hover {background: url(pause_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#loop a.isoff {background: url(loop_link.png) no-repeat top left;}
+#controls #navLinks ul li#loop a.ison {background: url(loop_on.png) no-repeat top right;}
+#controls #navLinks ul li#loop a:hover {background: url(loop_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#del {background: url(delay_link.png) no-repeat top left;}
+
+#jumplist, #volumelist, #delaylist {padding: 0; margin: 0; width: 32px; height: 32px; cursor: n-resize;}
+
+#currentSlide {white-space: nowrap; text-align: center; margin-bottom: -0.5em; font-size: 0.5em; background-color: transparent; color: #999;}
+
+#guru {position: absolute; visibility: visible; left: 0px; top: 0px; padding: 4px; width: 99%; height: auto; text-align: center; background-color: black; z-index: 10;}
+#guru div {border: solid 3px red; padding: 4px; font-family: monospace; font-size: 60%; width: auto; height: auto; color: red; text-align: center;}
+
+#slide0 {padding-top: 3.5em; font-size: 90%;}
+#slide0 h1 {position: static; margin: 1em 0 0; padding: 0; font: bold 2em Verdana, Arial, Helvetica, sans-serif; font-variant: small-caps; text-shadow: 0.1em 0.1em 0.1em #666; white-space: normal; color: #222; background-color: transparent;}
+#slide0 h2 {font: bold italic 1em Arial, Helvetica, sans-serif; margin: 0.25em;}
+#slide0 h3 {margin-top: 1.5em; font-size: 1.5em;}
+#slide0 h4 {margin-top: 0; font-size: 1em;}
+
+ul.urls {list-style: none; display: inline; margin: 0;}
+.urls li {display: inline; margin: 0;}
+.external {border-bottom: 1px dotted gray;}
+html>body .external {border-bottom: none;}
+.external:after {content: " \274F"; font-size: smaller; color: #999;}
+
+.incremental, .incremental *, .incremental *:after {color: #ccc; visibility: visible;}
+img.incremental, canvas.incremental {visibility: hidden;}
+.slide .current {color: #B02;}
+
+/* diagnostics
+li:after {content: " [" attr(class) "]"; color: #F88;}
+*/
+
+table.piechart, table.barchart, table.linechart { border-spacing: 0.3em 0.15em; }
+table.piechart tr th, table.barchart tr th, table.linechart tr th { white-space: nowrap; }
+table.piechart tr td, table.barchart tr td, table.linechart tr td { vertical-align: top; white-space: nowrap; }
+table.piechart tr td.col, table.barchart tr td.col, table.linechart tr td.col { border-bottom: 1px solid #555; border-right: 1px solid #555; }
+table.fs90 tr td, table.fs90 tr th, div.fs90, pre.fs90, p.fs90 ,ul.fs90 {font-size: 0.9em; }
+table.fs75 tr td, table.fs75 tr th, div.fs75, pre.fs75, p.fs75 ,ul.fs75 {font-size: 0.75em; }
+table.fs66 tr td, table.fs66 tr th, div.fs66, pre.fs66, p.fs66 ,ul.fs66 {font-size: 0.66em; }
+table.fs50 tr td, table.fs50 tr th, div.fs50, pre.fs50, p.fs50 ,ul.fs50 {font-size: 0.5em; }
+
+#soundmanager-debug {position:fixed; top:0px; right:0px; width:30em; height:20em; overflow:auto; border:1px solid red; padding:1em; margin:2em; font-family:"sans serif"; font-size: 12px;color: black; background-color:#f6f6f6; z-index: 100;}
+#soundmanager-debug code {font-size: 11px;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/prev_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/prev_link.png
new file mode 100644
index 000000000..c31d21350
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/prev_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/prev_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/prev_over.png
new file mode 100644
index 000000000..6fef4fa52
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/prev_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/print.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/print.css
new file mode 100755
index 000000000..220083260
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/print.css
@@ -0,0 +1 @@
+/* The following rule is necessary to have all slides appear in print! DO NOT REMOVE IT! */ .slide, ul { page-break-inside: avoid; visibility: visible !important; } h1 { page-break-after: avoid; } html { width:100%; overflow:hidden; } body { font-size: 12pt; background: white; width:100%; } * { color: black; } #slide0 h1 { font-size: 200%; border: none; margin: 0.5em 0 0.25em; } #slide0 h3 { margin: 0; padding: 0; } #slide0 h4 { margin: 0 0 0.5em; padding: 0; } #slide0 { margin-bottom: 3em; } h1 { border-top: 2pt solid gray; border-bottom: 1px dotted silver; padding:0.2em 0 0.3em 0; } .extra { background: transparent !important; } div.extra, pre.extra, .example { font-size: 10pt; color: #333; } ul.extra a { font-weight: bold; } p.example { display: none; } #header { display: none; } #footer h1 { margin: 0; border-bottom: 1px solid; color: gray; font-style: italic; } #footer h2, #controls { display: none; } div.handout { display:block; border-top: 2px dotted #ccc; background:url(pics/back-handout-print.png) top right no-repeat #fff; min-height:6em; padding-right:6em; margin-bottom:1em; } .slide { display:block; background:url(pics/back-slide-print.png) top right no-repeat #fff; min-height:6em; margin-bottom:1em; } table { display:block; /* display:none; */ font-size:90%; overflow:hidden; margin-top:1em; margin-bottom:1em; } pre , code { margin:0; font-size:1pt; overflow:auto; } img { max-width:100% !important; } /* The following rule keeps the layout stuff out of print. Remove at your own risk! */ .layout, .layout * { display: none !important; } \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/s5-core.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/s5-core.css
new file mode 100755
index 000000000..86444e041
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/s5-core.css
@@ -0,0 +1,9 @@
+/* Do not edit or override these styles! The system will likely break if you do. */
+
+div#header, div#footer, div#controls, .slide {position: absolute;}
+html>body div#header, html>body div#footer,
+ html>body div#controls, html>body .slide {position: fixed;}
+.handout {display: none;}
+.layout {display: block;}
+.slide, .hideme, .incremental {visibility: hidden;}
+#slide0 {visibility: visible;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/slides.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/slides.css
new file mode 100755
index 000000000..0786d7dbd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/slides.css
@@ -0,0 +1,3 @@
+@import url(s5-core.css); /* required to make the slide show run at all */
+@import url(framing.css); /* sets basic placement and size of slide components */
+@import url(pretty.css); /* stuff that makes the slides look better than blah */ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/slides.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/slides.js
new file mode 100644
index 000000000..510a04c0e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/slides.js
@@ -0,0 +1,2812 @@
+// S5 1.3beta7 (18-Apr-2007) advanced version by C. Effenberger
+// Please see http://s5.netzgesta.de/ for more information
+// based on S5 v1.2a1 slides.js -- released into the Public Domain
+// Please see http://www.meyerweb.com/eric/tools/s5/credits.html for information
+// about all the wonderful and talented contributors to this code!
+// audio extension: soundmanager2 is NOT Public Domain
+// Please see http://www.schillmania.com/projects/soundmanager2/ for information
+
+var undef;
+var slideCSS = '';
+var snum = 0;
+var smax = 1;
+var incpos = 0;
+var number = undef;
+var firstTime = 1;
+var s5mode = true;
+var helpmode = false;
+var defaultView = 'slideshow'; //outline
+var controlVis = 'visible';
+var s5Path = 'lib/plugins/s5reloaded/';
+
+// scalable images extension
+var empx = 0;
+var images = new Array();
+var canvas = new Array();
+var medias = new Array();
+var piecharts = new Array();
+var barcharts = new Array();
+var linecharts = new Array();
+// scalable images extension
+
+// transition extension
+var tranSitions = false;
+var fadeModus = false;
+var fadeDuration = 500;
+var incrDuration = 250;
+var opac = 1;
+var cid = '';
+var nid = '';
+var tid = '';
+var jl = '';
+// transition extension
+
+// autoplay extension
+var autoMatic = false;
+var playLoop = false;
+var playPause = false;
+var autoRun = false;
+var playDelay = 5000;
+var remainDer = 0;
+var incrDelay = 0;
+// autoplay extension
+
+// audio extension
+var sound = new Array();
+var audioSupport = false;
+var audioVolume = 100;
+var audioError = false;
+var swfUnloaded = true;
+var bgSoundItem = 9999;
+var curSoundID = -1;
+// audio extension
+
+// panel extension
+var imgWidth = 32;
+var imgHeight = 32;
+// panel extension
+
+// canvas chart extension
+var canvasSupport = false;
+var ChartData = new Array();
+var colorSlice = new Array();
+var font = document.createElement("img");
+font.setAttribute("src", s5Path+"ui/graphic_support/numeric.png");
+signs = {
+ '0': {sx: 0, sy: 0, sw: 48, sh: 64},
+ '1': {sx: 48, sy: 0, sw: 48, sh: 64},
+ '2': {sx: 96, sy: 0, sw: 48, sh: 64},
+ '3': {sx: 144, sy: 0, sw: 48, sh: 64},
+ '4': {sx: 192, sy: 0, sw: 48, sh: 64},
+ '5': {sx: 240, sy: 0, sw: 48, sh: 64},
+ '6': {sx: 288, sy: 0, sw: 48, sh: 64},
+ '7': {sx: 336, sy: 0, sw: 48, sh: 64},
+ '8': {sx: 384, sy: 0, sw: 48, sh: 64},
+ '9': {sx: 432, sy: 0, sw: 48, sh: 64},
+ '%': {sx: 480, sy: 0, sw: 48, sh: 64},
+ '.': {sx: 528, sy: 0, sw: 24, sh: 64}
+};
+var colorNames= new Array();
+colorNames["black"]="#000000"; colorNames["maroon"]="#800000";
+colorNames["green"]="#008000"; colorNames["olive"]="#808000";
+colorNames["navy"]="#000080"; colorNames["purple"]="#800080";
+colorNames["teal"]="#008080"; colorNames["gray"]="#808080";
+colorNames["silver"]="#C0C0C0"; colorNames["red"]="#FF0000";
+colorNames["lime"]="#00FF00"; colorNames["yellow"]="#FFFF00";
+colorNames["blue"]="#0000FF"; colorNames["fuchsia"]="#FF00FF";
+colorNames["aqua"]="#00FFFF"; colorNames["white"]="#FFFFFF";
+colorNames["aliceblue"]="#F0F8FF"; colorNames["antiquewhite"]="#FAEBD7";
+colorNames["aquamarine"]="#7FFFD4"; colorNames["azure"]="#F0FFFF";
+colorNames["beige"]="#F5F5DC"; colorNames["blueviolet"]="#8A2BE2";
+colorNames["brown"]="#A52A2A"; colorNames["burlywood"]="#DEB887";
+colorNames["cadetblue"]="#5F9EA0"; colorNames["chartreuse"]="#7FFF00";
+colorNames["chocolate"]="#D2691E"; colorNames["coral"]="#FF7F50";
+colorNames["cornflowerblue"]="#6495ED"; colorNames["cornsilk"]="#FFF8DC";
+colorNames["crimson"]="#DC143C"; colorNames["darkblue"]="#00008B";
+colorNames["darkcyan"]="#008B8B"; colorNames["darkgoldenrod"]="#B8860B";
+colorNames["darkgray"]="#A9A9A9"; colorNames["darkgreen"]="#006400";
+colorNames["darkkhaki"]="#BDB76B"; colorNames["darkmagenta"]="#8B008B";
+colorNames["darkolivegreen"]="#556B2F"; colorNames["darkorange"]="#FF8C00";
+colorNames["darkorchid"]="#9932CC"; colorNames["darkred"]="#8B0000";
+colorNames["darksalmon"]="#E9967A"; colorNames["darkseagreen"]="#8FBC8F";
+colorNames["darkslateblue"]="#483D8B"; colorNames["darkslategray"]="#2F4F4F";
+colorNames["darkturquoise"]="#00CED1"; colorNames["darkviolet"]="#9400D3";
+colorNames["deeppink"]="#FF1493"; colorNames["deepskyblue"]="#00BFFF";
+colorNames["dimgray"]="#696969"; colorNames["dodgerblue"]="#1E90FF";
+colorNames["firebrick"]="#B22222"; colorNames["floralwhite"]="#FFFAF0";
+colorNames["forestgreen"]="#228B22"; colorNames["gainsboro"]="#DCDCDC";
+colorNames["ghostwhite"]="#F8F8FF"; colorNames["gold"]="#FFD700";
+colorNames["goldenrod"]="#DAA520"; colorNames["greenyellow"]="#ADFF2F";
+colorNames["honeydew"]="#F0FFF0"; colorNames["hotpink"]="#FF69B4";
+colorNames["indianred"]="#CD5C5C"; colorNames["indigo"]="#4B0082";
+colorNames["ivory"]="#FFFFF0"; colorNames["khaki"]="#F0E68C";
+colorNames["lavender"]="#E6E6FA"; colorNames["lavenderblush"]="#FFF0F5";
+colorNames["lawngreen"]="#7CFC00"; colorNames["lemonchiffon"]="#FFFACD";
+colorNames["lightblue"]="#ADD8E6"; colorNames["lightcoral"]="#F08080";
+colorNames["lightcyan"]="#E0FFFF"; colorNames["lightgoldenrodyellow"]="#FAFAD2";
+colorNames["lightgreen"]="#90EE90"; colorNames["lightgrey"]="#D3D3D3";
+colorNames["lightpink"]="#FFB6C1"; colorNames["lightsalmon"]="#FFA07A";
+colorNames["lightseagreen"]="#20B2AA"; colorNames["lightskyblue"]="#87CEFA";
+colorNames["lightslategray"]="#778899"; colorNames["lightsteelblue"]="#B0C4DE";
+colorNames["lightyellow"]="#FFFFE0"; colorNames["limegreen"]="#32CD32";
+colorNames["linen"]="#FAF0E6"; colorNames["mediumaquamarine"]="#66CDAA";
+colorNames["mediumblue"]="#0000CD"; colorNames["mediumorchid"]="#BA55D3";
+colorNames["ediumpurple"]="#9370D"; colorNames["mediumseagreen"]="#3CB371";
+colorNames["mediumslateblue"]="#7B68EE"; colorNames["mediumspringgreen"]="#00FA9A";
+colorNames["mediumturquoise"]="#48D1CC"; colorNames["mediumvioletred"]="#C71585";
+colorNames["midnightblue"]="#191970"; colorNames["mintcream"]="#F5FFFA";
+colorNames["mistyrose"]="#FFE4E1"; colorNames["moccasin"]="#FFE4B5";
+colorNames["navajowhite"]="#FFDEAD"; colorNames["oldlace"]="#FDF5E6";
+colorNames["olivedrab"]="#6B8E23"; colorNames["orange"]="#FFA500";
+colorNames["orangered"]="#FF4500"; colorNames["orchid"]="#DA70D6";
+colorNames["palegoldenrod"]="#EEE8AA"; colorNames["palegreen"]="#98FB98";
+colorNames["paleturquoise"]="#AFEEEE"; colorNames["palevioletred"]="#DB7093";
+colorNames["papayawhip"]="#FFEFD5"; colorNames["peachpuff"]="#FFDAB9";
+colorNames["peru"]="#CD853F"; colorNames["pink"]="#FFC0CB";
+colorNames["plum"]="#DDA0DD"; colorNames["powderblue"]="#B0E0E6";
+colorNames["rosybrown"]="#BC8F8F"; colorNames["royalblue"]="#4169E1";
+colorNames["saddlebrown"]="#8B4513"; colorNames["salmon"]="#FA8072";
+colorNames["sandybrown"]="#F4A460"; colorNames["seagreen"]="#2E8B57";
+colorNames["seashell"]="#FFF5EE"; colorNames["sienna"]="#A0522D";
+colorNames["skyblue"]="#87CEEB"; colorNames["slateblue"]="#6A5ACD";
+colorNames["slategray"]="#708090"; colorNames["snow"]="#FFFAFA";
+colorNames["springgreen"]="#00FF7F"; colorNames["steelblue"]="#4682B4";
+colorNames["tan"]="#D2B48C"; colorNames["thistle"]="#D8BFD8";
+colorNames["tomato"]="#FF6347"; colorNames["turquoise"]="#40E0D0";
+colorNames["violet"]="#EE82EE"; colorNames["wheat"]="#F5DEB3";
+colorNames["whitesmoke"]="#F5F5F5"; colorNames["yellowgreen"]="#9ACD32";
+var canvas_bgcolor = "";
+var canvas_width = 200;
+var canvas_height = 200;
+var canvas_noshade = 0;
+var canvas_nofill = 0;
+var canvas_noshadow = 0;
+var canvas_htmltext = 0;
+var canvas_imgtext = 0;
+var canvas_notext = 0;
+// canvas chart extension
+
+var s5NotesWindow;
+var s5NotesWindowLoaded = false;
+var previousSlide = 0;
+var presentationStart = new Date();
+var slideStart = new Date();
+
+var countdown = {
+ timer: 0,
+ state: 'pause',
+ start: new Date(),
+ end: 0,
+ remaining: 0
+};
+
+var isIE = navigator.appName == 'Microsoft Internet Explorer' && navigator.userAgent.indexOf('Opera') < 1 ? 1 : 0;
+if(isIE) var notIE7 = parseInt(navigator.appVersion) < 7 ? 1 : 0;
+var isOp = navigator.userAgent.indexOf('Opera') > -1 ? 1 : 0;
+var isGe = navigator.userAgent.indexOf('Gecko') > -1 && navigator.userAgent.indexOf('Safari') < 1 ? 1 : 0;
+var isS2 = navigator.userAgent.indexOf('Safari') >= 2 ? 1 : 0;
+
+function hasClass(object, className) {
+ if (!object.className) return false;
+ return (object.className.search('(^|\\s)' + className + '(\\s|$)') != -1);
+}
+
+function hasValue(object, value) {
+ if (!object) return false;
+ return (object.search('(^|\\s)' + value + '(\\s|$)') != -1);
+}
+
+function removeClass(object,className) {
+ if (!object || !hasClass(object,className)) return;
+ object.className = object.className.replace(new RegExp('(^|\\s)'+className+'(\\s|$)'), RegExp.$1+RegExp.$2);
+}
+
+function addClass(object,className) {
+ if (!object || hasClass(object, className)) return;
+ if (object.className) {
+ object.className += ' '+className;
+ } else {
+ object.className = className;
+ }
+}
+
+function changeClass(object,className) {
+ if (!object) return;
+ object.firstChild.className = className;
+}
+
+function GetElementsWithClassName(elementName,className) {
+ var allElements = document.getElementsByTagName(elementName);
+ var elemColl = new Array();
+ for (var i = 0; i< allElements.length; i++) {
+ if (hasClass(allElements[i], className)) {
+ elemColl[elemColl.length] = allElements[i];
+ }
+ }
+ return elemColl;
+}
+
+function isParentOrSelf(element, id) {
+ if (element == null || element.nodeName=='BODY') return false;
+ else if (element.id == id) return true;
+ else return isParentOrSelf(element.parentNode, id);
+}
+
+function nodeValue(node) {
+ var result = "";
+ if (node.nodeType == 1) {
+ var children = node.childNodes;
+ for (var i = 0; i < children.length; ++i) {
+ result += nodeValue(children[i]);
+ }
+ }
+ else if (node.nodeType == 3) {
+ result = node.nodeValue;
+ }
+ return(result);
+}
+
+function slideLabel() {
+ var slideColl = GetElementsWithClassName('*','slide');
+ var list = document.getElementById('jumplist');
+ smax = slideColl.length;
+ for (var n = 0; n < smax; n++) {
+ var obj = slideColl[n];
+ var did = 'slide' + n.toString();
+ obj.setAttribute('id',did);
+ var otext = '';
+ var menu = obj.firstChild;
+ if (!menu) continue; // to cope with empty slides
+ while (menu && menu.nodeType == 3) {
+ menu = menu.nextSibling;
+ }
+ if (!menu) continue; // to cope with slides with only text nodes
+ var menunodes = menu.childNodes;
+ for (var o = 0; o < menunodes.length; o++) {
+ otext += nodeValue(menunodes[o]);
+ }
+ list.options[list.length] = new Option(n + ' : ' + otext, n);
+ }
+}
+
+function currentSlide() {
+ var cs, at, fd, ss;
+ if (document.getElementById) {
+ cs = document.getElementById('currentSlide');
+ } else {
+ cs = document.currentSlide;
+ }
+ fd = fadeModus?"F":"&ndash;";
+ ss = audioSupport?"S":"&ndash;";
+ at = (autoMatic?(playPause?"||":(playLoop?"&gt;0":"&gt;|")):"&ndash;&ndash;");
+ cs.innerHTML = '<div id="plink" nowrap="nowrap">' +
+ '<span id="csFade">[' + fd + ss + ']<\/span>&nbsp;' +
+ '<span id="csHere"><strong>' + snum + '<\/strong><\/span>' +
+ '<span id="csSep">\/<\/span>' +
+ '<span id="csTotal">' + (smax-1) + '<\/span>&nbsp;' +
+ '<span id="csAuto">[' + at + ']<\/span>' +
+ '<\/div>';
+
+ if (snum == 0) {
+ cs.style.visibility = 'hidden';
+ } else {
+ cs.style.visibility = 'visible';
+ }
+}
+
+function go(step) {
+ if (document.getElementById('slideProj').disabled || step == 0) return;
+ jl = document.getElementById('jumplist');
+ cid = 'slide' + snum;
+ var ce = document.getElementById(cid);
+ if (incrementals[snum].length > 0) {
+ for (var i = 0; i < incrementals[snum].length; i++) {
+ removeClass(incrementals[snum][i], 'current');
+ removeClass(incrementals[snum][i], 'incremental');
+ }
+ }
+ if (step != 'j') {
+ snum += step;
+ lmax = smax - 1;
+ if (snum > lmax) snum = lmax;
+ if (snum < 0) snum = 0;
+ }else {
+ snum = parseInt(jl.value);
+ }
+ nid = 'slide' + snum;
+ var ne = document.getElementById(nid);
+ if (!ne) {
+ ne = document.getElementById('slide0');
+ nid = 'slide0';
+ snum = 0;
+ }
+ if (step < 0) {
+ incpos = incrementals[snum].length
+ }else {
+ incpos = 0;
+ }
+ if (incrementals[snum].length > 0 && incpos == 0) {
+ for (var i = 0; i < incrementals[snum].length; i++) {
+ if (hasClass(incrementals[snum][i], 'current')) {
+ incpos = i + 1;
+ }else {
+ addClass(incrementals[snum][i], 'incremental');
+ }
+ }
+ }
+ if (incrementals[snum].length > 0 && incpos > 0) {
+ addClass(incrementals[snum][incpos - 1], 'current');
+ }
+ var guru = document.getElementById('guru');
+ if(guru && snum==0) {
+ guru.style.visibility = 'visible';
+ }else if(guru && snum>0) {
+ guru.style.visibility = 'hidden';
+ }
+ if(tranSitions && s5mode && fadeModus) {
+ if(curSoundID != getSoundID(nid)) {
+ if(curSoundID == bgSoundItem && !sound[getSoundID(nid)]) {
+ }else {fadeoutSound(curSoundID,true); } // audio support
+ }
+ changeOpac(0,nid);
+ changeOpac(100,cid);
+ ce.style.visibility = 'visible';
+ shiftOpacity(cid,fadeDuration);
+ window.setTimeout("changeSlides()",fadeDuration);
+ }else {
+ if(curSoundID != getSoundID(nid)) {
+ if(curSoundID == bgSoundItem && !sound[getSoundID(nid)]) {
+ }else {stopSound(curSoundID); } // audio support
+ }
+ ce.style.visibility = 'hidden';
+ if (isOp) location.hash = nid;
+ ne.style.visibility = 'visible';
+ finishSlides();
+ }
+}
+
+function changeSlides() {
+ if(nid != cid) changeOpac(100,cid);
+ document.getElementById(cid).style.visibility = 'hidden';
+ document.getElementById(nid).style.visibility = 'visible';
+ if (isOp) location.hash = nid;
+ shiftOpacity(nid,fadeDuration);
+ window.setTimeout("finishSlides()",fadeDuration);
+}
+
+function finishSlides() {
+ jl.selectedIndex = snum;
+ currentSlide();
+ loadNote();
+ permaLink();
+ number = undef;
+ if(sound[getSoundID(nid)]) {
+ playSound(nid); // audio support
+ }else if(sound[bgSoundItem] && curSoundID != bgSoundItem) {
+ playSound(bgSoundItem); // audio support
+ }
+}
+
+function goTo(target) {
+ if (target >= smax || target == snum) return;
+ go(target - snum);
+}
+
+function subgo(step) {
+ if (step > 0) {
+ removeClass(incrementals[snum][incpos - 1],'current');
+ removeClass(incrementals[snum][incpos], 'incremental');
+ if(tranSitions && s5mode && fadeModus) {
+ if(!incrementals[snum][incpos].id) {
+ var tmp = new Date(); tid = "inc" + String(tmp.getTime());
+ incrementals[snum][incpos].id = tid;
+ }else {
+ tid = incrementals[snum][incpos].id;
+ }
+ if(typeof(incrementals[snum][incpos].src) != "undefined" || incrementals[snum][incpos].getContext) {
+ changeOpac(0,tid);
+ addClass(incrementals[snum][incpos],'current');
+ shiftOpacity(tid,incrDuration);
+ setTimeout("nextInc()",incrDuration);
+ }else {
+ addClass(incrementals[snum][incpos],'current');
+ nextInc();
+ }
+ }else {
+ addClass(incrementals[snum][incpos],'current');
+ nextInc();
+ }
+ } else {
+ incpos--;
+ removeClass(incrementals[snum][incpos],'current');
+ addClass(incrementals[snum][incpos], 'incremental');
+ addClass(incrementals[snum][incpos - 1],'current');
+ loadNote();
+ }
+}
+
+function nextInc() {
+ incpos++;
+ loadNote();
+}
+
+function toggle() {
+ var slideColl = GetElementsWithClassName('*','slide');
+ var slides = document.getElementById('slideProj');
+ var outline = document.getElementById('outlineStyle');
+ var guru = document.getElementById('guru');
+ if (!slides.disabled) {
+ stopPlay();
+ if(audioSupport && !swfUnloaded) stopAllSounds();
+ slides.disabled = true;
+ outline.disabled = false;
+ s5mode = false;
+ fontSize(1,'em');
+ for (var n = 0; n < smax; n++) {
+ var slide = slideColl[n];
+ slide.style.visibility = 'visible';
+ }
+ if(guru) guru.style.visibility = 'hidden';
+ } else {
+ slides.disabled = false;
+ outline.disabled = true;
+ s5mode = true;
+ fontScale();
+ for (var n = 0; n < smax; n++) {
+ var slide = slideColl[n];
+ slide.style.visibility = 'hidden';
+ }
+ slideColl[snum].style.visibility = 'visible';
+ if(guru && snum==0) guru.style.visibility = 'visible';
+ }
+}
+
+function showHide(action) {
+ var obj = GetElementsWithClassName('*','hideme')[0];
+ switch (action) {
+ case 's':
+ obj.style.visibility = 'visible';
+ break;
+ case 'h':
+ obj.style.visibility = 'hidden';
+ break;
+ case 'k':
+ if (obj.style.visibility != 'visible') {
+ obj.style.visibility = 'visible';
+ } else {
+ obj.style.visibility = 'hidden';
+ }
+ break;
+ }
+}
+
+function keys(key) {
+ if (!key) {
+ key = event;
+ key.which = key.keyCode;
+ }
+ if (helpmode) {
+ dumpHelpReq();
+ return;
+ }
+ if (key.which == 84 && !isOp) {
+ toggle();
+ return;
+ }
+ if (s5mode) {
+ if (autoMatic) {
+ switch (key.which) {
+ case 70: // f/ading on/off
+ switchFade();
+ break;
+ case 83: // s/ound on/off
+ toggleSounds();
+ break;
+ case 67: // c
+ showHide('k');
+ break;
+ case 65: // a/utoplay on/off
+ stopPlay();
+ break;
+ case 76: // l/ooping on/off
+ switchLoop();
+ break;
+ case 80: // p/ause
+ case 32: // spacebar
+ pausePlay();
+ break;
+ }
+ }else {
+ switch (key.which) {
+ case 8: // backspace = HELP
+ createHelpReq();
+ break;
+ case 10: // return
+ case 13: // enter
+ if (window.event && isParentOrSelf(window.event.srcElement, 'controls')) return;
+ if (key.target && isParentOrSelf(key.target, 'controls')) return;
+ if(number != undef) {
+ goTo(number);
+ break;
+ }
+ case 32: // spacebar
+ case 34: // page down
+ case 39: // rightkey
+ case 40: // downkey
+ if(number != undef) {
+ go(number);
+ } else if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ } else {
+ subgo(1);
+ }
+ break;
+ case 33: // page up
+ case 37: // leftkey
+ case 38: // upkey
+ if(number != undef) {
+ go(-1 * number);
+ } else if (!incrementals[snum] || incpos <= 0) {
+ go(-1);
+ } else {
+ subgo(-1);
+ }
+ break;
+ case 65: // a/utoplay
+ startPlay();
+ break;
+ case 72: // h
+ case 36: // home
+ goTo(0);
+ break;
+ case 69: // e
+ case 35: // end
+ goTo(smax-1);
+ break;
+ case 70: // f/ade transitions on/off
+ switchFade();
+ break;
+ case 76: // l/ooping on/off
+ switchLoop();
+ break;
+ case 83: // s/ound support on/off
+ toggleSounds();
+ break;
+ case 27: // escape
+ case 81: // q
+ if(!isOp) byby();
+ break;
+ case 67: // c
+ showHide('k');
+ break;
+ case 78: // n
+ createNotesWindow();
+ break;
+ }
+ if (key.which < 48 || key.which > 57) {
+ number = undef;
+ } else {
+ if (window.event && isParentOrSelf(window.event.srcElement, 'controls')) return;
+ if (key.target && isParentOrSelf(key.target, 'controls')) return;
+ number = (((number != undef) ? number : 0) * 10) + (key.which - 48);
+ }
+ }
+ }
+ return false;
+}
+
+function clicker(e) {
+ number = undef;
+ var target;
+ if (window.event) {
+ target = window.event.srcElement;
+ e = window.event;
+ } else {
+ target = e.target;
+ }
+ if (target.href != null || hasValue(target.rel, 'external') || isParentOrSelf(target, 'controls') || isParentOrSelf(target,'embed') || isParentOrSelf(target,'object')) return true;
+ if (!helpmode) {
+ if (!e.which || e.which == 1) {
+ if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ } else {
+ subgo(1);
+ }
+ }
+ } else {
+ dumpHelpReq();
+ }
+}
+
+function findSlide(hash) {
+ var target = null;
+ var slides = GetElementsWithClassName('*','slide');
+ for (var i = 0; i < slides.length; i++) {
+ var targetSlide = slides[i];
+ if ( (targetSlide.name && targetSlide.name == hash)
+ || (targetSlide.id && targetSlide.id == hash) ) {
+ target = targetSlide;
+ break;
+ }
+ }
+ while(target != null && target.nodeName != 'BODY') {
+ if (hasClass(target, 'slide')) {
+ return parseInt(target.id.slice(5));
+ }
+ target = target.parentNode;
+ }
+ return null;
+}
+
+function slideJump() {
+ if (window.location.hash == null) return;
+ var sregex = /^#slide(\d+)$/;
+ var matches = sregex.exec(window.location.hash);
+ var dest = null;
+ if (matches != null) {
+ dest = parseInt(matches[1]);
+ } else {
+ dest = findSlide(window.location.hash.slice(1));
+ }
+ if (dest != null)
+ go(dest - snum);
+}
+
+function fixLinks() {
+ var thisUri = window.location.href;
+ thisUri = thisUri.slice(0, thisUri.length - window.location.hash.length);
+ var aelements = document.getElementsByTagName('A');
+ for (var i = 0; i < aelements.length; i++) {
+ var a = aelements[i].href;
+ var slideID = a.match('\#slide[0-9]{1,2}');
+ if ((slideID) && (slideID[0].slice(0,1) == '#')) {
+ var dest = findSlide(slideID[0].slice(1));
+ if (dest != null) {
+ if (aelements[i].addEventListener) {
+ aelements[i].addEventListener("click", new Function("e",
+ "if (document.getElementById('slideProj').disabled) return;" +
+ "go("+dest+" - snum); " +
+ "if (e.preventDefault) e.preventDefault();"), true);
+ } else if (aelements[i].attachEvent) {
+ aelements[i].attachEvent("onclick", new Function("",
+ "if (document.getElementById('slideProj').disabled) return;" +
+ "go("+dest+" - snum); " +
+ "event.returnValue = false;"));
+ }
+ }
+ }
+ }
+}
+
+function externalLinks() {
+ if (!document.getElementsByTagName) return;
+ var anchors = document.getElementsByTagName('a');
+ for (var i=0; i<anchors.length; i++) {
+ var anchor = anchors[i];
+ if (anchor.getAttribute('href') && hasValue(anchor.rel, 'external')) {
+ anchor.target = '_blank';
+ addClass(anchor,'external');
+ }
+ }
+}
+
+function permaLink() {
+ document.getElementById('plink').href = window.location.pathname + '#slide' + snum;
+}
+
+function createControls() {
+ var controlsDiv = document.getElementById("controls");
+ if (!controlsDiv) return;
+ var hider = ' onmouseover="showHide(\'s\');" onmouseout="showHide(\'h\');"';
+ var hideDiv, hideList = '';
+ if (controlVis == 'hidden') {
+ hideDiv = hider;
+ } else {
+ hideList = hider;
+ }
+ if(isOp) {
+ var str = '';
+ }else {
+ var str = '<a accesskey="t" id="sheet" title="toggle CSS" href="javascript:toggle();">&plusmn;<\/a>';
+ }
+ if(isIE) {
+ var tmp = "move around&xA0;until the color&xA0;change to red!";
+ }else if(isS2) {
+ var tmp = "move around\r\nuntil the color\r\nchange to red!";
+ }else {
+ var tmp = "move around until color change to red!";
+ }
+ if(isIE) {
+ controlsDiv.innerHTML = str +
+ '<form action="#" id="controlForm"' + hideDiv + '>' +
+ '<div id="navLinks" title="press [backspace] for keyboard help!"><ul>' +
+ '<li id="show-notes"><a href="javascript:createNotesWindow();" accesskey="n" title="show Notes"><\/a><\/li>' +
+ '<li id="toggle"><a href="javascript:toggle();" accesskey="t" title="toggle CSS"><\/a><\/li>' +
+ '<li id="zero"><a href="javascript:goTo(0);" accesskey="h" title="goto Start Slide"><\/a><\/li>' +
+ '<li id="prev"><a href="javascript:go(-1);" accesskey="y" title="previous Slide"><\/a><\/li>' +
+ '<li id="next"><a href="javascript:go(1);" accesskey="x" title="next Slide"><\/a><\/li>' +
+ '<li id="last"><a href="javascript:goTo(smax-1);" accesskey="e" title="goto Last Slide"><\/a><\/li>' +
+ '<li id="lst" style="vertical-align: middle; width: 160px;"><select style="cursor: auto; width: 160px; height: auto;" id="jumplist" title="select named Slide" onchange="go(\'j\');"><\/select><\/li>' +
+ '<li id="auto"><a class="isoff" href="javascript:togglePlay();" accesskey="a" title="Auto Play"><\/a><\/li>' +
+ '<li id="pause"><a class="isoff" href="javascript:pausePlay();" accesskey="p" title="Pause"><\/a><\/li>' +
+ '<li id="loop"><a class="isoff" href="javascript:switchLoop();" accesskey="l" title="Loop"><\/a><\/li>' +
+ '<li id="del" style="vertical-align: middle; width: 52px;"><select style="cursor: auto; width: 52px; height: auto;" id="delaylist" title="select Delay" onchange="setDelay();"><option value="90">90<\/option><option value="75">75<\/option><option value="60">60<\/option><option value="45">45<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="15">15<\/option><option value="10">10<\/option><option value="5">5<\/option><\/select><\/li>' +
+ '<\/ul><\/div><\/form>';
+ }else {
+ controlsDiv.innerHTML = str +
+ '<form action="#" id="controlForm"' + hideDiv + '>' +
+ '<div id="navLinks" title="press [backspace] or double click this area for keyboard help!" ondblclick="createHelpReq();"><ul>' +
+ '<li id="exit"><a href="javascript:byby();" accesskey="q" title="exit Show"><\/a><\/li>' +
+ '<li id="show-notes"><a href="javascript:createNotesWindow();" accesskey="n" title="show Notes"><\/a><\/li>' +
+ '<li id="toggle"><a href="javascript:toggle();" accesskey="t" title="toggle CSS"><\/a><\/li>' +
+ '<li class="vr"><\/li>' +
+ '<li id="zero"><a href="javascript:goTo(0);" accesskey="h" title="goto Start Slide"><\/a><\/li>' +
+ '<li id="prev"><a href="javascript:go(-1);" accesskey="y" title="previous Slide"><\/a><\/li>' +
+ '<li id="next"><a href="javascript:go(1);" accesskey="x" title="next Slide"><\/a><\/li>' +
+ '<li id="last"><a href="javascript:goTo(smax-1);" accesskey="e" title="goto Last Slide"><\/a><\/li>' +
+ '<li id="lst"><a id="list" style="cursor:wait;" title="' + tmp + '"><\/a><select id="jumplist" title="select named Slide" onchange="go(\'j\');"><\/select><\/li>' +
+ '<li id="fadeLinks" class="vr"><\/li>' +
+ '<li id="fade"><a class="isoff" href="javascript:switchFade();" accesskey="f" title="Transions"><\/a><\/li>' +
+ '<li id="audioLinks" class="vr"><\/li>' +
+ '<li id="audio"><a class="isoff" href="javascript:toggleSounds();" accesskey="s" title="Sounds" ><\/a><\/li>' +
+ '<li id="vol"><a id="volume" style="cursor:wait;" title="' + tmp + '"><\/a><select id="volumelist" title="select Volume" onchange="setVolume();"><option value="100">100<\/option><option value="90">90<\/option><option value="80">80<\/option><option value="70">70<\/option><option value="60">60<\/option><option value="50">50<\/option><option value="40">40<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="10">10<\/option><option value="0">0<\/option><\/select><\/li>' +
+ '<li id="autoLinks" class="vr"><\/li>' +
+ '<li id="auto"><a class="isoff" href="javascript:togglePlay();" accesskey="a" title="Auto Play"><\/a><\/li>' +
+ '<li id="pause"><a class="isoff" href="javascript:pausePlay();" accesskey="p" title="Pause"><\/a><\/li>' +
+ '<li id="loop"><a class="isoff" href="javascript:switchLoop();" accesskey="l" title="Loop"><\/a><\/li>' +
+ '<li id="del"><a id="delay" style="cursor:wait;" title="' + tmp + '"><\/a><select id="delaylist" title="select Delay" onchange="setDelay();"><option value="90">90<\/option><option value="75">75<\/option><option value="60">60<\/option><option value="45">45<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="15">15<\/option><option value="10">10<\/option><option value="5">5<\/option><\/select><\/li>' +
+ '<\/ul>' +
+ '<a href="http://s5.netzgesta.de" target="_blank" title="S5 Reloaded"><img style="position: absolute; right: 0; bottom: 0;" src="data:image/png;charset=utf-8;base64,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" width="20" height="32" alt="S5" \/><\/a>' +
+ '<\/div><\/form>';
+ }
+ if (controlVis == 'hidden') {
+ var hidden = document.getElementById('navLinks');
+ } else {
+ var hidden = document.getElementById('jumplist');
+ }
+ addClass(hidden,'hideme');
+}
+
+function fontScale() { // causes layout problems in FireFox that get fixed if browser's Reload is used; same may be true of other Gecko-based browsers
+ if (!s5mode && !isOp) return false;
+ var hScreen = screen.width; var vScreen = screen.height;
+ var vWindow = window.outerHeight; var hWindow = window.outerWidth;
+ if (isOp && s5mode && defaultView=='slideshow' && ((hScreen != hWindow) || (vScreen != vWindow))) {
+ toggle();
+ return false;
+ }
+ if (isOp && !s5mode && ((hScreen != hWindow) || (vScreen != vWindow))) return false;
+ if (isOp && !s5mode && (hScreen == hWindow) && (vScreen == vWindow)) toggle();
+ var vScale = 48; // both yield 16 (the usual browser default) at 1024x768
+ var hScale = 64; // perhaps should auto-calculate based on theme's declared value?
+ if (window.innerHeight) {
+ var vSize = window.innerHeight;
+ var hSize = window.innerWidth;
+ } else if (document.documentElement.clientHeight) {
+ var vSize = document.documentElement.clientHeight;
+ var hSize = document.documentElement.clientWidth;
+ } else if (document.body.clientHeight) {
+ var vSize = document.body.clientHeight;
+ var hSize = document.body.clientWidth;
+ } else {
+ var vSize = 700; // assuming 1024x768, minus chrome and such equals 8:5
+ var hSize = 1024; // these do not account for kiosk mode or Opera Show
+ }
+ var newSize = Math.min(Math.round(vSize/vScale),Math.round(hSize/hScale));
+ extendImgSizes(newSize); // scalable images extension
+ extendCanSizes(newSize); // scalable canvas extension
+ extendObjSizes(newSize); // scalable object extension
+ fontSize(newSize,"px");
+ if(!isS2 || firstTime==0) {
+ generateCanvas(); // dynamic canvas extension
+ }
+ if (isGe) { // hack to counter incremental reflow bugs
+ var obj = document.getElementsByTagName('body')[0];
+ obj.style.visibility = 'hidden';
+ obj.style.display = 'none';
+ obj.style.display = 'block';
+ obj.style.visibility = 'visible';
+ changeOpac(100,'slide' + snum);
+ shiftOpacity('slide' + snum,10);
+ window.setTimeout("fixReflow()",10);
+ }else {
+ setListPos(); // invisible select extension
+ }
+}
+
+function fixReflow() {
+ shiftOpacity('slide' + snum,10);
+ window.setTimeout("finishReflow()",10);
+}
+function finishReflow() {
+ setListPos(); // invisible select extension
+}
+
+function fontSize(val,fmt) {
+ var value = val + fmt;
+ if (!(s5ss = document.getElementById('s5ss'))) {
+ if (!document.createStyleSheet) {
+ document.getElementsByTagName('head')[0].appendChild(s5ss = document.createElement('style'));
+ s5ss.setAttribute('media','screen, projection');
+ s5ss.setAttribute('id','s5ss');
+ } else {
+ document.createStyleSheet();
+ document.s5ss = document.styleSheets[document.styleSheets.length - 1];
+ }
+ }
+ if (!(document.s5ss && document.s5ss.addRule)) {
+ while (s5ss.lastChild) s5ss.removeChild(s5ss.lastChild);
+ s5ss.appendChild(document.createTextNode('html {font-size: ' + value + ' !important;}'));
+ } else {
+ document.s5ss.addRule('html','font-size: ' + value + ' !important;');
+ }
+}
+
+function windowChange() {
+ fontScale();
+}
+
+function notOperaFix() {
+ slideCSS = document.getElementById('slideProj').href;
+ var slides = document.getElementById('slideProj');
+ var outline = document.getElementById('outlineStyle');
+ slides.setAttribute('media','screen');
+ outline.disabled = true;
+ if (isGe) {
+ slides.setAttribute('href','null'); // Gecko fix
+ slides.setAttribute('href',slideCSS); // Gecko fix
+ }
+ if ((isIE && notIE7) && document.styleSheets && document.styleSheets[0]) {
+ document.styleSheets[0].addRule('img', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ document.styleSheets[0].addRule('div', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ document.styleSheets[0].addRule('.slide', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ }
+}
+
+function getIncrementals(obj) {
+ var incrementals = new Array();
+ if (!obj)
+ return incrementals;
+ var children = obj.childNodes;
+ for (var i = 0; i < children.length; i++) {
+ var child = children[i];
+ if (hasClass(child, 'incremental')) {
+ if (child.nodeName == 'OL' || child.nodeName == 'UL') {
+ removeClass(child, 'incremental');
+ for (var j = 0; j < child.childNodes.length; j++) {
+ if (child.childNodes[j].nodeType == 1) {
+ addClass(child.childNodes[j], 'incremental');
+ }
+ }
+ } else {
+ incrementals[incrementals.length] = child;
+ removeClass(child,'incremental');
+ }
+ }
+ if (hasClass(child, 'show-first')) {
+ if (child.nodeName == 'OL' || child.nodeName == 'UL') {
+ removeClass(child, 'show-first');
+ if (child.childNodes[isGe].nodeType == 1) {
+ removeClass(child.childNodes[isGe], 'incremental');
+ }
+ } else {
+ incrementals[incrementals.length] = child;
+ }
+ }
+ incrementals = incrementals.concat(getIncrementals(child));
+ }
+ return incrementals;
+}
+
+function createIncrementals() {
+ var incrementals = new Array();
+ for (var i = 0; i < smax; i++) {
+ incrementals[i] = getIncrementals(document.getElementById('slide'+i));
+ }
+ return incrementals;
+}
+
+function trap(e) {
+ if (!e) {
+ e = event;
+ e.which = e.keyCode;
+ }
+ try {
+ modifierKey = e.ctrlKey || e.altKey || e.metaKey;
+ }
+ catch(e) {
+ modifierKey = false;
+ }
+ return modifierKey || e.which == 0;
+}
+
+// notes extension
+function noteLabel() { // Gives notes id's to match parent slides
+ var notes = GetElementsWithClassName('div','notes');
+ for (var i = 0; i < notes.length; i++) {
+ var note = notes[i];
+ var id = 'note' + note.parentNode.id.substring(5);
+ note.setAttribute('id',id);
+ }
+ resetElapsedSlide();
+ resetRemainingTime();
+ window.setInterval('updateElaspedTime()', 1000);
+}
+
+function createNotesWindow() { // creates a window for our notes
+ if (!s5NotesWindow || s5NotesWindow.closed) { // Create the window if it doesn't exist
+ s5NotesWindowLoaded = false;
+ // Note: Safari has a tendency to ignore window options preferring to default to the settings of the parent window, grr.
+ s5NotesWindow = window.open(s5Path+'ui/s5-notes.html', 's5NotesWindow', 'top=0,left=0');
+ }
+ if (s5NotesWindowLoaded) { // Load the current note if the Note HTML has loaded
+ loadNote();
+ } else { // Keep trying...
+ window.setTimeout('createNotesWindow()', 50);
+ }
+}
+
+function loadNote() {
+// Loads a note into the note window
+ var notes = nextNotes = '<em class="disclaimer">There are no notes for this slide.</em>';
+ if (document.getElementById('note' + snum)) {
+ notes = document.getElementById('note' + snum).innerHTML;
+ }
+ if (document.getElementById('note' + (snum + 1))) {
+ nextNotes = document.getElementById('note' + (snum + 1)).innerHTML;
+ }
+
+ var jl = document.getElementById('jumplist');
+ var slideTitle = jl.options[jl.selectedIndex].text.replace(/^\d+\s+:\s+/, '') + ((jl.selectedIndex) ? ' (' + jl.selectedIndex + '/' + (smax - 1) + ')' : '');
+ if (incrementals[snum].length > 0) {
+ slideTitle += ' <small>[' + incpos + '/' + incrementals[snum].length + ']</small>';
+ }
+ if (jl.selectedIndex < smax - 1) {
+ var nextTitle = jl.options[jl.selectedIndex + 1].text.replace(/^\d+\s+:\s+/, '') + ((jl.selectedIndex + 1) ? ' (' + (jl.selectedIndex + 1) + '/' + (smax - 1) + ')' : '');
+ } else {
+ var nextTitle = '[end of slide show]';
+ }
+
+ if (s5NotesWindow && !s5NotesWindow.closed && s5NotesWindow.document) {
+ s5NotesWindow.document.getElementById('slide').innerHTML = slideTitle;
+ s5NotesWindow.document.getElementById('notes').innerHTML = notes;
+ s5NotesWindow.document.getElementById('next').innerHTML = nextTitle;
+ s5NotesWindow.document.getElementById('nextnotes').innerHTML = nextNotes;
+ }
+ resetElapsedSlide();
+}
+
+function minimizeTimer(id) {
+ var obj = s5NotesWindow.document.getElementById(id);
+ if (hasClass(obj,'collapsed')) {
+ removeClass(obj,'collapsed');
+ } else {
+ addClass(obj,'collapsed');
+ }
+}
+
+function resetElapsedTime() {
+ presentationStart = new Date();
+ slideStart = new Date();
+ updateElaspedTime();
+}
+
+function resetElapsedSlide() {
+ if (snum != previousSlide) {
+ slideStart = new Date();
+ previousSlide = snum;
+ updateElaspedTime();
+ }
+}
+
+function updateElaspedTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var now = new Date();
+ var ep = s5NotesWindow.document.getElementById('elapsed-presentation');
+ var es = s5NotesWindow.document.getElementById('elapsed-slide');
+ ep.innerHTML = formatTime(now.valueOf() - presentationStart.valueOf());
+ es.innerHTML = formatTime(now.valueOf() - slideStart.valueOf());
+}
+
+function resetRemainingTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var startField = s5NotesWindow.document.getElementById('startFrom');
+ startFrom = readTime(startField.value);
+ countdown.remaining = startFrom * 60000; // convert to msecs
+ countdown.start = new Date().valueOf();
+ countdown.end = countdown.start + countdown.remaining;
+ var tl = s5NotesWindow.document.getElementById('timeLeft');
+ var timeLeft = formatTime(countdown.remaining);
+ tl.innerHTML = timeLeft;
+}
+
+function updateRemainingTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var tl = s5NotesWindow.document.getElementById('timeLeft');
+ var now = new Date();
+ if (countdown.state == 'run') {
+ countdown.remaining = countdown.end - now;
+ }
+ tl.style.color = '';
+ tl.style.backgroundColor = '';
+ if (countdown.remaining >= 0) {
+ var timeLeft = formatTime(countdown.remaining);
+ removeClass(tl,'overtime');
+ if (countdown.remaining < 300000) {
+ tl.style.color = 'rgb(' + (255-Math.round(countdown.remaining/2000)) + ',0,0)';
+ tl.style.backgroundColor = 'rgb(255,255,' + (Math.round(countdown.remaining/2000)) + ')';
+ }
+ } else {
+ var timeLeft = '-' + formatTime(-countdown.remaining);
+ addClass(tl,'overtime');
+ }
+ tl.innerHTML = timeLeft;
+}
+
+function toggleRemainingTime() {
+ if (countdown.state == 'pause') countdown.state = 'run'; else countdown.state = 'pause';
+ if (countdown.state == 'pause') {
+ window.clearInterval(countdown.timer);
+ }
+ if (countdown.state == 'run') {
+ countdown.start = new Date().valueOf();
+ countdown.end = countdown.start + countdown.remaining;
+ countdown.timer = window.setInterval('updateRemainingTime()', 1000);
+ }
+}
+
+function alterRemainingTime(amt) {
+ var change = amt * 60000; // convert to msecs
+ countdown.end += change;
+ countdown.remaining += change;
+ updateRemainingTime();
+}
+
+function formatTime(msecs) {
+ var time = new Date(msecs);
+
+ var hrs = time.getUTCHours() + ((time.getUTCDate() -1) * 24); // I doubt anyone will spend more than 24 hours on a presentation or single slide but just in case...
+ hrs = (hrs < 10) ? '0'+hrs : hrs;
+ if (hrs == 'NaN' || isNaN(hrs)) hrs = '--';
+
+ var min = time.getUTCMinutes();
+ min = (min < 10) ? '0'+min : min;
+ if (min == 'NaN' || isNaN(min)) min = '--';
+
+ var sec = time.getUTCSeconds();
+ sec = (sec < 10) ? '0'+sec : sec;
+ if (sec == 'NaN' || isNaN(sec)) sec = '--';
+
+ return hrs + ':' + min + ':' + sec;
+}
+
+function readTime(val) {
+ var sregex = /:/;
+ var matches = sregex.exec(val);
+ if (matches == null) {
+ return val;
+ } else {
+ var times = val.split(':');
+ var hours = parseInt(times[0]);
+ var mins = parseInt(times[1]);
+ var total = (hours * 60) + mins;
+ return total;
+ }
+}
+// notes extension
+
+// startup process
+function createSlideShow() {
+ defaultCheck();
+ if(!isIE) createDetector(); // (degrade IE) scalable images extension
+ if(opac!=0 || isIE) { // &&!isIE (degrade IE)
+ tranSitions = false;
+ fadeModus = false;
+ }
+ if(tranSitions && document.getElementById && document.createElement){
+ createProgress();
+ var nop=document.getElementById('StartupControl');
+ nop.onload = dumpProgress;
+ }else {
+ startup();
+ showAll();
+ setListPos(true); // invisible select extension
+ panelSetup();
+ audioSetup(); // audio extension
+ if(isS2 && firstTime>=1) {
+ generateCanvas(); // dynamic canvas extension
+ } firstTime = 0;
+ }
+}
+
+function defaultCheck() {
+ var allMetas = document.getElementsByTagName('meta');
+ for (var i = 0; i< allMetas.length; i++) {
+ if (allMetas[i].name == 'defaultView') {
+ defaultView = allMetas[i].content;
+ }
+ if (allMetas[i].name == 'controlVis') {
+ controlVis = allMetas[i].content;
+ }
+ if (allMetas[i].name == 'tranSitions') {
+ tranSitions = (allMetas[i].content == "true") ? true : false;
+ fadeModus = (tranSitions == true) ? true : false;
+ }
+ if (allMetas[i].name == 'fadeDuration') {
+ var tmp = parseInt(allMetas[i].content);
+ fadeDuration = Math.max(200,Math.min(tmp,2000));
+ }
+ if (allMetas[i].name == 'incrDuration') {
+ var tmp = parseInt(allMetas[i].content);
+ incrDuration = Math.max(50,Math.min(tmp,500));
+ }
+ if (allMetas[i].name == 'autoMatic') {
+ autoMatic = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'playLoop') {
+ playLoop = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'playDelay') {
+ var tmp = parseInt(allMetas[i].content);
+ playDelay = Math.max(5,Math.min(tmp,90))*1000;
+ playDelay = (fadeModus == true) ? (playDelay+(2*fadeDuration)) : playDelay;
+ }
+ if (allMetas[i].name == 'audioSupport') {
+ audioSupport = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'audioVolume') {
+ var tmp = parseInt(allMetas[i].content);
+ audioVolume = Math.max(0,Math.min(tmp,100));
+ }
+ if (allMetas[i].name == 'audioError') {
+ audioError = (allMetas[i].content == "true") ? true : false;
+ }
+ }
+}
+
+function createProgress() {
+ var obj = document.getElementsByTagName("body")[0].firstChild;
+ var pg = document.createElement('div');
+ pg.id = "StartupProgress";
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=100)";
+ }else {
+ pg.style.opacity = 1.0;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(255, 255, 255)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/progress.gif)";
+ document.getElementsByTagName("body")[0].insertBefore(pg,obj);
+
+ var im = document.createElement('img');
+ im.id = "StartupControl";
+ im.src = s5Path+"ui/graphic_support/blank.gif?" + new Date().valueOf();
+ document.getElementsByTagName("body")[0].appendChild(im);
+}
+
+function startup() {
+ createControls(); // hallvord
+ slideLabel();
+ incrementals = createIncrementals();
+ noteLabel(); // [SI:060104] must follow slideLabel()
+ loadNote();
+ fixLinks();
+ externalLinks();
+ fontScale();
+ if (!isOp) {
+ if(isIE) {
+ document.getElementById('lst').style.backgroundImage = 'none';
+ document.getElementById('del').style.backgroundImage = 'none';
+ }else {
+ document.getElementById('audio').style.backgroundImage = 'none';
+ }
+ notOperaFix();
+ }else if(isOp) {
+ var obj = document.getElementById('exit');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('exit').style.opacity = 0.5;
+ obj = document.getElementById('toggle');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('toggle').style.opacity = 0.5;
+ document.getElementById('jumplist').style.visibility = 'hidden';
+ document.getElementById('list').style.visibility = 'hidden';
+ document.getElementById('lst').style.opacity = 0.5;
+ obj = document.getElementById('audio');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('audio').style.opacity = 0.5;
+ document.getElementById('volumelist').style.visibility = 'hidden';
+ document.getElementById('volume').style.visibility = 'hidden';
+ document.getElementById('vol').style.opacity = 0.5;
+ document.getElementById('delaylist').style.visibility = 'hidden';
+ document.getElementById('delay').style.visibility = 'hidden';
+ document.getElementById('del').style.opacity = 0.5;
+ }
+ slideJump();
+ if (defaultView == 'outline') toggle();
+ document.onkeyup = keys;
+ document.onkeypress = trap;
+ document.onclick = clicker;
+}
+
+function preloadImgages() {
+ var temp = '';
+ var objects = document.getElementsByTagName('img');
+ for (var i=0; i < objects.length; i++) {
+ if(objects[i].src != '') {
+ temp = new Image();
+ temp.src = objects[i].src;
+ }
+ }
+}
+
+function showAll() {
+ var obj1 = GetElementsWithClassName('div','presentation')[0];
+ if(!obj1) var obj1 = GetElementsWithClassName('ol','presentation')[0];
+ var obj2 = GetElementsWithClassName('div','layout')[0];
+ if(!obj1){}else {obj1.style.display = 'block'};
+ if(!obj2){}else {obj2.style.display = 'block'};
+}
+
+function dumpProgress() {
+ document.body.removeChild(document.getElementById('StartupControl'));
+ startup();
+ preloadImgages();
+ showAll();
+ createSoundManagerScript();
+ shiftOpacity('StartupProgress',1000);
+ window.setTimeout("removeProgress()",1000);
+}
+
+function removeProgress() {
+ document.body.removeChild(document.getElementById('StartupProgress'));
+ setListPos(true); // invisible select extension
+ panelSetup();
+ audioSetup(); // audio extension
+ if(isS2 && firstTime>=1) {
+ generateCanvas(); // dynamic canvas extension
+ } firstTime = 0;
+}
+function panelSetup() {
+ if(playPause) {
+ var obj = document.getElementById('pause'); changeClass(obj,'ison');
+ }
+ if(playLoop) {
+ var obj = document.getElementById('loop'); changeClass(obj,'ison');
+ }
+ if(audioSupport && !isIE && !isOp) {
+ var obj = document.getElementById('audio'); changeClass(obj,'ison');
+ }
+ if(fadeModus && !isIE) {
+ var obj = document.getElementById('fade'); changeClass(obj,'ison');
+ }
+ if(autoMatic) {
+ var obj = document.getElementById('auto'); changeClass(obj,'ison');
+ startPlay();
+ }
+ if(audioVolume && !isIE && !isOp) {
+ var idx = 0;
+ if(audioVolume >= 95 && audioVolume <= 100) {idx = 0;}
+ else if(audioVolume >= 85 && audioVolume < 95) {idx = 1;}
+ else if(audioVolume >= 75 && audioVolume < 85) {idx = 2;}
+ else if(audioVolume >= 65 && audioVolume < 75) {idx = 3;}
+ else if(audioVolume >= 55 && audioVolume < 65) {idx = 4;}
+ else if(audioVolume >= 45 && audioVolume < 55) {idx = 5;}
+ else if(audioVolume >= 35 && audioVolume < 45) {idx = 6;}
+ else if(audioVolume >= 25 && audioVolume < 35) {idx = 7;}
+ else if(audioVolume >= 15 && audioVolume < 25) {idx = 8;}
+ else if(audioVolume >= 5 && audioVolume < 15) {idx = 9;}
+ else {idx = 10;}
+ document.getElementById('volumelist').selectedIndex = idx;
+ }
+ if(playDelay && !isOp) {
+ var idx = 0; var vol = parseInt(playDelay/1000);
+ if(vol >= 83 && vol <= 90) {idx = 0;}
+ else if(vol >= 68 && vol < 83) {idx = 1;}
+ else if(vol >= 53 && vol < 68) {idx = 2;}
+ else if(vol >= 38 && vol < 53) {idx = 3;}
+ else if(vol >= 25 && vol < 38) {idx = 4;}
+ else if(vol >= 18 && vol < 25) {idx = 5;}
+ else if(vol >= 13 && vol < 18) {idx = 6;}
+ else if(vol >= 8 && vol < 13) {idx = 7;}
+ else {idx = 8;}
+ document.getElementById('delaylist').selectedIndex = idx;
+ }
+}
+// startup process
+
+// shutdown process
+function byby() {
+ stopPlay();
+ if(tranSitions && fadeModus && s5mode && !isOp) {
+ fadeoutSound(curSoundID,true); // audio support
+ var pg = document.createElement('div');
+ pg.id = "GoodBy";
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=0)";
+ }else {
+ pg.style.opacity = 0.0;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(255, 255, 255)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/finish.gif)";
+ document.getElementsByTagName("body")[0].appendChild(pg);
+ shiftOpacity('GoodBy',1000);
+ window.setTimeout("history.back()",1000);
+ }else {
+ stopSound(curSoundID);
+ history.back();
+ }
+}
+// shutdown process
+
+// scalable images extension
+function createDetector() {
+ var em = document.createElement('div');
+ em.id='EMSizeControl'; em.style.position="absolute"; em.style.left="-999px";
+ em.style.width="1em"; em.style.height="1em"; em.style.opacity=0.0;
+ document.getElementsByTagName("body")[0].appendChild(em);
+ var nop=document.getElementById('EMSizeControl');
+ if(!nop||findPosX(nop)!=-999) {}else {
+ opac=document.getElementById('EMSizeControl').style.opacity;
+ empx=document.getElementById('EMSizeControl').offsetHeight;
+ document.body.removeChild(document.getElementById('EMSizeControl'));
+ var objects = document.getElementsByTagName('img');
+ var j = 0; var i = 0; var k = 0; var d; var obj;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ images[j] = objects[i];
+ ++j;
+ }
+ }
+ var objects = document.getElementsByTagName('canvas'); j = 0;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ canvas[objects[i].id] = objects[i];
+ if(j==0) {
+ if(objects[i].getContext) {
+ canvasSupport = true;
+ }
+ ++j;
+ }
+ }
+ }
+ if(canvasSupport!=true) {
+ for (d in canvas) {
+ try {
+ canvas[d].setAttribute("width",1);
+ canvas[d].setAttribute("height",1);
+ } catch (e) {
+ }
+ }
+ }
+ var objects = document.getElementsByTagName('table');
+ j = 0; k = 0; l = 0; var w; var h; var tmp; var cnt;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^piechart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ piecharts[j] = objects[i];
+ em = piecharts[j].getAttribute("summary");
+ if(em != "") em = "," + em;
+ piecharts[j].setAttribute("summary", w + "," + h + em);
+ ++j;
+ }
+ }
+ }
+ if(objects[i].className.match(/^barchart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ barcharts[k] = objects[i];
+ em = barcharts[k].getAttribute("summary");
+ if(em != "") em = "," + em;
+ barcharts[k].setAttribute("summary", w + "," + h + em);
+ ++k;
+ }
+ }
+ }
+ if(objects[i].className.match(/^linechart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ linecharts[l] = objects[i];
+ em = linecharts[l].getAttribute("summary");
+ if(em != "") em = "," + em;
+ linecharts[l].setAttribute("summary", w + "," + h + em);
+ ++l;
+ }
+ }
+ }
+ }
+ objects = document.getElementsByTagName('object'); j = 0; i = 0;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ medias[j] = objects[i]; ++j;
+ if(!isIE) {
+ if(objects[i].getAttributeNode("classid")) objects[i].removeAttributeNode(objects[i].getAttributeNode("classid"));
+ if(objects[i].getAttributeNode("codebase")) objects[i].removeAttributeNode(objects[i].getAttributeNode("codebase"));
+ }
+ }
+ }
+ }
+}
+function extendImgSizes(f) {
+ if(empx>0) {
+ var q = (f/empx); var w = 0; var h = 0;
+ for(var i=0; i < images.length; i++) {
+ w=images[i].getAttribute("width",0);
+ h=images[i].getAttribute("height",0);
+ if(w>0&&h>0) {
+ images[i].style.width=Math.floor(w*q)+"px";
+ images[i].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+}
+
+function extendCanSizes(f) {
+ if(empx>0 && canvasSupport) {
+ var q = (f/empx); var w = 0; var h = 0; var tmp = ""; var cnt; var obj;
+ for(var i=0; i < piecharts.length; i++) {
+ if(piecharts[i].getAttribute("summary")) {
+ tmp = piecharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = piecharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ for(var i=0; i < barcharts.length; i++) {
+ if(barcharts[i].getAttribute("summary")) {
+ tmp = barcharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = barcharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ for(var i=0; i < linecharts.length; i++) {
+ if(linecharts[i].getAttribute("summary")) {
+ tmp = linecharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = linecharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ }
+}
+function extendObjSizes(f) {
+ if(empx>0) {
+ var q = (f/empx); var w = 0; var h = 0;
+ for(var i=0; i < medias.length; i++) {
+ w=medias[i].getAttribute("width",0);
+ h=medias[i].getAttribute("height",0);
+ if(w>0&&h>0) {
+ medias[i].style.width=Math.floor(w*q)+"px";
+ medias[i].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+}
+function findPosX(obj) {
+ var posLeft = 0;
+ while (obj.offsetParent) {
+ posLeft += obj.offsetLeft;
+ obj = obj.offsetParent;
+ }
+ return posLeft;
+}
+function findPosY(obj) {
+ var posTop = 0;
+ while (obj.offsetParent) {
+ posTop += obj.offsetTop;
+ obj = obj.offsetParent;
+ }
+ return posTop;
+}
+// scalable images extension
+
+// canvas chart extension
+function deg2rad(degrees) {
+ return Math.PI *degrees/180;
+}
+function rad2deg(radians) {
+ return 180.0 *radians/Math.PI;
+}
+function circle_point_x(radians, diameter) {
+ var x = Math.cos(radians)*(diameter/2);
+ return x;
+}
+function circle_point_y(radians, diameter) {
+ var y = Math.sin(radians)*(diameter/2);
+ return y;
+}
+function roundTo(val,dig) {
+ var num = val;
+ if (val > 8191 && val < 10485) {
+ val = val-5000;
+ num = Math.round(val*Math.pow(10,dig))/Math.pow(10,dig);
+ num = num+5000;
+ } else {
+ num = Math.round(val*Math.pow(10,dig))/Math.pow(10,dig);
+ }
+ return num;
+}
+function searchColor(value) {
+ for (var dat in colorNames) {
+ if(dat==value) return colorNames[dat];
+ }
+ return false;
+}
+function scanColor(value) {
+ if(value.match(/^#[0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ var val1 = value.substr(1,1).toLowerCase();
+ var val2 = value.substr(2,1).toLowerCase();
+ var val3 = value.substr(3,1).toLowerCase();
+ value = '#' + val1 + val1 + val2 + val2 + val3 + val3;
+ }
+ if(!value.match(/^#[0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ var tmp = searchColor(value.toLowerCase());
+ if(!tmp) {}else{value = tmp;}
+ }
+ if(!value.match(/^#[0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ value = '#000000';
+ }
+ return value.toLowerCase();
+}
+function hex2rgb(val,trans) {
+ if(val.length==7) {
+ var tp1 = Math.max(0,Math.min(parseInt(val.substr(1,2),16),255));
+ var tp2 = Math.max(0,Math.min(parseInt(val.substr(3,2),16),255));
+ var tp3 = Math.max(0,Math.min(parseInt(val.substr(5,2),16),255));
+ return 'rgba(' + tp1 + ',' + tp2 + ',' + tp3 + ',' + trans + ')';
+ }
+}
+function trim(str) {
+ return (str.replace(/\s+$/,"").replace(/^\s+/,""));
+}
+function roundedRect(ctx,x,y,width,height,radius){
+ ctx.beginPath();
+ ctx.moveTo(x,y+radius);
+ ctx.lineTo(x,y+height-radius);
+ ctx.quadraticCurveTo(x,y+height,x+radius,y+height);
+ ctx.lineTo(x+width-radius,y+height);
+ ctx.quadraticCurveTo(x+width,y+height,x+width,y+height-radius);
+ ctx.lineTo(x+width,y+radius);
+ ctx.quadraticCurveTo(x+width,y,x+width-radius,y);
+ ctx.lineTo(x+radius,y);
+ ctx.quadraticCurveTo(x,y,x,y+radius);
+ ctx.closePath();
+}
+function drawString(ctx, text, fc, tx, ty) {
+ var xp = 0; var c = "";
+ ctx.beginPath();
+ for (var i = 0; i < text.length; i++) {
+ c = text[i];
+ ctx.drawImage(font, signs[c].sx, signs[c].sy, signs[c].sw, signs[c].sh, tx+xp, ty, signs[c].sw*fc, signs[c].sh*fc);
+ xp += (signs[c].sw*fc);
+ }
+ ctx.closePath();
+}
+function strokeString(ctx, txt, col, fh, tx, ty) {
+ var fw = fh*0.666666; var lw = fh*0.125;
+ var ls = lw/2; var cr = lw; var xp = 0;
+ ctx.lineCap = "round"; ctx.lineJoin = "round"
+ ctx.lineWidth = lw; ctx.strokeStyle = col;
+ for (var i = 0; i < txt.length; i++) {
+ strokeSymbol(ctx, txt[i], ls, tx+xp, ty, fw, fh);
+ xp += (txt[i]!="."?fw+cr:(fw/2)+cr);
+ }
+}
+function strokeSymbol(ctx, symbol, fc, cx, cy, cw, ch) {
+ ctx.beginPath();
+ switch (symbol) {
+ case "0":
+ ctx.moveTo(cx+fc,cy+(ch*0.333333));
+ ctx.arc(cx+(cw/2),cy+(cw/2),(cw/2)-fc,deg2rad(180),0, false);
+ ctx.arc(cx+(cw/2),(cy+ch)-(cw/2),(cw/2)-fc,0,deg2rad(180), false);
+ ctx.closePath();
+ break;
+ case "1":
+ ctx.moveTo(cx+(cw*0.1)+fc,cy+ch-fc);
+ ctx.lineTo(cx+cw-fc,cy+ch-fc);
+ ctx.moveTo(cx+(cw*0.666666),cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.666666),cy+fc);
+ ctx.lineTo(cx+(cw*0.25),cy+(ch*0.25));
+ break;
+ case "2":
+ ctx.moveTo(cx+cw-fc,cy+(ch*0.8));
+ ctx.lineTo(cx+cw-fc,cy+ch-fc);
+ ctx.lineTo(cx+fc,cy+ch-fc);
+ ctx.arc(cx+(cw/2),cy+(cw*0.425),(cw*0.425)-fc,deg2rad(45),deg2rad(-180), true);
+ break;
+ case "3":
+ ctx.moveTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.9)-fc,cy+fc);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-90),deg2rad(180), false);
+ break;
+ case "4":
+ ctx.moveTo(cx+(cw*0.75),cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.75),cy+fc);
+ ctx.moveTo(cx+cw-fc,cy+(ch*0.666666));
+ ctx.lineTo(cx+fc,cy+(ch*0.666666));
+ ctx.lineTo(cx+(cw*0.75),cy+fc);
+ ctx.moveTo(cx+cw-fc,cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.5),cy+ch-fc);
+ break;
+ case "5":
+ ctx.moveTo(cx+(cw*0.9)-fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+(ch*0.333333));
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-80),deg2rad(180), false);
+ break;
+ case "6":
+ ctx.moveTo(cx+fc,cy+ch-(cw*0.5)-fc);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-180),deg2rad(180), false);
+ ctx.bezierCurveTo(cx+fc,cy+fc,cx+fc,cy+fc,cx+(cw*0.9)-fc,cy+fc);
+ ctx.moveTo(cx+(cw*0.9)-fc,cy+fc);
+ break;
+ case "7":
+ ctx.moveTo(cx+(cw*0.5),cy+ch-fc);
+ ctx.lineTo(cx+cw-fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+(ch*0.25)-fc);
+ break;
+ case "8":
+ ctx.moveTo(cx+(cw*0.92)-fc,cy+(cw*0.59));
+ ctx.arc(cx+(cw/2),cy+(cw*0.45),(cw*0.45)-fc,deg2rad(25),deg2rad(-205), true);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-135),deg2rad(-45), true);
+ ctx.closePath();
+ ctx.moveTo(cx+(cw*0.79),cy+(ch*0.47));
+ ctx.lineTo(cx+(cw*0.21),cy+(ch*0.47));
+ break;
+ case "9":
+ ctx.moveTo(cx+cw-fc,cy+(cw*0.5));
+ ctx.arc(cx+(cw/2),cy+(cw*0.5),(cw*0.5)-fc,deg2rad(0),deg2rad(360), false);
+ ctx.bezierCurveTo(cx+cw-fc,cy+ch-fc,cx+cw-fc,cy+ch-fc,cx+(cw*0.1)+fc,cy+ch-fc);
+ break;
+ case "%":
+ ctx.moveTo(cx+fc,cy+(ch*0.75));
+ ctx.lineTo(cx+cw-fc,cy+(ch*0.25));
+ ctx.moveTo(cx+(cw*0.505),cy+(cw*0.3));
+ ctx.arc(cx+(cw*0.3),cy+(cw*0.3),(cw*0.3)-fc,deg2rad(0),deg2rad(360), false);
+ ctx.moveTo(cx+(cw*0.905),cy+ch-(cw*0.3));
+ ctx.arc(cx+(cw*0.7),cy+ch-(cw*0.3),(cw*0.3)-fc,deg2rad(0),deg2rad(360), false);
+ break;
+ case ".":
+ ctx.moveTo(cx+(cw*0.25),cy+ch-fc-fc);
+ ctx.arc(cx+(cw*0.25),cy+ch-fc-fc,fc,deg2rad(0),deg2rad(360), false);
+ ctx.closePath();
+ break;
+ default:
+ break;
+ }
+ ctx.stroke();
+}
+function drawBar(ctx,x,y,width,height,color,value,textdiv){
+ var rw = width/2; var rh = rw/2;
+ height = Math.max(height,rw);
+ var dh = Math.max(height-(2*rh),0.1); var S2L;
+ var xx = rw/8; var yy = rh/4;
+ var yo = rh/2; y = y - yy;
+ if(canvas_noshadow <= 0 && canvas_noshade <= 0) {
+ ctx.save();
+ S2L = ctx.createRadialGradient(x+rw+rh,y+height-rw+yy+rh,0,x+rw,y+height-rw+yy,rw);
+ S2L.addColorStop(0, 'rgba(0,0,0,0.5)');
+ S2L.addColorStop(0.7, 'rgba(0,0,0,0.25)');
+ S2L.addColorStop(0.9, 'rgba(0,0,0,0.1)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.fillStyle = S2L;
+ ctx.scale(1,0.5);
+ ctx.translate(xx,y+height+yy);
+ if(isOp){
+ ctx.fillRect(x,y+yy+height-width,width,width);
+ }else {
+ ctx.arc(x+rw,y+yy+height-width,width,0,deg2rad(360), false);
+ ctx.fill();
+ }
+ ctx.restore();
+ }else if(canvas_noshadow <= 0 && canvas_noshade >= 1) {
+ ctx.fillStyle = 'rgba(0,0,0,0.2)';
+ ctx.fillRect(x+yo,y+rh+yo+yo,width,dh);
+ }
+
+ if(canvas_noshade <= 0) {
+ ctx.beginPath();
+ ctx.moveTo(x,y+rh);
+ ctx.lineTo(x,y+rh+dh);
+ ctx.bezierCurveTo(x,y+height,x+width,y+height,x+width,y+rh+dh)
+ ctx.lineTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = color;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x,y+(height/2),x+width,y+(height/2));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.75)');
+ S2L.addColorStop(0.2, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.3, 'rgba(0,0,0,0)');
+ S2L.addColorStop(0.5, 'rgba(0,0,0,0.1)');
+ S2L.addColorStop(0.9, 'rgba(0,0,0,0.35)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.3)');
+ ctx.beginPath();
+ ctx.moveTo(x,y+rh);
+ ctx.lineTo(x,y+rh+dh);
+ ctx.bezierCurveTo(x,y+height,x+width,y+height,x+width,y+rh+dh)
+ ctx.lineTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = S2L;
+ ctx.fill();
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = color;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x+rw-(width*0.15),y-2,x+rw+(width*0.15),y+(2.1*rh));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.9)');
+ S2L.addColorStop(0.5, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.6, 'rgba(0,0,0,0)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.3)');
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = S2L;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x+rw-(width*0.15),y-2,x+rw+(width*0.15),y+(2.1*rh));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.9)');
+ S2L.addColorStop(0.5, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.6, 'rgba(0,0,0,0)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.1)');
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.strokeStyle = S2L;
+ ctx.stroke();
+ }else {
+ ctx.fillStyle = color;
+ ctx.fillRect(x,y+rh+yo,width,dh);
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = 1;
+ ctx.fillStyle = 'rgba(255,255,255,0.5)';
+ var bw = width; var bh = bw/4;
+ var th = roundTo(bh*0.75,0); var tf = bh/80;
+ var c; var w;
+ if(canvas_notext <= 0) {
+ if(canvas_htmltext <= 0) {
+ w = '"' + per + '"';
+ if(w.indexOf(".")!=-1) {
+ c = w.length+0.5;
+ w = parseFloat(c-2)*parseFloat(48*tf);
+ bw = parseFloat(c-1)*parseFloat(48*tf);
+ }else {
+ c = w.length;
+ w = parseFloat(c-1)*parseFloat(48*tf);
+ bw = parseFloat(c)*parseFloat(48*tf);
+ }
+ roundedRect(ctx,x+(width/2)-(bw/2),y,bw,bh,bh/4);
+ ctx.fill();
+ if(canvas_imgtext <= 0) {
+ strokeString(ctx, value + "%","rgba(48,48,48,1)", th, x+(width/2)-(w/2), y+((bh-th)/2));
+ }else {
+ drawString(ctx, value + "%", tf, x+(width/2)-(w/2), y+((bh-th)/2.2));
+ }
+ }else {
+ var bh = bw/3; var th = roundTo(bh*0.75,0);
+ roundedRect(ctx,x,y,bw,bh,bh/4);
+ ctx.fill();
+ var obj = document.createElement('div');
+ obj.style.position = "absolute";
+ obj.style.overflow = "hidden";
+ obj.style.textAlign = "center";
+ obj.style.width = bw + "px";
+ obj.style.left = x + "px";
+ obj.style.top = y+((bh-th)/2.2) + "px";
+ obj.appendChild(document.createTextNode(per + "%"));
+ textdiv.appendChild(obj);
+ }
+
+ }
+}
+function drawLine(ctx,x,y,width,height,dist,array,factor,color,fill){
+ if(fill <= 0){
+ var style = hex2rgb(color,0.5);
+ ctx.lineJoin = "miter";
+ ctx.beginPath();
+ ctx.moveTo(x,y+height);
+ for (var i = 0; i < array.length; i++) {
+ ctx.lineTo(x+(i*dist),y+height-(array[i]*factor));
+ }
+ ctx.lineTo(x+width,y+height);
+ ctx.lineTo(x,y+height);
+ ctx.closePath();
+ ctx.fillStyle = style;
+ ctx.fill();
+ }
+ ctx.lineJoin = "round";
+ ctx.beginPath();
+ ctx.moveTo(x,y+height-(array[0]*factor));
+ for (var i = 0; i < array.length; i++) {
+ ctx.lineTo(x+(i*dist),y+height-(array[i]*factor));
+ }
+ ctx.strokeStyle = color;
+ ctx.stroke();
+}
+function setDataURL(cid) {
+ if(cid.toDataURL) {
+ var obj = document.getElementById(cid.id + "_link");
+ if(obj) {
+ obj.setAttribute("title", "To Data URL");
+ obj.setAttribute("target", "_blank");
+ obj.setAttribute("href", cid.toDataURL());
+ }
+ }
+}
+function get_input(dataobj,canvasobj,linechart) {
+ var table = document.getElementById(dataobj);
+ var canvas = document.getElementById(canvasobj);
+ var row; var clm; var cnt = 0; var val = 0; var nme = ""; var col = "";
+ if(canvas.getContext) {
+ canvas_width = parseInt(canvas.style.width);
+ canvas_height = parseInt(canvas.style.height);
+ if(canvas_width >= 16 && canvas_height >= 16) {
+ var tmp = table.getAttribute("summary");
+ canvas_noshade = 0; canvas_noshadow = 0;
+ canvas_notext = 0; canvas_imgtext = 0;
+ canvas_htmltext = 0; canvas_nofill = 0;
+ if(tmp.search(/noshadow/) != -1) canvas_noshadow = 1;
+ if(tmp.search(/noshade/) != -1) canvas_noshade = 1;
+ if(tmp.search(/nofill/) != -1) canvas_nofill = 1;
+ if(tmp.search(/notext/) != -1) canvas_notext = 1;
+ if(tmp.search(/htmltext/) != -1) canvas_htmltext = 1;
+ if(tmp.search(/imgtext/) != -1) canvas_imgtext = 1;
+ if(table.getAttribute("bgcolor")) {
+ canvas_bgcolor = scanColor(table.getAttribute("bgcolor"));
+ }
+ ChartData = new Array(); colorSlice = new Array();
+ if(table.getElementsByTagName("tr")[0].getElementsByTagName("th")[0]) cnt = 1;
+ for(var r = cnt; r < table.getElementsByTagName("tr").length; r++) {
+ row = table.getElementsByTagName("tr")[r];
+ clm = row.getElementsByTagName("td").length;
+ if(row.getElementsByTagName("td")[0].getAttribute("bgcolor")) {
+ col = scanColor(row.getElementsByTagName("td")[0].getAttribute("bgcolor")); val = 0;
+ nme = trim(row.getElementsByTagName("td")[1].innerHTML.replace(/<[^>]+>/g,""));
+ if(!linechart) {
+ if(clm > 3) {
+ for(var z = 2; z < clm; z++) {
+ val += parseFloat(row.getElementsByTagName("td")[z].innerHTML.replace(/<[^>]+>/g,""));
+ }
+ }else {
+ val = parseFloat(row.getElementsByTagName("td")[2].innerHTML.replace(/<[^>]+>/g,""));
+ }
+ if(!isNaN(val) && val > 0 && nme != '') {
+ colorSlice[r-cnt] = col; ChartData[nme] = Math.abs(val);
+ }else {
+ break;
+ }
+ }else {
+ val = 0; i = 0;
+ if(clm > 3 && nme != '') {
+ ChartData[r-cnt] = new Array();
+ colorSlice[r-cnt] = col;
+ for(var z = 2; z < clm; z++) {
+ val = Math.abs(parseFloat(row.getElementsByTagName("td")[z].innerHTML.replace(/<[^>]+>/g,"")));
+ if(!isNaN(val) && val >= 0) {
+ ChartData[r-cnt][i] = val; i++;
+ }else {
+ ChartData[r-cnt][i] = 0; i++;
+ }
+ }
+ }else {
+ break;
+ }
+ }
+ }else {
+ break;
+ }
+ }
+ }
+ }
+}
+function setPieChart(canvasobj,textobj) {
+ var cd = (Math.min(canvas_width,canvas_height)/110)*100;
+ var cp = cd*0.1; var cr = cd/2;
+ var sr = cr*0.93; var cw = cd+cp; var ch = cw;
+ var cx = (cd/2)+(cp/2); var cy = cx; var ct = 0;
+ for (var data in ChartData) {
+ ct += ChartData[data];
+ }
+ var poc = ct/100;
+ var canvas = document.getElementById(canvasobj);
+ if(canvas_htmltext >= 1) {
+ if(document.getElementById(textobj)) {
+ canvas.parentNode.removeChild(canvas.parentNode.lastChild);
+ }
+ }
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,cw,ch);
+ }else {
+ ctx.clearRect(0,0,cw,ch);
+ }
+ if(canvas_noshadow <= 0) {
+ if(!isOp) {
+ ctx.beginPath();
+ var B2B = ctx.createRadialGradient(cx+(cr*0.1),cy+(cr*0.1),cr,cx+(cr*0.1),cy+(cr*0.1),sr*0.9);
+ B2B.addColorStop(0, 'rgba(0,0,0,0)');
+ B2B.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.arc(cx+(cr*0.1),cy+(cr*0.1),cr,0,deg2rad(360), false);
+ ctx.closePath();
+ ctx.fillStyle = B2B;
+ ctx.fill();
+ }else {
+ var B2B = ctx.createRadialGradient(cx+(cr*0.1),cy+(cr*0.1),0,cx+(cr*0.1),cy+(cr*0.1),cr);
+ B2B.addColorStop(0, 'rgba(0,0,0,0.5)');
+ B2B.addColorStop(0.87, 'rgba(0,0,0,0.5)');
+ B2B.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.fillStyle = B2B;
+ ctx.fillRect(0,0,cw,ch);
+ }
+ }
+ var val = 0; var deg = 0; var idx = 0; var data;
+ for (data in ChartData) {
+ val = ChartData[data]; sdeg = deg;
+ deg += (val/ct)*deg2rad(360); edeg = deg;
+ ctx.beginPath();
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr,sdeg,edeg, false);
+ ctx.lineTo(cx,cy);
+ ctx.closePath();
+ ctx.fillStyle = colorSlice[(idx++)];
+ ctx.fill();
+ }
+ if(canvas_noshade <= 0) {
+ ctx.beginPath();
+ var W2T = ctx.createLinearGradient(cp*2,cp*2,cx,cy);
+ W2T.addColorStop(0, 'rgba(255,255,255,0.8)');
+ W2T.addColorStop(1, 'rgba(255,255,255,0)');
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,sr,deg2rad(135),deg2rad(315), false);
+ ctx.closePath();
+ ctx.fillStyle = W2T;
+ ctx.fill();
+ ctx.beginPath();
+ var T2B = ctx.createLinearGradient(cx,cy,cw-(cp*2),ch-(cp*2));
+ T2B.addColorStop(0, 'rgba(0,0,0,0)');
+ T2B.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,sr,deg2rad(-45),deg2rad(135), false);
+ ctx.closePath();
+ ctx.fillStyle = T2B;
+ ctx.fill();
+ ctx.beginPath();
+ var B2T = ctx.createLinearGradient(cx,cy,cw-(cp*1.9),ch-(cp*1.9));
+ B2T.addColorStop(0, 'rgba(0,0,0,0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.6)');
+ ctx.lineWidth = cr*0.07;
+ ctx.lineCap = "round";
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr*0.965,deg2rad(-45),deg2rad(135), false);
+ ctx.closePath();
+ ctx.strokeStyle = B2T;
+ ctx.stroke();
+ ctx.beginPath();
+ var T2W = ctx.createLinearGradient(cx,cy,(cp*1.9),(cp*1.9));
+ T2W.addColorStop(0, 'rgba(255,255,255,0)');
+ T2W.addColorStop(1, 'rgba(255,255,255,0.6)');
+ ctx.lineWidth = cr*0.07;
+ ctx.lineCap = "round";
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr*0.965,deg2rad(135),deg2rad(315), false);
+ ctx.closePath();
+ ctx.strokeStyle = T2W;
+ ctx.stroke();
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = 1;
+ ctx.fillStyle = 'rgba(255,255,255,0.5)';
+ var mpos = 0; var per = 0; var gx = 0;
+ var gy = 0; var tx = 0; var ty = 0;
+ var obj = ""; var w = 0; var c = 0;
+ var bw = cr*0.45; var bh = cr*0.125;
+ var th = roundTo(bh*0.75,0); var tf = bh/80;
+ if(canvas_notext <= 0) {
+ if(canvas_htmltext >= 1) {
+ bw = (cr*0.365);
+ canvas.parentNode.style.position = "relative";
+ if(!document.getElementById(textobj)) {
+ obj = document.createElement('div');
+ obj.id = textobj;
+ obj.style.color = "rgb(0,0,0)";
+ obj.style.fontFamily = "Arial,sans-serif";
+ obj.style.fontSize = th + "px";
+ obj.style.zIndex = 11;
+ canvas.parentNode.appendChild(obj);
+ }
+ var textdiv = document.getElementById(obj.id);
+ }
+ for (data in ChartData) {
+ val = ChartData[data];
+ sdeg = deg; mpos = deg+(((val/2)/ct)*deg2rad(360));
+ deg += (val/ct)*deg2rad(360); edeg = deg;
+ per = roundTo(val/poc,2);
+ gx = circle_point_x(mpos, cd);
+ gy = circle_point_y(mpos, cd);
+ tx = parseFloat((cx+(2*Math.floor(cx + gx)))/3);
+ ty = (cy+(2*Math.floor(cy + gy)))/3;
+ if(canvas_htmltext <= 0) {
+ w = '"' + per + '"';
+ if(w.indexOf(".")!=-1) {
+ c = w.length+0.5;
+ w = parseFloat(c-2)*parseFloat(48*tf);
+ bw = parseFloat(c-1)*parseFloat(48*tf);
+ }else {
+ c = w.length;
+ w = parseFloat(c-1)*parseFloat(48*tf);
+ bw = parseFloat(c)*parseFloat(48*tf);
+ }
+ roundedRect(ctx,(tx-(bw/2)),ty-(bh/2),bw,bh,bh/4);
+ ctx.fill();
+ if(canvas_imgtext >= 1) {
+ drawString(ctx, per + "%", tf, tx-(w/2), ty-(th/1.8));
+ }else {
+ strokeString(ctx, per + "%","rgba(48,48,48,1)", th, tx-(w/2), ty-(th/2));
+ }
+ }else {
+ roundedRect(ctx,(tx-(bw/2)),ty-(bh/2),bw,bh,bh/4);
+ ctx.fill();
+ obj = document.createElement('div');
+ obj.style.position = "absolute";
+ obj.style.overflow = "hidden";
+ obj.style.textAlign = "center";
+ obj.style.width = bw + "px";
+ obj.style.left = (tx-(bw/2)) + "px";
+ obj.style.top = ty-(th/1.8) + "px";
+ obj.appendChild(document.createTextNode(per + "%"));
+ textdiv.appendChild(obj);
+ }
+ }
+ }
+ }
+}
+function setBarChart(canvasobj,textobj) {
+ var iw = canvas_width*0.9; var ih = canvas_height*0.9;
+ var pw = canvas_width*0.05; var ph = canvas_height*0.05;
+ var cm = 0; var ct = 0;
+ for (var data in ChartData) {
+ cm = Math.max(cm,ChartData[data]);
+ ct += ChartData[data];
+ }
+ var hf = ih/cm; var bs = colorSlice.length;
+ var bw = iw/(bs*1.1); var poc = ct/100;
+ var th = roundTo((bw/3)*0.75,0);
+ var canvas = document.getElementById(canvasobj);
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,canvas_width,canvas_height);
+ }else {
+ ctx.clearRect(0,0,canvas_width,canvas_height);
+ }
+ if(canvas_htmltext >= 1) {
+ if(document.getElementById(textobj)) {
+ canvas.parentNode.removeChild(canvas.parentNode.lastChild);
+ }
+ canvas.parentNode.style.position = "relative";
+ if(!document.getElementById(textobj)) {
+ var obj = document.createElement('div');
+ obj.id = textobj;
+ obj.style.color = "rgb(0,0,0)";
+ obj.style.fontFamily = "Arial,sans-serif";
+ obj.style.fontSize = th + "px";
+ obj.style.zIndex = 11;
+ canvas.parentNode.appendChild(obj);
+ }
+ var textdiv = document.getElementById(obj.id);
+ }
+ var cc = ""; var bh = 0; var idx = 0; var t = 0; per = 0;
+ for (var data in ChartData) {
+ per = roundTo(ChartData[data]/poc,2);
+ bh = ChartData[data]*hf;
+ drawBar(ctx,pw+(t*(bw*1.1)),ph+ih-bh,bw,bh,colorSlice[(idx++)],per,textdiv);
+ t++;
+ }
+ }
+}
+function setLineChart(canvasobj,textobj) {
+ var iw = canvas_width*0.9;
+ var ih = canvas_height*0.9;
+ var pw = canvas_width*0.05;
+ var ph = canvas_height*0.05;
+ var cm = 0;
+ for (var i = 0; i < ChartData.length; i++) {
+ for (var j = 0; j < ChartData[i].length; j++) {
+ cm = Math.max(cm,ChartData[i][j]);
+ }
+ }
+ var hf = (ih*0.95)/cm; var bw = iw;
+ var dw = iw/(ChartData[0].length-1)
+ var dh = ih/(ChartData[0].length-1)
+ var ps = Math.min(pw,ph); lw = ps/4;
+ var B2T;
+ var canvas = document.getElementById(canvasobj);
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,canvas_width,canvas_height);
+ }else {
+ ctx.clearRect(0,0,canvas_width,canvas_height);
+ }
+ if(canvas_noshadow <= 0) {
+ B2T = ctx.createLinearGradient(pw+ps,ph+ih+1,pw+ps+ps,ph+ih+1);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+ps,ph+ih);
+ ctx.lineTo(pw+ps,ph+ih+ps);
+ ctx.lineTo(pw+ps+ps,ph+ih);
+ ctx.closePath();
+ ctx.fill();
+ B2T = ctx.createLinearGradient(pw+ps+ps,ph+ih,pw+ps+ps,ph+ih+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.5)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.0)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+ps+ps,ph+ih);
+ ctx.lineTo(pw+iw,ph+ih);
+ ctx.lineTo(pw+ps+iw,ph+ih+ps);
+ ctx.lineTo(pw+ps,ph+ih+ps);
+ ctx.closePath();
+ ctx.fill();
+ var B2T = ctx.createLinearGradient(pw+iw+1,ph+ps,pw+iw+1,ph+ps+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.35)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+iw,ph+ps);
+ ctx.lineTo(pw+iw,ph+ps+ps);
+ ctx.lineTo(pw+iw+ps,ph+ps);
+ ctx.closePath();
+ ctx.fill();
+ B2T = ctx.createLinearGradient(pw+iw,ph+ps+ps,pw+iw+ps,ph+ps+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.35)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.0)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+iw,ph+ps+ps);
+ ctx.lineTo(pw+iw,ph+ih);
+ ctx.lineTo(pw+iw+ps,ph+ih+ps);
+ ctx.lineTo(pw+iw+ps,ph+ps);
+ ctx.closePath();
+ ctx.fill();
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = lw/2;
+ ctx.strokeStyle = "rgba(255,255,255,0.25)";
+ if(canvas_nofill <= 0) {
+ ctx.fillStyle = "rgba(240,240,240,0.8)";
+ ctx.strokeStyle = "rgba(255,255,255,1)";
+ ctx.fillRect(pw,ph,iw,ih);
+ }
+ for (var i = 0; i < ChartData[0].length-1; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw+(i*dw),ph);
+ ctx.lineTo(pw+(i*dw),ph+ih);
+ ctx.stroke();
+ }
+ for (var i = 1; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw,ph+(i*dh));
+ ctx.lineTo(pw+iw,ph+(i*dh));
+ ctx.stroke();
+ }
+ ctx.lineWidth = lw;
+ var cc = ""; var bh = 0; var idx = 0; var t = 0;
+ for (var i = 0; i < ChartData.length; i++) {
+ for (var j = 0; j < ChartData[i].length; j++) {
+ t = Math.max(t,ChartData[i][j]);
+ }
+ bh = t*hf; cc = colorSlice[(idx++)];
+ drawLine(ctx,pw,ph+ih-bh,bw,bh,dw,ChartData[i],hf,cc,canvas_nofill);
+ }
+ ctx.beginPath();
+ ctx.moveTo(pw,ph);
+ ctx.lineTo(pw,ph+ih);
+ ctx.lineTo(pw+iw+(lw/2),ph+ih);
+ ctx.strokeStyle = "rgba(48,48,48,1)";
+ ctx.stroke();
+ ctx.lineWidth = lw/2;
+ B2T = ctx.createLinearGradient(pw,ph+ih,pw,ph+ih+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,1)');
+ B2T.addColorStop(0.5, 'rgba(0,0,0,1)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.strokeStyle = B2T;
+ for (var i = 0; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw+(i*dw),ph+ih);
+ ctx.lineTo(pw+(i*dw),ph+ih+ps);
+ ctx.stroke();
+ }
+ B2T = ctx.createLinearGradient(pw-ps,ph,pw,ph);
+ B2T.addColorStop(0, 'rgba(0,0,0,0)');
+ B2T.addColorStop(0.5, 'rgba(0,0,0,1)');
+ B2T.addColorStop(1, 'rgba(0,0,0,1)');
+ ctx.strokeStyle = B2T;
+ for (var i = 1; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw-ps,ph+(i*dh));
+ ctx.lineTo(pw,ph+(i*dh));
+ ctx.stroke();
+ }
+ }
+}
+function generateCanvas() {
+ if(canvasSupport) {
+ var canvasID; var tdataID; var textID;
+ var tmp; var dat; var i; var j;
+ for(i=0; i < piecharts.length; i++) {
+ tmp = piecharts[i].id.split("_");
+ tdataID = piecharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID);
+ if(colorSlice.length > 0) {
+ j = 0; dat = ""; for(dat in ChartData) {j++; }
+ if(j > 0 && j == colorSlice.length) {
+ setPieChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ for(i=0; i < barcharts.length; i++) {
+ tmp = barcharts[i].id.split("_");
+ tdataID = barcharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID);
+ if(colorSlice.length > 0) {
+ j = 0; dat = ""; for(dat in ChartData) {j++; }
+ if(j > 0 && j == colorSlice.length) {
+ setBarChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ for(i=0; i < linecharts.length; i++) {
+ tmp = linecharts[i].id.split("_");
+ tdataID = linecharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID,true);
+ if(colorSlice.length > 0) {
+ if(ChartData.length == colorSlice.length) {
+ setLineChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ }
+}
+// canvas chart extension
+
+// invisible select extension
+function setListPos(opt) {
+ if(!isIE) { //(degrade IE)
+ var ref = document.getElementById('list');
+ var x = findPosX(ref); var y = findPosY(ref);
+ var obj = document.getElementById('jumplist');
+ obj.style.position = 'fixed'; obj.style.left = x + 'px'; obj.style.top = y + 'px';
+ var vol = document.getElementById('volumelist');
+ ref = document.getElementById('volume');
+ x = findPosX(ref); if(isOp) {y = window.innerHeight-imgHeight; }else {y = findPosY(ref);}
+ vol.style.position = 'fixed'; vol.style.left = x + 'px'; vol.style.top = y + 'px';
+ var del = document.getElementById('delaylist');
+ ref = document.getElementById('delay');
+ x = findPosX(ref); if(isOp) {y = window.innerHeight-imgHeight; }else {y = findPosY(ref);}
+ del.style.position = 'fixed'; del.style.left = x + 'px'; del.style.top = y + 'px';
+ var pnl = document.getElementById('navLinks');
+ }
+ if(opt) {
+ if(isIE) {
+ //(degrade IE)
+ //pnl.style.filter = "alpha(opacity=80)";
+ }else {
+ obj.style.opacity = 0.0;
+ vol.style.opacity = 0.0;
+ del.style.opacity = 0.0;
+ pnl.style.opacity = 0.8;
+ }
+ }
+}
+// invisible select extension
+
+// transition extension
+function switchFade() {
+ if(tranSitions && s5mode && fadeModus) {
+ fadeModus = false;
+ playDelay = playDelay-(2*fadeDuration);
+ var obj = document.getElementById('fade'); changeClass(obj,'isoff');
+ }else if(tranSitions && s5mode && !fadeModus) {
+ fadeModus = true;
+ playDelay = playDelay+(2*fadeDuration);
+ var obj = document.getElementById('fade'); changeClass(obj,'ison');
+ }
+ currentSlide();
+}
+function opacity(ids, opacStart, opacEnd, millisec) {
+ var speed = Math.round(millisec / 100);
+ var timer = 0;
+ if(opacStart > opacEnd) {
+ for(var i = opacStart; i >= opacEnd; i--) {
+ window.setTimeout("changeOpac(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ } else if(opacStart < opacEnd) {
+ for(var i = opacStart; i <= opacEnd; i++) {
+ window.setTimeout("changeOpac(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ }
+}
+function changeOpac(opacity, ids) {
+ var obj = document.getElementById(ids);
+ if (isIE) {
+ obj.style.filter = "alpha(opacity=" + opacity + ")";
+ } else {
+ obj.style.opacity = (opacity / 100);
+ }
+}
+function shiftOpacity(ids, millisec) {
+ if(document.getElementById(ids).style.opacity != '') {
+ var currentOpac = document.getElementById(ids).style.opacity * 100;
+ } else {
+ var currentOpac = 0;
+ }
+ if(currentOpac == 0) {
+ opacity(ids, currentOpac, 100, millisec);
+ } else if(currentOpac > 0) {
+ opacity(ids, currentOpac, 0, millisec);
+ }
+}
+// transition extension
+
+// autoplay extension
+function autoPlay() {
+ if (s5mode && autoMatic && !playPause) {
+ if ((snum >= (smax-1)) && playLoop) {
+ goTo(0);
+ autoRun = setTimeout('autoPlay();',playDelay);
+ }else if ((snum >= (smax-1)) && !playLoop) {
+ stopPlay();
+ }else {
+ if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ if (incrementals[snum].length >0) {
+ clearTimeout(autoRun); autoRun = null;
+ incrDelay = parseInt(playDelay/(incrementals[snum].length+1));
+ remainDer = parseInt(playDelay-(incrDelay*incrementals[snum].length));
+ autoRun = setTimeout('autoPlayIncr()',incrDelay);
+ }
+ } else {
+ clearTimeout(autoRun); autoRun = null;
+ incrDelay = incrDuration;
+ remainDer = parseInt(playDelay-(incrementals[snum].length*incrDuration));
+ autoRun = setTimeout('autoPlayIncr()',incrDelay);
+ }
+ autoRun = setTimeout('autoPlay();',playDelay);
+ }
+ }
+}
+function autoPlayIncr() {
+ if (incpos < incrementals[snum].length) {
+ subgo(1);
+ autoRun = setTimeout('autoPlayIncr();',incrDelay);
+ }else {
+ autoRun = setTimeout('nop();',remainDer);
+ }
+}
+function nop() {
+ // no operation dummy
+}
+function togglePlay() {
+ if (autoRun && s5mode) {
+ stopPlay();
+ }else if (!autoRun && s5mode) {
+ startPlay();
+ }
+}
+function stopPlay() {
+ if (autoRun && s5mode) {
+ clearTimeout(autoRun); autoRun = null;
+ autoMatic = false; playPause = false; var obj = '';
+ obj = document.getElementById('auto'); changeClass(obj,'isoff');
+ obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ currentSlide();
+ }
+}
+function startPlay() {
+ if (!autoRun && s5mode) {
+ playPause = false; autoMatic = true; var obj = '';
+ obj = document.getElementById('auto'); changeClass(obj,'ison');
+ obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ autoRun = setTimeout('autoPlay();',playDelay);
+ currentSlide();
+ }
+}
+function pausePlay() {
+ if (s5mode && autoMatic) {
+ if (playPause) {
+ playPause = false; autoRun = setTimeout('autoPlay();',playDelay);
+ var obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ }else {
+ if (autoRun){
+ clearTimeout(autoRun); autoRun = null; playPause = true;
+ var obj = document.getElementById('pause'); changeClass(obj,'ison');
+ }
+ }
+ currentSlide();
+ }
+}
+function switchLoop() {
+ if(s5mode) {
+ if (playLoop) {
+ playLoop = false;
+ var obj = document.getElementById('loop'); changeClass(obj,'isoff');
+ }else {
+ playLoop = true;
+ var obj = document.getElementById('loop'); changeClass(obj,'ison');
+ }
+ }
+ currentSlide();
+}
+function setDelay() {
+ var val = document.getElementById('delaylist');
+ if(s5mode) {
+ var delay = Math.max(5,Math.min(parseInt(val.value),300));
+ playDelay = (fadeModus == true) ? ((delay*1000)+(2*fadeDuration)) : (delay*1000);
+ }
+}
+// autoplay extension
+
+// audio extension
+function createSoundManagerScript() {
+ if(typeof soundManager=="undefined") {
+ onerrorSM2();
+ }else {
+ var script=document.createElement('SCRIPT');
+ var tx=document.createTextNode("soundManager.createMovie();");
+ script.appendChild(tx);
+ document.getElementsByTagName("body")[0].appendChild(script);
+ }
+}
+//soundManager.onload = function() {
+function onloadSM2() {
+ if(!isIE) { //(degrade IE)
+ swfUnloaded = false;
+ preloadSounds();
+ }
+}
+//soundManager.onerror = function() {
+function onerrorSM2() {
+ if(typeof soundManager!="undefined") {
+ soundManager.destruct;
+ delete soundManager;
+ }
+ audioSupport = false; swfUnloaded = true;
+ if(audioError && !isIE && !isOp) {
+ var dv = document.createElement('div'); dv.id = "guru";
+ var d2=document.createElement('div'); dv.appendChild(d2);
+ var tx=document.createTextNode('Guru Meditation - SoundManager failed to load/initialize!');
+ d2.appendChild(tx); document.getElementById('slide0').appendChild(dv);
+ }
+}
+function audioSetup() {
+ if(sound[0]) {
+ playSound(0);
+ }else if(sound[bgSoundItem] && !sound[0]) {
+ playSound(bgSoundItem);
+ }
+}
+function fadeoutSound(ids, option) {
+ if(curSoundID >= 0 && !swfUnloaded) {
+ if(isNaN(ids)) {
+ if(ids == "bgSound") {
+ var cnum = parseInt(bgSoundItem);
+ }else {
+ for (var i = 0; i < (sound.length-1); i++) {
+ if(sound[i] && ids == sound[i]["id"]) {
+ var cnum = i;
+ break;
+ }
+ }
+ }
+ }else {
+ var cnum = ids;
+ ids = sound[cnum]["id"];
+ }
+ var vol = getMaxVolume(sound[cnum]["volume"]);
+ var millisec = fadeDuration;
+ var speed = Math.round(millisec / vol);
+ var timer = 0;
+ for(var i = vol; i > 0; i--) {
+ setTimeout("fadeout(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ if(option) setTimeout("stopSound('"+ids+"')",millisec);
+ }
+}
+function fadeout(volume, id) {
+ soundManager.setVolume(id,volume);
+}
+function stopSound(ids) {
+ var sid;
+ if(isNaN(ids)) {
+ sid = ids;
+ }else if(sound[ids]) {
+ sid = sound[ids]["id"];
+ }
+ if(sid!='' && curSoundID >= 0) {
+ soundManager.stop(sid);
+ curSoundID = -1;
+ }
+}
+function toggleSounds() {
+ if(audioSupport && !swfUnloaded) {
+ stopAllSounds();
+ }else if (!audioSupport && !swfUnloaded) {
+ allowSounds();
+ }
+}
+function allowSounds() {
+ if(!swfUnloaded) {
+ audioSupport = true;
+ var obj = document.getElementById('audio'); changeClass(obj,'ison');
+ currentSlide();
+ }
+}
+function stopAllSounds() {
+ if(curSoundID >= 0) {
+ stopSound(curSoundID);
+ }else {
+ soundManager.stopAll();
+ curSoundID = -1;
+ }
+ audioSupport = false;
+ var obj = document.getElementById('audio'); changeClass(obj,'isoff');
+ currentSlide();
+}
+function playSound(id) {
+ if(audioSupport && !swfUnloaded) {
+ var url, sid, vol, lps, cnum;
+ if(isNaN(id)) {
+ sid = id;
+ if(sid == "bgSound") {
+ cnum = parseInt(bgSoundItem);
+ }else {
+ cnum = getSoundID(id);
+ }
+ }else {
+ cnum = parseInt(id);
+ if(sound[cnum]) sid = sound[cnum]["id"];
+ }
+ if(sound[cnum] && sound[cnum]["url"]!='' && sid!=''){
+ url = sound[cnum]["url"];
+ vol = (sound[cnum]["volume"]!='')?getMaxVolume(sound[cnum]["volume"]):getMaxVolume(100);
+ lps = (sound[cnum]["loops"])?true:false;
+ if(lps) {
+ soundManager.play(sid,{volume:vol,onplay:function(){curSoundID=cnum;},onfinish:function(){soundManager.play(sid,{volume:getMaxVolume(sound[cnum]["volume"])});}});
+ }else {
+ soundManager.play(sid,{volume:vol,onplay:function(){curSoundID=cnum;},onfinish:function(){curSoundID=-1;}});
+ }
+ }
+ }
+}
+function getSoundID(str) {
+ for (var i = 0; i < (sound.length-1); i++) {
+ if(sound[i] && str == sound[i]["id"]) {
+ var id = i;
+ break;
+ }
+ }
+ return id;
+}
+function setVolume() {
+ var vol = document.getElementById('volumelist');
+ if(audioSupport && !swfUnloaded) {
+ audioVolume = parseInt(vol.value);
+ if(curSoundID >= 0) {
+ if(sound[curSoundID]) {
+ var sid = sound[curSoundID]["id"];
+ var vid = getMaxVolume(sound[curSoundID]["volume"]);
+ soundManager.setVolume(sid,vid);
+ }
+ }
+ }
+}
+function getMaxVolume(value) {
+ if(audioVolume>0) {var factor = audioVolume/100;}else {var factor = 0;}
+ return Math.max(0,Math.min(parseInt(value*factor),audioVolume));
+}
+function preloadSounds() {
+ var temp = ''; var parm = ''; var t = ''; var cl = '';
+ var objects = document.getElementsByTagName('object');
+ for (var i=0; i < objects.length; i++) {
+ if(objects[i].type.toLowerCase() == 'audio/mp3' && objects[i].data != '') {
+ objects[i].width = 0; objects[i].height = 0;
+ if(objects[i].parentNode.tagName == 'DIV') {
+ cl = objects[i].parentNode.className.toLowerCase();
+ if(cl == 'presentation' || cl == 'slide') {
+ if(cl == 'presentation') {
+ t = parseInt(bgSoundItem);
+ }else {
+ t = parseInt(objects[i].parentNode.id.slice(5, objects[i].parentNode.id.length));
+ }
+ sound[t] = new Object();
+ if(t < bgSoundItem) {
+ sound[t]["id"] = objects[i].parentNode.id;
+ }else {
+ sound[t]["id"] = "bgSound";
+ }
+ sound[t]["url"] = objects[i].data;
+ sound[t]["volume"] = 100; sound[t]["loops"] = false;
+ if(objects[i].archive != '') {
+ parm = objects[i].archive.toLowerCase().split(',');
+ for (var j=0; j < parm.length; j++) {
+ if(parm[j] == 'loop') sound[t]["loops"] = true;
+ if(parm[j].search(/^volume/) != -1) {
+ var tmp = parm[j].split(':');
+ sound[t]["volume"] = parseInt(tmp[1]);
+ }
+ }
+ }
+ soundManager.createSound(sound[t]["id"],sound[t]["url"]);
+ }
+ }
+ }
+ }
+}
+// audio extension
+
+// help extension
+function createHelpReq() {
+ if(!document.getElementById("HelpReq")) {
+ var obj = document.getElementsByTagName("body")[0].firstChild;
+ var pg = document.createElement('div');
+ pg.id = "HelpReq";
+ if (pg.addEventListener) {
+ pg.addEventListener("onclick",dumpHelpReq,false);
+ } else if (pg.attachEvent) {
+ pg.attachEvent("onclick",dumpHelpReq);
+ }
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=90)";
+ } else {
+ pg.style.opacity = 0.9;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(64,64,64)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/help.jpg)";
+ document.getElementsByTagName("body")[0].insertBefore(pg,obj);
+ if(document.getElementById("HelpReq")) {
+ helpmode = true;
+ }
+ }
+}
+function dumpHelpReq() {
+ if(document.getElementById("HelpReq")) {
+ document.body.removeChild(document.getElementById('HelpReq'));
+ helpmode = false;
+ }
+}
+// help extension
+
+//DEBUG
+function ConsoleLog(value) {
+ if(window.console) {
+ window.console.log(value);
+ }
+}
+//DEBUG
+
+document.write('<style type="text/css" media="screen" id="blockStyle">.presentation, .layout {display: none; }</style>');
+
+if(!isIE && !isOp) {
+ document.write('<script type="text/javascript" src="'+s5Path+'ui/audio_support/soundmanager2.js"></script>');
+ if(typeof soundManager!="undefined") {
+ var allMetas = document.getElementsByTagName('meta');
+ for (var i = 0; i< allMetas.length; i++) {
+ if (allMetas[i].name == 'audioDebug') {
+ var audioDebug = (allMetas[i].content == "true") ? true : false;
+ soundManager.defaultOptions.debugMode = audioDebug;
+ }
+ }
+ }
+}
+
+window.onload = createSlideShow;
+window.onresize = function(){setTimeout('windowChange()',5);} \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/toggle_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/toggle_link.png
new file mode 100644
index 000000000..4588e2514
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/toggle_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/toggle_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/toggle_over.png
new file mode 100644
index 000000000..400f613b6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/toggle_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/volume_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/volume_link.png
new file mode 100644
index 000000000..f362acd1f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/volume_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/wrap.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/wrap.css
new file mode 100644
index 000000000..a37315552
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/wrap.css
@@ -0,0 +1,410 @@
+/********************************************************************
+Screen and Print Styles for the Wrap Plugin
+********************************************************************/
+
+/* tables in columns and boxes should span the whole width */
+.wrap_column table,
+.wrap_left table, .wrap_right table, .wrap_center table,
+.wrap_box table,
+.wrap_info table, .wrap_important table, .wrap_alert table, .wrap_tip table, .wrap_help table, .wrap_todo table, .wrap_download table {
+ width: 100%;
+}
+/* emulate a headline */
+.wrap_column em strong,
+.wrap_left em strong, .wrap_right em strong, .wrap_center em strong,
+.wrap_box em strong,
+.wrap_info em strong, .wrap_important em strong, .wrap_alert em strong, .wrap_tip em strong, .wrap_help em strong, .wrap_todo em strong, .wrap_download em strong {
+ font-size: 130%;
+ font-weight: bold;
+ font-style: normal;
+ display: block;
+}
+/* emulate a bigger headline with a bottom border */
+.wrap_column em strong em.u,
+.wrap_left em strong em.u, .wrap_right em strong em.u, .wrap_center em strong em.u,
+.wrap_box em strong em.u,
+.wrap_info em strong em.u, .wrap_important em strong em.u, .wrap_alert em strong em.u, .wrap_tip em strong em.u, .wrap_help em strong em.u, .wrap_todo em strong em.u, .wrap_download em strong em.u {
+ font-size: 115%;
+ border-bottom: 1px solid __border__;
+ font-style: normal;
+ text-decoration: none;
+ display: block;
+}
+/* different bigger headline for safety notes */
+.wrap_danger em strong em.u, .wrap_warning em strong em.u, .wrap_caution em strong em.u, .wrap_notice em strong em.u, .wrap_safety em strong em.u {
+ font-size: 115%;
+ font-style: normal;
+ text-decoration: none;
+ display: block;
+ text-transform: uppercase;
+ border-bottom-width: 0;
+}
+/* change border colour of emulated headlines inside boxes to something more neutral
+ (to match all the different background colours) */
+.wrap_box em strong em.u,
+.wrap_info em strong em.u, .wrap_important em strong em.u, .wrap_alert em strong em.u, .wrap_tip em strong em.u, .wrap_help em strong em.u, .wrap_todo em strong em.u, .wrap_download em strong em.u {
+ border-bottom-color: #999;
+}
+
+
+/* columns
+********************************************************************/
+
+.wrap_left,
+.wrap_column {
+ float: left;
+ margin-right: 1.5em;
+}
+.wrap_right {
+ float: right;
+ margin-left: 1.5em;
+}
+.wrap_center {
+ display: block;
+ margin-left: auto;
+ margin-right: auto;
+}
+/*
+.wrap_column,
+.wrap_left,
+.wrap_right {
+ overflow: hidden;
+}
+*/
+.wrap_container {
+ margin-right: -1.5em;
+}
+
+
+/* alignments
+********************************************************************/
+
+.wrap_leftalign {
+ text-align: left;
+}
+.wrap_centeralign {
+ text-align: center;
+}
+.wrap_rightalign {
+ text-align: right;
+}
+.wrap_justify {
+ text-align: justify;
+}
+
+
+/* box
+********************************************************************/
+
+/* see styles for boxes and notes with icons in style.css */
+
+/*____________ rounded corners ____________*/
+/* (only for modern browsers) */
+
+div.wrap_round {
+ border-radius: 20px;
+ -moz-border-radius: 20px;
+ -webkit-border-radius: 20px;
+ -khtml-border-radius: 20px;
+}
+span.wrap_round {
+ border-radius: 2px;
+ -moz-border-radius: 2px;
+ -webkit-border-radius: 2px;
+ -khtml-border-radius: 2px;
+}
+
+
+/* mark
+********************************************************************/
+
+div.wrap_lo {
+ color: __text_neu__;
+ font-size: 85%;
+}
+div.wrap_em {
+ color: #c00;
+ font-weight: bold;
+}
+
+/* see styles for highlighted text in style.css */
+
+
+/* typography
+********************************************************************/
+
+/*____________ fonts ____________*/
+
+div.wrap_sansserif {
+ font-family: Verdana,Tahoma,Geneva,"DejaVu Sans","Bitstream Vera Sans","Liberation Sans", Arial,Helvetica,FreeSans,"Liberation Sans","Nimbus Sans L", sans-serif;
+}
+div.wrap_serif {
+ font-family: Georgia,Garamond,"Palatino Linotype","Book Antiqua",Palatino,Palladio,"URW Palladio L","Liberation Serif",Didot,Gentium,"Bitstream Charter","Century Schoolbook L", "Times New Roman",Times,"Nimbus Roman No9 L","FreeSerif", serif;
+}
+div.wrap_monospace {
+ font-family: Courier,"Courier New",FreeMono,"Nimbus Mono L","Liberation Mono", "Lucida Console",Monaco,"DejaVu Sans Mono","Bitstream Vera Sans Mono", monospace;
+}
+
+/*____________ font size ____________*/
+
+div.wrap_bigger {
+ font-size: 125%;
+}
+div.wrap_muchbigger {
+ font-size: 200%;
+}
+div.wrap_smaller {
+ font-size: 75%;
+}
+
+/*____________ font colours ____________*/
+
+div.wrap_fgred {
+ color: #900;
+}
+div.wrap_fggreen {
+ color: #090;
+}
+div.wrap_fgblue {
+ color: #009;
+}
+div.wrap_fgcyan {
+ color: #099;
+}
+div.wrap_fgviolet {
+ color: #909;
+}
+div.wrap_fgyellow {
+ color: #990;
+}
+div.wrap_fggrey {
+ color: #666;
+}
+div.wrap_fgblack {
+ color: #000;
+}
+
+/* see styles for background colours and white font colour in style.css */
+
+
+/* miscellaneous
+********************************************************************/
+
+/*____________ indent ____________*/
+
+div.wrap_indent {
+ padding-left: 1.5em;
+}
+
+/*____________ outdent ____________*/
+
+div.wrap_outdent {
+ margin-left: -1.5em;
+}
+
+/*____________ word wrapping in pre ____________*/
+
+divdiv.wrap_prewrap pre {
+ white-space: pre-wrap;
+ word-wrap: break-word;/* for IE < 8 */
+ /* white-space: -moz-pre-wrap; for FF < 3 */
+}
+
+/*____________ spoiler ____________*/
+
+divdiv.wrap_spoiler {
+ margin-bottom: 1.5em;
+}
+/* see rest of spoiler styles in style.css */
+
+/*____________ clear float ____________*/
+
+div.wrap_clear {
+ clear: both;
+ line-height: 0;
+ height: 0;
+ font-size: 1px;
+ visibility: hidden;
+ overflow: hidden;
+}
+
+/*____________ hide ____________*/
+
+div.wrap_hide {
+ display: none;
+}
+
+
+/* STYLE.CSS */
+
+/* box
+********************************************************************/
+
+.wrap_box {
+ background: __background_alt__;
+ color: __text__;
+/*
+ overflow: hidden;
+*/
+}
+div.wrap_box,
+div.wrap_danger, div.wrap_warning, div.wrap_caution, div.wrap_notice, div.wrap_safety {
+ padding: 1em 1em .5em;
+ margin-bottom: 1.5em;
+}
+span.wrap_box,
+span.wrap_danger, span.wrap_warning, span.wrap_caution, span.wrap_notice, span.wrap_safety {
+ padding: 0 .3em;
+}
+
+/*____________ notes with icons ____________*/
+
+/* general styles for all note divs */
+div.wrap_info, div.wrap_important, div.wrap_alert, div.wrap_tip, div.wrap_help, div.wrap_todo, div.wrap_download {
+ padding: 1em 1em .5em 70px;
+ margin-bottom: 1.5em;
+ min-height: 68px;
+ background-position: 10px 50%;
+ background-repeat: no-repeat;
+ color: #000;
+}
+/* special treatment for IE6 */
+* html div.wrap_info, * html div.wrap_important, * html div.wrap_alert, * html div.wrap_tip, * html div.wrap_help, * html div.wrap_todo, * html div.wrap_download {
+ height: 68px;
+}
+/* general styles for all note spans */
+span.wrap_info, span.wrap_important, span.wrap_alert, span.wrap_tip, span.wrap_help, span.wrap_todo, span.wrap_download {
+ padding: 0 2px 0 20px;
+ min-height: 20px;
+ background-position: 2px 50%;
+ background-repeat: no-repeat;
+ color: #000;
+}
+/* special treatment for IE6 */
+* html span.wrap_info, * html span.wrap_important, * html span.wrap_alert, * html span.wrap_tip, * html span.wrap_help, * html span.wrap_todo, * html span.wrap_download {
+ height: 20px;
+}
+
+/*____________ info ____________*/
+.wrap_info { background-color: #d1d7df; }
+div.wrap_info { background-image: url(../../../wrap/images/note/48/info.png); }
+span.wrap_info { background-image: url(../../../wrap/images/note/16/info.png); }
+
+/*____________ important ____________*/
+.wrap_important { background-color: #ffd39f; }
+div.wrap_important { background-image: url(../../../wrap/images/note/48/important.png); }
+span.wrap_important { background-image: url(../../../wrap/images/note/16/important.png); }
+
+/*____________ alert ____________*/
+.wrap_alert { background-color: #ffbcaf; }
+div.wrap_alert { background-image: url(../../../wrap/images/note/48/alert.png); }
+span.wrap_alert { background-image: url(../../../wrap/images/note/16/alert.png); }
+
+/*____________ tip ____________*/
+.wrap_tip { background-color: #fff79f; }
+div.wrap_tip { background-image: url(../../../wrap/images/note/48/tip.png); }
+span.wrap_tip { background-image: url(../../../wrap/images/note/16/tip.png); }
+
+/*____________ help ____________*/
+.wrap_help { background-color: #dcc2ef; }
+div.wrap_help { background-image: url(../../../wrap/images/note/48/help.png); }
+span.wrap_help { background-image: url(../../../wrap/images/note/16/help.png); }
+
+/*____________ todo ____________*/
+.wrap_todo { background-color: #c2efdd; }
+div.wrap_todo { background-image: url(../../../wrap/images/note/48/todo.png); }
+span.wrap_todo { background-image: url(../../../wrap/images/note/16/todo.png); }
+
+/*____________ download ____________*/
+.wrap_download { background-color: #d6efc2; }
+div.wrap_download { background-image: url(../../../wrap/images/note/48/download.png); }
+span.wrap_download { background-image: url(../../../wrap/images/note/16/download.png); }
+
+
+/*____________ safety notes ____________*/
+
+.wrap_danger {
+ background-color: #c00;
+ color: #fff;
+}
+.wrap_warning {
+ background-color: #f60;
+ color: #000;
+}
+.wrap_caution {
+ background-color: #ff0;
+ color: #000;
+}
+.wrap_notice {
+ background-color: #06f;
+ color: #fff;
+}
+.wrap_safety {
+ background-color: #090;
+ color: #fff;
+}
+
+
+/* mark
+********************************************************************/
+
+div.wrap_hi {
+ background-color: #ff9;
+}
+
+/* typography
+********************************************************************/
+
+/*____________ font colours ____________*/
+
+div.wrap_fgwhite {
+ color: #fff;
+}
+
+/*____________ background colours ____________*/
+
+div.wrap_bgred {
+ background-color: #fcc;
+}
+div.wrap_bggreen {
+ background-color: #cfc;
+}
+div.wrap_bgblue {
+ background-color: #ccf;
+}
+div.wrap_bgcyan {
+ background-color: #9ff;
+}
+div.wrap_bgviolet {
+ background-color: #f9f;
+}
+div.wrap_bgyellow {
+ background-color: #ff9;
+}
+div.wrap_bggrey {
+ background-color: #ccc;
+}
+div.wrap_bgwhite {
+ background-color: #fff;
+}
+div.wrap_bgblack {
+ background-color: #000;
+}
+
+
+/* miscellaneous
+********************************************************************/
+
+/*____________ spoiler ____________*/
+
+div.wrap_spoiler {
+ background-color: __background__ !important;
+ color: __background__ !important;
+ border: 1px dotted red;
+}
+
+/*____________ only print ____________*/
+
+div.wrap_onlyprint {
+ display: none;
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/zero_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/zero_link.png
new file mode 100644
index 000000000..5610884d9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/zero_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/zero_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/zero_over.png
new file mode 100644
index 000000000..3a14a577e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/executive/zero_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/across.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/across.png
new file mode 100755
index 000000000..66cb21ce9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/across.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/audio_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/audio_link.png
new file mode 100644
index 000000000..daa740c40
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/audio_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/audio_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/audio_on.png
new file mode 100644
index 000000000..cd590aae9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/audio_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/audio_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/audio_over.png
new file mode 100644
index 000000000..cf537a008
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/audio_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/auto_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/auto_link.png
new file mode 100644
index 000000000..1c2ca4817
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/auto_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/auto_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/auto_on.png
new file mode 100644
index 000000000..4b0c923b8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/auto_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/auto_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/auto_over.png
new file mode 100644
index 000000000..dbea88975
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/auto_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/blank.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/blank.gif
new file mode 100755
index 000000000..75b945d25
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/blank.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/bottom.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/bottom.png
new file mode 100755
index 000000000..79ed780d9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/bottom.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/delay_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/delay_link.png
new file mode 100644
index 000000000..c4d99cd57
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/delay_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/druplicon.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/druplicon.png
new file mode 100755
index 000000000..340b839bb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/druplicon.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/exit_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/exit_link.png
new file mode 100644
index 000000000..1bfd38790
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/exit_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/exit_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/exit_over.png
new file mode 100644
index 000000000..0fdd1c605
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/exit_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/fade_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/fade_link.png
new file mode 100644
index 000000000..482e4d53e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/fade_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/fade_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/fade_on.png
new file mode 100644
index 000000000..e12561fb2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/fade_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/fade_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/fade_over.png
new file mode 100644
index 000000000..fb8943c98
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/fade_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/framing.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/framing.css
new file mode 100755
index 000000000..c44e23877
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/framing.css
@@ -0,0 +1,65 @@
+/* The following styles size, place, and layer the slide components.
+ Edit these if you want to change the overall slide layout.
+ The commented lines can be uncommented (and modified, if necessary)
+ to help you with the rearrangement process. */
+
+/* target = 1024x768 */
+
+div#header, div#footer, .slide {
+ width: 100%;
+ top: 0;
+ left: 0;
+}
+
+div#header {
+ top: 0;
+ height: 3em;
+ z-index: 1;
+}
+
+div#footer {
+ top: auto;
+ bottom: 0;
+ height: 2.5em;
+ z-index: 5;
+}
+
+.slide {
+ top: 0;
+ width: 92%;
+ padding: 3.5em 4% 4%;
+ z-index: 2;
+ list-style: none;
+}
+
+div#controls {
+ left: 50%;
+ bottom: 0;
+ width: 50%;
+ z-index: 100;
+}
+
+div#controls form {
+ text-align: right;
+ width: 100%;
+ margin: 0;
+}
+
+#currentSlide {
+ position: absolute;
+ width: 10%;
+ left: 45%;
+ bottom: 1em;
+ z-index: 10;
+}
+
+html>body #currentSlide {
+ position: fixed;
+}
+
+/*
+div#header {background: #FCC;}
+div#footer {background: #CCF;}
+div#controls {background: #BBD;}
+div#currentSlide {background: #FFC;}
+*/ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/iepngfix.htc b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/iepngfix.htc
new file mode 100755
index 000000000..9ec89fbeb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/iepngfix.htc
@@ -0,0 +1,42 @@
+<public:component>
+<public:attach event="onpropertychange" onevent="doFix()" />
+
+<script>
+
+// IE5.5+ PNG Alpha Fix v1.0 by Angus Turnbull http://www.twinhelix.com
+// Free usage permitted as long as this notice remains intact.
+
+// This must be a path to a blank image. That's all the configuration you need here.
+var blankImg = 'ui/drupal/blank.gif';
+
+var f = 'DXImageTransform.Microsoft.AlphaImageLoader';
+
+function filt(s, m) {
+ if (filters[f]) {
+ filters[f].enabled = s ? true : false;
+ if (s) with (filters[f]) { src = s; sizingMethod = m }
+ } else if (s) style.filter = 'progid:'+f+'(src="'+s+'",sizingMethod="'+m+'")';
+}
+
+function doFix() {
+ if ((parseFloat(navigator.userAgent.match(/MSIE (\S+)/)[1]) < 5.5) ||
+ (event && !/(background|src)/.test(event.propertyName))) return;
+
+ if (tagName == 'IMG') {
+ if ((/\.png$/i).test(src)) {
+ filt(src, 'image'); // was 'scale'
+ src = blankImg;
+ } else if (src.indexOf(blankImg) < 0) filt();
+ } else if (style.backgroundImage) {
+ if (style.backgroundImage.match(/^url[("']+(.*\.png)[)"']+$/i)) {
+ var s = RegExp.$1;
+ style.backgroundImage = '';
+ filt(s, 'crop');
+ } else filt();
+ }
+}
+
+doFix();
+
+</script>
+</public:component> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/last_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/last_link.png
new file mode 100644
index 000000000..4f158d35d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/last_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/last_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/last_over.png
new file mode 100644
index 000000000..a7b629995
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/last_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/list_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/list_link.png
new file mode 100644
index 000000000..7e299bb50
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/list_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/loop_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/loop_link.png
new file mode 100644
index 000000000..41e12cb15
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/loop_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/loop_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/loop_on.png
new file mode 100644
index 000000000..2ffcacae1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/loop_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/loop_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/loop_over.png
new file mode 100644
index 000000000..9fe420153
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/loop_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/next_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/next_link.png
new file mode 100644
index 000000000..2b9f44c4d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/next_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/next_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/next_over.png
new file mode 100644
index 000000000..25788124d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/next_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/notes.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/notes.css
new file mode 100755
index 000000000..5858cf2bc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/notes.css
@@ -0,0 +1,122 @@
+/* Following are the note styles -- edit away! */
+
+body {
+ margin: 0;
+ padding: 1.0em;
+ background: #333;
+ color: #FFF;
+ font: 2em/1.4em 'Lucida Grande', Verdana, sans-serif;
+}
+
+div.timers {
+ background: #FFF;
+ color: #333;
+ border: 0.08em solid #222;
+ border-top-width: 1px;
+ border-left-width: 1px;
+ float: left;
+ padding: 0.2em;
+ margin: 0 0 0.5em;
+ position: relative;
+}
+
+div.timers h1 {
+ text-align: left;
+ font-size: 0.6em;
+ line-height: 1.4em;
+ background-color: #FF9;
+ padding: 0 0.75em;
+ margin: 0.25em 0 0;
+ border: 1px solid #EE8;
+}
+
+div.timers div.controls {
+ position: absolute;
+ right: 0.25em;
+ top: 0.1em;
+ line-height: 1em;
+}
+
+div.timers h1 a {
+ text-decoration: none;
+ color: #000;
+}
+
+div.timers div.controls a {
+ font-size: 0.5em;
+ padding: 0;
+ color: #330;
+}
+
+div.timers a.control {
+ position: absolute;
+ text-decoration: none;
+ padding: 0 0.25em;
+ color: #AAA;
+ outline: 0;
+}
+
+#minus {
+ left: 0.25em;
+}
+
+#plus {
+ right: 0.25em;
+}
+
+.overtime {
+ background: yellow;
+ color: red;
+ border: 3px solid;
+ padding: 0.1em 0.25em;
+ font-weight: bold;
+}
+
+div.timers h2 {
+ font-size: 0.6em;
+ line-height: 1.0em;
+ font-weight: normal;
+ margin: 0 0 -0.25em;
+ padding-top: 0.5em;
+ color: #666;
+}
+
+div.timers p {margin: 0; padding: 0 0.5em;}
+div.timers form {margin: 0;}
+
+div.timers span.clock {
+ font-family: monospace;
+}
+
+div.timers ul {margin: 0; padding: 0; list-style: none;}
+div.timers li {float: left; width: 5em; margin: 0; padding: 0 0.5em;
+ text-align: center;}
+
+div#elapsed {width: 12.1em;}
+div#remaining {clear: left; width: 12.1em;}
+div#remaining p {text-align: center;}
+
+#slide,
+#next,
+#notes,
+#nextnotes {
+ font-size: 0.75em;
+ line-height: 1.4em;
+ clear: left;
+/* max-width: 30.0em; */
+ text-shadow: 0.1em 0.1em 0.1em #111;
+}
+
+#next {margin-top: 2.5em;}
+#next, #nextnotes {
+ color: #999;
+ font-size: 0.66em;
+}
+
+em.disclaimer {
+ color: #666;
+}
+
+div.collapsed h1 {display: block; font-size: 0.33em;}
+div.collapsed h1 a {display: inline;}
+div.collapsed * {display: none;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/notes_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/notes_link.png
new file mode 100644
index 000000000..bb4a8ae37
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/notes_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/notes_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/notes_over.png
new file mode 100644
index 000000000..c6bfe69fc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/notes_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/opera.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/opera.css
new file mode 100755
index 000000000..9e9d2a3c5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/opera.css
@@ -0,0 +1,7 @@
+/* DO NOT CHANGE THESE unless you really want to break Opera Show */
+.slide {
+ visibility: visible !important;
+ position: static !important;
+ page-break-before: always;
+}
+#slide0 {page-break-before: avoid;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/outline.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/outline.css
new file mode 100755
index 000000000..62db519ed
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/outline.css
@@ -0,0 +1,15 @@
+/* don't change this unless you want the layout stuff to show up in the outline view! */
+
+.layout div, #footer *, #controlForm * {display: none;}
+#footer, #controls, #controlForm, #navLinks, #toggle {
+ display: block; visibility: visible; margin: 0; padding: 0;}
+#toggle {float: right; padding: 0.5em;}
+html>body #toggle {position: fixed; top: 0; right: 0;}
+
+/* making the outline look pretty-ish */
+
+#slide0 h1, #slide0 h2, #slide0 h3, #slide0 h4 {border: none; margin: 0;}
+#slide0 h1 {padding-top: 1.5em;}
+.slide h1 {margin: 1.5em 0 0; padding-top: 0.25em;
+ border-top: 1px solid #888; border-bottom: 1px solid #AAA;}
+#toggle {border: 1px solid; border-width: 0 0 1px 1px; background: #FFF;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/pause_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/pause_link.png
new file mode 100644
index 000000000..2561cb4a4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/pause_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/pause_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/pause_on.png
new file mode 100644
index 000000000..2fc9c4a4a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/pause_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/pause_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/pause_over.png
new file mode 100644
index 000000000..7a7c80ddc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/pause_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/pretty.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/pretty.css
new file mode 100644
index 000000000..abe2c2659
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/pretty.css
@@ -0,0 +1,354 @@
+/* Following are the presentation styles -- edit away! */
+
+body {
+ background: #1077BE url(top.png) no-repeat right top;
+ color: #FFF;
+ font-family: "Gill Sans", Optima, "Lucida Grande", Lucida, Verdana, sans-serif;
+ font-size: 2.25em;
+}
+
+:link, :visited {
+ text-decoration: none;
+ color: #62ccff;
+}
+
+#controls :active {
+ color: #88A !important;
+}
+
+#controls :focus {
+ outline: 1px dotted #227;
+}
+
+h1, h2, h3, h4 {
+ font-size: 100%;
+ margin: 0;
+ padding: 0;
+ font-weight: inherit;
+}
+
+ul, pre {
+ margin: 0;
+ line-height: 1em;
+}
+
+html, body {
+ margin: 0;
+ padding: 0;
+}
+
+blockquote, q {
+ font-style: italic;
+}
+
+blockquote {
+ padding: 0 2em 0.5em;
+ margin: 0 1.5em 0.5em;
+ text-align: center;
+ font-size: 1em;
+}
+
+blockquote p {
+ margin: 0;
+}
+
+blockquote i {
+ font-style: normal;
+}
+
+blockquote b {
+ display: block;
+ margin-top: 0.5em;
+ font-weight: normal;
+ font-size: smaller;
+ font-style: normal;
+}
+
+blockquote b i {
+ font-style: italic;
+}
+
+kbd {
+ font-weight: bold;
+ font-size: 1em;
+}
+
+sup {
+ font-size: smaller;
+ line-height: 1px;
+}
+
+.slide {
+ background: transparent url(druplicon.png) no-repeat 16px 16px;
+}
+
+.slide code {
+ padding: 2px 0.25em;
+ font-weight: bold;
+ color: white;
+ font-family: "Bitstream Vera Sans Mono", Monaco, "Lucida Console", monospace;
+ background-color: black;
+}
+
+.slide code.bad, code del {
+ color: red;
+}
+
+.slide code.old {
+ color: silver;
+}
+
+.slide pre {
+ font-family: "Bitstream Vera Sans Mono", Monaco, "Lucida Console", monospace;
+ padding: 0;
+ margin: 0.25em 0 0.5em 0.5em;
+ color: #533;
+ font-size: 90%;
+}
+
+.slide pre code {
+ display: block;
+}
+
+.slide ul {
+ margin-left: 5%;
+ margin-right: 7%;
+ list-style: disc;
+}
+
+.slide li {
+ margin-top: 0.75em;
+ margin-right: 0;
+}
+
+.slide ul ul {
+ line-height: 1;
+}
+
+.slide ul ul li {
+ margin: .2em;
+ font-size: 85%;
+ list-style: square;
+}
+
+.slide img.leader {
+ display: block;
+ margin: 0 auto;
+}
+
+div#header, div#footer {
+ color: #fff;
+ font-family: "Lucida Grande", Lucida, Verdana, sans-serif;
+}
+
+div#header {
+ line-height: 1px;
+}
+
+div#footer {
+ font-size: 0.5em;
+ font-weight: bold;
+ padding: 1em 0;
+ background: transparent url(bottom.png) repeat-x -546px top;
+}
+
+#footer h1, #footer h2 {
+ display: block;
+ padding: 0 1em;
+}
+
+#footer h2 {
+ font-style: italic;
+}
+
+div.long {
+ font-size: 0.75em;
+}
+
+.slide h1 {
+ position: absolute;
+ top: 0.7em;
+ width: 100%;
+ left: 0;
+ text-align: center;
+ z-index: 1;
+ margin: 0;
+ white-space: nowrap;
+ font: bold 150%/1em "Gill Sans", Optima, "Lucida Grande", Lucida, Verdana, sans-serif;
+ text-transform: capitalize;
+ color: #FFF;
+}
+
+.slide h3 {
+ font-size: 130%;
+}
+
+h1 abbr {
+ font-variant: small-caps;
+}
+
+#plink {
+ color: #FFF;
+}
+
+
+#currentSlide {
+ text-align: center;
+ font-size: 0.5em;
+ color: #449;
+}
+
+#slide0 {
+ background: transparent url(across.png) no-repeat;
+ padding-top: 3.5em;
+ font-size: 90%;
+}
+
+#slide0 h1 {
+ position: static;
+ margin: 1em 0 0;
+ padding: 0;
+ font: bold 3em "Gill Sans", Optima, "Lucida Grande", Lucida, Verdana, sans-serif;
+ white-space: normal;
+ color: #FFF;
+ background-color: transparent;
+}
+
+#slide0 h2 {
+ font: bold italic 1em Helvetica, sans-serif;
+ margin: 0.25em;
+}
+
+#slide0 h3 {
+ margin-top: 1.5em;
+ font-size: 1.5em;
+}
+
+#slide0 h4 {
+ margin-top: 0;
+ font-size: 1em;
+}
+
+ul.urls {
+ list-style: none;
+ display: inline;
+ margin: 0;
+}
+
+.urls li {
+ display: inline;
+ margin: 0;
+}
+
+.note {
+ display: none;
+}
+
+.external {
+ border-bottom: 1px dotted gray;
+}
+
+html>body .external {
+ border-bottom: none;
+}
+
+.external:after {
+ content: " \274F";
+ font-size: smaller;
+ color: #62ccff;
+}
+
+.incremental, .incremental *, .incremental *:after {
+ color: #DDE;
+ visibility: visible;
+}
+
+img.incremental {
+ visibility: hidden;
+}
+
+.slide .current {
+ color: #FB5;
+}
+
+
+
+div#controls {position: absolute; left: 0; bottom: 0; width: 100%; text-align: center; font: bold 1em Verdana, Arial, Helvetica, sans-serif;}
+html>body div#controls {background: transparent; position: fixed; padding: 0; top: auto; text-align: center;}
+#controls #sheet {display: none;}
+#controls #controlForm {height: 32px; width: 100%; text-align: center; vertical-align: middle;}
+#controls #navLinks {border-top: 1px solid #999; overflow: hidden; padding: 0; margin: 0; height: 32px; width: 100%; background-color: #808080; text-align: center; vertical-align: middle; white-space: nowrap;}
+#controls #navLinks ul {margin: 0 auto; padding: 0; height: 32px; width: 500px; white-space: nowrap; text-align: center;}
+#controls #navLinks ul li {list-style: none; display: inline; margin: 0; padding: 0; float: left; height: 32px; width: 32px; text-align: center; background-color: transparent;}
+#controls #navLinks ul li.vr {list-style: none; display: inline; margin: 0px 2px; padding: 0; float: left; height: 32px; width: 1px; text-align: center; background-color: #606060;}
+#controls #navLinks ul li a {margin: 0; padding: 0; float: left; height: 32px; width: 32px; background-color: transparent;}
+
+#controls #navLinks ul li#exit {background: url(exit_link.png) no-repeat top left;}
+#controls #navLinks ul li#exit a:hover {background: url(exit_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#toggle {background: url(toggle_link.png) no-repeat top left;}
+#controls #navLinks ul li#toggle a:hover {background: url(toggle_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#show-notes {background: url(notes_link.png) no-repeat top left;}
+#controls #navLinks ul li#show-notes a:hover {background: url(notes_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#zero {background: url(zero_link.png) no-repeat top left;}
+#controls #navLinks ul li#zero a:hover {background: url(zero_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#prev {background: url(prev_link.png) no-repeat top left;}
+#controls #navLinks ul li#prev a:hover {background: url(prev_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#next {background: url(next_link.png) no-repeat top left;}
+#controls #navLinks ul li#next a:hover {background: url(next_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#last {background: url(last_link.png) no-repeat top left;}
+#controls #navLinks ul li#last a:hover {background: url(last_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#lst {background: url(list_link.png) no-repeat top left;}
+
+#controls #navLinks ul li#fade a.isoff {background: url(fade_link.png) no-repeat top left;}
+#controls #navLinks ul li#fade a.ison {background: url(fade_on.png) no-repeat top right;}
+#controls #navLinks ul li#fade a:hover {background: url(fade_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#audio {background: url(audio_link.png) no-repeat top left;}
+#controls #navLinks ul li#audio a.isoff {background: url(audio_link.png) no-repeat top left;}
+#controls #navLinks ul li#audio a.ison {background: url(audio_on.png) no-repeat top right;}
+#controls #navLinks ul li#audio a:hover {background: url(audio_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#vol {background: url(volume_link.png) no-repeat top left;}
+
+#controls #navLinks ul li#auto a.isoff {background: url(auto_link.png) no-repeat top left;}
+#controls #navLinks ul li#auto a.ison {background: url(auto_on.png) no-repeat top right;}
+#controls #navLinks ul li#auto a:hover {background: url(auto_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#pause a.isoff {background: url(pause_link.png) no-repeat top left;}
+#controls #navLinks ul li#pause a.ison {background: url(pause_on.png) no-repeat top right;}
+#controls #navLinks ul li#pause a:hover {background: url(pause_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#loop a.isoff {background: url(loop_link.png) no-repeat top left;}
+#controls #navLinks ul li#loop a.ison {background: url(loop_on.png) no-repeat top right;}
+#controls #navLinks ul li#loop a:hover {background: url(loop_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#del {background: url(delay_link.png) no-repeat top left;}
+
+#jumplist, #volumelist, #delaylist {padding: 0; margin: 0; width: 32px; height: 32px; cursor: n-resize;}
+
+#guru {position: absolute; visibility: visible; left: 0px; top: 0px; padding: 4px; width: 99%; height: auto; text-align: center; background-color: black; z-index: 10;}
+#guru div {border: solid 3px red; padding: 4px; font-family: monospace; font-size: 60%; width: auto; height: auto; color: red; text-align: center;}
+
+
+/* diagnostics
+li:after {content: " [" attr(class) "]"; color: #F88;}
+*/
+
+table.piechart, table.barchart, table.linechart { border-spacing: 0.3em 0.15em; }
+table.piechart tr th, table.barchart tr th, table.linechart tr th { white-space: nowrap; }
+table.piechart tr td, table.barchart tr td, table.linechart tr td { vertical-align: top; white-space: nowrap; }
+table.piechart tr td.col, table.barchart tr td.col, table.linechart tr td.col { border-bottom: 1px solid #555; border-right: 1px solid #555; }
+table.fs90 tr td, table.fs90 tr th, div.fs90, pre.fs90, p.fs90 ,ul.fs90 {font-size: 0.9em; }
+table.fs75 tr td, table.fs75 tr th, div.fs75, pre.fs75, p.fs75 ,ul.fs75 {font-size: 0.75em; }
+table.fs66 tr td, table.fs66 tr th, div.fs66, pre.fs66, p.fs66 ,ul.fs66 {font-size: 0.66em; }
+table.fs50 tr td, table.fs50 tr th, div.fs50, pre.fs50, p.fs50 ,ul.fs50 {font-size: 0.5em; }
+
+#soundmanager-debug {position:fixed; top:0px; right:0px; width:30em; height:20em; overflow:auto; border:1px solid red; padding:1em; margin:2em; font-family:"sans serif"; font-size: 12px;color: black; background-color:#f6f6f6; z-index: 100;}
+#soundmanager-debug code {font-size: 11px;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/prev_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/prev_link.png
new file mode 100644
index 000000000..c31d21350
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/prev_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/prev_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/prev_over.png
new file mode 100644
index 000000000..6fef4fa52
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/prev_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/print.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/print.css
new file mode 100755
index 000000000..e7a71d145
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/print.css
@@ -0,0 +1 @@
+/* The following rule is necessary to have all slides appear in print! DO NOT REMOVE IT! */ .slide, ul {page-break-inside: avoid; visibility: visible !important;} h1 {page-break-after: avoid;} body {font-size: 12pt; background: white;} * {color: black;} #slide0 h1 {font-size: 200%; border: none; margin: 0.5em 0 0.25em;} #slide0 h3 {margin: 0; padding: 0;} #slide0 h4 {margin: 0 0 0.5em; padding: 0;} #slide0 {margin-bottom: 3em;} h1 {border-top: 2pt solid gray; border-bottom: 1px dotted silver;} .extra {background: transparent !important;} div.extra, pre.extra, .example {font-size: 10pt; color: #333;} ul.extra a {font-weight: bold;} p.example {display: none;} #header {display: none;} #footer h1 {margin: 0; border-bottom: 1px solid; color: gray; font-style: italic;} #footer h2, #controls {display: none;} /* The following rule keeps the layout stuff out of print. Remove at your own risk! */ .layout, .layout * {display: none !important;} \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/s5-core.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/s5-core.css
new file mode 100755
index 000000000..ad1530b9c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/s5-core.css
@@ -0,0 +1,9 @@
+/* Do not edit or override these styles! The system will likely break if you do. */
+
+div#header, div#footer, div#controls, .slide {position: absolute;}
+html>body div#header, html>body div#footer,
+ html>body div#controls, html>body .slide {position: fixed;}
+.handout, .notes {display: none;}
+.layout {display: block;}
+.slide, .hideme, .incremental {visibility: hidden;}
+#slide0 {visibility: visible;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/slides.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/slides.css
new file mode 100755
index 000000000..0786d7dbd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/slides.css
@@ -0,0 +1,3 @@
+@import url(s5-core.css); /* required to make the slide show run at all */
+@import url(framing.css); /* sets basic placement and size of slide components */
+@import url(pretty.css); /* stuff that makes the slides look better than blah */ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/slides.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/slides.js
new file mode 100644
index 000000000..510a04c0e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/slides.js
@@ -0,0 +1,2812 @@
+// S5 1.3beta7 (18-Apr-2007) advanced version by C. Effenberger
+// Please see http://s5.netzgesta.de/ for more information
+// based on S5 v1.2a1 slides.js -- released into the Public Domain
+// Please see http://www.meyerweb.com/eric/tools/s5/credits.html for information
+// about all the wonderful and talented contributors to this code!
+// audio extension: soundmanager2 is NOT Public Domain
+// Please see http://www.schillmania.com/projects/soundmanager2/ for information
+
+var undef;
+var slideCSS = '';
+var snum = 0;
+var smax = 1;
+var incpos = 0;
+var number = undef;
+var firstTime = 1;
+var s5mode = true;
+var helpmode = false;
+var defaultView = 'slideshow'; //outline
+var controlVis = 'visible';
+var s5Path = 'lib/plugins/s5reloaded/';
+
+// scalable images extension
+var empx = 0;
+var images = new Array();
+var canvas = new Array();
+var medias = new Array();
+var piecharts = new Array();
+var barcharts = new Array();
+var linecharts = new Array();
+// scalable images extension
+
+// transition extension
+var tranSitions = false;
+var fadeModus = false;
+var fadeDuration = 500;
+var incrDuration = 250;
+var opac = 1;
+var cid = '';
+var nid = '';
+var tid = '';
+var jl = '';
+// transition extension
+
+// autoplay extension
+var autoMatic = false;
+var playLoop = false;
+var playPause = false;
+var autoRun = false;
+var playDelay = 5000;
+var remainDer = 0;
+var incrDelay = 0;
+// autoplay extension
+
+// audio extension
+var sound = new Array();
+var audioSupport = false;
+var audioVolume = 100;
+var audioError = false;
+var swfUnloaded = true;
+var bgSoundItem = 9999;
+var curSoundID = -1;
+// audio extension
+
+// panel extension
+var imgWidth = 32;
+var imgHeight = 32;
+// panel extension
+
+// canvas chart extension
+var canvasSupport = false;
+var ChartData = new Array();
+var colorSlice = new Array();
+var font = document.createElement("img");
+font.setAttribute("src", s5Path+"ui/graphic_support/numeric.png");
+signs = {
+ '0': {sx: 0, sy: 0, sw: 48, sh: 64},
+ '1': {sx: 48, sy: 0, sw: 48, sh: 64},
+ '2': {sx: 96, sy: 0, sw: 48, sh: 64},
+ '3': {sx: 144, sy: 0, sw: 48, sh: 64},
+ '4': {sx: 192, sy: 0, sw: 48, sh: 64},
+ '5': {sx: 240, sy: 0, sw: 48, sh: 64},
+ '6': {sx: 288, sy: 0, sw: 48, sh: 64},
+ '7': {sx: 336, sy: 0, sw: 48, sh: 64},
+ '8': {sx: 384, sy: 0, sw: 48, sh: 64},
+ '9': {sx: 432, sy: 0, sw: 48, sh: 64},
+ '%': {sx: 480, sy: 0, sw: 48, sh: 64},
+ '.': {sx: 528, sy: 0, sw: 24, sh: 64}
+};
+var colorNames= new Array();
+colorNames["black"]="#000000"; colorNames["maroon"]="#800000";
+colorNames["green"]="#008000"; colorNames["olive"]="#808000";
+colorNames["navy"]="#000080"; colorNames["purple"]="#800080";
+colorNames["teal"]="#008080"; colorNames["gray"]="#808080";
+colorNames["silver"]="#C0C0C0"; colorNames["red"]="#FF0000";
+colorNames["lime"]="#00FF00"; colorNames["yellow"]="#FFFF00";
+colorNames["blue"]="#0000FF"; colorNames["fuchsia"]="#FF00FF";
+colorNames["aqua"]="#00FFFF"; colorNames["white"]="#FFFFFF";
+colorNames["aliceblue"]="#F0F8FF"; colorNames["antiquewhite"]="#FAEBD7";
+colorNames["aquamarine"]="#7FFFD4"; colorNames["azure"]="#F0FFFF";
+colorNames["beige"]="#F5F5DC"; colorNames["blueviolet"]="#8A2BE2";
+colorNames["brown"]="#A52A2A"; colorNames["burlywood"]="#DEB887";
+colorNames["cadetblue"]="#5F9EA0"; colorNames["chartreuse"]="#7FFF00";
+colorNames["chocolate"]="#D2691E"; colorNames["coral"]="#FF7F50";
+colorNames["cornflowerblue"]="#6495ED"; colorNames["cornsilk"]="#FFF8DC";
+colorNames["crimson"]="#DC143C"; colorNames["darkblue"]="#00008B";
+colorNames["darkcyan"]="#008B8B"; colorNames["darkgoldenrod"]="#B8860B";
+colorNames["darkgray"]="#A9A9A9"; colorNames["darkgreen"]="#006400";
+colorNames["darkkhaki"]="#BDB76B"; colorNames["darkmagenta"]="#8B008B";
+colorNames["darkolivegreen"]="#556B2F"; colorNames["darkorange"]="#FF8C00";
+colorNames["darkorchid"]="#9932CC"; colorNames["darkred"]="#8B0000";
+colorNames["darksalmon"]="#E9967A"; colorNames["darkseagreen"]="#8FBC8F";
+colorNames["darkslateblue"]="#483D8B"; colorNames["darkslategray"]="#2F4F4F";
+colorNames["darkturquoise"]="#00CED1"; colorNames["darkviolet"]="#9400D3";
+colorNames["deeppink"]="#FF1493"; colorNames["deepskyblue"]="#00BFFF";
+colorNames["dimgray"]="#696969"; colorNames["dodgerblue"]="#1E90FF";
+colorNames["firebrick"]="#B22222"; colorNames["floralwhite"]="#FFFAF0";
+colorNames["forestgreen"]="#228B22"; colorNames["gainsboro"]="#DCDCDC";
+colorNames["ghostwhite"]="#F8F8FF"; colorNames["gold"]="#FFD700";
+colorNames["goldenrod"]="#DAA520"; colorNames["greenyellow"]="#ADFF2F";
+colorNames["honeydew"]="#F0FFF0"; colorNames["hotpink"]="#FF69B4";
+colorNames["indianred"]="#CD5C5C"; colorNames["indigo"]="#4B0082";
+colorNames["ivory"]="#FFFFF0"; colorNames["khaki"]="#F0E68C";
+colorNames["lavender"]="#E6E6FA"; colorNames["lavenderblush"]="#FFF0F5";
+colorNames["lawngreen"]="#7CFC00"; colorNames["lemonchiffon"]="#FFFACD";
+colorNames["lightblue"]="#ADD8E6"; colorNames["lightcoral"]="#F08080";
+colorNames["lightcyan"]="#E0FFFF"; colorNames["lightgoldenrodyellow"]="#FAFAD2";
+colorNames["lightgreen"]="#90EE90"; colorNames["lightgrey"]="#D3D3D3";
+colorNames["lightpink"]="#FFB6C1"; colorNames["lightsalmon"]="#FFA07A";
+colorNames["lightseagreen"]="#20B2AA"; colorNames["lightskyblue"]="#87CEFA";
+colorNames["lightslategray"]="#778899"; colorNames["lightsteelblue"]="#B0C4DE";
+colorNames["lightyellow"]="#FFFFE0"; colorNames["limegreen"]="#32CD32";
+colorNames["linen"]="#FAF0E6"; colorNames["mediumaquamarine"]="#66CDAA";
+colorNames["mediumblue"]="#0000CD"; colorNames["mediumorchid"]="#BA55D3";
+colorNames["ediumpurple"]="#9370D"; colorNames["mediumseagreen"]="#3CB371";
+colorNames["mediumslateblue"]="#7B68EE"; colorNames["mediumspringgreen"]="#00FA9A";
+colorNames["mediumturquoise"]="#48D1CC"; colorNames["mediumvioletred"]="#C71585";
+colorNames["midnightblue"]="#191970"; colorNames["mintcream"]="#F5FFFA";
+colorNames["mistyrose"]="#FFE4E1"; colorNames["moccasin"]="#FFE4B5";
+colorNames["navajowhite"]="#FFDEAD"; colorNames["oldlace"]="#FDF5E6";
+colorNames["olivedrab"]="#6B8E23"; colorNames["orange"]="#FFA500";
+colorNames["orangered"]="#FF4500"; colorNames["orchid"]="#DA70D6";
+colorNames["palegoldenrod"]="#EEE8AA"; colorNames["palegreen"]="#98FB98";
+colorNames["paleturquoise"]="#AFEEEE"; colorNames["palevioletred"]="#DB7093";
+colorNames["papayawhip"]="#FFEFD5"; colorNames["peachpuff"]="#FFDAB9";
+colorNames["peru"]="#CD853F"; colorNames["pink"]="#FFC0CB";
+colorNames["plum"]="#DDA0DD"; colorNames["powderblue"]="#B0E0E6";
+colorNames["rosybrown"]="#BC8F8F"; colorNames["royalblue"]="#4169E1";
+colorNames["saddlebrown"]="#8B4513"; colorNames["salmon"]="#FA8072";
+colorNames["sandybrown"]="#F4A460"; colorNames["seagreen"]="#2E8B57";
+colorNames["seashell"]="#FFF5EE"; colorNames["sienna"]="#A0522D";
+colorNames["skyblue"]="#87CEEB"; colorNames["slateblue"]="#6A5ACD";
+colorNames["slategray"]="#708090"; colorNames["snow"]="#FFFAFA";
+colorNames["springgreen"]="#00FF7F"; colorNames["steelblue"]="#4682B4";
+colorNames["tan"]="#D2B48C"; colorNames["thistle"]="#D8BFD8";
+colorNames["tomato"]="#FF6347"; colorNames["turquoise"]="#40E0D0";
+colorNames["violet"]="#EE82EE"; colorNames["wheat"]="#F5DEB3";
+colorNames["whitesmoke"]="#F5F5F5"; colorNames["yellowgreen"]="#9ACD32";
+var canvas_bgcolor = "";
+var canvas_width = 200;
+var canvas_height = 200;
+var canvas_noshade = 0;
+var canvas_nofill = 0;
+var canvas_noshadow = 0;
+var canvas_htmltext = 0;
+var canvas_imgtext = 0;
+var canvas_notext = 0;
+// canvas chart extension
+
+var s5NotesWindow;
+var s5NotesWindowLoaded = false;
+var previousSlide = 0;
+var presentationStart = new Date();
+var slideStart = new Date();
+
+var countdown = {
+ timer: 0,
+ state: 'pause',
+ start: new Date(),
+ end: 0,
+ remaining: 0
+};
+
+var isIE = navigator.appName == 'Microsoft Internet Explorer' && navigator.userAgent.indexOf('Opera') < 1 ? 1 : 0;
+if(isIE) var notIE7 = parseInt(navigator.appVersion) < 7 ? 1 : 0;
+var isOp = navigator.userAgent.indexOf('Opera') > -1 ? 1 : 0;
+var isGe = navigator.userAgent.indexOf('Gecko') > -1 && navigator.userAgent.indexOf('Safari') < 1 ? 1 : 0;
+var isS2 = navigator.userAgent.indexOf('Safari') >= 2 ? 1 : 0;
+
+function hasClass(object, className) {
+ if (!object.className) return false;
+ return (object.className.search('(^|\\s)' + className + '(\\s|$)') != -1);
+}
+
+function hasValue(object, value) {
+ if (!object) return false;
+ return (object.search('(^|\\s)' + value + '(\\s|$)') != -1);
+}
+
+function removeClass(object,className) {
+ if (!object || !hasClass(object,className)) return;
+ object.className = object.className.replace(new RegExp('(^|\\s)'+className+'(\\s|$)'), RegExp.$1+RegExp.$2);
+}
+
+function addClass(object,className) {
+ if (!object || hasClass(object, className)) return;
+ if (object.className) {
+ object.className += ' '+className;
+ } else {
+ object.className = className;
+ }
+}
+
+function changeClass(object,className) {
+ if (!object) return;
+ object.firstChild.className = className;
+}
+
+function GetElementsWithClassName(elementName,className) {
+ var allElements = document.getElementsByTagName(elementName);
+ var elemColl = new Array();
+ for (var i = 0; i< allElements.length; i++) {
+ if (hasClass(allElements[i], className)) {
+ elemColl[elemColl.length] = allElements[i];
+ }
+ }
+ return elemColl;
+}
+
+function isParentOrSelf(element, id) {
+ if (element == null || element.nodeName=='BODY') return false;
+ else if (element.id == id) return true;
+ else return isParentOrSelf(element.parentNode, id);
+}
+
+function nodeValue(node) {
+ var result = "";
+ if (node.nodeType == 1) {
+ var children = node.childNodes;
+ for (var i = 0; i < children.length; ++i) {
+ result += nodeValue(children[i]);
+ }
+ }
+ else if (node.nodeType == 3) {
+ result = node.nodeValue;
+ }
+ return(result);
+}
+
+function slideLabel() {
+ var slideColl = GetElementsWithClassName('*','slide');
+ var list = document.getElementById('jumplist');
+ smax = slideColl.length;
+ for (var n = 0; n < smax; n++) {
+ var obj = slideColl[n];
+ var did = 'slide' + n.toString();
+ obj.setAttribute('id',did);
+ var otext = '';
+ var menu = obj.firstChild;
+ if (!menu) continue; // to cope with empty slides
+ while (menu && menu.nodeType == 3) {
+ menu = menu.nextSibling;
+ }
+ if (!menu) continue; // to cope with slides with only text nodes
+ var menunodes = menu.childNodes;
+ for (var o = 0; o < menunodes.length; o++) {
+ otext += nodeValue(menunodes[o]);
+ }
+ list.options[list.length] = new Option(n + ' : ' + otext, n);
+ }
+}
+
+function currentSlide() {
+ var cs, at, fd, ss;
+ if (document.getElementById) {
+ cs = document.getElementById('currentSlide');
+ } else {
+ cs = document.currentSlide;
+ }
+ fd = fadeModus?"F":"&ndash;";
+ ss = audioSupport?"S":"&ndash;";
+ at = (autoMatic?(playPause?"||":(playLoop?"&gt;0":"&gt;|")):"&ndash;&ndash;");
+ cs.innerHTML = '<div id="plink" nowrap="nowrap">' +
+ '<span id="csFade">[' + fd + ss + ']<\/span>&nbsp;' +
+ '<span id="csHere"><strong>' + snum + '<\/strong><\/span>' +
+ '<span id="csSep">\/<\/span>' +
+ '<span id="csTotal">' + (smax-1) + '<\/span>&nbsp;' +
+ '<span id="csAuto">[' + at + ']<\/span>' +
+ '<\/div>';
+
+ if (snum == 0) {
+ cs.style.visibility = 'hidden';
+ } else {
+ cs.style.visibility = 'visible';
+ }
+}
+
+function go(step) {
+ if (document.getElementById('slideProj').disabled || step == 0) return;
+ jl = document.getElementById('jumplist');
+ cid = 'slide' + snum;
+ var ce = document.getElementById(cid);
+ if (incrementals[snum].length > 0) {
+ for (var i = 0; i < incrementals[snum].length; i++) {
+ removeClass(incrementals[snum][i], 'current');
+ removeClass(incrementals[snum][i], 'incremental');
+ }
+ }
+ if (step != 'j') {
+ snum += step;
+ lmax = smax - 1;
+ if (snum > lmax) snum = lmax;
+ if (snum < 0) snum = 0;
+ }else {
+ snum = parseInt(jl.value);
+ }
+ nid = 'slide' + snum;
+ var ne = document.getElementById(nid);
+ if (!ne) {
+ ne = document.getElementById('slide0');
+ nid = 'slide0';
+ snum = 0;
+ }
+ if (step < 0) {
+ incpos = incrementals[snum].length
+ }else {
+ incpos = 0;
+ }
+ if (incrementals[snum].length > 0 && incpos == 0) {
+ for (var i = 0; i < incrementals[snum].length; i++) {
+ if (hasClass(incrementals[snum][i], 'current')) {
+ incpos = i + 1;
+ }else {
+ addClass(incrementals[snum][i], 'incremental');
+ }
+ }
+ }
+ if (incrementals[snum].length > 0 && incpos > 0) {
+ addClass(incrementals[snum][incpos - 1], 'current');
+ }
+ var guru = document.getElementById('guru');
+ if(guru && snum==0) {
+ guru.style.visibility = 'visible';
+ }else if(guru && snum>0) {
+ guru.style.visibility = 'hidden';
+ }
+ if(tranSitions && s5mode && fadeModus) {
+ if(curSoundID != getSoundID(nid)) {
+ if(curSoundID == bgSoundItem && !sound[getSoundID(nid)]) {
+ }else {fadeoutSound(curSoundID,true); } // audio support
+ }
+ changeOpac(0,nid);
+ changeOpac(100,cid);
+ ce.style.visibility = 'visible';
+ shiftOpacity(cid,fadeDuration);
+ window.setTimeout("changeSlides()",fadeDuration);
+ }else {
+ if(curSoundID != getSoundID(nid)) {
+ if(curSoundID == bgSoundItem && !sound[getSoundID(nid)]) {
+ }else {stopSound(curSoundID); } // audio support
+ }
+ ce.style.visibility = 'hidden';
+ if (isOp) location.hash = nid;
+ ne.style.visibility = 'visible';
+ finishSlides();
+ }
+}
+
+function changeSlides() {
+ if(nid != cid) changeOpac(100,cid);
+ document.getElementById(cid).style.visibility = 'hidden';
+ document.getElementById(nid).style.visibility = 'visible';
+ if (isOp) location.hash = nid;
+ shiftOpacity(nid,fadeDuration);
+ window.setTimeout("finishSlides()",fadeDuration);
+}
+
+function finishSlides() {
+ jl.selectedIndex = snum;
+ currentSlide();
+ loadNote();
+ permaLink();
+ number = undef;
+ if(sound[getSoundID(nid)]) {
+ playSound(nid); // audio support
+ }else if(sound[bgSoundItem] && curSoundID != bgSoundItem) {
+ playSound(bgSoundItem); // audio support
+ }
+}
+
+function goTo(target) {
+ if (target >= smax || target == snum) return;
+ go(target - snum);
+}
+
+function subgo(step) {
+ if (step > 0) {
+ removeClass(incrementals[snum][incpos - 1],'current');
+ removeClass(incrementals[snum][incpos], 'incremental');
+ if(tranSitions && s5mode && fadeModus) {
+ if(!incrementals[snum][incpos].id) {
+ var tmp = new Date(); tid = "inc" + String(tmp.getTime());
+ incrementals[snum][incpos].id = tid;
+ }else {
+ tid = incrementals[snum][incpos].id;
+ }
+ if(typeof(incrementals[snum][incpos].src) != "undefined" || incrementals[snum][incpos].getContext) {
+ changeOpac(0,tid);
+ addClass(incrementals[snum][incpos],'current');
+ shiftOpacity(tid,incrDuration);
+ setTimeout("nextInc()",incrDuration);
+ }else {
+ addClass(incrementals[snum][incpos],'current');
+ nextInc();
+ }
+ }else {
+ addClass(incrementals[snum][incpos],'current');
+ nextInc();
+ }
+ } else {
+ incpos--;
+ removeClass(incrementals[snum][incpos],'current');
+ addClass(incrementals[snum][incpos], 'incremental');
+ addClass(incrementals[snum][incpos - 1],'current');
+ loadNote();
+ }
+}
+
+function nextInc() {
+ incpos++;
+ loadNote();
+}
+
+function toggle() {
+ var slideColl = GetElementsWithClassName('*','slide');
+ var slides = document.getElementById('slideProj');
+ var outline = document.getElementById('outlineStyle');
+ var guru = document.getElementById('guru');
+ if (!slides.disabled) {
+ stopPlay();
+ if(audioSupport && !swfUnloaded) stopAllSounds();
+ slides.disabled = true;
+ outline.disabled = false;
+ s5mode = false;
+ fontSize(1,'em');
+ for (var n = 0; n < smax; n++) {
+ var slide = slideColl[n];
+ slide.style.visibility = 'visible';
+ }
+ if(guru) guru.style.visibility = 'hidden';
+ } else {
+ slides.disabled = false;
+ outline.disabled = true;
+ s5mode = true;
+ fontScale();
+ for (var n = 0; n < smax; n++) {
+ var slide = slideColl[n];
+ slide.style.visibility = 'hidden';
+ }
+ slideColl[snum].style.visibility = 'visible';
+ if(guru && snum==0) guru.style.visibility = 'visible';
+ }
+}
+
+function showHide(action) {
+ var obj = GetElementsWithClassName('*','hideme')[0];
+ switch (action) {
+ case 's':
+ obj.style.visibility = 'visible';
+ break;
+ case 'h':
+ obj.style.visibility = 'hidden';
+ break;
+ case 'k':
+ if (obj.style.visibility != 'visible') {
+ obj.style.visibility = 'visible';
+ } else {
+ obj.style.visibility = 'hidden';
+ }
+ break;
+ }
+}
+
+function keys(key) {
+ if (!key) {
+ key = event;
+ key.which = key.keyCode;
+ }
+ if (helpmode) {
+ dumpHelpReq();
+ return;
+ }
+ if (key.which == 84 && !isOp) {
+ toggle();
+ return;
+ }
+ if (s5mode) {
+ if (autoMatic) {
+ switch (key.which) {
+ case 70: // f/ading on/off
+ switchFade();
+ break;
+ case 83: // s/ound on/off
+ toggleSounds();
+ break;
+ case 67: // c
+ showHide('k');
+ break;
+ case 65: // a/utoplay on/off
+ stopPlay();
+ break;
+ case 76: // l/ooping on/off
+ switchLoop();
+ break;
+ case 80: // p/ause
+ case 32: // spacebar
+ pausePlay();
+ break;
+ }
+ }else {
+ switch (key.which) {
+ case 8: // backspace = HELP
+ createHelpReq();
+ break;
+ case 10: // return
+ case 13: // enter
+ if (window.event && isParentOrSelf(window.event.srcElement, 'controls')) return;
+ if (key.target && isParentOrSelf(key.target, 'controls')) return;
+ if(number != undef) {
+ goTo(number);
+ break;
+ }
+ case 32: // spacebar
+ case 34: // page down
+ case 39: // rightkey
+ case 40: // downkey
+ if(number != undef) {
+ go(number);
+ } else if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ } else {
+ subgo(1);
+ }
+ break;
+ case 33: // page up
+ case 37: // leftkey
+ case 38: // upkey
+ if(number != undef) {
+ go(-1 * number);
+ } else if (!incrementals[snum] || incpos <= 0) {
+ go(-1);
+ } else {
+ subgo(-1);
+ }
+ break;
+ case 65: // a/utoplay
+ startPlay();
+ break;
+ case 72: // h
+ case 36: // home
+ goTo(0);
+ break;
+ case 69: // e
+ case 35: // end
+ goTo(smax-1);
+ break;
+ case 70: // f/ade transitions on/off
+ switchFade();
+ break;
+ case 76: // l/ooping on/off
+ switchLoop();
+ break;
+ case 83: // s/ound support on/off
+ toggleSounds();
+ break;
+ case 27: // escape
+ case 81: // q
+ if(!isOp) byby();
+ break;
+ case 67: // c
+ showHide('k');
+ break;
+ case 78: // n
+ createNotesWindow();
+ break;
+ }
+ if (key.which < 48 || key.which > 57) {
+ number = undef;
+ } else {
+ if (window.event && isParentOrSelf(window.event.srcElement, 'controls')) return;
+ if (key.target && isParentOrSelf(key.target, 'controls')) return;
+ number = (((number != undef) ? number : 0) * 10) + (key.which - 48);
+ }
+ }
+ }
+ return false;
+}
+
+function clicker(e) {
+ number = undef;
+ var target;
+ if (window.event) {
+ target = window.event.srcElement;
+ e = window.event;
+ } else {
+ target = e.target;
+ }
+ if (target.href != null || hasValue(target.rel, 'external') || isParentOrSelf(target, 'controls') || isParentOrSelf(target,'embed') || isParentOrSelf(target,'object')) return true;
+ if (!helpmode) {
+ if (!e.which || e.which == 1) {
+ if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ } else {
+ subgo(1);
+ }
+ }
+ } else {
+ dumpHelpReq();
+ }
+}
+
+function findSlide(hash) {
+ var target = null;
+ var slides = GetElementsWithClassName('*','slide');
+ for (var i = 0; i < slides.length; i++) {
+ var targetSlide = slides[i];
+ if ( (targetSlide.name && targetSlide.name == hash)
+ || (targetSlide.id && targetSlide.id == hash) ) {
+ target = targetSlide;
+ break;
+ }
+ }
+ while(target != null && target.nodeName != 'BODY') {
+ if (hasClass(target, 'slide')) {
+ return parseInt(target.id.slice(5));
+ }
+ target = target.parentNode;
+ }
+ return null;
+}
+
+function slideJump() {
+ if (window.location.hash == null) return;
+ var sregex = /^#slide(\d+)$/;
+ var matches = sregex.exec(window.location.hash);
+ var dest = null;
+ if (matches != null) {
+ dest = parseInt(matches[1]);
+ } else {
+ dest = findSlide(window.location.hash.slice(1));
+ }
+ if (dest != null)
+ go(dest - snum);
+}
+
+function fixLinks() {
+ var thisUri = window.location.href;
+ thisUri = thisUri.slice(0, thisUri.length - window.location.hash.length);
+ var aelements = document.getElementsByTagName('A');
+ for (var i = 0; i < aelements.length; i++) {
+ var a = aelements[i].href;
+ var slideID = a.match('\#slide[0-9]{1,2}');
+ if ((slideID) && (slideID[0].slice(0,1) == '#')) {
+ var dest = findSlide(slideID[0].slice(1));
+ if (dest != null) {
+ if (aelements[i].addEventListener) {
+ aelements[i].addEventListener("click", new Function("e",
+ "if (document.getElementById('slideProj').disabled) return;" +
+ "go("+dest+" - snum); " +
+ "if (e.preventDefault) e.preventDefault();"), true);
+ } else if (aelements[i].attachEvent) {
+ aelements[i].attachEvent("onclick", new Function("",
+ "if (document.getElementById('slideProj').disabled) return;" +
+ "go("+dest+" - snum); " +
+ "event.returnValue = false;"));
+ }
+ }
+ }
+ }
+}
+
+function externalLinks() {
+ if (!document.getElementsByTagName) return;
+ var anchors = document.getElementsByTagName('a');
+ for (var i=0; i<anchors.length; i++) {
+ var anchor = anchors[i];
+ if (anchor.getAttribute('href') && hasValue(anchor.rel, 'external')) {
+ anchor.target = '_blank';
+ addClass(anchor,'external');
+ }
+ }
+}
+
+function permaLink() {
+ document.getElementById('plink').href = window.location.pathname + '#slide' + snum;
+}
+
+function createControls() {
+ var controlsDiv = document.getElementById("controls");
+ if (!controlsDiv) return;
+ var hider = ' onmouseover="showHide(\'s\');" onmouseout="showHide(\'h\');"';
+ var hideDiv, hideList = '';
+ if (controlVis == 'hidden') {
+ hideDiv = hider;
+ } else {
+ hideList = hider;
+ }
+ if(isOp) {
+ var str = '';
+ }else {
+ var str = '<a accesskey="t" id="sheet" title="toggle CSS" href="javascript:toggle();">&plusmn;<\/a>';
+ }
+ if(isIE) {
+ var tmp = "move around&xA0;until the color&xA0;change to red!";
+ }else if(isS2) {
+ var tmp = "move around\r\nuntil the color\r\nchange to red!";
+ }else {
+ var tmp = "move around until color change to red!";
+ }
+ if(isIE) {
+ controlsDiv.innerHTML = str +
+ '<form action="#" id="controlForm"' + hideDiv + '>' +
+ '<div id="navLinks" title="press [backspace] for keyboard help!"><ul>' +
+ '<li id="show-notes"><a href="javascript:createNotesWindow();" accesskey="n" title="show Notes"><\/a><\/li>' +
+ '<li id="toggle"><a href="javascript:toggle();" accesskey="t" title="toggle CSS"><\/a><\/li>' +
+ '<li id="zero"><a href="javascript:goTo(0);" accesskey="h" title="goto Start Slide"><\/a><\/li>' +
+ '<li id="prev"><a href="javascript:go(-1);" accesskey="y" title="previous Slide"><\/a><\/li>' +
+ '<li id="next"><a href="javascript:go(1);" accesskey="x" title="next Slide"><\/a><\/li>' +
+ '<li id="last"><a href="javascript:goTo(smax-1);" accesskey="e" title="goto Last Slide"><\/a><\/li>' +
+ '<li id="lst" style="vertical-align: middle; width: 160px;"><select style="cursor: auto; width: 160px; height: auto;" id="jumplist" title="select named Slide" onchange="go(\'j\');"><\/select><\/li>' +
+ '<li id="auto"><a class="isoff" href="javascript:togglePlay();" accesskey="a" title="Auto Play"><\/a><\/li>' +
+ '<li id="pause"><a class="isoff" href="javascript:pausePlay();" accesskey="p" title="Pause"><\/a><\/li>' +
+ '<li id="loop"><a class="isoff" href="javascript:switchLoop();" accesskey="l" title="Loop"><\/a><\/li>' +
+ '<li id="del" style="vertical-align: middle; width: 52px;"><select style="cursor: auto; width: 52px; height: auto;" id="delaylist" title="select Delay" onchange="setDelay();"><option value="90">90<\/option><option value="75">75<\/option><option value="60">60<\/option><option value="45">45<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="15">15<\/option><option value="10">10<\/option><option value="5">5<\/option><\/select><\/li>' +
+ '<\/ul><\/div><\/form>';
+ }else {
+ controlsDiv.innerHTML = str +
+ '<form action="#" id="controlForm"' + hideDiv + '>' +
+ '<div id="navLinks" title="press [backspace] or double click this area for keyboard help!" ondblclick="createHelpReq();"><ul>' +
+ '<li id="exit"><a href="javascript:byby();" accesskey="q" title="exit Show"><\/a><\/li>' +
+ '<li id="show-notes"><a href="javascript:createNotesWindow();" accesskey="n" title="show Notes"><\/a><\/li>' +
+ '<li id="toggle"><a href="javascript:toggle();" accesskey="t" title="toggle CSS"><\/a><\/li>' +
+ '<li class="vr"><\/li>' +
+ '<li id="zero"><a href="javascript:goTo(0);" accesskey="h" title="goto Start Slide"><\/a><\/li>' +
+ '<li id="prev"><a href="javascript:go(-1);" accesskey="y" title="previous Slide"><\/a><\/li>' +
+ '<li id="next"><a href="javascript:go(1);" accesskey="x" title="next Slide"><\/a><\/li>' +
+ '<li id="last"><a href="javascript:goTo(smax-1);" accesskey="e" title="goto Last Slide"><\/a><\/li>' +
+ '<li id="lst"><a id="list" style="cursor:wait;" title="' + tmp + '"><\/a><select id="jumplist" title="select named Slide" onchange="go(\'j\');"><\/select><\/li>' +
+ '<li id="fadeLinks" class="vr"><\/li>' +
+ '<li id="fade"><a class="isoff" href="javascript:switchFade();" accesskey="f" title="Transions"><\/a><\/li>' +
+ '<li id="audioLinks" class="vr"><\/li>' +
+ '<li id="audio"><a class="isoff" href="javascript:toggleSounds();" accesskey="s" title="Sounds" ><\/a><\/li>' +
+ '<li id="vol"><a id="volume" style="cursor:wait;" title="' + tmp + '"><\/a><select id="volumelist" title="select Volume" onchange="setVolume();"><option value="100">100<\/option><option value="90">90<\/option><option value="80">80<\/option><option value="70">70<\/option><option value="60">60<\/option><option value="50">50<\/option><option value="40">40<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="10">10<\/option><option value="0">0<\/option><\/select><\/li>' +
+ '<li id="autoLinks" class="vr"><\/li>' +
+ '<li id="auto"><a class="isoff" href="javascript:togglePlay();" accesskey="a" title="Auto Play"><\/a><\/li>' +
+ '<li id="pause"><a class="isoff" href="javascript:pausePlay();" accesskey="p" title="Pause"><\/a><\/li>' +
+ '<li id="loop"><a class="isoff" href="javascript:switchLoop();" accesskey="l" title="Loop"><\/a><\/li>' +
+ '<li id="del"><a id="delay" style="cursor:wait;" title="' + tmp + '"><\/a><select id="delaylist" title="select Delay" onchange="setDelay();"><option value="90">90<\/option><option value="75">75<\/option><option value="60">60<\/option><option value="45">45<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="15">15<\/option><option value="10">10<\/option><option value="5">5<\/option><\/select><\/li>' +
+ '<\/ul>' +
+ '<a href="http://s5.netzgesta.de" target="_blank" title="S5 Reloaded"><img style="position: absolute; right: 0; bottom: 0;" src="data:image/png;charset=utf-8;base64,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" width="20" height="32" alt="S5" \/><\/a>' +
+ '<\/div><\/form>';
+ }
+ if (controlVis == 'hidden') {
+ var hidden = document.getElementById('navLinks');
+ } else {
+ var hidden = document.getElementById('jumplist');
+ }
+ addClass(hidden,'hideme');
+}
+
+function fontScale() { // causes layout problems in FireFox that get fixed if browser's Reload is used; same may be true of other Gecko-based browsers
+ if (!s5mode && !isOp) return false;
+ var hScreen = screen.width; var vScreen = screen.height;
+ var vWindow = window.outerHeight; var hWindow = window.outerWidth;
+ if (isOp && s5mode && defaultView=='slideshow' && ((hScreen != hWindow) || (vScreen != vWindow))) {
+ toggle();
+ return false;
+ }
+ if (isOp && !s5mode && ((hScreen != hWindow) || (vScreen != vWindow))) return false;
+ if (isOp && !s5mode && (hScreen == hWindow) && (vScreen == vWindow)) toggle();
+ var vScale = 48; // both yield 16 (the usual browser default) at 1024x768
+ var hScale = 64; // perhaps should auto-calculate based on theme's declared value?
+ if (window.innerHeight) {
+ var vSize = window.innerHeight;
+ var hSize = window.innerWidth;
+ } else if (document.documentElement.clientHeight) {
+ var vSize = document.documentElement.clientHeight;
+ var hSize = document.documentElement.clientWidth;
+ } else if (document.body.clientHeight) {
+ var vSize = document.body.clientHeight;
+ var hSize = document.body.clientWidth;
+ } else {
+ var vSize = 700; // assuming 1024x768, minus chrome and such equals 8:5
+ var hSize = 1024; // these do not account for kiosk mode or Opera Show
+ }
+ var newSize = Math.min(Math.round(vSize/vScale),Math.round(hSize/hScale));
+ extendImgSizes(newSize); // scalable images extension
+ extendCanSizes(newSize); // scalable canvas extension
+ extendObjSizes(newSize); // scalable object extension
+ fontSize(newSize,"px");
+ if(!isS2 || firstTime==0) {
+ generateCanvas(); // dynamic canvas extension
+ }
+ if (isGe) { // hack to counter incremental reflow bugs
+ var obj = document.getElementsByTagName('body')[0];
+ obj.style.visibility = 'hidden';
+ obj.style.display = 'none';
+ obj.style.display = 'block';
+ obj.style.visibility = 'visible';
+ changeOpac(100,'slide' + snum);
+ shiftOpacity('slide' + snum,10);
+ window.setTimeout("fixReflow()",10);
+ }else {
+ setListPos(); // invisible select extension
+ }
+}
+
+function fixReflow() {
+ shiftOpacity('slide' + snum,10);
+ window.setTimeout("finishReflow()",10);
+}
+function finishReflow() {
+ setListPos(); // invisible select extension
+}
+
+function fontSize(val,fmt) {
+ var value = val + fmt;
+ if (!(s5ss = document.getElementById('s5ss'))) {
+ if (!document.createStyleSheet) {
+ document.getElementsByTagName('head')[0].appendChild(s5ss = document.createElement('style'));
+ s5ss.setAttribute('media','screen, projection');
+ s5ss.setAttribute('id','s5ss');
+ } else {
+ document.createStyleSheet();
+ document.s5ss = document.styleSheets[document.styleSheets.length - 1];
+ }
+ }
+ if (!(document.s5ss && document.s5ss.addRule)) {
+ while (s5ss.lastChild) s5ss.removeChild(s5ss.lastChild);
+ s5ss.appendChild(document.createTextNode('html {font-size: ' + value + ' !important;}'));
+ } else {
+ document.s5ss.addRule('html','font-size: ' + value + ' !important;');
+ }
+}
+
+function windowChange() {
+ fontScale();
+}
+
+function notOperaFix() {
+ slideCSS = document.getElementById('slideProj').href;
+ var slides = document.getElementById('slideProj');
+ var outline = document.getElementById('outlineStyle');
+ slides.setAttribute('media','screen');
+ outline.disabled = true;
+ if (isGe) {
+ slides.setAttribute('href','null'); // Gecko fix
+ slides.setAttribute('href',slideCSS); // Gecko fix
+ }
+ if ((isIE && notIE7) && document.styleSheets && document.styleSheets[0]) {
+ document.styleSheets[0].addRule('img', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ document.styleSheets[0].addRule('div', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ document.styleSheets[0].addRule('.slide', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ }
+}
+
+function getIncrementals(obj) {
+ var incrementals = new Array();
+ if (!obj)
+ return incrementals;
+ var children = obj.childNodes;
+ for (var i = 0; i < children.length; i++) {
+ var child = children[i];
+ if (hasClass(child, 'incremental')) {
+ if (child.nodeName == 'OL' || child.nodeName == 'UL') {
+ removeClass(child, 'incremental');
+ for (var j = 0; j < child.childNodes.length; j++) {
+ if (child.childNodes[j].nodeType == 1) {
+ addClass(child.childNodes[j], 'incremental');
+ }
+ }
+ } else {
+ incrementals[incrementals.length] = child;
+ removeClass(child,'incremental');
+ }
+ }
+ if (hasClass(child, 'show-first')) {
+ if (child.nodeName == 'OL' || child.nodeName == 'UL') {
+ removeClass(child, 'show-first');
+ if (child.childNodes[isGe].nodeType == 1) {
+ removeClass(child.childNodes[isGe], 'incremental');
+ }
+ } else {
+ incrementals[incrementals.length] = child;
+ }
+ }
+ incrementals = incrementals.concat(getIncrementals(child));
+ }
+ return incrementals;
+}
+
+function createIncrementals() {
+ var incrementals = new Array();
+ for (var i = 0; i < smax; i++) {
+ incrementals[i] = getIncrementals(document.getElementById('slide'+i));
+ }
+ return incrementals;
+}
+
+function trap(e) {
+ if (!e) {
+ e = event;
+ e.which = e.keyCode;
+ }
+ try {
+ modifierKey = e.ctrlKey || e.altKey || e.metaKey;
+ }
+ catch(e) {
+ modifierKey = false;
+ }
+ return modifierKey || e.which == 0;
+}
+
+// notes extension
+function noteLabel() { // Gives notes id's to match parent slides
+ var notes = GetElementsWithClassName('div','notes');
+ for (var i = 0; i < notes.length; i++) {
+ var note = notes[i];
+ var id = 'note' + note.parentNode.id.substring(5);
+ note.setAttribute('id',id);
+ }
+ resetElapsedSlide();
+ resetRemainingTime();
+ window.setInterval('updateElaspedTime()', 1000);
+}
+
+function createNotesWindow() { // creates a window for our notes
+ if (!s5NotesWindow || s5NotesWindow.closed) { // Create the window if it doesn't exist
+ s5NotesWindowLoaded = false;
+ // Note: Safari has a tendency to ignore window options preferring to default to the settings of the parent window, grr.
+ s5NotesWindow = window.open(s5Path+'ui/s5-notes.html', 's5NotesWindow', 'top=0,left=0');
+ }
+ if (s5NotesWindowLoaded) { // Load the current note if the Note HTML has loaded
+ loadNote();
+ } else { // Keep trying...
+ window.setTimeout('createNotesWindow()', 50);
+ }
+}
+
+function loadNote() {
+// Loads a note into the note window
+ var notes = nextNotes = '<em class="disclaimer">There are no notes for this slide.</em>';
+ if (document.getElementById('note' + snum)) {
+ notes = document.getElementById('note' + snum).innerHTML;
+ }
+ if (document.getElementById('note' + (snum + 1))) {
+ nextNotes = document.getElementById('note' + (snum + 1)).innerHTML;
+ }
+
+ var jl = document.getElementById('jumplist');
+ var slideTitle = jl.options[jl.selectedIndex].text.replace(/^\d+\s+:\s+/, '') + ((jl.selectedIndex) ? ' (' + jl.selectedIndex + '/' + (smax - 1) + ')' : '');
+ if (incrementals[snum].length > 0) {
+ slideTitle += ' <small>[' + incpos + '/' + incrementals[snum].length + ']</small>';
+ }
+ if (jl.selectedIndex < smax - 1) {
+ var nextTitle = jl.options[jl.selectedIndex + 1].text.replace(/^\d+\s+:\s+/, '') + ((jl.selectedIndex + 1) ? ' (' + (jl.selectedIndex + 1) + '/' + (smax - 1) + ')' : '');
+ } else {
+ var nextTitle = '[end of slide show]';
+ }
+
+ if (s5NotesWindow && !s5NotesWindow.closed && s5NotesWindow.document) {
+ s5NotesWindow.document.getElementById('slide').innerHTML = slideTitle;
+ s5NotesWindow.document.getElementById('notes').innerHTML = notes;
+ s5NotesWindow.document.getElementById('next').innerHTML = nextTitle;
+ s5NotesWindow.document.getElementById('nextnotes').innerHTML = nextNotes;
+ }
+ resetElapsedSlide();
+}
+
+function minimizeTimer(id) {
+ var obj = s5NotesWindow.document.getElementById(id);
+ if (hasClass(obj,'collapsed')) {
+ removeClass(obj,'collapsed');
+ } else {
+ addClass(obj,'collapsed');
+ }
+}
+
+function resetElapsedTime() {
+ presentationStart = new Date();
+ slideStart = new Date();
+ updateElaspedTime();
+}
+
+function resetElapsedSlide() {
+ if (snum != previousSlide) {
+ slideStart = new Date();
+ previousSlide = snum;
+ updateElaspedTime();
+ }
+}
+
+function updateElaspedTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var now = new Date();
+ var ep = s5NotesWindow.document.getElementById('elapsed-presentation');
+ var es = s5NotesWindow.document.getElementById('elapsed-slide');
+ ep.innerHTML = formatTime(now.valueOf() - presentationStart.valueOf());
+ es.innerHTML = formatTime(now.valueOf() - slideStart.valueOf());
+}
+
+function resetRemainingTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var startField = s5NotesWindow.document.getElementById('startFrom');
+ startFrom = readTime(startField.value);
+ countdown.remaining = startFrom * 60000; // convert to msecs
+ countdown.start = new Date().valueOf();
+ countdown.end = countdown.start + countdown.remaining;
+ var tl = s5NotesWindow.document.getElementById('timeLeft');
+ var timeLeft = formatTime(countdown.remaining);
+ tl.innerHTML = timeLeft;
+}
+
+function updateRemainingTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var tl = s5NotesWindow.document.getElementById('timeLeft');
+ var now = new Date();
+ if (countdown.state == 'run') {
+ countdown.remaining = countdown.end - now;
+ }
+ tl.style.color = '';
+ tl.style.backgroundColor = '';
+ if (countdown.remaining >= 0) {
+ var timeLeft = formatTime(countdown.remaining);
+ removeClass(tl,'overtime');
+ if (countdown.remaining < 300000) {
+ tl.style.color = 'rgb(' + (255-Math.round(countdown.remaining/2000)) + ',0,0)';
+ tl.style.backgroundColor = 'rgb(255,255,' + (Math.round(countdown.remaining/2000)) + ')';
+ }
+ } else {
+ var timeLeft = '-' + formatTime(-countdown.remaining);
+ addClass(tl,'overtime');
+ }
+ tl.innerHTML = timeLeft;
+}
+
+function toggleRemainingTime() {
+ if (countdown.state == 'pause') countdown.state = 'run'; else countdown.state = 'pause';
+ if (countdown.state == 'pause') {
+ window.clearInterval(countdown.timer);
+ }
+ if (countdown.state == 'run') {
+ countdown.start = new Date().valueOf();
+ countdown.end = countdown.start + countdown.remaining;
+ countdown.timer = window.setInterval('updateRemainingTime()', 1000);
+ }
+}
+
+function alterRemainingTime(amt) {
+ var change = amt * 60000; // convert to msecs
+ countdown.end += change;
+ countdown.remaining += change;
+ updateRemainingTime();
+}
+
+function formatTime(msecs) {
+ var time = new Date(msecs);
+
+ var hrs = time.getUTCHours() + ((time.getUTCDate() -1) * 24); // I doubt anyone will spend more than 24 hours on a presentation or single slide but just in case...
+ hrs = (hrs < 10) ? '0'+hrs : hrs;
+ if (hrs == 'NaN' || isNaN(hrs)) hrs = '--';
+
+ var min = time.getUTCMinutes();
+ min = (min < 10) ? '0'+min : min;
+ if (min == 'NaN' || isNaN(min)) min = '--';
+
+ var sec = time.getUTCSeconds();
+ sec = (sec < 10) ? '0'+sec : sec;
+ if (sec == 'NaN' || isNaN(sec)) sec = '--';
+
+ return hrs + ':' + min + ':' + sec;
+}
+
+function readTime(val) {
+ var sregex = /:/;
+ var matches = sregex.exec(val);
+ if (matches == null) {
+ return val;
+ } else {
+ var times = val.split(':');
+ var hours = parseInt(times[0]);
+ var mins = parseInt(times[1]);
+ var total = (hours * 60) + mins;
+ return total;
+ }
+}
+// notes extension
+
+// startup process
+function createSlideShow() {
+ defaultCheck();
+ if(!isIE) createDetector(); // (degrade IE) scalable images extension
+ if(opac!=0 || isIE) { // &&!isIE (degrade IE)
+ tranSitions = false;
+ fadeModus = false;
+ }
+ if(tranSitions && document.getElementById && document.createElement){
+ createProgress();
+ var nop=document.getElementById('StartupControl');
+ nop.onload = dumpProgress;
+ }else {
+ startup();
+ showAll();
+ setListPos(true); // invisible select extension
+ panelSetup();
+ audioSetup(); // audio extension
+ if(isS2 && firstTime>=1) {
+ generateCanvas(); // dynamic canvas extension
+ } firstTime = 0;
+ }
+}
+
+function defaultCheck() {
+ var allMetas = document.getElementsByTagName('meta');
+ for (var i = 0; i< allMetas.length; i++) {
+ if (allMetas[i].name == 'defaultView') {
+ defaultView = allMetas[i].content;
+ }
+ if (allMetas[i].name == 'controlVis') {
+ controlVis = allMetas[i].content;
+ }
+ if (allMetas[i].name == 'tranSitions') {
+ tranSitions = (allMetas[i].content == "true") ? true : false;
+ fadeModus = (tranSitions == true) ? true : false;
+ }
+ if (allMetas[i].name == 'fadeDuration') {
+ var tmp = parseInt(allMetas[i].content);
+ fadeDuration = Math.max(200,Math.min(tmp,2000));
+ }
+ if (allMetas[i].name == 'incrDuration') {
+ var tmp = parseInt(allMetas[i].content);
+ incrDuration = Math.max(50,Math.min(tmp,500));
+ }
+ if (allMetas[i].name == 'autoMatic') {
+ autoMatic = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'playLoop') {
+ playLoop = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'playDelay') {
+ var tmp = parseInt(allMetas[i].content);
+ playDelay = Math.max(5,Math.min(tmp,90))*1000;
+ playDelay = (fadeModus == true) ? (playDelay+(2*fadeDuration)) : playDelay;
+ }
+ if (allMetas[i].name == 'audioSupport') {
+ audioSupport = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'audioVolume') {
+ var tmp = parseInt(allMetas[i].content);
+ audioVolume = Math.max(0,Math.min(tmp,100));
+ }
+ if (allMetas[i].name == 'audioError') {
+ audioError = (allMetas[i].content == "true") ? true : false;
+ }
+ }
+}
+
+function createProgress() {
+ var obj = document.getElementsByTagName("body")[0].firstChild;
+ var pg = document.createElement('div');
+ pg.id = "StartupProgress";
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=100)";
+ }else {
+ pg.style.opacity = 1.0;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(255, 255, 255)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/progress.gif)";
+ document.getElementsByTagName("body")[0].insertBefore(pg,obj);
+
+ var im = document.createElement('img');
+ im.id = "StartupControl";
+ im.src = s5Path+"ui/graphic_support/blank.gif?" + new Date().valueOf();
+ document.getElementsByTagName("body")[0].appendChild(im);
+}
+
+function startup() {
+ createControls(); // hallvord
+ slideLabel();
+ incrementals = createIncrementals();
+ noteLabel(); // [SI:060104] must follow slideLabel()
+ loadNote();
+ fixLinks();
+ externalLinks();
+ fontScale();
+ if (!isOp) {
+ if(isIE) {
+ document.getElementById('lst').style.backgroundImage = 'none';
+ document.getElementById('del').style.backgroundImage = 'none';
+ }else {
+ document.getElementById('audio').style.backgroundImage = 'none';
+ }
+ notOperaFix();
+ }else if(isOp) {
+ var obj = document.getElementById('exit');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('exit').style.opacity = 0.5;
+ obj = document.getElementById('toggle');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('toggle').style.opacity = 0.5;
+ document.getElementById('jumplist').style.visibility = 'hidden';
+ document.getElementById('list').style.visibility = 'hidden';
+ document.getElementById('lst').style.opacity = 0.5;
+ obj = document.getElementById('audio');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('audio').style.opacity = 0.5;
+ document.getElementById('volumelist').style.visibility = 'hidden';
+ document.getElementById('volume').style.visibility = 'hidden';
+ document.getElementById('vol').style.opacity = 0.5;
+ document.getElementById('delaylist').style.visibility = 'hidden';
+ document.getElementById('delay').style.visibility = 'hidden';
+ document.getElementById('del').style.opacity = 0.5;
+ }
+ slideJump();
+ if (defaultView == 'outline') toggle();
+ document.onkeyup = keys;
+ document.onkeypress = trap;
+ document.onclick = clicker;
+}
+
+function preloadImgages() {
+ var temp = '';
+ var objects = document.getElementsByTagName('img');
+ for (var i=0; i < objects.length; i++) {
+ if(objects[i].src != '') {
+ temp = new Image();
+ temp.src = objects[i].src;
+ }
+ }
+}
+
+function showAll() {
+ var obj1 = GetElementsWithClassName('div','presentation')[0];
+ if(!obj1) var obj1 = GetElementsWithClassName('ol','presentation')[0];
+ var obj2 = GetElementsWithClassName('div','layout')[0];
+ if(!obj1){}else {obj1.style.display = 'block'};
+ if(!obj2){}else {obj2.style.display = 'block'};
+}
+
+function dumpProgress() {
+ document.body.removeChild(document.getElementById('StartupControl'));
+ startup();
+ preloadImgages();
+ showAll();
+ createSoundManagerScript();
+ shiftOpacity('StartupProgress',1000);
+ window.setTimeout("removeProgress()",1000);
+}
+
+function removeProgress() {
+ document.body.removeChild(document.getElementById('StartupProgress'));
+ setListPos(true); // invisible select extension
+ panelSetup();
+ audioSetup(); // audio extension
+ if(isS2 && firstTime>=1) {
+ generateCanvas(); // dynamic canvas extension
+ } firstTime = 0;
+}
+function panelSetup() {
+ if(playPause) {
+ var obj = document.getElementById('pause'); changeClass(obj,'ison');
+ }
+ if(playLoop) {
+ var obj = document.getElementById('loop'); changeClass(obj,'ison');
+ }
+ if(audioSupport && !isIE && !isOp) {
+ var obj = document.getElementById('audio'); changeClass(obj,'ison');
+ }
+ if(fadeModus && !isIE) {
+ var obj = document.getElementById('fade'); changeClass(obj,'ison');
+ }
+ if(autoMatic) {
+ var obj = document.getElementById('auto'); changeClass(obj,'ison');
+ startPlay();
+ }
+ if(audioVolume && !isIE && !isOp) {
+ var idx = 0;
+ if(audioVolume >= 95 && audioVolume <= 100) {idx = 0;}
+ else if(audioVolume >= 85 && audioVolume < 95) {idx = 1;}
+ else if(audioVolume >= 75 && audioVolume < 85) {idx = 2;}
+ else if(audioVolume >= 65 && audioVolume < 75) {idx = 3;}
+ else if(audioVolume >= 55 && audioVolume < 65) {idx = 4;}
+ else if(audioVolume >= 45 && audioVolume < 55) {idx = 5;}
+ else if(audioVolume >= 35 && audioVolume < 45) {idx = 6;}
+ else if(audioVolume >= 25 && audioVolume < 35) {idx = 7;}
+ else if(audioVolume >= 15 && audioVolume < 25) {idx = 8;}
+ else if(audioVolume >= 5 && audioVolume < 15) {idx = 9;}
+ else {idx = 10;}
+ document.getElementById('volumelist').selectedIndex = idx;
+ }
+ if(playDelay && !isOp) {
+ var idx = 0; var vol = parseInt(playDelay/1000);
+ if(vol >= 83 && vol <= 90) {idx = 0;}
+ else if(vol >= 68 && vol < 83) {idx = 1;}
+ else if(vol >= 53 && vol < 68) {idx = 2;}
+ else if(vol >= 38 && vol < 53) {idx = 3;}
+ else if(vol >= 25 && vol < 38) {idx = 4;}
+ else if(vol >= 18 && vol < 25) {idx = 5;}
+ else if(vol >= 13 && vol < 18) {idx = 6;}
+ else if(vol >= 8 && vol < 13) {idx = 7;}
+ else {idx = 8;}
+ document.getElementById('delaylist').selectedIndex = idx;
+ }
+}
+// startup process
+
+// shutdown process
+function byby() {
+ stopPlay();
+ if(tranSitions && fadeModus && s5mode && !isOp) {
+ fadeoutSound(curSoundID,true); // audio support
+ var pg = document.createElement('div');
+ pg.id = "GoodBy";
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=0)";
+ }else {
+ pg.style.opacity = 0.0;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(255, 255, 255)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/finish.gif)";
+ document.getElementsByTagName("body")[0].appendChild(pg);
+ shiftOpacity('GoodBy',1000);
+ window.setTimeout("history.back()",1000);
+ }else {
+ stopSound(curSoundID);
+ history.back();
+ }
+}
+// shutdown process
+
+// scalable images extension
+function createDetector() {
+ var em = document.createElement('div');
+ em.id='EMSizeControl'; em.style.position="absolute"; em.style.left="-999px";
+ em.style.width="1em"; em.style.height="1em"; em.style.opacity=0.0;
+ document.getElementsByTagName("body")[0].appendChild(em);
+ var nop=document.getElementById('EMSizeControl');
+ if(!nop||findPosX(nop)!=-999) {}else {
+ opac=document.getElementById('EMSizeControl').style.opacity;
+ empx=document.getElementById('EMSizeControl').offsetHeight;
+ document.body.removeChild(document.getElementById('EMSizeControl'));
+ var objects = document.getElementsByTagName('img');
+ var j = 0; var i = 0; var k = 0; var d; var obj;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ images[j] = objects[i];
+ ++j;
+ }
+ }
+ var objects = document.getElementsByTagName('canvas'); j = 0;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ canvas[objects[i].id] = objects[i];
+ if(j==0) {
+ if(objects[i].getContext) {
+ canvasSupport = true;
+ }
+ ++j;
+ }
+ }
+ }
+ if(canvasSupport!=true) {
+ for (d in canvas) {
+ try {
+ canvas[d].setAttribute("width",1);
+ canvas[d].setAttribute("height",1);
+ } catch (e) {
+ }
+ }
+ }
+ var objects = document.getElementsByTagName('table');
+ j = 0; k = 0; l = 0; var w; var h; var tmp; var cnt;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^piechart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ piecharts[j] = objects[i];
+ em = piecharts[j].getAttribute("summary");
+ if(em != "") em = "," + em;
+ piecharts[j].setAttribute("summary", w + "," + h + em);
+ ++j;
+ }
+ }
+ }
+ if(objects[i].className.match(/^barchart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ barcharts[k] = objects[i];
+ em = barcharts[k].getAttribute("summary");
+ if(em != "") em = "," + em;
+ barcharts[k].setAttribute("summary", w + "," + h + em);
+ ++k;
+ }
+ }
+ }
+ if(objects[i].className.match(/^linechart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ linecharts[l] = objects[i];
+ em = linecharts[l].getAttribute("summary");
+ if(em != "") em = "," + em;
+ linecharts[l].setAttribute("summary", w + "," + h + em);
+ ++l;
+ }
+ }
+ }
+ }
+ objects = document.getElementsByTagName('object'); j = 0; i = 0;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ medias[j] = objects[i]; ++j;
+ if(!isIE) {
+ if(objects[i].getAttributeNode("classid")) objects[i].removeAttributeNode(objects[i].getAttributeNode("classid"));
+ if(objects[i].getAttributeNode("codebase")) objects[i].removeAttributeNode(objects[i].getAttributeNode("codebase"));
+ }
+ }
+ }
+ }
+}
+function extendImgSizes(f) {
+ if(empx>0) {
+ var q = (f/empx); var w = 0; var h = 0;
+ for(var i=0; i < images.length; i++) {
+ w=images[i].getAttribute("width",0);
+ h=images[i].getAttribute("height",0);
+ if(w>0&&h>0) {
+ images[i].style.width=Math.floor(w*q)+"px";
+ images[i].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+}
+
+function extendCanSizes(f) {
+ if(empx>0 && canvasSupport) {
+ var q = (f/empx); var w = 0; var h = 0; var tmp = ""; var cnt; var obj;
+ for(var i=0; i < piecharts.length; i++) {
+ if(piecharts[i].getAttribute("summary")) {
+ tmp = piecharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = piecharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ for(var i=0; i < barcharts.length; i++) {
+ if(barcharts[i].getAttribute("summary")) {
+ tmp = barcharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = barcharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ for(var i=0; i < linecharts.length; i++) {
+ if(linecharts[i].getAttribute("summary")) {
+ tmp = linecharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = linecharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ }
+}
+function extendObjSizes(f) {
+ if(empx>0) {
+ var q = (f/empx); var w = 0; var h = 0;
+ for(var i=0; i < medias.length; i++) {
+ w=medias[i].getAttribute("width",0);
+ h=medias[i].getAttribute("height",0);
+ if(w>0&&h>0) {
+ medias[i].style.width=Math.floor(w*q)+"px";
+ medias[i].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+}
+function findPosX(obj) {
+ var posLeft = 0;
+ while (obj.offsetParent) {
+ posLeft += obj.offsetLeft;
+ obj = obj.offsetParent;
+ }
+ return posLeft;
+}
+function findPosY(obj) {
+ var posTop = 0;
+ while (obj.offsetParent) {
+ posTop += obj.offsetTop;
+ obj = obj.offsetParent;
+ }
+ return posTop;
+}
+// scalable images extension
+
+// canvas chart extension
+function deg2rad(degrees) {
+ return Math.PI *degrees/180;
+}
+function rad2deg(radians) {
+ return 180.0 *radians/Math.PI;
+}
+function circle_point_x(radians, diameter) {
+ var x = Math.cos(radians)*(diameter/2);
+ return x;
+}
+function circle_point_y(radians, diameter) {
+ var y = Math.sin(radians)*(diameter/2);
+ return y;
+}
+function roundTo(val,dig) {
+ var num = val;
+ if (val > 8191 && val < 10485) {
+ val = val-5000;
+ num = Math.round(val*Math.pow(10,dig))/Math.pow(10,dig);
+ num = num+5000;
+ } else {
+ num = Math.round(val*Math.pow(10,dig))/Math.pow(10,dig);
+ }
+ return num;
+}
+function searchColor(value) {
+ for (var dat in colorNames) {
+ if(dat==value) return colorNames[dat];
+ }
+ return false;
+}
+function scanColor(value) {
+ if(value.match(/^#[0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ var val1 = value.substr(1,1).toLowerCase();
+ var val2 = value.substr(2,1).toLowerCase();
+ var val3 = value.substr(3,1).toLowerCase();
+ value = '#' + val1 + val1 + val2 + val2 + val3 + val3;
+ }
+ if(!value.match(/^#[0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ var tmp = searchColor(value.toLowerCase());
+ if(!tmp) {}else{value = tmp;}
+ }
+ if(!value.match(/^#[0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ value = '#000000';
+ }
+ return value.toLowerCase();
+}
+function hex2rgb(val,trans) {
+ if(val.length==7) {
+ var tp1 = Math.max(0,Math.min(parseInt(val.substr(1,2),16),255));
+ var tp2 = Math.max(0,Math.min(parseInt(val.substr(3,2),16),255));
+ var tp3 = Math.max(0,Math.min(parseInt(val.substr(5,2),16),255));
+ return 'rgba(' + tp1 + ',' + tp2 + ',' + tp3 + ',' + trans + ')';
+ }
+}
+function trim(str) {
+ return (str.replace(/\s+$/,"").replace(/^\s+/,""));
+}
+function roundedRect(ctx,x,y,width,height,radius){
+ ctx.beginPath();
+ ctx.moveTo(x,y+radius);
+ ctx.lineTo(x,y+height-radius);
+ ctx.quadraticCurveTo(x,y+height,x+radius,y+height);
+ ctx.lineTo(x+width-radius,y+height);
+ ctx.quadraticCurveTo(x+width,y+height,x+width,y+height-radius);
+ ctx.lineTo(x+width,y+radius);
+ ctx.quadraticCurveTo(x+width,y,x+width-radius,y);
+ ctx.lineTo(x+radius,y);
+ ctx.quadraticCurveTo(x,y,x,y+radius);
+ ctx.closePath();
+}
+function drawString(ctx, text, fc, tx, ty) {
+ var xp = 0; var c = "";
+ ctx.beginPath();
+ for (var i = 0; i < text.length; i++) {
+ c = text[i];
+ ctx.drawImage(font, signs[c].sx, signs[c].sy, signs[c].sw, signs[c].sh, tx+xp, ty, signs[c].sw*fc, signs[c].sh*fc);
+ xp += (signs[c].sw*fc);
+ }
+ ctx.closePath();
+}
+function strokeString(ctx, txt, col, fh, tx, ty) {
+ var fw = fh*0.666666; var lw = fh*0.125;
+ var ls = lw/2; var cr = lw; var xp = 0;
+ ctx.lineCap = "round"; ctx.lineJoin = "round"
+ ctx.lineWidth = lw; ctx.strokeStyle = col;
+ for (var i = 0; i < txt.length; i++) {
+ strokeSymbol(ctx, txt[i], ls, tx+xp, ty, fw, fh);
+ xp += (txt[i]!="."?fw+cr:(fw/2)+cr);
+ }
+}
+function strokeSymbol(ctx, symbol, fc, cx, cy, cw, ch) {
+ ctx.beginPath();
+ switch (symbol) {
+ case "0":
+ ctx.moveTo(cx+fc,cy+(ch*0.333333));
+ ctx.arc(cx+(cw/2),cy+(cw/2),(cw/2)-fc,deg2rad(180),0, false);
+ ctx.arc(cx+(cw/2),(cy+ch)-(cw/2),(cw/2)-fc,0,deg2rad(180), false);
+ ctx.closePath();
+ break;
+ case "1":
+ ctx.moveTo(cx+(cw*0.1)+fc,cy+ch-fc);
+ ctx.lineTo(cx+cw-fc,cy+ch-fc);
+ ctx.moveTo(cx+(cw*0.666666),cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.666666),cy+fc);
+ ctx.lineTo(cx+(cw*0.25),cy+(ch*0.25));
+ break;
+ case "2":
+ ctx.moveTo(cx+cw-fc,cy+(ch*0.8));
+ ctx.lineTo(cx+cw-fc,cy+ch-fc);
+ ctx.lineTo(cx+fc,cy+ch-fc);
+ ctx.arc(cx+(cw/2),cy+(cw*0.425),(cw*0.425)-fc,deg2rad(45),deg2rad(-180), true);
+ break;
+ case "3":
+ ctx.moveTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.9)-fc,cy+fc);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-90),deg2rad(180), false);
+ break;
+ case "4":
+ ctx.moveTo(cx+(cw*0.75),cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.75),cy+fc);
+ ctx.moveTo(cx+cw-fc,cy+(ch*0.666666));
+ ctx.lineTo(cx+fc,cy+(ch*0.666666));
+ ctx.lineTo(cx+(cw*0.75),cy+fc);
+ ctx.moveTo(cx+cw-fc,cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.5),cy+ch-fc);
+ break;
+ case "5":
+ ctx.moveTo(cx+(cw*0.9)-fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+(ch*0.333333));
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-80),deg2rad(180), false);
+ break;
+ case "6":
+ ctx.moveTo(cx+fc,cy+ch-(cw*0.5)-fc);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-180),deg2rad(180), false);
+ ctx.bezierCurveTo(cx+fc,cy+fc,cx+fc,cy+fc,cx+(cw*0.9)-fc,cy+fc);
+ ctx.moveTo(cx+(cw*0.9)-fc,cy+fc);
+ break;
+ case "7":
+ ctx.moveTo(cx+(cw*0.5),cy+ch-fc);
+ ctx.lineTo(cx+cw-fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+(ch*0.25)-fc);
+ break;
+ case "8":
+ ctx.moveTo(cx+(cw*0.92)-fc,cy+(cw*0.59));
+ ctx.arc(cx+(cw/2),cy+(cw*0.45),(cw*0.45)-fc,deg2rad(25),deg2rad(-205), true);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-135),deg2rad(-45), true);
+ ctx.closePath();
+ ctx.moveTo(cx+(cw*0.79),cy+(ch*0.47));
+ ctx.lineTo(cx+(cw*0.21),cy+(ch*0.47));
+ break;
+ case "9":
+ ctx.moveTo(cx+cw-fc,cy+(cw*0.5));
+ ctx.arc(cx+(cw/2),cy+(cw*0.5),(cw*0.5)-fc,deg2rad(0),deg2rad(360), false);
+ ctx.bezierCurveTo(cx+cw-fc,cy+ch-fc,cx+cw-fc,cy+ch-fc,cx+(cw*0.1)+fc,cy+ch-fc);
+ break;
+ case "%":
+ ctx.moveTo(cx+fc,cy+(ch*0.75));
+ ctx.lineTo(cx+cw-fc,cy+(ch*0.25));
+ ctx.moveTo(cx+(cw*0.505),cy+(cw*0.3));
+ ctx.arc(cx+(cw*0.3),cy+(cw*0.3),(cw*0.3)-fc,deg2rad(0),deg2rad(360), false);
+ ctx.moveTo(cx+(cw*0.905),cy+ch-(cw*0.3));
+ ctx.arc(cx+(cw*0.7),cy+ch-(cw*0.3),(cw*0.3)-fc,deg2rad(0),deg2rad(360), false);
+ break;
+ case ".":
+ ctx.moveTo(cx+(cw*0.25),cy+ch-fc-fc);
+ ctx.arc(cx+(cw*0.25),cy+ch-fc-fc,fc,deg2rad(0),deg2rad(360), false);
+ ctx.closePath();
+ break;
+ default:
+ break;
+ }
+ ctx.stroke();
+}
+function drawBar(ctx,x,y,width,height,color,value,textdiv){
+ var rw = width/2; var rh = rw/2;
+ height = Math.max(height,rw);
+ var dh = Math.max(height-(2*rh),0.1); var S2L;
+ var xx = rw/8; var yy = rh/4;
+ var yo = rh/2; y = y - yy;
+ if(canvas_noshadow <= 0 && canvas_noshade <= 0) {
+ ctx.save();
+ S2L = ctx.createRadialGradient(x+rw+rh,y+height-rw+yy+rh,0,x+rw,y+height-rw+yy,rw);
+ S2L.addColorStop(0, 'rgba(0,0,0,0.5)');
+ S2L.addColorStop(0.7, 'rgba(0,0,0,0.25)');
+ S2L.addColorStop(0.9, 'rgba(0,0,0,0.1)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.fillStyle = S2L;
+ ctx.scale(1,0.5);
+ ctx.translate(xx,y+height+yy);
+ if(isOp){
+ ctx.fillRect(x,y+yy+height-width,width,width);
+ }else {
+ ctx.arc(x+rw,y+yy+height-width,width,0,deg2rad(360), false);
+ ctx.fill();
+ }
+ ctx.restore();
+ }else if(canvas_noshadow <= 0 && canvas_noshade >= 1) {
+ ctx.fillStyle = 'rgba(0,0,0,0.2)';
+ ctx.fillRect(x+yo,y+rh+yo+yo,width,dh);
+ }
+
+ if(canvas_noshade <= 0) {
+ ctx.beginPath();
+ ctx.moveTo(x,y+rh);
+ ctx.lineTo(x,y+rh+dh);
+ ctx.bezierCurveTo(x,y+height,x+width,y+height,x+width,y+rh+dh)
+ ctx.lineTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = color;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x,y+(height/2),x+width,y+(height/2));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.75)');
+ S2L.addColorStop(0.2, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.3, 'rgba(0,0,0,0)');
+ S2L.addColorStop(0.5, 'rgba(0,0,0,0.1)');
+ S2L.addColorStop(0.9, 'rgba(0,0,0,0.35)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.3)');
+ ctx.beginPath();
+ ctx.moveTo(x,y+rh);
+ ctx.lineTo(x,y+rh+dh);
+ ctx.bezierCurveTo(x,y+height,x+width,y+height,x+width,y+rh+dh)
+ ctx.lineTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = S2L;
+ ctx.fill();
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = color;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x+rw-(width*0.15),y-2,x+rw+(width*0.15),y+(2.1*rh));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.9)');
+ S2L.addColorStop(0.5, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.6, 'rgba(0,0,0,0)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.3)');
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = S2L;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x+rw-(width*0.15),y-2,x+rw+(width*0.15),y+(2.1*rh));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.9)');
+ S2L.addColorStop(0.5, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.6, 'rgba(0,0,0,0)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.1)');
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.strokeStyle = S2L;
+ ctx.stroke();
+ }else {
+ ctx.fillStyle = color;
+ ctx.fillRect(x,y+rh+yo,width,dh);
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = 1;
+ ctx.fillStyle = 'rgba(255,255,255,0.5)';
+ var bw = width; var bh = bw/4;
+ var th = roundTo(bh*0.75,0); var tf = bh/80;
+ var c; var w;
+ if(canvas_notext <= 0) {
+ if(canvas_htmltext <= 0) {
+ w = '"' + per + '"';
+ if(w.indexOf(".")!=-1) {
+ c = w.length+0.5;
+ w = parseFloat(c-2)*parseFloat(48*tf);
+ bw = parseFloat(c-1)*parseFloat(48*tf);
+ }else {
+ c = w.length;
+ w = parseFloat(c-1)*parseFloat(48*tf);
+ bw = parseFloat(c)*parseFloat(48*tf);
+ }
+ roundedRect(ctx,x+(width/2)-(bw/2),y,bw,bh,bh/4);
+ ctx.fill();
+ if(canvas_imgtext <= 0) {
+ strokeString(ctx, value + "%","rgba(48,48,48,1)", th, x+(width/2)-(w/2), y+((bh-th)/2));
+ }else {
+ drawString(ctx, value + "%", tf, x+(width/2)-(w/2), y+((bh-th)/2.2));
+ }
+ }else {
+ var bh = bw/3; var th = roundTo(bh*0.75,0);
+ roundedRect(ctx,x,y,bw,bh,bh/4);
+ ctx.fill();
+ var obj = document.createElement('div');
+ obj.style.position = "absolute";
+ obj.style.overflow = "hidden";
+ obj.style.textAlign = "center";
+ obj.style.width = bw + "px";
+ obj.style.left = x + "px";
+ obj.style.top = y+((bh-th)/2.2) + "px";
+ obj.appendChild(document.createTextNode(per + "%"));
+ textdiv.appendChild(obj);
+ }
+
+ }
+}
+function drawLine(ctx,x,y,width,height,dist,array,factor,color,fill){
+ if(fill <= 0){
+ var style = hex2rgb(color,0.5);
+ ctx.lineJoin = "miter";
+ ctx.beginPath();
+ ctx.moveTo(x,y+height);
+ for (var i = 0; i < array.length; i++) {
+ ctx.lineTo(x+(i*dist),y+height-(array[i]*factor));
+ }
+ ctx.lineTo(x+width,y+height);
+ ctx.lineTo(x,y+height);
+ ctx.closePath();
+ ctx.fillStyle = style;
+ ctx.fill();
+ }
+ ctx.lineJoin = "round";
+ ctx.beginPath();
+ ctx.moveTo(x,y+height-(array[0]*factor));
+ for (var i = 0; i < array.length; i++) {
+ ctx.lineTo(x+(i*dist),y+height-(array[i]*factor));
+ }
+ ctx.strokeStyle = color;
+ ctx.stroke();
+}
+function setDataURL(cid) {
+ if(cid.toDataURL) {
+ var obj = document.getElementById(cid.id + "_link");
+ if(obj) {
+ obj.setAttribute("title", "To Data URL");
+ obj.setAttribute("target", "_blank");
+ obj.setAttribute("href", cid.toDataURL());
+ }
+ }
+}
+function get_input(dataobj,canvasobj,linechart) {
+ var table = document.getElementById(dataobj);
+ var canvas = document.getElementById(canvasobj);
+ var row; var clm; var cnt = 0; var val = 0; var nme = ""; var col = "";
+ if(canvas.getContext) {
+ canvas_width = parseInt(canvas.style.width);
+ canvas_height = parseInt(canvas.style.height);
+ if(canvas_width >= 16 && canvas_height >= 16) {
+ var tmp = table.getAttribute("summary");
+ canvas_noshade = 0; canvas_noshadow = 0;
+ canvas_notext = 0; canvas_imgtext = 0;
+ canvas_htmltext = 0; canvas_nofill = 0;
+ if(tmp.search(/noshadow/) != -1) canvas_noshadow = 1;
+ if(tmp.search(/noshade/) != -1) canvas_noshade = 1;
+ if(tmp.search(/nofill/) != -1) canvas_nofill = 1;
+ if(tmp.search(/notext/) != -1) canvas_notext = 1;
+ if(tmp.search(/htmltext/) != -1) canvas_htmltext = 1;
+ if(tmp.search(/imgtext/) != -1) canvas_imgtext = 1;
+ if(table.getAttribute("bgcolor")) {
+ canvas_bgcolor = scanColor(table.getAttribute("bgcolor"));
+ }
+ ChartData = new Array(); colorSlice = new Array();
+ if(table.getElementsByTagName("tr")[0].getElementsByTagName("th")[0]) cnt = 1;
+ for(var r = cnt; r < table.getElementsByTagName("tr").length; r++) {
+ row = table.getElementsByTagName("tr")[r];
+ clm = row.getElementsByTagName("td").length;
+ if(row.getElementsByTagName("td")[0].getAttribute("bgcolor")) {
+ col = scanColor(row.getElementsByTagName("td")[0].getAttribute("bgcolor")); val = 0;
+ nme = trim(row.getElementsByTagName("td")[1].innerHTML.replace(/<[^>]+>/g,""));
+ if(!linechart) {
+ if(clm > 3) {
+ for(var z = 2; z < clm; z++) {
+ val += parseFloat(row.getElementsByTagName("td")[z].innerHTML.replace(/<[^>]+>/g,""));
+ }
+ }else {
+ val = parseFloat(row.getElementsByTagName("td")[2].innerHTML.replace(/<[^>]+>/g,""));
+ }
+ if(!isNaN(val) && val > 0 && nme != '') {
+ colorSlice[r-cnt] = col; ChartData[nme] = Math.abs(val);
+ }else {
+ break;
+ }
+ }else {
+ val = 0; i = 0;
+ if(clm > 3 && nme != '') {
+ ChartData[r-cnt] = new Array();
+ colorSlice[r-cnt] = col;
+ for(var z = 2; z < clm; z++) {
+ val = Math.abs(parseFloat(row.getElementsByTagName("td")[z].innerHTML.replace(/<[^>]+>/g,"")));
+ if(!isNaN(val) && val >= 0) {
+ ChartData[r-cnt][i] = val; i++;
+ }else {
+ ChartData[r-cnt][i] = 0; i++;
+ }
+ }
+ }else {
+ break;
+ }
+ }
+ }else {
+ break;
+ }
+ }
+ }
+ }
+}
+function setPieChart(canvasobj,textobj) {
+ var cd = (Math.min(canvas_width,canvas_height)/110)*100;
+ var cp = cd*0.1; var cr = cd/2;
+ var sr = cr*0.93; var cw = cd+cp; var ch = cw;
+ var cx = (cd/2)+(cp/2); var cy = cx; var ct = 0;
+ for (var data in ChartData) {
+ ct += ChartData[data];
+ }
+ var poc = ct/100;
+ var canvas = document.getElementById(canvasobj);
+ if(canvas_htmltext >= 1) {
+ if(document.getElementById(textobj)) {
+ canvas.parentNode.removeChild(canvas.parentNode.lastChild);
+ }
+ }
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,cw,ch);
+ }else {
+ ctx.clearRect(0,0,cw,ch);
+ }
+ if(canvas_noshadow <= 0) {
+ if(!isOp) {
+ ctx.beginPath();
+ var B2B = ctx.createRadialGradient(cx+(cr*0.1),cy+(cr*0.1),cr,cx+(cr*0.1),cy+(cr*0.1),sr*0.9);
+ B2B.addColorStop(0, 'rgba(0,0,0,0)');
+ B2B.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.arc(cx+(cr*0.1),cy+(cr*0.1),cr,0,deg2rad(360), false);
+ ctx.closePath();
+ ctx.fillStyle = B2B;
+ ctx.fill();
+ }else {
+ var B2B = ctx.createRadialGradient(cx+(cr*0.1),cy+(cr*0.1),0,cx+(cr*0.1),cy+(cr*0.1),cr);
+ B2B.addColorStop(0, 'rgba(0,0,0,0.5)');
+ B2B.addColorStop(0.87, 'rgba(0,0,0,0.5)');
+ B2B.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.fillStyle = B2B;
+ ctx.fillRect(0,0,cw,ch);
+ }
+ }
+ var val = 0; var deg = 0; var idx = 0; var data;
+ for (data in ChartData) {
+ val = ChartData[data]; sdeg = deg;
+ deg += (val/ct)*deg2rad(360); edeg = deg;
+ ctx.beginPath();
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr,sdeg,edeg, false);
+ ctx.lineTo(cx,cy);
+ ctx.closePath();
+ ctx.fillStyle = colorSlice[(idx++)];
+ ctx.fill();
+ }
+ if(canvas_noshade <= 0) {
+ ctx.beginPath();
+ var W2T = ctx.createLinearGradient(cp*2,cp*2,cx,cy);
+ W2T.addColorStop(0, 'rgba(255,255,255,0.8)');
+ W2T.addColorStop(1, 'rgba(255,255,255,0)');
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,sr,deg2rad(135),deg2rad(315), false);
+ ctx.closePath();
+ ctx.fillStyle = W2T;
+ ctx.fill();
+ ctx.beginPath();
+ var T2B = ctx.createLinearGradient(cx,cy,cw-(cp*2),ch-(cp*2));
+ T2B.addColorStop(0, 'rgba(0,0,0,0)');
+ T2B.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,sr,deg2rad(-45),deg2rad(135), false);
+ ctx.closePath();
+ ctx.fillStyle = T2B;
+ ctx.fill();
+ ctx.beginPath();
+ var B2T = ctx.createLinearGradient(cx,cy,cw-(cp*1.9),ch-(cp*1.9));
+ B2T.addColorStop(0, 'rgba(0,0,0,0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.6)');
+ ctx.lineWidth = cr*0.07;
+ ctx.lineCap = "round";
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr*0.965,deg2rad(-45),deg2rad(135), false);
+ ctx.closePath();
+ ctx.strokeStyle = B2T;
+ ctx.stroke();
+ ctx.beginPath();
+ var T2W = ctx.createLinearGradient(cx,cy,(cp*1.9),(cp*1.9));
+ T2W.addColorStop(0, 'rgba(255,255,255,0)');
+ T2W.addColorStop(1, 'rgba(255,255,255,0.6)');
+ ctx.lineWidth = cr*0.07;
+ ctx.lineCap = "round";
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr*0.965,deg2rad(135),deg2rad(315), false);
+ ctx.closePath();
+ ctx.strokeStyle = T2W;
+ ctx.stroke();
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = 1;
+ ctx.fillStyle = 'rgba(255,255,255,0.5)';
+ var mpos = 0; var per = 0; var gx = 0;
+ var gy = 0; var tx = 0; var ty = 0;
+ var obj = ""; var w = 0; var c = 0;
+ var bw = cr*0.45; var bh = cr*0.125;
+ var th = roundTo(bh*0.75,0); var tf = bh/80;
+ if(canvas_notext <= 0) {
+ if(canvas_htmltext >= 1) {
+ bw = (cr*0.365);
+ canvas.parentNode.style.position = "relative";
+ if(!document.getElementById(textobj)) {
+ obj = document.createElement('div');
+ obj.id = textobj;
+ obj.style.color = "rgb(0,0,0)";
+ obj.style.fontFamily = "Arial,sans-serif";
+ obj.style.fontSize = th + "px";
+ obj.style.zIndex = 11;
+ canvas.parentNode.appendChild(obj);
+ }
+ var textdiv = document.getElementById(obj.id);
+ }
+ for (data in ChartData) {
+ val = ChartData[data];
+ sdeg = deg; mpos = deg+(((val/2)/ct)*deg2rad(360));
+ deg += (val/ct)*deg2rad(360); edeg = deg;
+ per = roundTo(val/poc,2);
+ gx = circle_point_x(mpos, cd);
+ gy = circle_point_y(mpos, cd);
+ tx = parseFloat((cx+(2*Math.floor(cx + gx)))/3);
+ ty = (cy+(2*Math.floor(cy + gy)))/3;
+ if(canvas_htmltext <= 0) {
+ w = '"' + per + '"';
+ if(w.indexOf(".")!=-1) {
+ c = w.length+0.5;
+ w = parseFloat(c-2)*parseFloat(48*tf);
+ bw = parseFloat(c-1)*parseFloat(48*tf);
+ }else {
+ c = w.length;
+ w = parseFloat(c-1)*parseFloat(48*tf);
+ bw = parseFloat(c)*parseFloat(48*tf);
+ }
+ roundedRect(ctx,(tx-(bw/2)),ty-(bh/2),bw,bh,bh/4);
+ ctx.fill();
+ if(canvas_imgtext >= 1) {
+ drawString(ctx, per + "%", tf, tx-(w/2), ty-(th/1.8));
+ }else {
+ strokeString(ctx, per + "%","rgba(48,48,48,1)", th, tx-(w/2), ty-(th/2));
+ }
+ }else {
+ roundedRect(ctx,(tx-(bw/2)),ty-(bh/2),bw,bh,bh/4);
+ ctx.fill();
+ obj = document.createElement('div');
+ obj.style.position = "absolute";
+ obj.style.overflow = "hidden";
+ obj.style.textAlign = "center";
+ obj.style.width = bw + "px";
+ obj.style.left = (tx-(bw/2)) + "px";
+ obj.style.top = ty-(th/1.8) + "px";
+ obj.appendChild(document.createTextNode(per + "%"));
+ textdiv.appendChild(obj);
+ }
+ }
+ }
+ }
+}
+function setBarChart(canvasobj,textobj) {
+ var iw = canvas_width*0.9; var ih = canvas_height*0.9;
+ var pw = canvas_width*0.05; var ph = canvas_height*0.05;
+ var cm = 0; var ct = 0;
+ for (var data in ChartData) {
+ cm = Math.max(cm,ChartData[data]);
+ ct += ChartData[data];
+ }
+ var hf = ih/cm; var bs = colorSlice.length;
+ var bw = iw/(bs*1.1); var poc = ct/100;
+ var th = roundTo((bw/3)*0.75,0);
+ var canvas = document.getElementById(canvasobj);
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,canvas_width,canvas_height);
+ }else {
+ ctx.clearRect(0,0,canvas_width,canvas_height);
+ }
+ if(canvas_htmltext >= 1) {
+ if(document.getElementById(textobj)) {
+ canvas.parentNode.removeChild(canvas.parentNode.lastChild);
+ }
+ canvas.parentNode.style.position = "relative";
+ if(!document.getElementById(textobj)) {
+ var obj = document.createElement('div');
+ obj.id = textobj;
+ obj.style.color = "rgb(0,0,0)";
+ obj.style.fontFamily = "Arial,sans-serif";
+ obj.style.fontSize = th + "px";
+ obj.style.zIndex = 11;
+ canvas.parentNode.appendChild(obj);
+ }
+ var textdiv = document.getElementById(obj.id);
+ }
+ var cc = ""; var bh = 0; var idx = 0; var t = 0; per = 0;
+ for (var data in ChartData) {
+ per = roundTo(ChartData[data]/poc,2);
+ bh = ChartData[data]*hf;
+ drawBar(ctx,pw+(t*(bw*1.1)),ph+ih-bh,bw,bh,colorSlice[(idx++)],per,textdiv);
+ t++;
+ }
+ }
+}
+function setLineChart(canvasobj,textobj) {
+ var iw = canvas_width*0.9;
+ var ih = canvas_height*0.9;
+ var pw = canvas_width*0.05;
+ var ph = canvas_height*0.05;
+ var cm = 0;
+ for (var i = 0; i < ChartData.length; i++) {
+ for (var j = 0; j < ChartData[i].length; j++) {
+ cm = Math.max(cm,ChartData[i][j]);
+ }
+ }
+ var hf = (ih*0.95)/cm; var bw = iw;
+ var dw = iw/(ChartData[0].length-1)
+ var dh = ih/(ChartData[0].length-1)
+ var ps = Math.min(pw,ph); lw = ps/4;
+ var B2T;
+ var canvas = document.getElementById(canvasobj);
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,canvas_width,canvas_height);
+ }else {
+ ctx.clearRect(0,0,canvas_width,canvas_height);
+ }
+ if(canvas_noshadow <= 0) {
+ B2T = ctx.createLinearGradient(pw+ps,ph+ih+1,pw+ps+ps,ph+ih+1);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+ps,ph+ih);
+ ctx.lineTo(pw+ps,ph+ih+ps);
+ ctx.lineTo(pw+ps+ps,ph+ih);
+ ctx.closePath();
+ ctx.fill();
+ B2T = ctx.createLinearGradient(pw+ps+ps,ph+ih,pw+ps+ps,ph+ih+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.5)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.0)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+ps+ps,ph+ih);
+ ctx.lineTo(pw+iw,ph+ih);
+ ctx.lineTo(pw+ps+iw,ph+ih+ps);
+ ctx.lineTo(pw+ps,ph+ih+ps);
+ ctx.closePath();
+ ctx.fill();
+ var B2T = ctx.createLinearGradient(pw+iw+1,ph+ps,pw+iw+1,ph+ps+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.35)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+iw,ph+ps);
+ ctx.lineTo(pw+iw,ph+ps+ps);
+ ctx.lineTo(pw+iw+ps,ph+ps);
+ ctx.closePath();
+ ctx.fill();
+ B2T = ctx.createLinearGradient(pw+iw,ph+ps+ps,pw+iw+ps,ph+ps+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.35)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.0)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+iw,ph+ps+ps);
+ ctx.lineTo(pw+iw,ph+ih);
+ ctx.lineTo(pw+iw+ps,ph+ih+ps);
+ ctx.lineTo(pw+iw+ps,ph+ps);
+ ctx.closePath();
+ ctx.fill();
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = lw/2;
+ ctx.strokeStyle = "rgba(255,255,255,0.25)";
+ if(canvas_nofill <= 0) {
+ ctx.fillStyle = "rgba(240,240,240,0.8)";
+ ctx.strokeStyle = "rgba(255,255,255,1)";
+ ctx.fillRect(pw,ph,iw,ih);
+ }
+ for (var i = 0; i < ChartData[0].length-1; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw+(i*dw),ph);
+ ctx.lineTo(pw+(i*dw),ph+ih);
+ ctx.stroke();
+ }
+ for (var i = 1; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw,ph+(i*dh));
+ ctx.lineTo(pw+iw,ph+(i*dh));
+ ctx.stroke();
+ }
+ ctx.lineWidth = lw;
+ var cc = ""; var bh = 0; var idx = 0; var t = 0;
+ for (var i = 0; i < ChartData.length; i++) {
+ for (var j = 0; j < ChartData[i].length; j++) {
+ t = Math.max(t,ChartData[i][j]);
+ }
+ bh = t*hf; cc = colorSlice[(idx++)];
+ drawLine(ctx,pw,ph+ih-bh,bw,bh,dw,ChartData[i],hf,cc,canvas_nofill);
+ }
+ ctx.beginPath();
+ ctx.moveTo(pw,ph);
+ ctx.lineTo(pw,ph+ih);
+ ctx.lineTo(pw+iw+(lw/2),ph+ih);
+ ctx.strokeStyle = "rgba(48,48,48,1)";
+ ctx.stroke();
+ ctx.lineWidth = lw/2;
+ B2T = ctx.createLinearGradient(pw,ph+ih,pw,ph+ih+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,1)');
+ B2T.addColorStop(0.5, 'rgba(0,0,0,1)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.strokeStyle = B2T;
+ for (var i = 0; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw+(i*dw),ph+ih);
+ ctx.lineTo(pw+(i*dw),ph+ih+ps);
+ ctx.stroke();
+ }
+ B2T = ctx.createLinearGradient(pw-ps,ph,pw,ph);
+ B2T.addColorStop(0, 'rgba(0,0,0,0)');
+ B2T.addColorStop(0.5, 'rgba(0,0,0,1)');
+ B2T.addColorStop(1, 'rgba(0,0,0,1)');
+ ctx.strokeStyle = B2T;
+ for (var i = 1; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw-ps,ph+(i*dh));
+ ctx.lineTo(pw,ph+(i*dh));
+ ctx.stroke();
+ }
+ }
+}
+function generateCanvas() {
+ if(canvasSupport) {
+ var canvasID; var tdataID; var textID;
+ var tmp; var dat; var i; var j;
+ for(i=0; i < piecharts.length; i++) {
+ tmp = piecharts[i].id.split("_");
+ tdataID = piecharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID);
+ if(colorSlice.length > 0) {
+ j = 0; dat = ""; for(dat in ChartData) {j++; }
+ if(j > 0 && j == colorSlice.length) {
+ setPieChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ for(i=0; i < barcharts.length; i++) {
+ tmp = barcharts[i].id.split("_");
+ tdataID = barcharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID);
+ if(colorSlice.length > 0) {
+ j = 0; dat = ""; for(dat in ChartData) {j++; }
+ if(j > 0 && j == colorSlice.length) {
+ setBarChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ for(i=0; i < linecharts.length; i++) {
+ tmp = linecharts[i].id.split("_");
+ tdataID = linecharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID,true);
+ if(colorSlice.length > 0) {
+ if(ChartData.length == colorSlice.length) {
+ setLineChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ }
+}
+// canvas chart extension
+
+// invisible select extension
+function setListPos(opt) {
+ if(!isIE) { //(degrade IE)
+ var ref = document.getElementById('list');
+ var x = findPosX(ref); var y = findPosY(ref);
+ var obj = document.getElementById('jumplist');
+ obj.style.position = 'fixed'; obj.style.left = x + 'px'; obj.style.top = y + 'px';
+ var vol = document.getElementById('volumelist');
+ ref = document.getElementById('volume');
+ x = findPosX(ref); if(isOp) {y = window.innerHeight-imgHeight; }else {y = findPosY(ref);}
+ vol.style.position = 'fixed'; vol.style.left = x + 'px'; vol.style.top = y + 'px';
+ var del = document.getElementById('delaylist');
+ ref = document.getElementById('delay');
+ x = findPosX(ref); if(isOp) {y = window.innerHeight-imgHeight; }else {y = findPosY(ref);}
+ del.style.position = 'fixed'; del.style.left = x + 'px'; del.style.top = y + 'px';
+ var pnl = document.getElementById('navLinks');
+ }
+ if(opt) {
+ if(isIE) {
+ //(degrade IE)
+ //pnl.style.filter = "alpha(opacity=80)";
+ }else {
+ obj.style.opacity = 0.0;
+ vol.style.opacity = 0.0;
+ del.style.opacity = 0.0;
+ pnl.style.opacity = 0.8;
+ }
+ }
+}
+// invisible select extension
+
+// transition extension
+function switchFade() {
+ if(tranSitions && s5mode && fadeModus) {
+ fadeModus = false;
+ playDelay = playDelay-(2*fadeDuration);
+ var obj = document.getElementById('fade'); changeClass(obj,'isoff');
+ }else if(tranSitions && s5mode && !fadeModus) {
+ fadeModus = true;
+ playDelay = playDelay+(2*fadeDuration);
+ var obj = document.getElementById('fade'); changeClass(obj,'ison');
+ }
+ currentSlide();
+}
+function opacity(ids, opacStart, opacEnd, millisec) {
+ var speed = Math.round(millisec / 100);
+ var timer = 0;
+ if(opacStart > opacEnd) {
+ for(var i = opacStart; i >= opacEnd; i--) {
+ window.setTimeout("changeOpac(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ } else if(opacStart < opacEnd) {
+ for(var i = opacStart; i <= opacEnd; i++) {
+ window.setTimeout("changeOpac(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ }
+}
+function changeOpac(opacity, ids) {
+ var obj = document.getElementById(ids);
+ if (isIE) {
+ obj.style.filter = "alpha(opacity=" + opacity + ")";
+ } else {
+ obj.style.opacity = (opacity / 100);
+ }
+}
+function shiftOpacity(ids, millisec) {
+ if(document.getElementById(ids).style.opacity != '') {
+ var currentOpac = document.getElementById(ids).style.opacity * 100;
+ } else {
+ var currentOpac = 0;
+ }
+ if(currentOpac == 0) {
+ opacity(ids, currentOpac, 100, millisec);
+ } else if(currentOpac > 0) {
+ opacity(ids, currentOpac, 0, millisec);
+ }
+}
+// transition extension
+
+// autoplay extension
+function autoPlay() {
+ if (s5mode && autoMatic && !playPause) {
+ if ((snum >= (smax-1)) && playLoop) {
+ goTo(0);
+ autoRun = setTimeout('autoPlay();',playDelay);
+ }else if ((snum >= (smax-1)) && !playLoop) {
+ stopPlay();
+ }else {
+ if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ if (incrementals[snum].length >0) {
+ clearTimeout(autoRun); autoRun = null;
+ incrDelay = parseInt(playDelay/(incrementals[snum].length+1));
+ remainDer = parseInt(playDelay-(incrDelay*incrementals[snum].length));
+ autoRun = setTimeout('autoPlayIncr()',incrDelay);
+ }
+ } else {
+ clearTimeout(autoRun); autoRun = null;
+ incrDelay = incrDuration;
+ remainDer = parseInt(playDelay-(incrementals[snum].length*incrDuration));
+ autoRun = setTimeout('autoPlayIncr()',incrDelay);
+ }
+ autoRun = setTimeout('autoPlay();',playDelay);
+ }
+ }
+}
+function autoPlayIncr() {
+ if (incpos < incrementals[snum].length) {
+ subgo(1);
+ autoRun = setTimeout('autoPlayIncr();',incrDelay);
+ }else {
+ autoRun = setTimeout('nop();',remainDer);
+ }
+}
+function nop() {
+ // no operation dummy
+}
+function togglePlay() {
+ if (autoRun && s5mode) {
+ stopPlay();
+ }else if (!autoRun && s5mode) {
+ startPlay();
+ }
+}
+function stopPlay() {
+ if (autoRun && s5mode) {
+ clearTimeout(autoRun); autoRun = null;
+ autoMatic = false; playPause = false; var obj = '';
+ obj = document.getElementById('auto'); changeClass(obj,'isoff');
+ obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ currentSlide();
+ }
+}
+function startPlay() {
+ if (!autoRun && s5mode) {
+ playPause = false; autoMatic = true; var obj = '';
+ obj = document.getElementById('auto'); changeClass(obj,'ison');
+ obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ autoRun = setTimeout('autoPlay();',playDelay);
+ currentSlide();
+ }
+}
+function pausePlay() {
+ if (s5mode && autoMatic) {
+ if (playPause) {
+ playPause = false; autoRun = setTimeout('autoPlay();',playDelay);
+ var obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ }else {
+ if (autoRun){
+ clearTimeout(autoRun); autoRun = null; playPause = true;
+ var obj = document.getElementById('pause'); changeClass(obj,'ison');
+ }
+ }
+ currentSlide();
+ }
+}
+function switchLoop() {
+ if(s5mode) {
+ if (playLoop) {
+ playLoop = false;
+ var obj = document.getElementById('loop'); changeClass(obj,'isoff');
+ }else {
+ playLoop = true;
+ var obj = document.getElementById('loop'); changeClass(obj,'ison');
+ }
+ }
+ currentSlide();
+}
+function setDelay() {
+ var val = document.getElementById('delaylist');
+ if(s5mode) {
+ var delay = Math.max(5,Math.min(parseInt(val.value),300));
+ playDelay = (fadeModus == true) ? ((delay*1000)+(2*fadeDuration)) : (delay*1000);
+ }
+}
+// autoplay extension
+
+// audio extension
+function createSoundManagerScript() {
+ if(typeof soundManager=="undefined") {
+ onerrorSM2();
+ }else {
+ var script=document.createElement('SCRIPT');
+ var tx=document.createTextNode("soundManager.createMovie();");
+ script.appendChild(tx);
+ document.getElementsByTagName("body")[0].appendChild(script);
+ }
+}
+//soundManager.onload = function() {
+function onloadSM2() {
+ if(!isIE) { //(degrade IE)
+ swfUnloaded = false;
+ preloadSounds();
+ }
+}
+//soundManager.onerror = function() {
+function onerrorSM2() {
+ if(typeof soundManager!="undefined") {
+ soundManager.destruct;
+ delete soundManager;
+ }
+ audioSupport = false; swfUnloaded = true;
+ if(audioError && !isIE && !isOp) {
+ var dv = document.createElement('div'); dv.id = "guru";
+ var d2=document.createElement('div'); dv.appendChild(d2);
+ var tx=document.createTextNode('Guru Meditation - SoundManager failed to load/initialize!');
+ d2.appendChild(tx); document.getElementById('slide0').appendChild(dv);
+ }
+}
+function audioSetup() {
+ if(sound[0]) {
+ playSound(0);
+ }else if(sound[bgSoundItem] && !sound[0]) {
+ playSound(bgSoundItem);
+ }
+}
+function fadeoutSound(ids, option) {
+ if(curSoundID >= 0 && !swfUnloaded) {
+ if(isNaN(ids)) {
+ if(ids == "bgSound") {
+ var cnum = parseInt(bgSoundItem);
+ }else {
+ for (var i = 0; i < (sound.length-1); i++) {
+ if(sound[i] && ids == sound[i]["id"]) {
+ var cnum = i;
+ break;
+ }
+ }
+ }
+ }else {
+ var cnum = ids;
+ ids = sound[cnum]["id"];
+ }
+ var vol = getMaxVolume(sound[cnum]["volume"]);
+ var millisec = fadeDuration;
+ var speed = Math.round(millisec / vol);
+ var timer = 0;
+ for(var i = vol; i > 0; i--) {
+ setTimeout("fadeout(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ if(option) setTimeout("stopSound('"+ids+"')",millisec);
+ }
+}
+function fadeout(volume, id) {
+ soundManager.setVolume(id,volume);
+}
+function stopSound(ids) {
+ var sid;
+ if(isNaN(ids)) {
+ sid = ids;
+ }else if(sound[ids]) {
+ sid = sound[ids]["id"];
+ }
+ if(sid!='' && curSoundID >= 0) {
+ soundManager.stop(sid);
+ curSoundID = -1;
+ }
+}
+function toggleSounds() {
+ if(audioSupport && !swfUnloaded) {
+ stopAllSounds();
+ }else if (!audioSupport && !swfUnloaded) {
+ allowSounds();
+ }
+}
+function allowSounds() {
+ if(!swfUnloaded) {
+ audioSupport = true;
+ var obj = document.getElementById('audio'); changeClass(obj,'ison');
+ currentSlide();
+ }
+}
+function stopAllSounds() {
+ if(curSoundID >= 0) {
+ stopSound(curSoundID);
+ }else {
+ soundManager.stopAll();
+ curSoundID = -1;
+ }
+ audioSupport = false;
+ var obj = document.getElementById('audio'); changeClass(obj,'isoff');
+ currentSlide();
+}
+function playSound(id) {
+ if(audioSupport && !swfUnloaded) {
+ var url, sid, vol, lps, cnum;
+ if(isNaN(id)) {
+ sid = id;
+ if(sid == "bgSound") {
+ cnum = parseInt(bgSoundItem);
+ }else {
+ cnum = getSoundID(id);
+ }
+ }else {
+ cnum = parseInt(id);
+ if(sound[cnum]) sid = sound[cnum]["id"];
+ }
+ if(sound[cnum] && sound[cnum]["url"]!='' && sid!=''){
+ url = sound[cnum]["url"];
+ vol = (sound[cnum]["volume"]!='')?getMaxVolume(sound[cnum]["volume"]):getMaxVolume(100);
+ lps = (sound[cnum]["loops"])?true:false;
+ if(lps) {
+ soundManager.play(sid,{volume:vol,onplay:function(){curSoundID=cnum;},onfinish:function(){soundManager.play(sid,{volume:getMaxVolume(sound[cnum]["volume"])});}});
+ }else {
+ soundManager.play(sid,{volume:vol,onplay:function(){curSoundID=cnum;},onfinish:function(){curSoundID=-1;}});
+ }
+ }
+ }
+}
+function getSoundID(str) {
+ for (var i = 0; i < (sound.length-1); i++) {
+ if(sound[i] && str == sound[i]["id"]) {
+ var id = i;
+ break;
+ }
+ }
+ return id;
+}
+function setVolume() {
+ var vol = document.getElementById('volumelist');
+ if(audioSupport && !swfUnloaded) {
+ audioVolume = parseInt(vol.value);
+ if(curSoundID >= 0) {
+ if(sound[curSoundID]) {
+ var sid = sound[curSoundID]["id"];
+ var vid = getMaxVolume(sound[curSoundID]["volume"]);
+ soundManager.setVolume(sid,vid);
+ }
+ }
+ }
+}
+function getMaxVolume(value) {
+ if(audioVolume>0) {var factor = audioVolume/100;}else {var factor = 0;}
+ return Math.max(0,Math.min(parseInt(value*factor),audioVolume));
+}
+function preloadSounds() {
+ var temp = ''; var parm = ''; var t = ''; var cl = '';
+ var objects = document.getElementsByTagName('object');
+ for (var i=0; i < objects.length; i++) {
+ if(objects[i].type.toLowerCase() == 'audio/mp3' && objects[i].data != '') {
+ objects[i].width = 0; objects[i].height = 0;
+ if(objects[i].parentNode.tagName == 'DIV') {
+ cl = objects[i].parentNode.className.toLowerCase();
+ if(cl == 'presentation' || cl == 'slide') {
+ if(cl == 'presentation') {
+ t = parseInt(bgSoundItem);
+ }else {
+ t = parseInt(objects[i].parentNode.id.slice(5, objects[i].parentNode.id.length));
+ }
+ sound[t] = new Object();
+ if(t < bgSoundItem) {
+ sound[t]["id"] = objects[i].parentNode.id;
+ }else {
+ sound[t]["id"] = "bgSound";
+ }
+ sound[t]["url"] = objects[i].data;
+ sound[t]["volume"] = 100; sound[t]["loops"] = false;
+ if(objects[i].archive != '') {
+ parm = objects[i].archive.toLowerCase().split(',');
+ for (var j=0; j < parm.length; j++) {
+ if(parm[j] == 'loop') sound[t]["loops"] = true;
+ if(parm[j].search(/^volume/) != -1) {
+ var tmp = parm[j].split(':');
+ sound[t]["volume"] = parseInt(tmp[1]);
+ }
+ }
+ }
+ soundManager.createSound(sound[t]["id"],sound[t]["url"]);
+ }
+ }
+ }
+ }
+}
+// audio extension
+
+// help extension
+function createHelpReq() {
+ if(!document.getElementById("HelpReq")) {
+ var obj = document.getElementsByTagName("body")[0].firstChild;
+ var pg = document.createElement('div');
+ pg.id = "HelpReq";
+ if (pg.addEventListener) {
+ pg.addEventListener("onclick",dumpHelpReq,false);
+ } else if (pg.attachEvent) {
+ pg.attachEvent("onclick",dumpHelpReq);
+ }
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=90)";
+ } else {
+ pg.style.opacity = 0.9;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(64,64,64)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/help.jpg)";
+ document.getElementsByTagName("body")[0].insertBefore(pg,obj);
+ if(document.getElementById("HelpReq")) {
+ helpmode = true;
+ }
+ }
+}
+function dumpHelpReq() {
+ if(document.getElementById("HelpReq")) {
+ document.body.removeChild(document.getElementById('HelpReq'));
+ helpmode = false;
+ }
+}
+// help extension
+
+//DEBUG
+function ConsoleLog(value) {
+ if(window.console) {
+ window.console.log(value);
+ }
+}
+//DEBUG
+
+document.write('<style type="text/css" media="screen" id="blockStyle">.presentation, .layout {display: none; }</style>');
+
+if(!isIE && !isOp) {
+ document.write('<script type="text/javascript" src="'+s5Path+'ui/audio_support/soundmanager2.js"></script>');
+ if(typeof soundManager!="undefined") {
+ var allMetas = document.getElementsByTagName('meta');
+ for (var i = 0; i< allMetas.length; i++) {
+ if (allMetas[i].name == 'audioDebug') {
+ var audioDebug = (allMetas[i].content == "true") ? true : false;
+ soundManager.defaultOptions.debugMode = audioDebug;
+ }
+ }
+ }
+}
+
+window.onload = createSlideShow;
+window.onresize = function(){setTimeout('windowChange()',5);} \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/toggle_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/toggle_link.png
new file mode 100644
index 000000000..4588e2514
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/toggle_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/toggle_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/toggle_over.png
new file mode 100644
index 000000000..400f613b6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/toggle_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/top.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/top.png
new file mode 100755
index 000000000..9251f8935
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/top.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/volume_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/volume_link.png
new file mode 100644
index 000000000..f362acd1f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/volume_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/wrap.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/wrap.css
new file mode 100644
index 000000000..a37315552
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/wrap.css
@@ -0,0 +1,410 @@
+/********************************************************************
+Screen and Print Styles for the Wrap Plugin
+********************************************************************/
+
+/* tables in columns and boxes should span the whole width */
+.wrap_column table,
+.wrap_left table, .wrap_right table, .wrap_center table,
+.wrap_box table,
+.wrap_info table, .wrap_important table, .wrap_alert table, .wrap_tip table, .wrap_help table, .wrap_todo table, .wrap_download table {
+ width: 100%;
+}
+/* emulate a headline */
+.wrap_column em strong,
+.wrap_left em strong, .wrap_right em strong, .wrap_center em strong,
+.wrap_box em strong,
+.wrap_info em strong, .wrap_important em strong, .wrap_alert em strong, .wrap_tip em strong, .wrap_help em strong, .wrap_todo em strong, .wrap_download em strong {
+ font-size: 130%;
+ font-weight: bold;
+ font-style: normal;
+ display: block;
+}
+/* emulate a bigger headline with a bottom border */
+.wrap_column em strong em.u,
+.wrap_left em strong em.u, .wrap_right em strong em.u, .wrap_center em strong em.u,
+.wrap_box em strong em.u,
+.wrap_info em strong em.u, .wrap_important em strong em.u, .wrap_alert em strong em.u, .wrap_tip em strong em.u, .wrap_help em strong em.u, .wrap_todo em strong em.u, .wrap_download em strong em.u {
+ font-size: 115%;
+ border-bottom: 1px solid __border__;
+ font-style: normal;
+ text-decoration: none;
+ display: block;
+}
+/* different bigger headline for safety notes */
+.wrap_danger em strong em.u, .wrap_warning em strong em.u, .wrap_caution em strong em.u, .wrap_notice em strong em.u, .wrap_safety em strong em.u {
+ font-size: 115%;
+ font-style: normal;
+ text-decoration: none;
+ display: block;
+ text-transform: uppercase;
+ border-bottom-width: 0;
+}
+/* change border colour of emulated headlines inside boxes to something more neutral
+ (to match all the different background colours) */
+.wrap_box em strong em.u,
+.wrap_info em strong em.u, .wrap_important em strong em.u, .wrap_alert em strong em.u, .wrap_tip em strong em.u, .wrap_help em strong em.u, .wrap_todo em strong em.u, .wrap_download em strong em.u {
+ border-bottom-color: #999;
+}
+
+
+/* columns
+********************************************************************/
+
+.wrap_left,
+.wrap_column {
+ float: left;
+ margin-right: 1.5em;
+}
+.wrap_right {
+ float: right;
+ margin-left: 1.5em;
+}
+.wrap_center {
+ display: block;
+ margin-left: auto;
+ margin-right: auto;
+}
+/*
+.wrap_column,
+.wrap_left,
+.wrap_right {
+ overflow: hidden;
+}
+*/
+.wrap_container {
+ margin-right: -1.5em;
+}
+
+
+/* alignments
+********************************************************************/
+
+.wrap_leftalign {
+ text-align: left;
+}
+.wrap_centeralign {
+ text-align: center;
+}
+.wrap_rightalign {
+ text-align: right;
+}
+.wrap_justify {
+ text-align: justify;
+}
+
+
+/* box
+********************************************************************/
+
+/* see styles for boxes and notes with icons in style.css */
+
+/*____________ rounded corners ____________*/
+/* (only for modern browsers) */
+
+div.wrap_round {
+ border-radius: 20px;
+ -moz-border-radius: 20px;
+ -webkit-border-radius: 20px;
+ -khtml-border-radius: 20px;
+}
+span.wrap_round {
+ border-radius: 2px;
+ -moz-border-radius: 2px;
+ -webkit-border-radius: 2px;
+ -khtml-border-radius: 2px;
+}
+
+
+/* mark
+********************************************************************/
+
+div.wrap_lo {
+ color: __text_neu__;
+ font-size: 85%;
+}
+div.wrap_em {
+ color: #c00;
+ font-weight: bold;
+}
+
+/* see styles for highlighted text in style.css */
+
+
+/* typography
+********************************************************************/
+
+/*____________ fonts ____________*/
+
+div.wrap_sansserif {
+ font-family: Verdana,Tahoma,Geneva,"DejaVu Sans","Bitstream Vera Sans","Liberation Sans", Arial,Helvetica,FreeSans,"Liberation Sans","Nimbus Sans L", sans-serif;
+}
+div.wrap_serif {
+ font-family: Georgia,Garamond,"Palatino Linotype","Book Antiqua",Palatino,Palladio,"URW Palladio L","Liberation Serif",Didot,Gentium,"Bitstream Charter","Century Schoolbook L", "Times New Roman",Times,"Nimbus Roman No9 L","FreeSerif", serif;
+}
+div.wrap_monospace {
+ font-family: Courier,"Courier New",FreeMono,"Nimbus Mono L","Liberation Mono", "Lucida Console",Monaco,"DejaVu Sans Mono","Bitstream Vera Sans Mono", monospace;
+}
+
+/*____________ font size ____________*/
+
+div.wrap_bigger {
+ font-size: 125%;
+}
+div.wrap_muchbigger {
+ font-size: 200%;
+}
+div.wrap_smaller {
+ font-size: 75%;
+}
+
+/*____________ font colours ____________*/
+
+div.wrap_fgred {
+ color: #900;
+}
+div.wrap_fggreen {
+ color: #090;
+}
+div.wrap_fgblue {
+ color: #009;
+}
+div.wrap_fgcyan {
+ color: #099;
+}
+div.wrap_fgviolet {
+ color: #909;
+}
+div.wrap_fgyellow {
+ color: #990;
+}
+div.wrap_fggrey {
+ color: #666;
+}
+div.wrap_fgblack {
+ color: #000;
+}
+
+/* see styles for background colours and white font colour in style.css */
+
+
+/* miscellaneous
+********************************************************************/
+
+/*____________ indent ____________*/
+
+div.wrap_indent {
+ padding-left: 1.5em;
+}
+
+/*____________ outdent ____________*/
+
+div.wrap_outdent {
+ margin-left: -1.5em;
+}
+
+/*____________ word wrapping in pre ____________*/
+
+divdiv.wrap_prewrap pre {
+ white-space: pre-wrap;
+ word-wrap: break-word;/* for IE < 8 */
+ /* white-space: -moz-pre-wrap; for FF < 3 */
+}
+
+/*____________ spoiler ____________*/
+
+divdiv.wrap_spoiler {
+ margin-bottom: 1.5em;
+}
+/* see rest of spoiler styles in style.css */
+
+/*____________ clear float ____________*/
+
+div.wrap_clear {
+ clear: both;
+ line-height: 0;
+ height: 0;
+ font-size: 1px;
+ visibility: hidden;
+ overflow: hidden;
+}
+
+/*____________ hide ____________*/
+
+div.wrap_hide {
+ display: none;
+}
+
+
+/* STYLE.CSS */
+
+/* box
+********************************************************************/
+
+.wrap_box {
+ background: __background_alt__;
+ color: __text__;
+/*
+ overflow: hidden;
+*/
+}
+div.wrap_box,
+div.wrap_danger, div.wrap_warning, div.wrap_caution, div.wrap_notice, div.wrap_safety {
+ padding: 1em 1em .5em;
+ margin-bottom: 1.5em;
+}
+span.wrap_box,
+span.wrap_danger, span.wrap_warning, span.wrap_caution, span.wrap_notice, span.wrap_safety {
+ padding: 0 .3em;
+}
+
+/*____________ notes with icons ____________*/
+
+/* general styles for all note divs */
+div.wrap_info, div.wrap_important, div.wrap_alert, div.wrap_tip, div.wrap_help, div.wrap_todo, div.wrap_download {
+ padding: 1em 1em .5em 70px;
+ margin-bottom: 1.5em;
+ min-height: 68px;
+ background-position: 10px 50%;
+ background-repeat: no-repeat;
+ color: #000;
+}
+/* special treatment for IE6 */
+* html div.wrap_info, * html div.wrap_important, * html div.wrap_alert, * html div.wrap_tip, * html div.wrap_help, * html div.wrap_todo, * html div.wrap_download {
+ height: 68px;
+}
+/* general styles for all note spans */
+span.wrap_info, span.wrap_important, span.wrap_alert, span.wrap_tip, span.wrap_help, span.wrap_todo, span.wrap_download {
+ padding: 0 2px 0 20px;
+ min-height: 20px;
+ background-position: 2px 50%;
+ background-repeat: no-repeat;
+ color: #000;
+}
+/* special treatment for IE6 */
+* html span.wrap_info, * html span.wrap_important, * html span.wrap_alert, * html span.wrap_tip, * html span.wrap_help, * html span.wrap_todo, * html span.wrap_download {
+ height: 20px;
+}
+
+/*____________ info ____________*/
+.wrap_info { background-color: #d1d7df; }
+div.wrap_info { background-image: url(../../../wrap/images/note/48/info.png); }
+span.wrap_info { background-image: url(../../../wrap/images/note/16/info.png); }
+
+/*____________ important ____________*/
+.wrap_important { background-color: #ffd39f; }
+div.wrap_important { background-image: url(../../../wrap/images/note/48/important.png); }
+span.wrap_important { background-image: url(../../../wrap/images/note/16/important.png); }
+
+/*____________ alert ____________*/
+.wrap_alert { background-color: #ffbcaf; }
+div.wrap_alert { background-image: url(../../../wrap/images/note/48/alert.png); }
+span.wrap_alert { background-image: url(../../../wrap/images/note/16/alert.png); }
+
+/*____________ tip ____________*/
+.wrap_tip { background-color: #fff79f; }
+div.wrap_tip { background-image: url(../../../wrap/images/note/48/tip.png); }
+span.wrap_tip { background-image: url(../../../wrap/images/note/16/tip.png); }
+
+/*____________ help ____________*/
+.wrap_help { background-color: #dcc2ef; }
+div.wrap_help { background-image: url(../../../wrap/images/note/48/help.png); }
+span.wrap_help { background-image: url(../../../wrap/images/note/16/help.png); }
+
+/*____________ todo ____________*/
+.wrap_todo { background-color: #c2efdd; }
+div.wrap_todo { background-image: url(../../../wrap/images/note/48/todo.png); }
+span.wrap_todo { background-image: url(../../../wrap/images/note/16/todo.png); }
+
+/*____________ download ____________*/
+.wrap_download { background-color: #d6efc2; }
+div.wrap_download { background-image: url(../../../wrap/images/note/48/download.png); }
+span.wrap_download { background-image: url(../../../wrap/images/note/16/download.png); }
+
+
+/*____________ safety notes ____________*/
+
+.wrap_danger {
+ background-color: #c00;
+ color: #fff;
+}
+.wrap_warning {
+ background-color: #f60;
+ color: #000;
+}
+.wrap_caution {
+ background-color: #ff0;
+ color: #000;
+}
+.wrap_notice {
+ background-color: #06f;
+ color: #fff;
+}
+.wrap_safety {
+ background-color: #090;
+ color: #fff;
+}
+
+
+/* mark
+********************************************************************/
+
+div.wrap_hi {
+ background-color: #ff9;
+}
+
+/* typography
+********************************************************************/
+
+/*____________ font colours ____________*/
+
+div.wrap_fgwhite {
+ color: #fff;
+}
+
+/*____________ background colours ____________*/
+
+div.wrap_bgred {
+ background-color: #fcc;
+}
+div.wrap_bggreen {
+ background-color: #cfc;
+}
+div.wrap_bgblue {
+ background-color: #ccf;
+}
+div.wrap_bgcyan {
+ background-color: #9ff;
+}
+div.wrap_bgviolet {
+ background-color: #f9f;
+}
+div.wrap_bgyellow {
+ background-color: #ff9;
+}
+div.wrap_bggrey {
+ background-color: #ccc;
+}
+div.wrap_bgwhite {
+ background-color: #fff;
+}
+div.wrap_bgblack {
+ background-color: #000;
+}
+
+
+/* miscellaneous
+********************************************************************/
+
+/*____________ spoiler ____________*/
+
+div.wrap_spoiler {
+ background-color: __background__ !important;
+ color: __background__ !important;
+ border: 1px dotted red;
+}
+
+/*____________ only print ____________*/
+
+div.wrap_onlyprint {
+ display: none;
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/zero_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/zero_link.png
new file mode 100644
index 000000000..5610884d9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/zero_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/zero_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/zero_over.png
new file mode 100644
index 000000000..3a14a577e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/faucet/zero_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/audio_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/audio_link.png
new file mode 100644
index 000000000..daa740c40
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/audio_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/audio_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/audio_on.png
new file mode 100644
index 000000000..cd590aae9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/audio_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/audio_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/audio_over.png
new file mode 100644
index 000000000..cf537a008
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/audio_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/auto_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/auto_link.png
new file mode 100644
index 000000000..1c2ca4817
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/auto_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/auto_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/auto_on.png
new file mode 100644
index 000000000..4b0c923b8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/auto_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/auto_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/auto_over.png
new file mode 100644
index 000000000..dbea88975
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/auto_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/backgrnd.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/backgrnd.png
new file mode 100644
index 000000000..0e48413d3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/backgrnd.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/blume.jpg b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/blume.jpg
new file mode 100644
index 000000000..248743c94
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/blume.jpg
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/blumerechts.jpg b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/blumerechts.jpg
new file mode 100644
index 000000000..430d21174
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/blumerechts.jpg
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/delay_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/delay_link.png
new file mode 100644
index 000000000..c4d99cd57
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/delay_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/exit_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/exit_link.png
new file mode 100644
index 000000000..1bfd38790
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/exit_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/exit_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/exit_over.png
new file mode 100644
index 000000000..0fdd1c605
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/exit_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/fade_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/fade_link.png
new file mode 100644
index 000000000..482e4d53e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/fade_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/fade_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/fade_on.png
new file mode 100644
index 000000000..e12561fb2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/fade_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/fade_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/fade_over.png
new file mode 100644
index 000000000..fb8943c98
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/fade_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/footer.jpg b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/footer.jpg
new file mode 100644
index 000000000..49370dbba
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/footer.jpg
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/framing.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/framing.css
new file mode 100755
index 000000000..14d8509e9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/framing.css
@@ -0,0 +1,23 @@
+/* The following styles size, place, and layer the slide components.
+ Edit these if you want to change the overall slide layout.
+ The commented lines can be uncommented (and modified, if necessary)
+ to help you with the rearrangement process. */
+
+/* target = 1024x768 */
+
+div#header, div#footer, .slide {width: 100%; top: 0; left: 0;}
+div#header {top: 0; height: 3em; z-index: 1;}
+div#footer {top: auto; bottom: 0; height: 2.5em; z-index: 5;}
+.slide {top: 0; width: 92%; padding: 3.5em 4% 4%; z-index: 2; list-style: none;}
+div#controls {left: 50%; bottom: 0; width: 50%; z-index: 100;}
+div#controls form {position: absolute; bottom: 0; right: 0; width: 100%;
+ margin: 0;}
+#currentSlide {position: absolute; width: 10%; left: 45%; bottom: 1em; z-index: 10;}
+html>body #currentSlide {position: fixed;}
+
+/*
+div#header {background: #FCC;}
+div#footer {background: #CCF;}
+div#controls {background: #BBD;}
+div#currentSlide {background: #FFC;}
+*/
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/header.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/header.png
new file mode 100644
index 000000000..929dabba1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/header.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/last_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/last_link.png
new file mode 100644
index 000000000..4f158d35d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/last_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/last_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/last_over.png
new file mode 100644
index 000000000..a7b629995
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/last_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/list_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/list_link.png
new file mode 100644
index 000000000..7e299bb50
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/list_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/loop_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/loop_link.png
new file mode 100644
index 000000000..41e12cb15
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/loop_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/loop_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/loop_on.png
new file mode 100644
index 000000000..2ffcacae1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/loop_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/loop_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/loop_over.png
new file mode 100644
index 000000000..9fe420153
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/loop_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/next_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/next_link.png
new file mode 100644
index 000000000..2b9f44c4d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/next_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/next_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/next_over.png
new file mode 100644
index 000000000..25788124d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/next_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/notes_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/notes_link.png
new file mode 100644
index 000000000..bb4a8ae37
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/notes_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/notes_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/notes_over.png
new file mode 100644
index 000000000..c6bfe69fc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/notes_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/opera.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/opera.css
new file mode 100755
index 000000000..9e9d2a3c5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/opera.css
@@ -0,0 +1,7 @@
+/* DO NOT CHANGE THESE unless you really want to break Opera Show */
+.slide {
+ visibility: visible !important;
+ position: static !important;
+ page-break-before: always;
+}
+#slide0 {page-break-before: avoid;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/outline.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/outline.css
new file mode 100755
index 000000000..62db519ed
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/outline.css
@@ -0,0 +1,15 @@
+/* don't change this unless you want the layout stuff to show up in the outline view! */
+
+.layout div, #footer *, #controlForm * {display: none;}
+#footer, #controls, #controlForm, #navLinks, #toggle {
+ display: block; visibility: visible; margin: 0; padding: 0;}
+#toggle {float: right; padding: 0.5em;}
+html>body #toggle {position: fixed; top: 0; right: 0;}
+
+/* making the outline look pretty-ish */
+
+#slide0 h1, #slide0 h2, #slide0 h3, #slide0 h4 {border: none; margin: 0;}
+#slide0 h1 {padding-top: 1.5em;}
+.slide h1 {margin: 1.5em 0 0; padding-top: 0.25em;
+ border-top: 1px solid #888; border-bottom: 1px solid #AAA;}
+#toggle {border: 1px solid; border-width: 0 0 1px 1px; background: #FFF;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/pause_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/pause_link.png
new file mode 100644
index 000000000..2561cb4a4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/pause_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/pause_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/pause_on.png
new file mode 100644
index 000000000..2fc9c4a4a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/pause_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/pause_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/pause_over.png
new file mode 100644
index 000000000..7a7c80ddc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/pause_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/pretty.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/pretty.css
new file mode 100644
index 000000000..0da0ff300
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/pretty.css
@@ -0,0 +1,168 @@
+/* Flower Theme 2004 by Martin Hense ::: www.lounge7.de */
+
+/* Following are the presentation styles -- edit away!
+ Note that the 'body' font size may have to be changed if the resolution is
+ different than expected. */
+
+body {background: #fff url(blume.jpg) no-repeat; color: #222; font-size: 2em;}
+:link, :visited {text-decoration: none;}
+h1, h2, h3, h4 {font-size: 100%; margin: 0; padding: 0; font-weight: inherit;}
+ul, pre {margin: 0; line-height: 1em;}
+html, body {margin: 0; padding: 0;}
+
+blockquote, q {font-style: italic;}
+blockquote {padding: 0 2em 0.5em; margin: 0 1.5em 0.5em; text-align: center; font-size: 1em;}
+blockquote p {margin: 0;}
+blockquote i {font-style: normal;}
+blockquote b {display: block; margin-top: 0.5em; font-weight: normal; font-size: smaller; font-style: normal;}
+blockquote b i {font-style: italic;}
+
+kbd {font-weight: bold; font-size: 1em;}
+sup {font-size: smaller; line-height: 1px;}
+
+code {padding: 2px 0.25em; font-weight: bold; color: #533;}
+code.bad, code del {color: red;}
+code.old {color: silver;}
+pre {padding: 0; margin: 0.25em 0 0.5em 0.5em; color: #533; font-size: 90%;}
+pre code {display: block;}
+ul {margin-right: 7%; margin-left: 50px; padding: 0; list-style: circle;}
+li {margin-top: 0.75em; margin-right: 0;}
+ul ul {line-height: 1;}
+ul ul li {margin: .2em; font-size: 85%; list-style: disc;}
+img.leader {display: block; margin: 0 auto;}
+
+div#header, div#footer {background: transparent; color: #BA9384;
+ font-family: Verdana, Helvetica, sans-serif;}
+div#header {line-height: 1px;}
+div#footer {font-size: 0.5em; font-weight: bold; padding: 1em 0;
+border-top: 1px solid #999999; background: #FFF0CF;}
+#footer h1, #footer h2 {display: block; padding: 0 1em;}
+#footer h2 {font-style: italic;}
+
+div.long {font-size: 0.75em;}
+.slide {
+font-family: georgia, times, 'Times New Roman', serif; background: transparent url(blumerechts.jpg) right top no-repeat;
+}
+.slide h1 {position: absolute; z-index: 1;
+ margin: 0; padding: 0.3em 0 0 50px; white-space: nowrap;
+ text-transform: capitalize;
+ top: 35px; left: 60px; color: #4A040A;
+ font: 150%/1em georgia, times, 'Times New Roman', serif;
+ background: transparent;}
+.slide h3 {font-size: 130%;}
+h1 abbr {font-variant: small-caps;}
+
+
+#currentSlide {text-align: center; font-size: 0.5em;
+color: #646587; font-family: Verdana, Helvetica, sans-serif; font-weight: bold;}
+
+#slide0 {padding-top: 3.5em; font-size: 90%;}
+#slide0 h1 {position: static; margin: 1em 0 1.33em; padding: 0;
+ white-space: normal;
+ color: #000; background: transparent;
+ font: 2em georgia, times, 'Times New Roman', serif;}
+#slide0 h3 {margin-top: 0.5em; font-size: 1.5em;}
+#slide0 h4 {margin-top: 0; font-size: 1em;}
+
+ul.urls {list-style: none; display: inline; margin: 0;}
+.urls li {display: inline; margin: 0;}
+.notes {display: none;}
+
+div#controls {position: absolute; left: 0; bottom: 0; width: 100%; text-align: center; font: bold 1em Verdana, Arial, Helvetica, sans-serif;}
+html>body div#controls {background: transparent; position: fixed; padding: 0; top: auto; text-align: center;}
+#controls #sheet {display: none;}
+#controls #controlForm {height: 32px; width: 100%; text-align: center; vertical-align: middle;}
+#controls #navLinks {border-top: 1px solid #999; overflow: hidden; padding: 0; margin: 0; height: 32px; width: 100%; background-color: #808080; text-align: center; vertical-align: middle; white-space: nowrap;}
+#controls #navLinks ul {margin: 0 auto; padding: 0; height: 32px; width: 500px; white-space: nowrap; text-align: center;}
+#controls #navLinks ul li {list-style: none; display: inline; margin: 0; padding: 0; float: left; height: 32px; width: 32px; text-align: center; background-color: transparent;}
+#controls #navLinks ul li.vr {list-style: none; display: inline; margin: 0px 2px; padding: 0; float: left; height: 32px; width: 1px; text-align: center; background-color: #606060;}
+#controls #navLinks ul li a {margin: 0; padding: 0; float: left; height: 32px; width: 32px; background-color: transparent;}
+
+#controls #navLinks ul li#exit {background: url(exit_link.png) no-repeat top left;}
+#controls #navLinks ul li#exit a:hover {background: url(exit_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#toggle {background: url(toggle_link.png) no-repeat top left;}
+#controls #navLinks ul li#toggle a:hover {background: url(toggle_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#show-notes {background: url(notes_link.png) no-repeat top left;}
+#controls #navLinks ul li#show-notes a:hover {background: url(notes_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#zero {background: url(zero_link.png) no-repeat top left;}
+#controls #navLinks ul li#zero a:hover {background: url(zero_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#prev {background: url(prev_link.png) no-repeat top left;}
+#controls #navLinks ul li#prev a:hover {background: url(prev_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#next {background: url(next_link.png) no-repeat top left;}
+#controls #navLinks ul li#next a:hover {background: url(next_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#last {background: url(last_link.png) no-repeat top left;}
+#controls #navLinks ul li#last a:hover {background: url(last_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#lst {background: url(list_link.png) no-repeat top left;}
+
+#controls #navLinks ul li#fade a.isoff {background: url(fade_link.png) no-repeat top left;}
+#controls #navLinks ul li#fade a.ison {background: url(fade_on.png) no-repeat top right;}
+#controls #navLinks ul li#fade a:hover {background: url(fade_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#audio {background: url(audio_link.png) no-repeat top left;}
+#controls #navLinks ul li#audio a.isoff {background: url(audio_link.png) no-repeat top left;}
+#controls #navLinks ul li#audio a.ison {background: url(audio_on.png) no-repeat top right;}
+#controls #navLinks ul li#audio a:hover {background: url(audio_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#vol {background: url(volume_link.png) no-repeat top left;}
+
+#controls #navLinks ul li#auto a.isoff {background: url(auto_link.png) no-repeat top left;}
+#controls #navLinks ul li#auto a.ison {background: url(auto_on.png) no-repeat top right;}
+#controls #navLinks ul li#auto a:hover {background: url(auto_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#pause a.isoff {background: url(pause_link.png) no-repeat top left;}
+#controls #navLinks ul li#pause a.ison {background: url(pause_on.png) no-repeat top right;}
+#controls #navLinks ul li#pause a:hover {background: url(pause_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#loop a.isoff {background: url(loop_link.png) no-repeat top left;}
+#controls #navLinks ul li#loop a.ison {background: url(loop_on.png) no-repeat top right;}
+#controls #navLinks ul li#loop a:hover {background: url(loop_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#del {background: url(delay_link.png) no-repeat top left;}
+
+#jumplist, #volumelist, #delaylist {padding: 0; margin: 0; width: 32px; height: 32px; cursor: n-resize;}
+
+#guru {position: absolute; visibility: visible; left: 0px; top: 0px; padding: 4px; width: 99%; height: auto; text-align: center; background-color: black; z-index: 10;}
+#guru div {border: solid 3px red; padding: 4px; font-family: monospace; font-size: 60%; width: auto; height: auto; color: red; text-align: center;}
+
+/*
+#currentSlide {white-space: nowrap; text-align: center; margin-bottom: -0.5em; font-size: 0.5em; background-color: transparent; color: #999;}
+
+#slide0 {padding-top: 3.5em; font-size: 90%;}
+#slide0 h1 {position: static; margin: 1em 0 0; padding: 0; font: bold 2em Verdana, Arial, Helvetica, sans-serif; font-variant: small-caps; text-shadow: 0.1em 0.1em 0.1em #666; white-space: normal; color: #222; background-color: transparent;}
+#slide0 h2 {font: bold italic 1em Arial, Helvetica, sans-serif; margin: 0.25em;}
+#slide0 h3 {margin-top: 1.5em; font-size: 1.5em;}
+#slide0 h4 {margin-top: 0; font-size: 1em;}
+*/
+
+ul.urls {list-style: none; display: inline; margin: 0;}
+.urls li {display: inline; margin: 0;}
+.external {border-bottom: 1px dotted gray;}
+html>body .external {border-bottom: none;}
+.external:after {content: " \274F"; font-size: smaller; color: #999;}
+
+.incremental, .incremental *, .incremental *:after {color: #ccc; visibility: visible;}
+img.incremental, canvas.incremental {visibility: hidden;}
+.slide .current {color: #B02;}
+
+/* diagnostics
+li:after {content: " [" attr(class) "]"; color: #F88;}
+*/
+
+table.piechart, table.barchart, table.linechart { border-spacing: 0.3em 0.15em; }
+table.piechart tr th, table.barchart tr th, table.linechart tr th { white-space: nowrap; }
+table.piechart tr td, table.barchart tr td, table.linechart tr td { vertical-align: top; white-space: nowrap; }
+table.piechart tr td.col, table.barchart tr td.col, table.linechart tr td.col { border-bottom: 1px solid #555; border-right: 1px solid #555; }
+table.fs90 tr td, table.fs90 tr th, div.fs90, pre.fs90, p.fs90 ,ul.fs90 {font-size: 0.9em; }
+table.fs75 tr td, table.fs75 tr th, div.fs75, pre.fs75, p.fs75 ,ul.fs75 {font-size: 0.75em; }
+table.fs66 tr td, table.fs66 tr th, div.fs66, pre.fs66, p.fs66 ,ul.fs66 {font-size: 0.66em; }
+table.fs50 tr td, table.fs50 tr th, div.fs50, pre.fs50, p.fs50 ,ul.fs50 {font-size: 0.5em; }
+
+#soundmanager-debug {position:fixed; top:0px; right:0px; width:30em; height:20em; overflow:auto; border:1px solid red; padding:1em; margin:2em; font-family:"sans serif"; font-size: 12px;color: black; background-color:#f6f6f6; z-index: 100;}
+#soundmanager-debug code {font-size: 11px;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/prev_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/prev_link.png
new file mode 100644
index 000000000..c31d21350
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/prev_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/prev_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/prev_over.png
new file mode 100644
index 000000000..6fef4fa52
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/prev_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/print.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/print.css
new file mode 100755
index 000000000..220083260
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/print.css
@@ -0,0 +1 @@
+/* The following rule is necessary to have all slides appear in print! DO NOT REMOVE IT! */ .slide, ul { page-break-inside: avoid; visibility: visible !important; } h1 { page-break-after: avoid; } html { width:100%; overflow:hidden; } body { font-size: 12pt; background: white; width:100%; } * { color: black; } #slide0 h1 { font-size: 200%; border: none; margin: 0.5em 0 0.25em; } #slide0 h3 { margin: 0; padding: 0; } #slide0 h4 { margin: 0 0 0.5em; padding: 0; } #slide0 { margin-bottom: 3em; } h1 { border-top: 2pt solid gray; border-bottom: 1px dotted silver; padding:0.2em 0 0.3em 0; } .extra { background: transparent !important; } div.extra, pre.extra, .example { font-size: 10pt; color: #333; } ul.extra a { font-weight: bold; } p.example { display: none; } #header { display: none; } #footer h1 { margin: 0; border-bottom: 1px solid; color: gray; font-style: italic; } #footer h2, #controls { display: none; } div.handout { display:block; border-top: 2px dotted #ccc; background:url(pics/back-handout-print.png) top right no-repeat #fff; min-height:6em; padding-right:6em; margin-bottom:1em; } .slide { display:block; background:url(pics/back-slide-print.png) top right no-repeat #fff; min-height:6em; margin-bottom:1em; } table { display:block; /* display:none; */ font-size:90%; overflow:hidden; margin-top:1em; margin-bottom:1em; } pre , code { margin:0; font-size:1pt; overflow:auto; } img { max-width:100% !important; } /* The following rule keeps the layout stuff out of print. Remove at your own risk! */ .layout, .layout * { display: none !important; } \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/s5-core.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/s5-core.css
new file mode 100755
index 000000000..86444e041
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/s5-core.css
@@ -0,0 +1,9 @@
+/* Do not edit or override these styles! The system will likely break if you do. */
+
+div#header, div#footer, div#controls, .slide {position: absolute;}
+html>body div#header, html>body div#footer,
+ html>body div#controls, html>body .slide {position: fixed;}
+.handout {display: none;}
+.layout {display: block;}
+.slide, .hideme, .incremental {visibility: hidden;}
+#slide0 {visibility: visible;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/slides.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/slides.css
new file mode 100755
index 000000000..0786d7dbd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/slides.css
@@ -0,0 +1,3 @@
+@import url(s5-core.css); /* required to make the slide show run at all */
+@import url(framing.css); /* sets basic placement and size of slide components */
+@import url(pretty.css); /* stuff that makes the slides look better than blah */ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/slides.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/slides.js
new file mode 100644
index 000000000..510a04c0e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/slides.js
@@ -0,0 +1,2812 @@
+// S5 1.3beta7 (18-Apr-2007) advanced version by C. Effenberger
+// Please see http://s5.netzgesta.de/ for more information
+// based on S5 v1.2a1 slides.js -- released into the Public Domain
+// Please see http://www.meyerweb.com/eric/tools/s5/credits.html for information
+// about all the wonderful and talented contributors to this code!
+// audio extension: soundmanager2 is NOT Public Domain
+// Please see http://www.schillmania.com/projects/soundmanager2/ for information
+
+var undef;
+var slideCSS = '';
+var snum = 0;
+var smax = 1;
+var incpos = 0;
+var number = undef;
+var firstTime = 1;
+var s5mode = true;
+var helpmode = false;
+var defaultView = 'slideshow'; //outline
+var controlVis = 'visible';
+var s5Path = 'lib/plugins/s5reloaded/';
+
+// scalable images extension
+var empx = 0;
+var images = new Array();
+var canvas = new Array();
+var medias = new Array();
+var piecharts = new Array();
+var barcharts = new Array();
+var linecharts = new Array();
+// scalable images extension
+
+// transition extension
+var tranSitions = false;
+var fadeModus = false;
+var fadeDuration = 500;
+var incrDuration = 250;
+var opac = 1;
+var cid = '';
+var nid = '';
+var tid = '';
+var jl = '';
+// transition extension
+
+// autoplay extension
+var autoMatic = false;
+var playLoop = false;
+var playPause = false;
+var autoRun = false;
+var playDelay = 5000;
+var remainDer = 0;
+var incrDelay = 0;
+// autoplay extension
+
+// audio extension
+var sound = new Array();
+var audioSupport = false;
+var audioVolume = 100;
+var audioError = false;
+var swfUnloaded = true;
+var bgSoundItem = 9999;
+var curSoundID = -1;
+// audio extension
+
+// panel extension
+var imgWidth = 32;
+var imgHeight = 32;
+// panel extension
+
+// canvas chart extension
+var canvasSupport = false;
+var ChartData = new Array();
+var colorSlice = new Array();
+var font = document.createElement("img");
+font.setAttribute("src", s5Path+"ui/graphic_support/numeric.png");
+signs = {
+ '0': {sx: 0, sy: 0, sw: 48, sh: 64},
+ '1': {sx: 48, sy: 0, sw: 48, sh: 64},
+ '2': {sx: 96, sy: 0, sw: 48, sh: 64},
+ '3': {sx: 144, sy: 0, sw: 48, sh: 64},
+ '4': {sx: 192, sy: 0, sw: 48, sh: 64},
+ '5': {sx: 240, sy: 0, sw: 48, sh: 64},
+ '6': {sx: 288, sy: 0, sw: 48, sh: 64},
+ '7': {sx: 336, sy: 0, sw: 48, sh: 64},
+ '8': {sx: 384, sy: 0, sw: 48, sh: 64},
+ '9': {sx: 432, sy: 0, sw: 48, sh: 64},
+ '%': {sx: 480, sy: 0, sw: 48, sh: 64},
+ '.': {sx: 528, sy: 0, sw: 24, sh: 64}
+};
+var colorNames= new Array();
+colorNames["black"]="#000000"; colorNames["maroon"]="#800000";
+colorNames["green"]="#008000"; colorNames["olive"]="#808000";
+colorNames["navy"]="#000080"; colorNames["purple"]="#800080";
+colorNames["teal"]="#008080"; colorNames["gray"]="#808080";
+colorNames["silver"]="#C0C0C0"; colorNames["red"]="#FF0000";
+colorNames["lime"]="#00FF00"; colorNames["yellow"]="#FFFF00";
+colorNames["blue"]="#0000FF"; colorNames["fuchsia"]="#FF00FF";
+colorNames["aqua"]="#00FFFF"; colorNames["white"]="#FFFFFF";
+colorNames["aliceblue"]="#F0F8FF"; colorNames["antiquewhite"]="#FAEBD7";
+colorNames["aquamarine"]="#7FFFD4"; colorNames["azure"]="#F0FFFF";
+colorNames["beige"]="#F5F5DC"; colorNames["blueviolet"]="#8A2BE2";
+colorNames["brown"]="#A52A2A"; colorNames["burlywood"]="#DEB887";
+colorNames["cadetblue"]="#5F9EA0"; colorNames["chartreuse"]="#7FFF00";
+colorNames["chocolate"]="#D2691E"; colorNames["coral"]="#FF7F50";
+colorNames["cornflowerblue"]="#6495ED"; colorNames["cornsilk"]="#FFF8DC";
+colorNames["crimson"]="#DC143C"; colorNames["darkblue"]="#00008B";
+colorNames["darkcyan"]="#008B8B"; colorNames["darkgoldenrod"]="#B8860B";
+colorNames["darkgray"]="#A9A9A9"; colorNames["darkgreen"]="#006400";
+colorNames["darkkhaki"]="#BDB76B"; colorNames["darkmagenta"]="#8B008B";
+colorNames["darkolivegreen"]="#556B2F"; colorNames["darkorange"]="#FF8C00";
+colorNames["darkorchid"]="#9932CC"; colorNames["darkred"]="#8B0000";
+colorNames["darksalmon"]="#E9967A"; colorNames["darkseagreen"]="#8FBC8F";
+colorNames["darkslateblue"]="#483D8B"; colorNames["darkslategray"]="#2F4F4F";
+colorNames["darkturquoise"]="#00CED1"; colorNames["darkviolet"]="#9400D3";
+colorNames["deeppink"]="#FF1493"; colorNames["deepskyblue"]="#00BFFF";
+colorNames["dimgray"]="#696969"; colorNames["dodgerblue"]="#1E90FF";
+colorNames["firebrick"]="#B22222"; colorNames["floralwhite"]="#FFFAF0";
+colorNames["forestgreen"]="#228B22"; colorNames["gainsboro"]="#DCDCDC";
+colorNames["ghostwhite"]="#F8F8FF"; colorNames["gold"]="#FFD700";
+colorNames["goldenrod"]="#DAA520"; colorNames["greenyellow"]="#ADFF2F";
+colorNames["honeydew"]="#F0FFF0"; colorNames["hotpink"]="#FF69B4";
+colorNames["indianred"]="#CD5C5C"; colorNames["indigo"]="#4B0082";
+colorNames["ivory"]="#FFFFF0"; colorNames["khaki"]="#F0E68C";
+colorNames["lavender"]="#E6E6FA"; colorNames["lavenderblush"]="#FFF0F5";
+colorNames["lawngreen"]="#7CFC00"; colorNames["lemonchiffon"]="#FFFACD";
+colorNames["lightblue"]="#ADD8E6"; colorNames["lightcoral"]="#F08080";
+colorNames["lightcyan"]="#E0FFFF"; colorNames["lightgoldenrodyellow"]="#FAFAD2";
+colorNames["lightgreen"]="#90EE90"; colorNames["lightgrey"]="#D3D3D3";
+colorNames["lightpink"]="#FFB6C1"; colorNames["lightsalmon"]="#FFA07A";
+colorNames["lightseagreen"]="#20B2AA"; colorNames["lightskyblue"]="#87CEFA";
+colorNames["lightslategray"]="#778899"; colorNames["lightsteelblue"]="#B0C4DE";
+colorNames["lightyellow"]="#FFFFE0"; colorNames["limegreen"]="#32CD32";
+colorNames["linen"]="#FAF0E6"; colorNames["mediumaquamarine"]="#66CDAA";
+colorNames["mediumblue"]="#0000CD"; colorNames["mediumorchid"]="#BA55D3";
+colorNames["ediumpurple"]="#9370D"; colorNames["mediumseagreen"]="#3CB371";
+colorNames["mediumslateblue"]="#7B68EE"; colorNames["mediumspringgreen"]="#00FA9A";
+colorNames["mediumturquoise"]="#48D1CC"; colorNames["mediumvioletred"]="#C71585";
+colorNames["midnightblue"]="#191970"; colorNames["mintcream"]="#F5FFFA";
+colorNames["mistyrose"]="#FFE4E1"; colorNames["moccasin"]="#FFE4B5";
+colorNames["navajowhite"]="#FFDEAD"; colorNames["oldlace"]="#FDF5E6";
+colorNames["olivedrab"]="#6B8E23"; colorNames["orange"]="#FFA500";
+colorNames["orangered"]="#FF4500"; colorNames["orchid"]="#DA70D6";
+colorNames["palegoldenrod"]="#EEE8AA"; colorNames["palegreen"]="#98FB98";
+colorNames["paleturquoise"]="#AFEEEE"; colorNames["palevioletred"]="#DB7093";
+colorNames["papayawhip"]="#FFEFD5"; colorNames["peachpuff"]="#FFDAB9";
+colorNames["peru"]="#CD853F"; colorNames["pink"]="#FFC0CB";
+colorNames["plum"]="#DDA0DD"; colorNames["powderblue"]="#B0E0E6";
+colorNames["rosybrown"]="#BC8F8F"; colorNames["royalblue"]="#4169E1";
+colorNames["saddlebrown"]="#8B4513"; colorNames["salmon"]="#FA8072";
+colorNames["sandybrown"]="#F4A460"; colorNames["seagreen"]="#2E8B57";
+colorNames["seashell"]="#FFF5EE"; colorNames["sienna"]="#A0522D";
+colorNames["skyblue"]="#87CEEB"; colorNames["slateblue"]="#6A5ACD";
+colorNames["slategray"]="#708090"; colorNames["snow"]="#FFFAFA";
+colorNames["springgreen"]="#00FF7F"; colorNames["steelblue"]="#4682B4";
+colorNames["tan"]="#D2B48C"; colorNames["thistle"]="#D8BFD8";
+colorNames["tomato"]="#FF6347"; colorNames["turquoise"]="#40E0D0";
+colorNames["violet"]="#EE82EE"; colorNames["wheat"]="#F5DEB3";
+colorNames["whitesmoke"]="#F5F5F5"; colorNames["yellowgreen"]="#9ACD32";
+var canvas_bgcolor = "";
+var canvas_width = 200;
+var canvas_height = 200;
+var canvas_noshade = 0;
+var canvas_nofill = 0;
+var canvas_noshadow = 0;
+var canvas_htmltext = 0;
+var canvas_imgtext = 0;
+var canvas_notext = 0;
+// canvas chart extension
+
+var s5NotesWindow;
+var s5NotesWindowLoaded = false;
+var previousSlide = 0;
+var presentationStart = new Date();
+var slideStart = new Date();
+
+var countdown = {
+ timer: 0,
+ state: 'pause',
+ start: new Date(),
+ end: 0,
+ remaining: 0
+};
+
+var isIE = navigator.appName == 'Microsoft Internet Explorer' && navigator.userAgent.indexOf('Opera') < 1 ? 1 : 0;
+if(isIE) var notIE7 = parseInt(navigator.appVersion) < 7 ? 1 : 0;
+var isOp = navigator.userAgent.indexOf('Opera') > -1 ? 1 : 0;
+var isGe = navigator.userAgent.indexOf('Gecko') > -1 && navigator.userAgent.indexOf('Safari') < 1 ? 1 : 0;
+var isS2 = navigator.userAgent.indexOf('Safari') >= 2 ? 1 : 0;
+
+function hasClass(object, className) {
+ if (!object.className) return false;
+ return (object.className.search('(^|\\s)' + className + '(\\s|$)') != -1);
+}
+
+function hasValue(object, value) {
+ if (!object) return false;
+ return (object.search('(^|\\s)' + value + '(\\s|$)') != -1);
+}
+
+function removeClass(object,className) {
+ if (!object || !hasClass(object,className)) return;
+ object.className = object.className.replace(new RegExp('(^|\\s)'+className+'(\\s|$)'), RegExp.$1+RegExp.$2);
+}
+
+function addClass(object,className) {
+ if (!object || hasClass(object, className)) return;
+ if (object.className) {
+ object.className += ' '+className;
+ } else {
+ object.className = className;
+ }
+}
+
+function changeClass(object,className) {
+ if (!object) return;
+ object.firstChild.className = className;
+}
+
+function GetElementsWithClassName(elementName,className) {
+ var allElements = document.getElementsByTagName(elementName);
+ var elemColl = new Array();
+ for (var i = 0; i< allElements.length; i++) {
+ if (hasClass(allElements[i], className)) {
+ elemColl[elemColl.length] = allElements[i];
+ }
+ }
+ return elemColl;
+}
+
+function isParentOrSelf(element, id) {
+ if (element == null || element.nodeName=='BODY') return false;
+ else if (element.id == id) return true;
+ else return isParentOrSelf(element.parentNode, id);
+}
+
+function nodeValue(node) {
+ var result = "";
+ if (node.nodeType == 1) {
+ var children = node.childNodes;
+ for (var i = 0; i < children.length; ++i) {
+ result += nodeValue(children[i]);
+ }
+ }
+ else if (node.nodeType == 3) {
+ result = node.nodeValue;
+ }
+ return(result);
+}
+
+function slideLabel() {
+ var slideColl = GetElementsWithClassName('*','slide');
+ var list = document.getElementById('jumplist');
+ smax = slideColl.length;
+ for (var n = 0; n < smax; n++) {
+ var obj = slideColl[n];
+ var did = 'slide' + n.toString();
+ obj.setAttribute('id',did);
+ var otext = '';
+ var menu = obj.firstChild;
+ if (!menu) continue; // to cope with empty slides
+ while (menu && menu.nodeType == 3) {
+ menu = menu.nextSibling;
+ }
+ if (!menu) continue; // to cope with slides with only text nodes
+ var menunodes = menu.childNodes;
+ for (var o = 0; o < menunodes.length; o++) {
+ otext += nodeValue(menunodes[o]);
+ }
+ list.options[list.length] = new Option(n + ' : ' + otext, n);
+ }
+}
+
+function currentSlide() {
+ var cs, at, fd, ss;
+ if (document.getElementById) {
+ cs = document.getElementById('currentSlide');
+ } else {
+ cs = document.currentSlide;
+ }
+ fd = fadeModus?"F":"&ndash;";
+ ss = audioSupport?"S":"&ndash;";
+ at = (autoMatic?(playPause?"||":(playLoop?"&gt;0":"&gt;|")):"&ndash;&ndash;");
+ cs.innerHTML = '<div id="plink" nowrap="nowrap">' +
+ '<span id="csFade">[' + fd + ss + ']<\/span>&nbsp;' +
+ '<span id="csHere"><strong>' + snum + '<\/strong><\/span>' +
+ '<span id="csSep">\/<\/span>' +
+ '<span id="csTotal">' + (smax-1) + '<\/span>&nbsp;' +
+ '<span id="csAuto">[' + at + ']<\/span>' +
+ '<\/div>';
+
+ if (snum == 0) {
+ cs.style.visibility = 'hidden';
+ } else {
+ cs.style.visibility = 'visible';
+ }
+}
+
+function go(step) {
+ if (document.getElementById('slideProj').disabled || step == 0) return;
+ jl = document.getElementById('jumplist');
+ cid = 'slide' + snum;
+ var ce = document.getElementById(cid);
+ if (incrementals[snum].length > 0) {
+ for (var i = 0; i < incrementals[snum].length; i++) {
+ removeClass(incrementals[snum][i], 'current');
+ removeClass(incrementals[snum][i], 'incremental');
+ }
+ }
+ if (step != 'j') {
+ snum += step;
+ lmax = smax - 1;
+ if (snum > lmax) snum = lmax;
+ if (snum < 0) snum = 0;
+ }else {
+ snum = parseInt(jl.value);
+ }
+ nid = 'slide' + snum;
+ var ne = document.getElementById(nid);
+ if (!ne) {
+ ne = document.getElementById('slide0');
+ nid = 'slide0';
+ snum = 0;
+ }
+ if (step < 0) {
+ incpos = incrementals[snum].length
+ }else {
+ incpos = 0;
+ }
+ if (incrementals[snum].length > 0 && incpos == 0) {
+ for (var i = 0; i < incrementals[snum].length; i++) {
+ if (hasClass(incrementals[snum][i], 'current')) {
+ incpos = i + 1;
+ }else {
+ addClass(incrementals[snum][i], 'incremental');
+ }
+ }
+ }
+ if (incrementals[snum].length > 0 && incpos > 0) {
+ addClass(incrementals[snum][incpos - 1], 'current');
+ }
+ var guru = document.getElementById('guru');
+ if(guru && snum==0) {
+ guru.style.visibility = 'visible';
+ }else if(guru && snum>0) {
+ guru.style.visibility = 'hidden';
+ }
+ if(tranSitions && s5mode && fadeModus) {
+ if(curSoundID != getSoundID(nid)) {
+ if(curSoundID == bgSoundItem && !sound[getSoundID(nid)]) {
+ }else {fadeoutSound(curSoundID,true); } // audio support
+ }
+ changeOpac(0,nid);
+ changeOpac(100,cid);
+ ce.style.visibility = 'visible';
+ shiftOpacity(cid,fadeDuration);
+ window.setTimeout("changeSlides()",fadeDuration);
+ }else {
+ if(curSoundID != getSoundID(nid)) {
+ if(curSoundID == bgSoundItem && !sound[getSoundID(nid)]) {
+ }else {stopSound(curSoundID); } // audio support
+ }
+ ce.style.visibility = 'hidden';
+ if (isOp) location.hash = nid;
+ ne.style.visibility = 'visible';
+ finishSlides();
+ }
+}
+
+function changeSlides() {
+ if(nid != cid) changeOpac(100,cid);
+ document.getElementById(cid).style.visibility = 'hidden';
+ document.getElementById(nid).style.visibility = 'visible';
+ if (isOp) location.hash = nid;
+ shiftOpacity(nid,fadeDuration);
+ window.setTimeout("finishSlides()",fadeDuration);
+}
+
+function finishSlides() {
+ jl.selectedIndex = snum;
+ currentSlide();
+ loadNote();
+ permaLink();
+ number = undef;
+ if(sound[getSoundID(nid)]) {
+ playSound(nid); // audio support
+ }else if(sound[bgSoundItem] && curSoundID != bgSoundItem) {
+ playSound(bgSoundItem); // audio support
+ }
+}
+
+function goTo(target) {
+ if (target >= smax || target == snum) return;
+ go(target - snum);
+}
+
+function subgo(step) {
+ if (step > 0) {
+ removeClass(incrementals[snum][incpos - 1],'current');
+ removeClass(incrementals[snum][incpos], 'incremental');
+ if(tranSitions && s5mode && fadeModus) {
+ if(!incrementals[snum][incpos].id) {
+ var tmp = new Date(); tid = "inc" + String(tmp.getTime());
+ incrementals[snum][incpos].id = tid;
+ }else {
+ tid = incrementals[snum][incpos].id;
+ }
+ if(typeof(incrementals[snum][incpos].src) != "undefined" || incrementals[snum][incpos].getContext) {
+ changeOpac(0,tid);
+ addClass(incrementals[snum][incpos],'current');
+ shiftOpacity(tid,incrDuration);
+ setTimeout("nextInc()",incrDuration);
+ }else {
+ addClass(incrementals[snum][incpos],'current');
+ nextInc();
+ }
+ }else {
+ addClass(incrementals[snum][incpos],'current');
+ nextInc();
+ }
+ } else {
+ incpos--;
+ removeClass(incrementals[snum][incpos],'current');
+ addClass(incrementals[snum][incpos], 'incremental');
+ addClass(incrementals[snum][incpos - 1],'current');
+ loadNote();
+ }
+}
+
+function nextInc() {
+ incpos++;
+ loadNote();
+}
+
+function toggle() {
+ var slideColl = GetElementsWithClassName('*','slide');
+ var slides = document.getElementById('slideProj');
+ var outline = document.getElementById('outlineStyle');
+ var guru = document.getElementById('guru');
+ if (!slides.disabled) {
+ stopPlay();
+ if(audioSupport && !swfUnloaded) stopAllSounds();
+ slides.disabled = true;
+ outline.disabled = false;
+ s5mode = false;
+ fontSize(1,'em');
+ for (var n = 0; n < smax; n++) {
+ var slide = slideColl[n];
+ slide.style.visibility = 'visible';
+ }
+ if(guru) guru.style.visibility = 'hidden';
+ } else {
+ slides.disabled = false;
+ outline.disabled = true;
+ s5mode = true;
+ fontScale();
+ for (var n = 0; n < smax; n++) {
+ var slide = slideColl[n];
+ slide.style.visibility = 'hidden';
+ }
+ slideColl[snum].style.visibility = 'visible';
+ if(guru && snum==0) guru.style.visibility = 'visible';
+ }
+}
+
+function showHide(action) {
+ var obj = GetElementsWithClassName('*','hideme')[0];
+ switch (action) {
+ case 's':
+ obj.style.visibility = 'visible';
+ break;
+ case 'h':
+ obj.style.visibility = 'hidden';
+ break;
+ case 'k':
+ if (obj.style.visibility != 'visible') {
+ obj.style.visibility = 'visible';
+ } else {
+ obj.style.visibility = 'hidden';
+ }
+ break;
+ }
+}
+
+function keys(key) {
+ if (!key) {
+ key = event;
+ key.which = key.keyCode;
+ }
+ if (helpmode) {
+ dumpHelpReq();
+ return;
+ }
+ if (key.which == 84 && !isOp) {
+ toggle();
+ return;
+ }
+ if (s5mode) {
+ if (autoMatic) {
+ switch (key.which) {
+ case 70: // f/ading on/off
+ switchFade();
+ break;
+ case 83: // s/ound on/off
+ toggleSounds();
+ break;
+ case 67: // c
+ showHide('k');
+ break;
+ case 65: // a/utoplay on/off
+ stopPlay();
+ break;
+ case 76: // l/ooping on/off
+ switchLoop();
+ break;
+ case 80: // p/ause
+ case 32: // spacebar
+ pausePlay();
+ break;
+ }
+ }else {
+ switch (key.which) {
+ case 8: // backspace = HELP
+ createHelpReq();
+ break;
+ case 10: // return
+ case 13: // enter
+ if (window.event && isParentOrSelf(window.event.srcElement, 'controls')) return;
+ if (key.target && isParentOrSelf(key.target, 'controls')) return;
+ if(number != undef) {
+ goTo(number);
+ break;
+ }
+ case 32: // spacebar
+ case 34: // page down
+ case 39: // rightkey
+ case 40: // downkey
+ if(number != undef) {
+ go(number);
+ } else if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ } else {
+ subgo(1);
+ }
+ break;
+ case 33: // page up
+ case 37: // leftkey
+ case 38: // upkey
+ if(number != undef) {
+ go(-1 * number);
+ } else if (!incrementals[snum] || incpos <= 0) {
+ go(-1);
+ } else {
+ subgo(-1);
+ }
+ break;
+ case 65: // a/utoplay
+ startPlay();
+ break;
+ case 72: // h
+ case 36: // home
+ goTo(0);
+ break;
+ case 69: // e
+ case 35: // end
+ goTo(smax-1);
+ break;
+ case 70: // f/ade transitions on/off
+ switchFade();
+ break;
+ case 76: // l/ooping on/off
+ switchLoop();
+ break;
+ case 83: // s/ound support on/off
+ toggleSounds();
+ break;
+ case 27: // escape
+ case 81: // q
+ if(!isOp) byby();
+ break;
+ case 67: // c
+ showHide('k');
+ break;
+ case 78: // n
+ createNotesWindow();
+ break;
+ }
+ if (key.which < 48 || key.which > 57) {
+ number = undef;
+ } else {
+ if (window.event && isParentOrSelf(window.event.srcElement, 'controls')) return;
+ if (key.target && isParentOrSelf(key.target, 'controls')) return;
+ number = (((number != undef) ? number : 0) * 10) + (key.which - 48);
+ }
+ }
+ }
+ return false;
+}
+
+function clicker(e) {
+ number = undef;
+ var target;
+ if (window.event) {
+ target = window.event.srcElement;
+ e = window.event;
+ } else {
+ target = e.target;
+ }
+ if (target.href != null || hasValue(target.rel, 'external') || isParentOrSelf(target, 'controls') || isParentOrSelf(target,'embed') || isParentOrSelf(target,'object')) return true;
+ if (!helpmode) {
+ if (!e.which || e.which == 1) {
+ if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ } else {
+ subgo(1);
+ }
+ }
+ } else {
+ dumpHelpReq();
+ }
+}
+
+function findSlide(hash) {
+ var target = null;
+ var slides = GetElementsWithClassName('*','slide');
+ for (var i = 0; i < slides.length; i++) {
+ var targetSlide = slides[i];
+ if ( (targetSlide.name && targetSlide.name == hash)
+ || (targetSlide.id && targetSlide.id == hash) ) {
+ target = targetSlide;
+ break;
+ }
+ }
+ while(target != null && target.nodeName != 'BODY') {
+ if (hasClass(target, 'slide')) {
+ return parseInt(target.id.slice(5));
+ }
+ target = target.parentNode;
+ }
+ return null;
+}
+
+function slideJump() {
+ if (window.location.hash == null) return;
+ var sregex = /^#slide(\d+)$/;
+ var matches = sregex.exec(window.location.hash);
+ var dest = null;
+ if (matches != null) {
+ dest = parseInt(matches[1]);
+ } else {
+ dest = findSlide(window.location.hash.slice(1));
+ }
+ if (dest != null)
+ go(dest - snum);
+}
+
+function fixLinks() {
+ var thisUri = window.location.href;
+ thisUri = thisUri.slice(0, thisUri.length - window.location.hash.length);
+ var aelements = document.getElementsByTagName('A');
+ for (var i = 0; i < aelements.length; i++) {
+ var a = aelements[i].href;
+ var slideID = a.match('\#slide[0-9]{1,2}');
+ if ((slideID) && (slideID[0].slice(0,1) == '#')) {
+ var dest = findSlide(slideID[0].slice(1));
+ if (dest != null) {
+ if (aelements[i].addEventListener) {
+ aelements[i].addEventListener("click", new Function("e",
+ "if (document.getElementById('slideProj').disabled) return;" +
+ "go("+dest+" - snum); " +
+ "if (e.preventDefault) e.preventDefault();"), true);
+ } else if (aelements[i].attachEvent) {
+ aelements[i].attachEvent("onclick", new Function("",
+ "if (document.getElementById('slideProj').disabled) return;" +
+ "go("+dest+" - snum); " +
+ "event.returnValue = false;"));
+ }
+ }
+ }
+ }
+}
+
+function externalLinks() {
+ if (!document.getElementsByTagName) return;
+ var anchors = document.getElementsByTagName('a');
+ for (var i=0; i<anchors.length; i++) {
+ var anchor = anchors[i];
+ if (anchor.getAttribute('href') && hasValue(anchor.rel, 'external')) {
+ anchor.target = '_blank';
+ addClass(anchor,'external');
+ }
+ }
+}
+
+function permaLink() {
+ document.getElementById('plink').href = window.location.pathname + '#slide' + snum;
+}
+
+function createControls() {
+ var controlsDiv = document.getElementById("controls");
+ if (!controlsDiv) return;
+ var hider = ' onmouseover="showHide(\'s\');" onmouseout="showHide(\'h\');"';
+ var hideDiv, hideList = '';
+ if (controlVis == 'hidden') {
+ hideDiv = hider;
+ } else {
+ hideList = hider;
+ }
+ if(isOp) {
+ var str = '';
+ }else {
+ var str = '<a accesskey="t" id="sheet" title="toggle CSS" href="javascript:toggle();">&plusmn;<\/a>';
+ }
+ if(isIE) {
+ var tmp = "move around&xA0;until the color&xA0;change to red!";
+ }else if(isS2) {
+ var tmp = "move around\r\nuntil the color\r\nchange to red!";
+ }else {
+ var tmp = "move around until color change to red!";
+ }
+ if(isIE) {
+ controlsDiv.innerHTML = str +
+ '<form action="#" id="controlForm"' + hideDiv + '>' +
+ '<div id="navLinks" title="press [backspace] for keyboard help!"><ul>' +
+ '<li id="show-notes"><a href="javascript:createNotesWindow();" accesskey="n" title="show Notes"><\/a><\/li>' +
+ '<li id="toggle"><a href="javascript:toggle();" accesskey="t" title="toggle CSS"><\/a><\/li>' +
+ '<li id="zero"><a href="javascript:goTo(0);" accesskey="h" title="goto Start Slide"><\/a><\/li>' +
+ '<li id="prev"><a href="javascript:go(-1);" accesskey="y" title="previous Slide"><\/a><\/li>' +
+ '<li id="next"><a href="javascript:go(1);" accesskey="x" title="next Slide"><\/a><\/li>' +
+ '<li id="last"><a href="javascript:goTo(smax-1);" accesskey="e" title="goto Last Slide"><\/a><\/li>' +
+ '<li id="lst" style="vertical-align: middle; width: 160px;"><select style="cursor: auto; width: 160px; height: auto;" id="jumplist" title="select named Slide" onchange="go(\'j\');"><\/select><\/li>' +
+ '<li id="auto"><a class="isoff" href="javascript:togglePlay();" accesskey="a" title="Auto Play"><\/a><\/li>' +
+ '<li id="pause"><a class="isoff" href="javascript:pausePlay();" accesskey="p" title="Pause"><\/a><\/li>' +
+ '<li id="loop"><a class="isoff" href="javascript:switchLoop();" accesskey="l" title="Loop"><\/a><\/li>' +
+ '<li id="del" style="vertical-align: middle; width: 52px;"><select style="cursor: auto; width: 52px; height: auto;" id="delaylist" title="select Delay" onchange="setDelay();"><option value="90">90<\/option><option value="75">75<\/option><option value="60">60<\/option><option value="45">45<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="15">15<\/option><option value="10">10<\/option><option value="5">5<\/option><\/select><\/li>' +
+ '<\/ul><\/div><\/form>';
+ }else {
+ controlsDiv.innerHTML = str +
+ '<form action="#" id="controlForm"' + hideDiv + '>' +
+ '<div id="navLinks" title="press [backspace] or double click this area for keyboard help!" ondblclick="createHelpReq();"><ul>' +
+ '<li id="exit"><a href="javascript:byby();" accesskey="q" title="exit Show"><\/a><\/li>' +
+ '<li id="show-notes"><a href="javascript:createNotesWindow();" accesskey="n" title="show Notes"><\/a><\/li>' +
+ '<li id="toggle"><a href="javascript:toggle();" accesskey="t" title="toggle CSS"><\/a><\/li>' +
+ '<li class="vr"><\/li>' +
+ '<li id="zero"><a href="javascript:goTo(0);" accesskey="h" title="goto Start Slide"><\/a><\/li>' +
+ '<li id="prev"><a href="javascript:go(-1);" accesskey="y" title="previous Slide"><\/a><\/li>' +
+ '<li id="next"><a href="javascript:go(1);" accesskey="x" title="next Slide"><\/a><\/li>' +
+ '<li id="last"><a href="javascript:goTo(smax-1);" accesskey="e" title="goto Last Slide"><\/a><\/li>' +
+ '<li id="lst"><a id="list" style="cursor:wait;" title="' + tmp + '"><\/a><select id="jumplist" title="select named Slide" onchange="go(\'j\');"><\/select><\/li>' +
+ '<li id="fadeLinks" class="vr"><\/li>' +
+ '<li id="fade"><a class="isoff" href="javascript:switchFade();" accesskey="f" title="Transions"><\/a><\/li>' +
+ '<li id="audioLinks" class="vr"><\/li>' +
+ '<li id="audio"><a class="isoff" href="javascript:toggleSounds();" accesskey="s" title="Sounds" ><\/a><\/li>' +
+ '<li id="vol"><a id="volume" style="cursor:wait;" title="' + tmp + '"><\/a><select id="volumelist" title="select Volume" onchange="setVolume();"><option value="100">100<\/option><option value="90">90<\/option><option value="80">80<\/option><option value="70">70<\/option><option value="60">60<\/option><option value="50">50<\/option><option value="40">40<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="10">10<\/option><option value="0">0<\/option><\/select><\/li>' +
+ '<li id="autoLinks" class="vr"><\/li>' +
+ '<li id="auto"><a class="isoff" href="javascript:togglePlay();" accesskey="a" title="Auto Play"><\/a><\/li>' +
+ '<li id="pause"><a class="isoff" href="javascript:pausePlay();" accesskey="p" title="Pause"><\/a><\/li>' +
+ '<li id="loop"><a class="isoff" href="javascript:switchLoop();" accesskey="l" title="Loop"><\/a><\/li>' +
+ '<li id="del"><a id="delay" style="cursor:wait;" title="' + tmp + '"><\/a><select id="delaylist" title="select Delay" onchange="setDelay();"><option value="90">90<\/option><option value="75">75<\/option><option value="60">60<\/option><option value="45">45<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="15">15<\/option><option value="10">10<\/option><option value="5">5<\/option><\/select><\/li>' +
+ '<\/ul>' +
+ '<a href="http://s5.netzgesta.de" target="_blank" title="S5 Reloaded"><img style="position: absolute; right: 0; bottom: 0;" src="data:image/png;charset=utf-8;base64,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" width="20" height="32" alt="S5" \/><\/a>' +
+ '<\/div><\/form>';
+ }
+ if (controlVis == 'hidden') {
+ var hidden = document.getElementById('navLinks');
+ } else {
+ var hidden = document.getElementById('jumplist');
+ }
+ addClass(hidden,'hideme');
+}
+
+function fontScale() { // causes layout problems in FireFox that get fixed if browser's Reload is used; same may be true of other Gecko-based browsers
+ if (!s5mode && !isOp) return false;
+ var hScreen = screen.width; var vScreen = screen.height;
+ var vWindow = window.outerHeight; var hWindow = window.outerWidth;
+ if (isOp && s5mode && defaultView=='slideshow' && ((hScreen != hWindow) || (vScreen != vWindow))) {
+ toggle();
+ return false;
+ }
+ if (isOp && !s5mode && ((hScreen != hWindow) || (vScreen != vWindow))) return false;
+ if (isOp && !s5mode && (hScreen == hWindow) && (vScreen == vWindow)) toggle();
+ var vScale = 48; // both yield 16 (the usual browser default) at 1024x768
+ var hScale = 64; // perhaps should auto-calculate based on theme's declared value?
+ if (window.innerHeight) {
+ var vSize = window.innerHeight;
+ var hSize = window.innerWidth;
+ } else if (document.documentElement.clientHeight) {
+ var vSize = document.documentElement.clientHeight;
+ var hSize = document.documentElement.clientWidth;
+ } else if (document.body.clientHeight) {
+ var vSize = document.body.clientHeight;
+ var hSize = document.body.clientWidth;
+ } else {
+ var vSize = 700; // assuming 1024x768, minus chrome and such equals 8:5
+ var hSize = 1024; // these do not account for kiosk mode or Opera Show
+ }
+ var newSize = Math.min(Math.round(vSize/vScale),Math.round(hSize/hScale));
+ extendImgSizes(newSize); // scalable images extension
+ extendCanSizes(newSize); // scalable canvas extension
+ extendObjSizes(newSize); // scalable object extension
+ fontSize(newSize,"px");
+ if(!isS2 || firstTime==0) {
+ generateCanvas(); // dynamic canvas extension
+ }
+ if (isGe) { // hack to counter incremental reflow bugs
+ var obj = document.getElementsByTagName('body')[0];
+ obj.style.visibility = 'hidden';
+ obj.style.display = 'none';
+ obj.style.display = 'block';
+ obj.style.visibility = 'visible';
+ changeOpac(100,'slide' + snum);
+ shiftOpacity('slide' + snum,10);
+ window.setTimeout("fixReflow()",10);
+ }else {
+ setListPos(); // invisible select extension
+ }
+}
+
+function fixReflow() {
+ shiftOpacity('slide' + snum,10);
+ window.setTimeout("finishReflow()",10);
+}
+function finishReflow() {
+ setListPos(); // invisible select extension
+}
+
+function fontSize(val,fmt) {
+ var value = val + fmt;
+ if (!(s5ss = document.getElementById('s5ss'))) {
+ if (!document.createStyleSheet) {
+ document.getElementsByTagName('head')[0].appendChild(s5ss = document.createElement('style'));
+ s5ss.setAttribute('media','screen, projection');
+ s5ss.setAttribute('id','s5ss');
+ } else {
+ document.createStyleSheet();
+ document.s5ss = document.styleSheets[document.styleSheets.length - 1];
+ }
+ }
+ if (!(document.s5ss && document.s5ss.addRule)) {
+ while (s5ss.lastChild) s5ss.removeChild(s5ss.lastChild);
+ s5ss.appendChild(document.createTextNode('html {font-size: ' + value + ' !important;}'));
+ } else {
+ document.s5ss.addRule('html','font-size: ' + value + ' !important;');
+ }
+}
+
+function windowChange() {
+ fontScale();
+}
+
+function notOperaFix() {
+ slideCSS = document.getElementById('slideProj').href;
+ var slides = document.getElementById('slideProj');
+ var outline = document.getElementById('outlineStyle');
+ slides.setAttribute('media','screen');
+ outline.disabled = true;
+ if (isGe) {
+ slides.setAttribute('href','null'); // Gecko fix
+ slides.setAttribute('href',slideCSS); // Gecko fix
+ }
+ if ((isIE && notIE7) && document.styleSheets && document.styleSheets[0]) {
+ document.styleSheets[0].addRule('img', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ document.styleSheets[0].addRule('div', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ document.styleSheets[0].addRule('.slide', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ }
+}
+
+function getIncrementals(obj) {
+ var incrementals = new Array();
+ if (!obj)
+ return incrementals;
+ var children = obj.childNodes;
+ for (var i = 0; i < children.length; i++) {
+ var child = children[i];
+ if (hasClass(child, 'incremental')) {
+ if (child.nodeName == 'OL' || child.nodeName == 'UL') {
+ removeClass(child, 'incremental');
+ for (var j = 0; j < child.childNodes.length; j++) {
+ if (child.childNodes[j].nodeType == 1) {
+ addClass(child.childNodes[j], 'incremental');
+ }
+ }
+ } else {
+ incrementals[incrementals.length] = child;
+ removeClass(child,'incremental');
+ }
+ }
+ if (hasClass(child, 'show-first')) {
+ if (child.nodeName == 'OL' || child.nodeName == 'UL') {
+ removeClass(child, 'show-first');
+ if (child.childNodes[isGe].nodeType == 1) {
+ removeClass(child.childNodes[isGe], 'incremental');
+ }
+ } else {
+ incrementals[incrementals.length] = child;
+ }
+ }
+ incrementals = incrementals.concat(getIncrementals(child));
+ }
+ return incrementals;
+}
+
+function createIncrementals() {
+ var incrementals = new Array();
+ for (var i = 0; i < smax; i++) {
+ incrementals[i] = getIncrementals(document.getElementById('slide'+i));
+ }
+ return incrementals;
+}
+
+function trap(e) {
+ if (!e) {
+ e = event;
+ e.which = e.keyCode;
+ }
+ try {
+ modifierKey = e.ctrlKey || e.altKey || e.metaKey;
+ }
+ catch(e) {
+ modifierKey = false;
+ }
+ return modifierKey || e.which == 0;
+}
+
+// notes extension
+function noteLabel() { // Gives notes id's to match parent slides
+ var notes = GetElementsWithClassName('div','notes');
+ for (var i = 0; i < notes.length; i++) {
+ var note = notes[i];
+ var id = 'note' + note.parentNode.id.substring(5);
+ note.setAttribute('id',id);
+ }
+ resetElapsedSlide();
+ resetRemainingTime();
+ window.setInterval('updateElaspedTime()', 1000);
+}
+
+function createNotesWindow() { // creates a window for our notes
+ if (!s5NotesWindow || s5NotesWindow.closed) { // Create the window if it doesn't exist
+ s5NotesWindowLoaded = false;
+ // Note: Safari has a tendency to ignore window options preferring to default to the settings of the parent window, grr.
+ s5NotesWindow = window.open(s5Path+'ui/s5-notes.html', 's5NotesWindow', 'top=0,left=0');
+ }
+ if (s5NotesWindowLoaded) { // Load the current note if the Note HTML has loaded
+ loadNote();
+ } else { // Keep trying...
+ window.setTimeout('createNotesWindow()', 50);
+ }
+}
+
+function loadNote() {
+// Loads a note into the note window
+ var notes = nextNotes = '<em class="disclaimer">There are no notes for this slide.</em>';
+ if (document.getElementById('note' + snum)) {
+ notes = document.getElementById('note' + snum).innerHTML;
+ }
+ if (document.getElementById('note' + (snum + 1))) {
+ nextNotes = document.getElementById('note' + (snum + 1)).innerHTML;
+ }
+
+ var jl = document.getElementById('jumplist');
+ var slideTitle = jl.options[jl.selectedIndex].text.replace(/^\d+\s+:\s+/, '') + ((jl.selectedIndex) ? ' (' + jl.selectedIndex + '/' + (smax - 1) + ')' : '');
+ if (incrementals[snum].length > 0) {
+ slideTitle += ' <small>[' + incpos + '/' + incrementals[snum].length + ']</small>';
+ }
+ if (jl.selectedIndex < smax - 1) {
+ var nextTitle = jl.options[jl.selectedIndex + 1].text.replace(/^\d+\s+:\s+/, '') + ((jl.selectedIndex + 1) ? ' (' + (jl.selectedIndex + 1) + '/' + (smax - 1) + ')' : '');
+ } else {
+ var nextTitle = '[end of slide show]';
+ }
+
+ if (s5NotesWindow && !s5NotesWindow.closed && s5NotesWindow.document) {
+ s5NotesWindow.document.getElementById('slide').innerHTML = slideTitle;
+ s5NotesWindow.document.getElementById('notes').innerHTML = notes;
+ s5NotesWindow.document.getElementById('next').innerHTML = nextTitle;
+ s5NotesWindow.document.getElementById('nextnotes').innerHTML = nextNotes;
+ }
+ resetElapsedSlide();
+}
+
+function minimizeTimer(id) {
+ var obj = s5NotesWindow.document.getElementById(id);
+ if (hasClass(obj,'collapsed')) {
+ removeClass(obj,'collapsed');
+ } else {
+ addClass(obj,'collapsed');
+ }
+}
+
+function resetElapsedTime() {
+ presentationStart = new Date();
+ slideStart = new Date();
+ updateElaspedTime();
+}
+
+function resetElapsedSlide() {
+ if (snum != previousSlide) {
+ slideStart = new Date();
+ previousSlide = snum;
+ updateElaspedTime();
+ }
+}
+
+function updateElaspedTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var now = new Date();
+ var ep = s5NotesWindow.document.getElementById('elapsed-presentation');
+ var es = s5NotesWindow.document.getElementById('elapsed-slide');
+ ep.innerHTML = formatTime(now.valueOf() - presentationStart.valueOf());
+ es.innerHTML = formatTime(now.valueOf() - slideStart.valueOf());
+}
+
+function resetRemainingTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var startField = s5NotesWindow.document.getElementById('startFrom');
+ startFrom = readTime(startField.value);
+ countdown.remaining = startFrom * 60000; // convert to msecs
+ countdown.start = new Date().valueOf();
+ countdown.end = countdown.start + countdown.remaining;
+ var tl = s5NotesWindow.document.getElementById('timeLeft');
+ var timeLeft = formatTime(countdown.remaining);
+ tl.innerHTML = timeLeft;
+}
+
+function updateRemainingTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var tl = s5NotesWindow.document.getElementById('timeLeft');
+ var now = new Date();
+ if (countdown.state == 'run') {
+ countdown.remaining = countdown.end - now;
+ }
+ tl.style.color = '';
+ tl.style.backgroundColor = '';
+ if (countdown.remaining >= 0) {
+ var timeLeft = formatTime(countdown.remaining);
+ removeClass(tl,'overtime');
+ if (countdown.remaining < 300000) {
+ tl.style.color = 'rgb(' + (255-Math.round(countdown.remaining/2000)) + ',0,0)';
+ tl.style.backgroundColor = 'rgb(255,255,' + (Math.round(countdown.remaining/2000)) + ')';
+ }
+ } else {
+ var timeLeft = '-' + formatTime(-countdown.remaining);
+ addClass(tl,'overtime');
+ }
+ tl.innerHTML = timeLeft;
+}
+
+function toggleRemainingTime() {
+ if (countdown.state == 'pause') countdown.state = 'run'; else countdown.state = 'pause';
+ if (countdown.state == 'pause') {
+ window.clearInterval(countdown.timer);
+ }
+ if (countdown.state == 'run') {
+ countdown.start = new Date().valueOf();
+ countdown.end = countdown.start + countdown.remaining;
+ countdown.timer = window.setInterval('updateRemainingTime()', 1000);
+ }
+}
+
+function alterRemainingTime(amt) {
+ var change = amt * 60000; // convert to msecs
+ countdown.end += change;
+ countdown.remaining += change;
+ updateRemainingTime();
+}
+
+function formatTime(msecs) {
+ var time = new Date(msecs);
+
+ var hrs = time.getUTCHours() + ((time.getUTCDate() -1) * 24); // I doubt anyone will spend more than 24 hours on a presentation or single slide but just in case...
+ hrs = (hrs < 10) ? '0'+hrs : hrs;
+ if (hrs == 'NaN' || isNaN(hrs)) hrs = '--';
+
+ var min = time.getUTCMinutes();
+ min = (min < 10) ? '0'+min : min;
+ if (min == 'NaN' || isNaN(min)) min = '--';
+
+ var sec = time.getUTCSeconds();
+ sec = (sec < 10) ? '0'+sec : sec;
+ if (sec == 'NaN' || isNaN(sec)) sec = '--';
+
+ return hrs + ':' + min + ':' + sec;
+}
+
+function readTime(val) {
+ var sregex = /:/;
+ var matches = sregex.exec(val);
+ if (matches == null) {
+ return val;
+ } else {
+ var times = val.split(':');
+ var hours = parseInt(times[0]);
+ var mins = parseInt(times[1]);
+ var total = (hours * 60) + mins;
+ return total;
+ }
+}
+// notes extension
+
+// startup process
+function createSlideShow() {
+ defaultCheck();
+ if(!isIE) createDetector(); // (degrade IE) scalable images extension
+ if(opac!=0 || isIE) { // &&!isIE (degrade IE)
+ tranSitions = false;
+ fadeModus = false;
+ }
+ if(tranSitions && document.getElementById && document.createElement){
+ createProgress();
+ var nop=document.getElementById('StartupControl');
+ nop.onload = dumpProgress;
+ }else {
+ startup();
+ showAll();
+ setListPos(true); // invisible select extension
+ panelSetup();
+ audioSetup(); // audio extension
+ if(isS2 && firstTime>=1) {
+ generateCanvas(); // dynamic canvas extension
+ } firstTime = 0;
+ }
+}
+
+function defaultCheck() {
+ var allMetas = document.getElementsByTagName('meta');
+ for (var i = 0; i< allMetas.length; i++) {
+ if (allMetas[i].name == 'defaultView') {
+ defaultView = allMetas[i].content;
+ }
+ if (allMetas[i].name == 'controlVis') {
+ controlVis = allMetas[i].content;
+ }
+ if (allMetas[i].name == 'tranSitions') {
+ tranSitions = (allMetas[i].content == "true") ? true : false;
+ fadeModus = (tranSitions == true) ? true : false;
+ }
+ if (allMetas[i].name == 'fadeDuration') {
+ var tmp = parseInt(allMetas[i].content);
+ fadeDuration = Math.max(200,Math.min(tmp,2000));
+ }
+ if (allMetas[i].name == 'incrDuration') {
+ var tmp = parseInt(allMetas[i].content);
+ incrDuration = Math.max(50,Math.min(tmp,500));
+ }
+ if (allMetas[i].name == 'autoMatic') {
+ autoMatic = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'playLoop') {
+ playLoop = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'playDelay') {
+ var tmp = parseInt(allMetas[i].content);
+ playDelay = Math.max(5,Math.min(tmp,90))*1000;
+ playDelay = (fadeModus == true) ? (playDelay+(2*fadeDuration)) : playDelay;
+ }
+ if (allMetas[i].name == 'audioSupport') {
+ audioSupport = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'audioVolume') {
+ var tmp = parseInt(allMetas[i].content);
+ audioVolume = Math.max(0,Math.min(tmp,100));
+ }
+ if (allMetas[i].name == 'audioError') {
+ audioError = (allMetas[i].content == "true") ? true : false;
+ }
+ }
+}
+
+function createProgress() {
+ var obj = document.getElementsByTagName("body")[0].firstChild;
+ var pg = document.createElement('div');
+ pg.id = "StartupProgress";
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=100)";
+ }else {
+ pg.style.opacity = 1.0;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(255, 255, 255)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/progress.gif)";
+ document.getElementsByTagName("body")[0].insertBefore(pg,obj);
+
+ var im = document.createElement('img');
+ im.id = "StartupControl";
+ im.src = s5Path+"ui/graphic_support/blank.gif?" + new Date().valueOf();
+ document.getElementsByTagName("body")[0].appendChild(im);
+}
+
+function startup() {
+ createControls(); // hallvord
+ slideLabel();
+ incrementals = createIncrementals();
+ noteLabel(); // [SI:060104] must follow slideLabel()
+ loadNote();
+ fixLinks();
+ externalLinks();
+ fontScale();
+ if (!isOp) {
+ if(isIE) {
+ document.getElementById('lst').style.backgroundImage = 'none';
+ document.getElementById('del').style.backgroundImage = 'none';
+ }else {
+ document.getElementById('audio').style.backgroundImage = 'none';
+ }
+ notOperaFix();
+ }else if(isOp) {
+ var obj = document.getElementById('exit');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('exit').style.opacity = 0.5;
+ obj = document.getElementById('toggle');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('toggle').style.opacity = 0.5;
+ document.getElementById('jumplist').style.visibility = 'hidden';
+ document.getElementById('list').style.visibility = 'hidden';
+ document.getElementById('lst').style.opacity = 0.5;
+ obj = document.getElementById('audio');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('audio').style.opacity = 0.5;
+ document.getElementById('volumelist').style.visibility = 'hidden';
+ document.getElementById('volume').style.visibility = 'hidden';
+ document.getElementById('vol').style.opacity = 0.5;
+ document.getElementById('delaylist').style.visibility = 'hidden';
+ document.getElementById('delay').style.visibility = 'hidden';
+ document.getElementById('del').style.opacity = 0.5;
+ }
+ slideJump();
+ if (defaultView == 'outline') toggle();
+ document.onkeyup = keys;
+ document.onkeypress = trap;
+ document.onclick = clicker;
+}
+
+function preloadImgages() {
+ var temp = '';
+ var objects = document.getElementsByTagName('img');
+ for (var i=0; i < objects.length; i++) {
+ if(objects[i].src != '') {
+ temp = new Image();
+ temp.src = objects[i].src;
+ }
+ }
+}
+
+function showAll() {
+ var obj1 = GetElementsWithClassName('div','presentation')[0];
+ if(!obj1) var obj1 = GetElementsWithClassName('ol','presentation')[0];
+ var obj2 = GetElementsWithClassName('div','layout')[0];
+ if(!obj1){}else {obj1.style.display = 'block'};
+ if(!obj2){}else {obj2.style.display = 'block'};
+}
+
+function dumpProgress() {
+ document.body.removeChild(document.getElementById('StartupControl'));
+ startup();
+ preloadImgages();
+ showAll();
+ createSoundManagerScript();
+ shiftOpacity('StartupProgress',1000);
+ window.setTimeout("removeProgress()",1000);
+}
+
+function removeProgress() {
+ document.body.removeChild(document.getElementById('StartupProgress'));
+ setListPos(true); // invisible select extension
+ panelSetup();
+ audioSetup(); // audio extension
+ if(isS2 && firstTime>=1) {
+ generateCanvas(); // dynamic canvas extension
+ } firstTime = 0;
+}
+function panelSetup() {
+ if(playPause) {
+ var obj = document.getElementById('pause'); changeClass(obj,'ison');
+ }
+ if(playLoop) {
+ var obj = document.getElementById('loop'); changeClass(obj,'ison');
+ }
+ if(audioSupport && !isIE && !isOp) {
+ var obj = document.getElementById('audio'); changeClass(obj,'ison');
+ }
+ if(fadeModus && !isIE) {
+ var obj = document.getElementById('fade'); changeClass(obj,'ison');
+ }
+ if(autoMatic) {
+ var obj = document.getElementById('auto'); changeClass(obj,'ison');
+ startPlay();
+ }
+ if(audioVolume && !isIE && !isOp) {
+ var idx = 0;
+ if(audioVolume >= 95 && audioVolume <= 100) {idx = 0;}
+ else if(audioVolume >= 85 && audioVolume < 95) {idx = 1;}
+ else if(audioVolume >= 75 && audioVolume < 85) {idx = 2;}
+ else if(audioVolume >= 65 && audioVolume < 75) {idx = 3;}
+ else if(audioVolume >= 55 && audioVolume < 65) {idx = 4;}
+ else if(audioVolume >= 45 && audioVolume < 55) {idx = 5;}
+ else if(audioVolume >= 35 && audioVolume < 45) {idx = 6;}
+ else if(audioVolume >= 25 && audioVolume < 35) {idx = 7;}
+ else if(audioVolume >= 15 && audioVolume < 25) {idx = 8;}
+ else if(audioVolume >= 5 && audioVolume < 15) {idx = 9;}
+ else {idx = 10;}
+ document.getElementById('volumelist').selectedIndex = idx;
+ }
+ if(playDelay && !isOp) {
+ var idx = 0; var vol = parseInt(playDelay/1000);
+ if(vol >= 83 && vol <= 90) {idx = 0;}
+ else if(vol >= 68 && vol < 83) {idx = 1;}
+ else if(vol >= 53 && vol < 68) {idx = 2;}
+ else if(vol >= 38 && vol < 53) {idx = 3;}
+ else if(vol >= 25 && vol < 38) {idx = 4;}
+ else if(vol >= 18 && vol < 25) {idx = 5;}
+ else if(vol >= 13 && vol < 18) {idx = 6;}
+ else if(vol >= 8 && vol < 13) {idx = 7;}
+ else {idx = 8;}
+ document.getElementById('delaylist').selectedIndex = idx;
+ }
+}
+// startup process
+
+// shutdown process
+function byby() {
+ stopPlay();
+ if(tranSitions && fadeModus && s5mode && !isOp) {
+ fadeoutSound(curSoundID,true); // audio support
+ var pg = document.createElement('div');
+ pg.id = "GoodBy";
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=0)";
+ }else {
+ pg.style.opacity = 0.0;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(255, 255, 255)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/finish.gif)";
+ document.getElementsByTagName("body")[0].appendChild(pg);
+ shiftOpacity('GoodBy',1000);
+ window.setTimeout("history.back()",1000);
+ }else {
+ stopSound(curSoundID);
+ history.back();
+ }
+}
+// shutdown process
+
+// scalable images extension
+function createDetector() {
+ var em = document.createElement('div');
+ em.id='EMSizeControl'; em.style.position="absolute"; em.style.left="-999px";
+ em.style.width="1em"; em.style.height="1em"; em.style.opacity=0.0;
+ document.getElementsByTagName("body")[0].appendChild(em);
+ var nop=document.getElementById('EMSizeControl');
+ if(!nop||findPosX(nop)!=-999) {}else {
+ opac=document.getElementById('EMSizeControl').style.opacity;
+ empx=document.getElementById('EMSizeControl').offsetHeight;
+ document.body.removeChild(document.getElementById('EMSizeControl'));
+ var objects = document.getElementsByTagName('img');
+ var j = 0; var i = 0; var k = 0; var d; var obj;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ images[j] = objects[i];
+ ++j;
+ }
+ }
+ var objects = document.getElementsByTagName('canvas'); j = 0;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ canvas[objects[i].id] = objects[i];
+ if(j==0) {
+ if(objects[i].getContext) {
+ canvasSupport = true;
+ }
+ ++j;
+ }
+ }
+ }
+ if(canvasSupport!=true) {
+ for (d in canvas) {
+ try {
+ canvas[d].setAttribute("width",1);
+ canvas[d].setAttribute("height",1);
+ } catch (e) {
+ }
+ }
+ }
+ var objects = document.getElementsByTagName('table');
+ j = 0; k = 0; l = 0; var w; var h; var tmp; var cnt;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^piechart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ piecharts[j] = objects[i];
+ em = piecharts[j].getAttribute("summary");
+ if(em != "") em = "," + em;
+ piecharts[j].setAttribute("summary", w + "," + h + em);
+ ++j;
+ }
+ }
+ }
+ if(objects[i].className.match(/^barchart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ barcharts[k] = objects[i];
+ em = barcharts[k].getAttribute("summary");
+ if(em != "") em = "," + em;
+ barcharts[k].setAttribute("summary", w + "," + h + em);
+ ++k;
+ }
+ }
+ }
+ if(objects[i].className.match(/^linechart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ linecharts[l] = objects[i];
+ em = linecharts[l].getAttribute("summary");
+ if(em != "") em = "," + em;
+ linecharts[l].setAttribute("summary", w + "," + h + em);
+ ++l;
+ }
+ }
+ }
+ }
+ objects = document.getElementsByTagName('object'); j = 0; i = 0;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ medias[j] = objects[i]; ++j;
+ if(!isIE) {
+ if(objects[i].getAttributeNode("classid")) objects[i].removeAttributeNode(objects[i].getAttributeNode("classid"));
+ if(objects[i].getAttributeNode("codebase")) objects[i].removeAttributeNode(objects[i].getAttributeNode("codebase"));
+ }
+ }
+ }
+ }
+}
+function extendImgSizes(f) {
+ if(empx>0) {
+ var q = (f/empx); var w = 0; var h = 0;
+ for(var i=0; i < images.length; i++) {
+ w=images[i].getAttribute("width",0);
+ h=images[i].getAttribute("height",0);
+ if(w>0&&h>0) {
+ images[i].style.width=Math.floor(w*q)+"px";
+ images[i].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+}
+
+function extendCanSizes(f) {
+ if(empx>0 && canvasSupport) {
+ var q = (f/empx); var w = 0; var h = 0; var tmp = ""; var cnt; var obj;
+ for(var i=0; i < piecharts.length; i++) {
+ if(piecharts[i].getAttribute("summary")) {
+ tmp = piecharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = piecharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ for(var i=0; i < barcharts.length; i++) {
+ if(barcharts[i].getAttribute("summary")) {
+ tmp = barcharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = barcharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ for(var i=0; i < linecharts.length; i++) {
+ if(linecharts[i].getAttribute("summary")) {
+ tmp = linecharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = linecharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ }
+}
+function extendObjSizes(f) {
+ if(empx>0) {
+ var q = (f/empx); var w = 0; var h = 0;
+ for(var i=0; i < medias.length; i++) {
+ w=medias[i].getAttribute("width",0);
+ h=medias[i].getAttribute("height",0);
+ if(w>0&&h>0) {
+ medias[i].style.width=Math.floor(w*q)+"px";
+ medias[i].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+}
+function findPosX(obj) {
+ var posLeft = 0;
+ while (obj.offsetParent) {
+ posLeft += obj.offsetLeft;
+ obj = obj.offsetParent;
+ }
+ return posLeft;
+}
+function findPosY(obj) {
+ var posTop = 0;
+ while (obj.offsetParent) {
+ posTop += obj.offsetTop;
+ obj = obj.offsetParent;
+ }
+ return posTop;
+}
+// scalable images extension
+
+// canvas chart extension
+function deg2rad(degrees) {
+ return Math.PI *degrees/180;
+}
+function rad2deg(radians) {
+ return 180.0 *radians/Math.PI;
+}
+function circle_point_x(radians, diameter) {
+ var x = Math.cos(radians)*(diameter/2);
+ return x;
+}
+function circle_point_y(radians, diameter) {
+ var y = Math.sin(radians)*(diameter/2);
+ return y;
+}
+function roundTo(val,dig) {
+ var num = val;
+ if (val > 8191 && val < 10485) {
+ val = val-5000;
+ num = Math.round(val*Math.pow(10,dig))/Math.pow(10,dig);
+ num = num+5000;
+ } else {
+ num = Math.round(val*Math.pow(10,dig))/Math.pow(10,dig);
+ }
+ return num;
+}
+function searchColor(value) {
+ for (var dat in colorNames) {
+ if(dat==value) return colorNames[dat];
+ }
+ return false;
+}
+function scanColor(value) {
+ if(value.match(/^#[0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ var val1 = value.substr(1,1).toLowerCase();
+ var val2 = value.substr(2,1).toLowerCase();
+ var val3 = value.substr(3,1).toLowerCase();
+ value = '#' + val1 + val1 + val2 + val2 + val3 + val3;
+ }
+ if(!value.match(/^#[0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ var tmp = searchColor(value.toLowerCase());
+ if(!tmp) {}else{value = tmp;}
+ }
+ if(!value.match(/^#[0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ value = '#000000';
+ }
+ return value.toLowerCase();
+}
+function hex2rgb(val,trans) {
+ if(val.length==7) {
+ var tp1 = Math.max(0,Math.min(parseInt(val.substr(1,2),16),255));
+ var tp2 = Math.max(0,Math.min(parseInt(val.substr(3,2),16),255));
+ var tp3 = Math.max(0,Math.min(parseInt(val.substr(5,2),16),255));
+ return 'rgba(' + tp1 + ',' + tp2 + ',' + tp3 + ',' + trans + ')';
+ }
+}
+function trim(str) {
+ return (str.replace(/\s+$/,"").replace(/^\s+/,""));
+}
+function roundedRect(ctx,x,y,width,height,radius){
+ ctx.beginPath();
+ ctx.moveTo(x,y+radius);
+ ctx.lineTo(x,y+height-radius);
+ ctx.quadraticCurveTo(x,y+height,x+radius,y+height);
+ ctx.lineTo(x+width-radius,y+height);
+ ctx.quadraticCurveTo(x+width,y+height,x+width,y+height-radius);
+ ctx.lineTo(x+width,y+radius);
+ ctx.quadraticCurveTo(x+width,y,x+width-radius,y);
+ ctx.lineTo(x+radius,y);
+ ctx.quadraticCurveTo(x,y,x,y+radius);
+ ctx.closePath();
+}
+function drawString(ctx, text, fc, tx, ty) {
+ var xp = 0; var c = "";
+ ctx.beginPath();
+ for (var i = 0; i < text.length; i++) {
+ c = text[i];
+ ctx.drawImage(font, signs[c].sx, signs[c].sy, signs[c].sw, signs[c].sh, tx+xp, ty, signs[c].sw*fc, signs[c].sh*fc);
+ xp += (signs[c].sw*fc);
+ }
+ ctx.closePath();
+}
+function strokeString(ctx, txt, col, fh, tx, ty) {
+ var fw = fh*0.666666; var lw = fh*0.125;
+ var ls = lw/2; var cr = lw; var xp = 0;
+ ctx.lineCap = "round"; ctx.lineJoin = "round"
+ ctx.lineWidth = lw; ctx.strokeStyle = col;
+ for (var i = 0; i < txt.length; i++) {
+ strokeSymbol(ctx, txt[i], ls, tx+xp, ty, fw, fh);
+ xp += (txt[i]!="."?fw+cr:(fw/2)+cr);
+ }
+}
+function strokeSymbol(ctx, symbol, fc, cx, cy, cw, ch) {
+ ctx.beginPath();
+ switch (symbol) {
+ case "0":
+ ctx.moveTo(cx+fc,cy+(ch*0.333333));
+ ctx.arc(cx+(cw/2),cy+(cw/2),(cw/2)-fc,deg2rad(180),0, false);
+ ctx.arc(cx+(cw/2),(cy+ch)-(cw/2),(cw/2)-fc,0,deg2rad(180), false);
+ ctx.closePath();
+ break;
+ case "1":
+ ctx.moveTo(cx+(cw*0.1)+fc,cy+ch-fc);
+ ctx.lineTo(cx+cw-fc,cy+ch-fc);
+ ctx.moveTo(cx+(cw*0.666666),cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.666666),cy+fc);
+ ctx.lineTo(cx+(cw*0.25),cy+(ch*0.25));
+ break;
+ case "2":
+ ctx.moveTo(cx+cw-fc,cy+(ch*0.8));
+ ctx.lineTo(cx+cw-fc,cy+ch-fc);
+ ctx.lineTo(cx+fc,cy+ch-fc);
+ ctx.arc(cx+(cw/2),cy+(cw*0.425),(cw*0.425)-fc,deg2rad(45),deg2rad(-180), true);
+ break;
+ case "3":
+ ctx.moveTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.9)-fc,cy+fc);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-90),deg2rad(180), false);
+ break;
+ case "4":
+ ctx.moveTo(cx+(cw*0.75),cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.75),cy+fc);
+ ctx.moveTo(cx+cw-fc,cy+(ch*0.666666));
+ ctx.lineTo(cx+fc,cy+(ch*0.666666));
+ ctx.lineTo(cx+(cw*0.75),cy+fc);
+ ctx.moveTo(cx+cw-fc,cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.5),cy+ch-fc);
+ break;
+ case "5":
+ ctx.moveTo(cx+(cw*0.9)-fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+(ch*0.333333));
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-80),deg2rad(180), false);
+ break;
+ case "6":
+ ctx.moveTo(cx+fc,cy+ch-(cw*0.5)-fc);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-180),deg2rad(180), false);
+ ctx.bezierCurveTo(cx+fc,cy+fc,cx+fc,cy+fc,cx+(cw*0.9)-fc,cy+fc);
+ ctx.moveTo(cx+(cw*0.9)-fc,cy+fc);
+ break;
+ case "7":
+ ctx.moveTo(cx+(cw*0.5),cy+ch-fc);
+ ctx.lineTo(cx+cw-fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+(ch*0.25)-fc);
+ break;
+ case "8":
+ ctx.moveTo(cx+(cw*0.92)-fc,cy+(cw*0.59));
+ ctx.arc(cx+(cw/2),cy+(cw*0.45),(cw*0.45)-fc,deg2rad(25),deg2rad(-205), true);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-135),deg2rad(-45), true);
+ ctx.closePath();
+ ctx.moveTo(cx+(cw*0.79),cy+(ch*0.47));
+ ctx.lineTo(cx+(cw*0.21),cy+(ch*0.47));
+ break;
+ case "9":
+ ctx.moveTo(cx+cw-fc,cy+(cw*0.5));
+ ctx.arc(cx+(cw/2),cy+(cw*0.5),(cw*0.5)-fc,deg2rad(0),deg2rad(360), false);
+ ctx.bezierCurveTo(cx+cw-fc,cy+ch-fc,cx+cw-fc,cy+ch-fc,cx+(cw*0.1)+fc,cy+ch-fc);
+ break;
+ case "%":
+ ctx.moveTo(cx+fc,cy+(ch*0.75));
+ ctx.lineTo(cx+cw-fc,cy+(ch*0.25));
+ ctx.moveTo(cx+(cw*0.505),cy+(cw*0.3));
+ ctx.arc(cx+(cw*0.3),cy+(cw*0.3),(cw*0.3)-fc,deg2rad(0),deg2rad(360), false);
+ ctx.moveTo(cx+(cw*0.905),cy+ch-(cw*0.3));
+ ctx.arc(cx+(cw*0.7),cy+ch-(cw*0.3),(cw*0.3)-fc,deg2rad(0),deg2rad(360), false);
+ break;
+ case ".":
+ ctx.moveTo(cx+(cw*0.25),cy+ch-fc-fc);
+ ctx.arc(cx+(cw*0.25),cy+ch-fc-fc,fc,deg2rad(0),deg2rad(360), false);
+ ctx.closePath();
+ break;
+ default:
+ break;
+ }
+ ctx.stroke();
+}
+function drawBar(ctx,x,y,width,height,color,value,textdiv){
+ var rw = width/2; var rh = rw/2;
+ height = Math.max(height,rw);
+ var dh = Math.max(height-(2*rh),0.1); var S2L;
+ var xx = rw/8; var yy = rh/4;
+ var yo = rh/2; y = y - yy;
+ if(canvas_noshadow <= 0 && canvas_noshade <= 0) {
+ ctx.save();
+ S2L = ctx.createRadialGradient(x+rw+rh,y+height-rw+yy+rh,0,x+rw,y+height-rw+yy,rw);
+ S2L.addColorStop(0, 'rgba(0,0,0,0.5)');
+ S2L.addColorStop(0.7, 'rgba(0,0,0,0.25)');
+ S2L.addColorStop(0.9, 'rgba(0,0,0,0.1)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.fillStyle = S2L;
+ ctx.scale(1,0.5);
+ ctx.translate(xx,y+height+yy);
+ if(isOp){
+ ctx.fillRect(x,y+yy+height-width,width,width);
+ }else {
+ ctx.arc(x+rw,y+yy+height-width,width,0,deg2rad(360), false);
+ ctx.fill();
+ }
+ ctx.restore();
+ }else if(canvas_noshadow <= 0 && canvas_noshade >= 1) {
+ ctx.fillStyle = 'rgba(0,0,0,0.2)';
+ ctx.fillRect(x+yo,y+rh+yo+yo,width,dh);
+ }
+
+ if(canvas_noshade <= 0) {
+ ctx.beginPath();
+ ctx.moveTo(x,y+rh);
+ ctx.lineTo(x,y+rh+dh);
+ ctx.bezierCurveTo(x,y+height,x+width,y+height,x+width,y+rh+dh)
+ ctx.lineTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = color;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x,y+(height/2),x+width,y+(height/2));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.75)');
+ S2L.addColorStop(0.2, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.3, 'rgba(0,0,0,0)');
+ S2L.addColorStop(0.5, 'rgba(0,0,0,0.1)');
+ S2L.addColorStop(0.9, 'rgba(0,0,0,0.35)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.3)');
+ ctx.beginPath();
+ ctx.moveTo(x,y+rh);
+ ctx.lineTo(x,y+rh+dh);
+ ctx.bezierCurveTo(x,y+height,x+width,y+height,x+width,y+rh+dh)
+ ctx.lineTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = S2L;
+ ctx.fill();
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = color;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x+rw-(width*0.15),y-2,x+rw+(width*0.15),y+(2.1*rh));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.9)');
+ S2L.addColorStop(0.5, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.6, 'rgba(0,0,0,0)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.3)');
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = S2L;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x+rw-(width*0.15),y-2,x+rw+(width*0.15),y+(2.1*rh));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.9)');
+ S2L.addColorStop(0.5, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.6, 'rgba(0,0,0,0)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.1)');
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.strokeStyle = S2L;
+ ctx.stroke();
+ }else {
+ ctx.fillStyle = color;
+ ctx.fillRect(x,y+rh+yo,width,dh);
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = 1;
+ ctx.fillStyle = 'rgba(255,255,255,0.5)';
+ var bw = width; var bh = bw/4;
+ var th = roundTo(bh*0.75,0); var tf = bh/80;
+ var c; var w;
+ if(canvas_notext <= 0) {
+ if(canvas_htmltext <= 0) {
+ w = '"' + per + '"';
+ if(w.indexOf(".")!=-1) {
+ c = w.length+0.5;
+ w = parseFloat(c-2)*parseFloat(48*tf);
+ bw = parseFloat(c-1)*parseFloat(48*tf);
+ }else {
+ c = w.length;
+ w = parseFloat(c-1)*parseFloat(48*tf);
+ bw = parseFloat(c)*parseFloat(48*tf);
+ }
+ roundedRect(ctx,x+(width/2)-(bw/2),y,bw,bh,bh/4);
+ ctx.fill();
+ if(canvas_imgtext <= 0) {
+ strokeString(ctx, value + "%","rgba(48,48,48,1)", th, x+(width/2)-(w/2), y+((bh-th)/2));
+ }else {
+ drawString(ctx, value + "%", tf, x+(width/2)-(w/2), y+((bh-th)/2.2));
+ }
+ }else {
+ var bh = bw/3; var th = roundTo(bh*0.75,0);
+ roundedRect(ctx,x,y,bw,bh,bh/4);
+ ctx.fill();
+ var obj = document.createElement('div');
+ obj.style.position = "absolute";
+ obj.style.overflow = "hidden";
+ obj.style.textAlign = "center";
+ obj.style.width = bw + "px";
+ obj.style.left = x + "px";
+ obj.style.top = y+((bh-th)/2.2) + "px";
+ obj.appendChild(document.createTextNode(per + "%"));
+ textdiv.appendChild(obj);
+ }
+
+ }
+}
+function drawLine(ctx,x,y,width,height,dist,array,factor,color,fill){
+ if(fill <= 0){
+ var style = hex2rgb(color,0.5);
+ ctx.lineJoin = "miter";
+ ctx.beginPath();
+ ctx.moveTo(x,y+height);
+ for (var i = 0; i < array.length; i++) {
+ ctx.lineTo(x+(i*dist),y+height-(array[i]*factor));
+ }
+ ctx.lineTo(x+width,y+height);
+ ctx.lineTo(x,y+height);
+ ctx.closePath();
+ ctx.fillStyle = style;
+ ctx.fill();
+ }
+ ctx.lineJoin = "round";
+ ctx.beginPath();
+ ctx.moveTo(x,y+height-(array[0]*factor));
+ for (var i = 0; i < array.length; i++) {
+ ctx.lineTo(x+(i*dist),y+height-(array[i]*factor));
+ }
+ ctx.strokeStyle = color;
+ ctx.stroke();
+}
+function setDataURL(cid) {
+ if(cid.toDataURL) {
+ var obj = document.getElementById(cid.id + "_link");
+ if(obj) {
+ obj.setAttribute("title", "To Data URL");
+ obj.setAttribute("target", "_blank");
+ obj.setAttribute("href", cid.toDataURL());
+ }
+ }
+}
+function get_input(dataobj,canvasobj,linechart) {
+ var table = document.getElementById(dataobj);
+ var canvas = document.getElementById(canvasobj);
+ var row; var clm; var cnt = 0; var val = 0; var nme = ""; var col = "";
+ if(canvas.getContext) {
+ canvas_width = parseInt(canvas.style.width);
+ canvas_height = parseInt(canvas.style.height);
+ if(canvas_width >= 16 && canvas_height >= 16) {
+ var tmp = table.getAttribute("summary");
+ canvas_noshade = 0; canvas_noshadow = 0;
+ canvas_notext = 0; canvas_imgtext = 0;
+ canvas_htmltext = 0; canvas_nofill = 0;
+ if(tmp.search(/noshadow/) != -1) canvas_noshadow = 1;
+ if(tmp.search(/noshade/) != -1) canvas_noshade = 1;
+ if(tmp.search(/nofill/) != -1) canvas_nofill = 1;
+ if(tmp.search(/notext/) != -1) canvas_notext = 1;
+ if(tmp.search(/htmltext/) != -1) canvas_htmltext = 1;
+ if(tmp.search(/imgtext/) != -1) canvas_imgtext = 1;
+ if(table.getAttribute("bgcolor")) {
+ canvas_bgcolor = scanColor(table.getAttribute("bgcolor"));
+ }
+ ChartData = new Array(); colorSlice = new Array();
+ if(table.getElementsByTagName("tr")[0].getElementsByTagName("th")[0]) cnt = 1;
+ for(var r = cnt; r < table.getElementsByTagName("tr").length; r++) {
+ row = table.getElementsByTagName("tr")[r];
+ clm = row.getElementsByTagName("td").length;
+ if(row.getElementsByTagName("td")[0].getAttribute("bgcolor")) {
+ col = scanColor(row.getElementsByTagName("td")[0].getAttribute("bgcolor")); val = 0;
+ nme = trim(row.getElementsByTagName("td")[1].innerHTML.replace(/<[^>]+>/g,""));
+ if(!linechart) {
+ if(clm > 3) {
+ for(var z = 2; z < clm; z++) {
+ val += parseFloat(row.getElementsByTagName("td")[z].innerHTML.replace(/<[^>]+>/g,""));
+ }
+ }else {
+ val = parseFloat(row.getElementsByTagName("td")[2].innerHTML.replace(/<[^>]+>/g,""));
+ }
+ if(!isNaN(val) && val > 0 && nme != '') {
+ colorSlice[r-cnt] = col; ChartData[nme] = Math.abs(val);
+ }else {
+ break;
+ }
+ }else {
+ val = 0; i = 0;
+ if(clm > 3 && nme != '') {
+ ChartData[r-cnt] = new Array();
+ colorSlice[r-cnt] = col;
+ for(var z = 2; z < clm; z++) {
+ val = Math.abs(parseFloat(row.getElementsByTagName("td")[z].innerHTML.replace(/<[^>]+>/g,"")));
+ if(!isNaN(val) && val >= 0) {
+ ChartData[r-cnt][i] = val; i++;
+ }else {
+ ChartData[r-cnt][i] = 0; i++;
+ }
+ }
+ }else {
+ break;
+ }
+ }
+ }else {
+ break;
+ }
+ }
+ }
+ }
+}
+function setPieChart(canvasobj,textobj) {
+ var cd = (Math.min(canvas_width,canvas_height)/110)*100;
+ var cp = cd*0.1; var cr = cd/2;
+ var sr = cr*0.93; var cw = cd+cp; var ch = cw;
+ var cx = (cd/2)+(cp/2); var cy = cx; var ct = 0;
+ for (var data in ChartData) {
+ ct += ChartData[data];
+ }
+ var poc = ct/100;
+ var canvas = document.getElementById(canvasobj);
+ if(canvas_htmltext >= 1) {
+ if(document.getElementById(textobj)) {
+ canvas.parentNode.removeChild(canvas.parentNode.lastChild);
+ }
+ }
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,cw,ch);
+ }else {
+ ctx.clearRect(0,0,cw,ch);
+ }
+ if(canvas_noshadow <= 0) {
+ if(!isOp) {
+ ctx.beginPath();
+ var B2B = ctx.createRadialGradient(cx+(cr*0.1),cy+(cr*0.1),cr,cx+(cr*0.1),cy+(cr*0.1),sr*0.9);
+ B2B.addColorStop(0, 'rgba(0,0,0,0)');
+ B2B.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.arc(cx+(cr*0.1),cy+(cr*0.1),cr,0,deg2rad(360), false);
+ ctx.closePath();
+ ctx.fillStyle = B2B;
+ ctx.fill();
+ }else {
+ var B2B = ctx.createRadialGradient(cx+(cr*0.1),cy+(cr*0.1),0,cx+(cr*0.1),cy+(cr*0.1),cr);
+ B2B.addColorStop(0, 'rgba(0,0,0,0.5)');
+ B2B.addColorStop(0.87, 'rgba(0,0,0,0.5)');
+ B2B.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.fillStyle = B2B;
+ ctx.fillRect(0,0,cw,ch);
+ }
+ }
+ var val = 0; var deg = 0; var idx = 0; var data;
+ for (data in ChartData) {
+ val = ChartData[data]; sdeg = deg;
+ deg += (val/ct)*deg2rad(360); edeg = deg;
+ ctx.beginPath();
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr,sdeg,edeg, false);
+ ctx.lineTo(cx,cy);
+ ctx.closePath();
+ ctx.fillStyle = colorSlice[(idx++)];
+ ctx.fill();
+ }
+ if(canvas_noshade <= 0) {
+ ctx.beginPath();
+ var W2T = ctx.createLinearGradient(cp*2,cp*2,cx,cy);
+ W2T.addColorStop(0, 'rgba(255,255,255,0.8)');
+ W2T.addColorStop(1, 'rgba(255,255,255,0)');
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,sr,deg2rad(135),deg2rad(315), false);
+ ctx.closePath();
+ ctx.fillStyle = W2T;
+ ctx.fill();
+ ctx.beginPath();
+ var T2B = ctx.createLinearGradient(cx,cy,cw-(cp*2),ch-(cp*2));
+ T2B.addColorStop(0, 'rgba(0,0,0,0)');
+ T2B.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,sr,deg2rad(-45),deg2rad(135), false);
+ ctx.closePath();
+ ctx.fillStyle = T2B;
+ ctx.fill();
+ ctx.beginPath();
+ var B2T = ctx.createLinearGradient(cx,cy,cw-(cp*1.9),ch-(cp*1.9));
+ B2T.addColorStop(0, 'rgba(0,0,0,0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.6)');
+ ctx.lineWidth = cr*0.07;
+ ctx.lineCap = "round";
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr*0.965,deg2rad(-45),deg2rad(135), false);
+ ctx.closePath();
+ ctx.strokeStyle = B2T;
+ ctx.stroke();
+ ctx.beginPath();
+ var T2W = ctx.createLinearGradient(cx,cy,(cp*1.9),(cp*1.9));
+ T2W.addColorStop(0, 'rgba(255,255,255,0)');
+ T2W.addColorStop(1, 'rgba(255,255,255,0.6)');
+ ctx.lineWidth = cr*0.07;
+ ctx.lineCap = "round";
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr*0.965,deg2rad(135),deg2rad(315), false);
+ ctx.closePath();
+ ctx.strokeStyle = T2W;
+ ctx.stroke();
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = 1;
+ ctx.fillStyle = 'rgba(255,255,255,0.5)';
+ var mpos = 0; var per = 0; var gx = 0;
+ var gy = 0; var tx = 0; var ty = 0;
+ var obj = ""; var w = 0; var c = 0;
+ var bw = cr*0.45; var bh = cr*0.125;
+ var th = roundTo(bh*0.75,0); var tf = bh/80;
+ if(canvas_notext <= 0) {
+ if(canvas_htmltext >= 1) {
+ bw = (cr*0.365);
+ canvas.parentNode.style.position = "relative";
+ if(!document.getElementById(textobj)) {
+ obj = document.createElement('div');
+ obj.id = textobj;
+ obj.style.color = "rgb(0,0,0)";
+ obj.style.fontFamily = "Arial,sans-serif";
+ obj.style.fontSize = th + "px";
+ obj.style.zIndex = 11;
+ canvas.parentNode.appendChild(obj);
+ }
+ var textdiv = document.getElementById(obj.id);
+ }
+ for (data in ChartData) {
+ val = ChartData[data];
+ sdeg = deg; mpos = deg+(((val/2)/ct)*deg2rad(360));
+ deg += (val/ct)*deg2rad(360); edeg = deg;
+ per = roundTo(val/poc,2);
+ gx = circle_point_x(mpos, cd);
+ gy = circle_point_y(mpos, cd);
+ tx = parseFloat((cx+(2*Math.floor(cx + gx)))/3);
+ ty = (cy+(2*Math.floor(cy + gy)))/3;
+ if(canvas_htmltext <= 0) {
+ w = '"' + per + '"';
+ if(w.indexOf(".")!=-1) {
+ c = w.length+0.5;
+ w = parseFloat(c-2)*parseFloat(48*tf);
+ bw = parseFloat(c-1)*parseFloat(48*tf);
+ }else {
+ c = w.length;
+ w = parseFloat(c-1)*parseFloat(48*tf);
+ bw = parseFloat(c)*parseFloat(48*tf);
+ }
+ roundedRect(ctx,(tx-(bw/2)),ty-(bh/2),bw,bh,bh/4);
+ ctx.fill();
+ if(canvas_imgtext >= 1) {
+ drawString(ctx, per + "%", tf, tx-(w/2), ty-(th/1.8));
+ }else {
+ strokeString(ctx, per + "%","rgba(48,48,48,1)", th, tx-(w/2), ty-(th/2));
+ }
+ }else {
+ roundedRect(ctx,(tx-(bw/2)),ty-(bh/2),bw,bh,bh/4);
+ ctx.fill();
+ obj = document.createElement('div');
+ obj.style.position = "absolute";
+ obj.style.overflow = "hidden";
+ obj.style.textAlign = "center";
+ obj.style.width = bw + "px";
+ obj.style.left = (tx-(bw/2)) + "px";
+ obj.style.top = ty-(th/1.8) + "px";
+ obj.appendChild(document.createTextNode(per + "%"));
+ textdiv.appendChild(obj);
+ }
+ }
+ }
+ }
+}
+function setBarChart(canvasobj,textobj) {
+ var iw = canvas_width*0.9; var ih = canvas_height*0.9;
+ var pw = canvas_width*0.05; var ph = canvas_height*0.05;
+ var cm = 0; var ct = 0;
+ for (var data in ChartData) {
+ cm = Math.max(cm,ChartData[data]);
+ ct += ChartData[data];
+ }
+ var hf = ih/cm; var bs = colorSlice.length;
+ var bw = iw/(bs*1.1); var poc = ct/100;
+ var th = roundTo((bw/3)*0.75,0);
+ var canvas = document.getElementById(canvasobj);
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,canvas_width,canvas_height);
+ }else {
+ ctx.clearRect(0,0,canvas_width,canvas_height);
+ }
+ if(canvas_htmltext >= 1) {
+ if(document.getElementById(textobj)) {
+ canvas.parentNode.removeChild(canvas.parentNode.lastChild);
+ }
+ canvas.parentNode.style.position = "relative";
+ if(!document.getElementById(textobj)) {
+ var obj = document.createElement('div');
+ obj.id = textobj;
+ obj.style.color = "rgb(0,0,0)";
+ obj.style.fontFamily = "Arial,sans-serif";
+ obj.style.fontSize = th + "px";
+ obj.style.zIndex = 11;
+ canvas.parentNode.appendChild(obj);
+ }
+ var textdiv = document.getElementById(obj.id);
+ }
+ var cc = ""; var bh = 0; var idx = 0; var t = 0; per = 0;
+ for (var data in ChartData) {
+ per = roundTo(ChartData[data]/poc,2);
+ bh = ChartData[data]*hf;
+ drawBar(ctx,pw+(t*(bw*1.1)),ph+ih-bh,bw,bh,colorSlice[(idx++)],per,textdiv);
+ t++;
+ }
+ }
+}
+function setLineChart(canvasobj,textobj) {
+ var iw = canvas_width*0.9;
+ var ih = canvas_height*0.9;
+ var pw = canvas_width*0.05;
+ var ph = canvas_height*0.05;
+ var cm = 0;
+ for (var i = 0; i < ChartData.length; i++) {
+ for (var j = 0; j < ChartData[i].length; j++) {
+ cm = Math.max(cm,ChartData[i][j]);
+ }
+ }
+ var hf = (ih*0.95)/cm; var bw = iw;
+ var dw = iw/(ChartData[0].length-1)
+ var dh = ih/(ChartData[0].length-1)
+ var ps = Math.min(pw,ph); lw = ps/4;
+ var B2T;
+ var canvas = document.getElementById(canvasobj);
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,canvas_width,canvas_height);
+ }else {
+ ctx.clearRect(0,0,canvas_width,canvas_height);
+ }
+ if(canvas_noshadow <= 0) {
+ B2T = ctx.createLinearGradient(pw+ps,ph+ih+1,pw+ps+ps,ph+ih+1);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+ps,ph+ih);
+ ctx.lineTo(pw+ps,ph+ih+ps);
+ ctx.lineTo(pw+ps+ps,ph+ih);
+ ctx.closePath();
+ ctx.fill();
+ B2T = ctx.createLinearGradient(pw+ps+ps,ph+ih,pw+ps+ps,ph+ih+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.5)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.0)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+ps+ps,ph+ih);
+ ctx.lineTo(pw+iw,ph+ih);
+ ctx.lineTo(pw+ps+iw,ph+ih+ps);
+ ctx.lineTo(pw+ps,ph+ih+ps);
+ ctx.closePath();
+ ctx.fill();
+ var B2T = ctx.createLinearGradient(pw+iw+1,ph+ps,pw+iw+1,ph+ps+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.35)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+iw,ph+ps);
+ ctx.lineTo(pw+iw,ph+ps+ps);
+ ctx.lineTo(pw+iw+ps,ph+ps);
+ ctx.closePath();
+ ctx.fill();
+ B2T = ctx.createLinearGradient(pw+iw,ph+ps+ps,pw+iw+ps,ph+ps+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.35)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.0)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+iw,ph+ps+ps);
+ ctx.lineTo(pw+iw,ph+ih);
+ ctx.lineTo(pw+iw+ps,ph+ih+ps);
+ ctx.lineTo(pw+iw+ps,ph+ps);
+ ctx.closePath();
+ ctx.fill();
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = lw/2;
+ ctx.strokeStyle = "rgba(255,255,255,0.25)";
+ if(canvas_nofill <= 0) {
+ ctx.fillStyle = "rgba(240,240,240,0.8)";
+ ctx.strokeStyle = "rgba(255,255,255,1)";
+ ctx.fillRect(pw,ph,iw,ih);
+ }
+ for (var i = 0; i < ChartData[0].length-1; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw+(i*dw),ph);
+ ctx.lineTo(pw+(i*dw),ph+ih);
+ ctx.stroke();
+ }
+ for (var i = 1; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw,ph+(i*dh));
+ ctx.lineTo(pw+iw,ph+(i*dh));
+ ctx.stroke();
+ }
+ ctx.lineWidth = lw;
+ var cc = ""; var bh = 0; var idx = 0; var t = 0;
+ for (var i = 0; i < ChartData.length; i++) {
+ for (var j = 0; j < ChartData[i].length; j++) {
+ t = Math.max(t,ChartData[i][j]);
+ }
+ bh = t*hf; cc = colorSlice[(idx++)];
+ drawLine(ctx,pw,ph+ih-bh,bw,bh,dw,ChartData[i],hf,cc,canvas_nofill);
+ }
+ ctx.beginPath();
+ ctx.moveTo(pw,ph);
+ ctx.lineTo(pw,ph+ih);
+ ctx.lineTo(pw+iw+(lw/2),ph+ih);
+ ctx.strokeStyle = "rgba(48,48,48,1)";
+ ctx.stroke();
+ ctx.lineWidth = lw/2;
+ B2T = ctx.createLinearGradient(pw,ph+ih,pw,ph+ih+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,1)');
+ B2T.addColorStop(0.5, 'rgba(0,0,0,1)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.strokeStyle = B2T;
+ for (var i = 0; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw+(i*dw),ph+ih);
+ ctx.lineTo(pw+(i*dw),ph+ih+ps);
+ ctx.stroke();
+ }
+ B2T = ctx.createLinearGradient(pw-ps,ph,pw,ph);
+ B2T.addColorStop(0, 'rgba(0,0,0,0)');
+ B2T.addColorStop(0.5, 'rgba(0,0,0,1)');
+ B2T.addColorStop(1, 'rgba(0,0,0,1)');
+ ctx.strokeStyle = B2T;
+ for (var i = 1; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw-ps,ph+(i*dh));
+ ctx.lineTo(pw,ph+(i*dh));
+ ctx.stroke();
+ }
+ }
+}
+function generateCanvas() {
+ if(canvasSupport) {
+ var canvasID; var tdataID; var textID;
+ var tmp; var dat; var i; var j;
+ for(i=0; i < piecharts.length; i++) {
+ tmp = piecharts[i].id.split("_");
+ tdataID = piecharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID);
+ if(colorSlice.length > 0) {
+ j = 0; dat = ""; for(dat in ChartData) {j++; }
+ if(j > 0 && j == colorSlice.length) {
+ setPieChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ for(i=0; i < barcharts.length; i++) {
+ tmp = barcharts[i].id.split("_");
+ tdataID = barcharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID);
+ if(colorSlice.length > 0) {
+ j = 0; dat = ""; for(dat in ChartData) {j++; }
+ if(j > 0 && j == colorSlice.length) {
+ setBarChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ for(i=0; i < linecharts.length; i++) {
+ tmp = linecharts[i].id.split("_");
+ tdataID = linecharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID,true);
+ if(colorSlice.length > 0) {
+ if(ChartData.length == colorSlice.length) {
+ setLineChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ }
+}
+// canvas chart extension
+
+// invisible select extension
+function setListPos(opt) {
+ if(!isIE) { //(degrade IE)
+ var ref = document.getElementById('list');
+ var x = findPosX(ref); var y = findPosY(ref);
+ var obj = document.getElementById('jumplist');
+ obj.style.position = 'fixed'; obj.style.left = x + 'px'; obj.style.top = y + 'px';
+ var vol = document.getElementById('volumelist');
+ ref = document.getElementById('volume');
+ x = findPosX(ref); if(isOp) {y = window.innerHeight-imgHeight; }else {y = findPosY(ref);}
+ vol.style.position = 'fixed'; vol.style.left = x + 'px'; vol.style.top = y + 'px';
+ var del = document.getElementById('delaylist');
+ ref = document.getElementById('delay');
+ x = findPosX(ref); if(isOp) {y = window.innerHeight-imgHeight; }else {y = findPosY(ref);}
+ del.style.position = 'fixed'; del.style.left = x + 'px'; del.style.top = y + 'px';
+ var pnl = document.getElementById('navLinks');
+ }
+ if(opt) {
+ if(isIE) {
+ //(degrade IE)
+ //pnl.style.filter = "alpha(opacity=80)";
+ }else {
+ obj.style.opacity = 0.0;
+ vol.style.opacity = 0.0;
+ del.style.opacity = 0.0;
+ pnl.style.opacity = 0.8;
+ }
+ }
+}
+// invisible select extension
+
+// transition extension
+function switchFade() {
+ if(tranSitions && s5mode && fadeModus) {
+ fadeModus = false;
+ playDelay = playDelay-(2*fadeDuration);
+ var obj = document.getElementById('fade'); changeClass(obj,'isoff');
+ }else if(tranSitions && s5mode && !fadeModus) {
+ fadeModus = true;
+ playDelay = playDelay+(2*fadeDuration);
+ var obj = document.getElementById('fade'); changeClass(obj,'ison');
+ }
+ currentSlide();
+}
+function opacity(ids, opacStart, opacEnd, millisec) {
+ var speed = Math.round(millisec / 100);
+ var timer = 0;
+ if(opacStart > opacEnd) {
+ for(var i = opacStart; i >= opacEnd; i--) {
+ window.setTimeout("changeOpac(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ } else if(opacStart < opacEnd) {
+ for(var i = opacStart; i <= opacEnd; i++) {
+ window.setTimeout("changeOpac(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ }
+}
+function changeOpac(opacity, ids) {
+ var obj = document.getElementById(ids);
+ if (isIE) {
+ obj.style.filter = "alpha(opacity=" + opacity + ")";
+ } else {
+ obj.style.opacity = (opacity / 100);
+ }
+}
+function shiftOpacity(ids, millisec) {
+ if(document.getElementById(ids).style.opacity != '') {
+ var currentOpac = document.getElementById(ids).style.opacity * 100;
+ } else {
+ var currentOpac = 0;
+ }
+ if(currentOpac == 0) {
+ opacity(ids, currentOpac, 100, millisec);
+ } else if(currentOpac > 0) {
+ opacity(ids, currentOpac, 0, millisec);
+ }
+}
+// transition extension
+
+// autoplay extension
+function autoPlay() {
+ if (s5mode && autoMatic && !playPause) {
+ if ((snum >= (smax-1)) && playLoop) {
+ goTo(0);
+ autoRun = setTimeout('autoPlay();',playDelay);
+ }else if ((snum >= (smax-1)) && !playLoop) {
+ stopPlay();
+ }else {
+ if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ if (incrementals[snum].length >0) {
+ clearTimeout(autoRun); autoRun = null;
+ incrDelay = parseInt(playDelay/(incrementals[snum].length+1));
+ remainDer = parseInt(playDelay-(incrDelay*incrementals[snum].length));
+ autoRun = setTimeout('autoPlayIncr()',incrDelay);
+ }
+ } else {
+ clearTimeout(autoRun); autoRun = null;
+ incrDelay = incrDuration;
+ remainDer = parseInt(playDelay-(incrementals[snum].length*incrDuration));
+ autoRun = setTimeout('autoPlayIncr()',incrDelay);
+ }
+ autoRun = setTimeout('autoPlay();',playDelay);
+ }
+ }
+}
+function autoPlayIncr() {
+ if (incpos < incrementals[snum].length) {
+ subgo(1);
+ autoRun = setTimeout('autoPlayIncr();',incrDelay);
+ }else {
+ autoRun = setTimeout('nop();',remainDer);
+ }
+}
+function nop() {
+ // no operation dummy
+}
+function togglePlay() {
+ if (autoRun && s5mode) {
+ stopPlay();
+ }else if (!autoRun && s5mode) {
+ startPlay();
+ }
+}
+function stopPlay() {
+ if (autoRun && s5mode) {
+ clearTimeout(autoRun); autoRun = null;
+ autoMatic = false; playPause = false; var obj = '';
+ obj = document.getElementById('auto'); changeClass(obj,'isoff');
+ obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ currentSlide();
+ }
+}
+function startPlay() {
+ if (!autoRun && s5mode) {
+ playPause = false; autoMatic = true; var obj = '';
+ obj = document.getElementById('auto'); changeClass(obj,'ison');
+ obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ autoRun = setTimeout('autoPlay();',playDelay);
+ currentSlide();
+ }
+}
+function pausePlay() {
+ if (s5mode && autoMatic) {
+ if (playPause) {
+ playPause = false; autoRun = setTimeout('autoPlay();',playDelay);
+ var obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ }else {
+ if (autoRun){
+ clearTimeout(autoRun); autoRun = null; playPause = true;
+ var obj = document.getElementById('pause'); changeClass(obj,'ison');
+ }
+ }
+ currentSlide();
+ }
+}
+function switchLoop() {
+ if(s5mode) {
+ if (playLoop) {
+ playLoop = false;
+ var obj = document.getElementById('loop'); changeClass(obj,'isoff');
+ }else {
+ playLoop = true;
+ var obj = document.getElementById('loop'); changeClass(obj,'ison');
+ }
+ }
+ currentSlide();
+}
+function setDelay() {
+ var val = document.getElementById('delaylist');
+ if(s5mode) {
+ var delay = Math.max(5,Math.min(parseInt(val.value),300));
+ playDelay = (fadeModus == true) ? ((delay*1000)+(2*fadeDuration)) : (delay*1000);
+ }
+}
+// autoplay extension
+
+// audio extension
+function createSoundManagerScript() {
+ if(typeof soundManager=="undefined") {
+ onerrorSM2();
+ }else {
+ var script=document.createElement('SCRIPT');
+ var tx=document.createTextNode("soundManager.createMovie();");
+ script.appendChild(tx);
+ document.getElementsByTagName("body")[0].appendChild(script);
+ }
+}
+//soundManager.onload = function() {
+function onloadSM2() {
+ if(!isIE) { //(degrade IE)
+ swfUnloaded = false;
+ preloadSounds();
+ }
+}
+//soundManager.onerror = function() {
+function onerrorSM2() {
+ if(typeof soundManager!="undefined") {
+ soundManager.destruct;
+ delete soundManager;
+ }
+ audioSupport = false; swfUnloaded = true;
+ if(audioError && !isIE && !isOp) {
+ var dv = document.createElement('div'); dv.id = "guru";
+ var d2=document.createElement('div'); dv.appendChild(d2);
+ var tx=document.createTextNode('Guru Meditation - SoundManager failed to load/initialize!');
+ d2.appendChild(tx); document.getElementById('slide0').appendChild(dv);
+ }
+}
+function audioSetup() {
+ if(sound[0]) {
+ playSound(0);
+ }else if(sound[bgSoundItem] && !sound[0]) {
+ playSound(bgSoundItem);
+ }
+}
+function fadeoutSound(ids, option) {
+ if(curSoundID >= 0 && !swfUnloaded) {
+ if(isNaN(ids)) {
+ if(ids == "bgSound") {
+ var cnum = parseInt(bgSoundItem);
+ }else {
+ for (var i = 0; i < (sound.length-1); i++) {
+ if(sound[i] && ids == sound[i]["id"]) {
+ var cnum = i;
+ break;
+ }
+ }
+ }
+ }else {
+ var cnum = ids;
+ ids = sound[cnum]["id"];
+ }
+ var vol = getMaxVolume(sound[cnum]["volume"]);
+ var millisec = fadeDuration;
+ var speed = Math.round(millisec / vol);
+ var timer = 0;
+ for(var i = vol; i > 0; i--) {
+ setTimeout("fadeout(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ if(option) setTimeout("stopSound('"+ids+"')",millisec);
+ }
+}
+function fadeout(volume, id) {
+ soundManager.setVolume(id,volume);
+}
+function stopSound(ids) {
+ var sid;
+ if(isNaN(ids)) {
+ sid = ids;
+ }else if(sound[ids]) {
+ sid = sound[ids]["id"];
+ }
+ if(sid!='' && curSoundID >= 0) {
+ soundManager.stop(sid);
+ curSoundID = -1;
+ }
+}
+function toggleSounds() {
+ if(audioSupport && !swfUnloaded) {
+ stopAllSounds();
+ }else if (!audioSupport && !swfUnloaded) {
+ allowSounds();
+ }
+}
+function allowSounds() {
+ if(!swfUnloaded) {
+ audioSupport = true;
+ var obj = document.getElementById('audio'); changeClass(obj,'ison');
+ currentSlide();
+ }
+}
+function stopAllSounds() {
+ if(curSoundID >= 0) {
+ stopSound(curSoundID);
+ }else {
+ soundManager.stopAll();
+ curSoundID = -1;
+ }
+ audioSupport = false;
+ var obj = document.getElementById('audio'); changeClass(obj,'isoff');
+ currentSlide();
+}
+function playSound(id) {
+ if(audioSupport && !swfUnloaded) {
+ var url, sid, vol, lps, cnum;
+ if(isNaN(id)) {
+ sid = id;
+ if(sid == "bgSound") {
+ cnum = parseInt(bgSoundItem);
+ }else {
+ cnum = getSoundID(id);
+ }
+ }else {
+ cnum = parseInt(id);
+ if(sound[cnum]) sid = sound[cnum]["id"];
+ }
+ if(sound[cnum] && sound[cnum]["url"]!='' && sid!=''){
+ url = sound[cnum]["url"];
+ vol = (sound[cnum]["volume"]!='')?getMaxVolume(sound[cnum]["volume"]):getMaxVolume(100);
+ lps = (sound[cnum]["loops"])?true:false;
+ if(lps) {
+ soundManager.play(sid,{volume:vol,onplay:function(){curSoundID=cnum;},onfinish:function(){soundManager.play(sid,{volume:getMaxVolume(sound[cnum]["volume"])});}});
+ }else {
+ soundManager.play(sid,{volume:vol,onplay:function(){curSoundID=cnum;},onfinish:function(){curSoundID=-1;}});
+ }
+ }
+ }
+}
+function getSoundID(str) {
+ for (var i = 0; i < (sound.length-1); i++) {
+ if(sound[i] && str == sound[i]["id"]) {
+ var id = i;
+ break;
+ }
+ }
+ return id;
+}
+function setVolume() {
+ var vol = document.getElementById('volumelist');
+ if(audioSupport && !swfUnloaded) {
+ audioVolume = parseInt(vol.value);
+ if(curSoundID >= 0) {
+ if(sound[curSoundID]) {
+ var sid = sound[curSoundID]["id"];
+ var vid = getMaxVolume(sound[curSoundID]["volume"]);
+ soundManager.setVolume(sid,vid);
+ }
+ }
+ }
+}
+function getMaxVolume(value) {
+ if(audioVolume>0) {var factor = audioVolume/100;}else {var factor = 0;}
+ return Math.max(0,Math.min(parseInt(value*factor),audioVolume));
+}
+function preloadSounds() {
+ var temp = ''; var parm = ''; var t = ''; var cl = '';
+ var objects = document.getElementsByTagName('object');
+ for (var i=0; i < objects.length; i++) {
+ if(objects[i].type.toLowerCase() == 'audio/mp3' && objects[i].data != '') {
+ objects[i].width = 0; objects[i].height = 0;
+ if(objects[i].parentNode.tagName == 'DIV') {
+ cl = objects[i].parentNode.className.toLowerCase();
+ if(cl == 'presentation' || cl == 'slide') {
+ if(cl == 'presentation') {
+ t = parseInt(bgSoundItem);
+ }else {
+ t = parseInt(objects[i].parentNode.id.slice(5, objects[i].parentNode.id.length));
+ }
+ sound[t] = new Object();
+ if(t < bgSoundItem) {
+ sound[t]["id"] = objects[i].parentNode.id;
+ }else {
+ sound[t]["id"] = "bgSound";
+ }
+ sound[t]["url"] = objects[i].data;
+ sound[t]["volume"] = 100; sound[t]["loops"] = false;
+ if(objects[i].archive != '') {
+ parm = objects[i].archive.toLowerCase().split(',');
+ for (var j=0; j < parm.length; j++) {
+ if(parm[j] == 'loop') sound[t]["loops"] = true;
+ if(parm[j].search(/^volume/) != -1) {
+ var tmp = parm[j].split(':');
+ sound[t]["volume"] = parseInt(tmp[1]);
+ }
+ }
+ }
+ soundManager.createSound(sound[t]["id"],sound[t]["url"]);
+ }
+ }
+ }
+ }
+}
+// audio extension
+
+// help extension
+function createHelpReq() {
+ if(!document.getElementById("HelpReq")) {
+ var obj = document.getElementsByTagName("body")[0].firstChild;
+ var pg = document.createElement('div');
+ pg.id = "HelpReq";
+ if (pg.addEventListener) {
+ pg.addEventListener("onclick",dumpHelpReq,false);
+ } else if (pg.attachEvent) {
+ pg.attachEvent("onclick",dumpHelpReq);
+ }
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=90)";
+ } else {
+ pg.style.opacity = 0.9;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(64,64,64)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/help.jpg)";
+ document.getElementsByTagName("body")[0].insertBefore(pg,obj);
+ if(document.getElementById("HelpReq")) {
+ helpmode = true;
+ }
+ }
+}
+function dumpHelpReq() {
+ if(document.getElementById("HelpReq")) {
+ document.body.removeChild(document.getElementById('HelpReq'));
+ helpmode = false;
+ }
+}
+// help extension
+
+//DEBUG
+function ConsoleLog(value) {
+ if(window.console) {
+ window.console.log(value);
+ }
+}
+//DEBUG
+
+document.write('<style type="text/css" media="screen" id="blockStyle">.presentation, .layout {display: none; }</style>');
+
+if(!isIE && !isOp) {
+ document.write('<script type="text/javascript" src="'+s5Path+'ui/audio_support/soundmanager2.js"></script>');
+ if(typeof soundManager!="undefined") {
+ var allMetas = document.getElementsByTagName('meta');
+ for (var i = 0; i< allMetas.length; i++) {
+ if (allMetas[i].name == 'audioDebug') {
+ var audioDebug = (allMetas[i].content == "true") ? true : false;
+ soundManager.defaultOptions.debugMode = audioDebug;
+ }
+ }
+ }
+}
+
+window.onload = createSlideShow;
+window.onresize = function(){setTimeout('windowChange()',5);} \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/toggle_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/toggle_link.png
new file mode 100644
index 000000000..4588e2514
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/toggle_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/toggle_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/toggle_over.png
new file mode 100644
index 000000000..400f613b6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/toggle_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/volume_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/volume_link.png
new file mode 100644
index 000000000..f362acd1f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/volume_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/wrap.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/wrap.css
new file mode 100644
index 000000000..a37315552
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/wrap.css
@@ -0,0 +1,410 @@
+/********************************************************************
+Screen and Print Styles for the Wrap Plugin
+********************************************************************/
+
+/* tables in columns and boxes should span the whole width */
+.wrap_column table,
+.wrap_left table, .wrap_right table, .wrap_center table,
+.wrap_box table,
+.wrap_info table, .wrap_important table, .wrap_alert table, .wrap_tip table, .wrap_help table, .wrap_todo table, .wrap_download table {
+ width: 100%;
+}
+/* emulate a headline */
+.wrap_column em strong,
+.wrap_left em strong, .wrap_right em strong, .wrap_center em strong,
+.wrap_box em strong,
+.wrap_info em strong, .wrap_important em strong, .wrap_alert em strong, .wrap_tip em strong, .wrap_help em strong, .wrap_todo em strong, .wrap_download em strong {
+ font-size: 130%;
+ font-weight: bold;
+ font-style: normal;
+ display: block;
+}
+/* emulate a bigger headline with a bottom border */
+.wrap_column em strong em.u,
+.wrap_left em strong em.u, .wrap_right em strong em.u, .wrap_center em strong em.u,
+.wrap_box em strong em.u,
+.wrap_info em strong em.u, .wrap_important em strong em.u, .wrap_alert em strong em.u, .wrap_tip em strong em.u, .wrap_help em strong em.u, .wrap_todo em strong em.u, .wrap_download em strong em.u {
+ font-size: 115%;
+ border-bottom: 1px solid __border__;
+ font-style: normal;
+ text-decoration: none;
+ display: block;
+}
+/* different bigger headline for safety notes */
+.wrap_danger em strong em.u, .wrap_warning em strong em.u, .wrap_caution em strong em.u, .wrap_notice em strong em.u, .wrap_safety em strong em.u {
+ font-size: 115%;
+ font-style: normal;
+ text-decoration: none;
+ display: block;
+ text-transform: uppercase;
+ border-bottom-width: 0;
+}
+/* change border colour of emulated headlines inside boxes to something more neutral
+ (to match all the different background colours) */
+.wrap_box em strong em.u,
+.wrap_info em strong em.u, .wrap_important em strong em.u, .wrap_alert em strong em.u, .wrap_tip em strong em.u, .wrap_help em strong em.u, .wrap_todo em strong em.u, .wrap_download em strong em.u {
+ border-bottom-color: #999;
+}
+
+
+/* columns
+********************************************************************/
+
+.wrap_left,
+.wrap_column {
+ float: left;
+ margin-right: 1.5em;
+}
+.wrap_right {
+ float: right;
+ margin-left: 1.5em;
+}
+.wrap_center {
+ display: block;
+ margin-left: auto;
+ margin-right: auto;
+}
+/*
+.wrap_column,
+.wrap_left,
+.wrap_right {
+ overflow: hidden;
+}
+*/
+.wrap_container {
+ margin-right: -1.5em;
+}
+
+
+/* alignments
+********************************************************************/
+
+.wrap_leftalign {
+ text-align: left;
+}
+.wrap_centeralign {
+ text-align: center;
+}
+.wrap_rightalign {
+ text-align: right;
+}
+.wrap_justify {
+ text-align: justify;
+}
+
+
+/* box
+********************************************************************/
+
+/* see styles for boxes and notes with icons in style.css */
+
+/*____________ rounded corners ____________*/
+/* (only for modern browsers) */
+
+div.wrap_round {
+ border-radius: 20px;
+ -moz-border-radius: 20px;
+ -webkit-border-radius: 20px;
+ -khtml-border-radius: 20px;
+}
+span.wrap_round {
+ border-radius: 2px;
+ -moz-border-radius: 2px;
+ -webkit-border-radius: 2px;
+ -khtml-border-radius: 2px;
+}
+
+
+/* mark
+********************************************************************/
+
+div.wrap_lo {
+ color: __text_neu__;
+ font-size: 85%;
+}
+div.wrap_em {
+ color: #c00;
+ font-weight: bold;
+}
+
+/* see styles for highlighted text in style.css */
+
+
+/* typography
+********************************************************************/
+
+/*____________ fonts ____________*/
+
+div.wrap_sansserif {
+ font-family: Verdana,Tahoma,Geneva,"DejaVu Sans","Bitstream Vera Sans","Liberation Sans", Arial,Helvetica,FreeSans,"Liberation Sans","Nimbus Sans L", sans-serif;
+}
+div.wrap_serif {
+ font-family: Georgia,Garamond,"Palatino Linotype","Book Antiqua",Palatino,Palladio,"URW Palladio L","Liberation Serif",Didot,Gentium,"Bitstream Charter","Century Schoolbook L", "Times New Roman",Times,"Nimbus Roman No9 L","FreeSerif", serif;
+}
+div.wrap_monospace {
+ font-family: Courier,"Courier New",FreeMono,"Nimbus Mono L","Liberation Mono", "Lucida Console",Monaco,"DejaVu Sans Mono","Bitstream Vera Sans Mono", monospace;
+}
+
+/*____________ font size ____________*/
+
+div.wrap_bigger {
+ font-size: 125%;
+}
+div.wrap_muchbigger {
+ font-size: 200%;
+}
+div.wrap_smaller {
+ font-size: 75%;
+}
+
+/*____________ font colours ____________*/
+
+div.wrap_fgred {
+ color: #900;
+}
+div.wrap_fggreen {
+ color: #090;
+}
+div.wrap_fgblue {
+ color: #009;
+}
+div.wrap_fgcyan {
+ color: #099;
+}
+div.wrap_fgviolet {
+ color: #909;
+}
+div.wrap_fgyellow {
+ color: #990;
+}
+div.wrap_fggrey {
+ color: #666;
+}
+div.wrap_fgblack {
+ color: #000;
+}
+
+/* see styles for background colours and white font colour in style.css */
+
+
+/* miscellaneous
+********************************************************************/
+
+/*____________ indent ____________*/
+
+div.wrap_indent {
+ padding-left: 1.5em;
+}
+
+/*____________ outdent ____________*/
+
+div.wrap_outdent {
+ margin-left: -1.5em;
+}
+
+/*____________ word wrapping in pre ____________*/
+
+divdiv.wrap_prewrap pre {
+ white-space: pre-wrap;
+ word-wrap: break-word;/* for IE < 8 */
+ /* white-space: -moz-pre-wrap; for FF < 3 */
+}
+
+/*____________ spoiler ____________*/
+
+divdiv.wrap_spoiler {
+ margin-bottom: 1.5em;
+}
+/* see rest of spoiler styles in style.css */
+
+/*____________ clear float ____________*/
+
+div.wrap_clear {
+ clear: both;
+ line-height: 0;
+ height: 0;
+ font-size: 1px;
+ visibility: hidden;
+ overflow: hidden;
+}
+
+/*____________ hide ____________*/
+
+div.wrap_hide {
+ display: none;
+}
+
+
+/* STYLE.CSS */
+
+/* box
+********************************************************************/
+
+.wrap_box {
+ background: __background_alt__;
+ color: __text__;
+/*
+ overflow: hidden;
+*/
+}
+div.wrap_box,
+div.wrap_danger, div.wrap_warning, div.wrap_caution, div.wrap_notice, div.wrap_safety {
+ padding: 1em 1em .5em;
+ margin-bottom: 1.5em;
+}
+span.wrap_box,
+span.wrap_danger, span.wrap_warning, span.wrap_caution, span.wrap_notice, span.wrap_safety {
+ padding: 0 .3em;
+}
+
+/*____________ notes with icons ____________*/
+
+/* general styles for all note divs */
+div.wrap_info, div.wrap_important, div.wrap_alert, div.wrap_tip, div.wrap_help, div.wrap_todo, div.wrap_download {
+ padding: 1em 1em .5em 70px;
+ margin-bottom: 1.5em;
+ min-height: 68px;
+ background-position: 10px 50%;
+ background-repeat: no-repeat;
+ color: #000;
+}
+/* special treatment for IE6 */
+* html div.wrap_info, * html div.wrap_important, * html div.wrap_alert, * html div.wrap_tip, * html div.wrap_help, * html div.wrap_todo, * html div.wrap_download {
+ height: 68px;
+}
+/* general styles for all note spans */
+span.wrap_info, span.wrap_important, span.wrap_alert, span.wrap_tip, span.wrap_help, span.wrap_todo, span.wrap_download {
+ padding: 0 2px 0 20px;
+ min-height: 20px;
+ background-position: 2px 50%;
+ background-repeat: no-repeat;
+ color: #000;
+}
+/* special treatment for IE6 */
+* html span.wrap_info, * html span.wrap_important, * html span.wrap_alert, * html span.wrap_tip, * html span.wrap_help, * html span.wrap_todo, * html span.wrap_download {
+ height: 20px;
+}
+
+/*____________ info ____________*/
+.wrap_info { background-color: #d1d7df; }
+div.wrap_info { background-image: url(../../../wrap/images/note/48/info.png); }
+span.wrap_info { background-image: url(../../../wrap/images/note/16/info.png); }
+
+/*____________ important ____________*/
+.wrap_important { background-color: #ffd39f; }
+div.wrap_important { background-image: url(../../../wrap/images/note/48/important.png); }
+span.wrap_important { background-image: url(../../../wrap/images/note/16/important.png); }
+
+/*____________ alert ____________*/
+.wrap_alert { background-color: #ffbcaf; }
+div.wrap_alert { background-image: url(../../../wrap/images/note/48/alert.png); }
+span.wrap_alert { background-image: url(../../../wrap/images/note/16/alert.png); }
+
+/*____________ tip ____________*/
+.wrap_tip { background-color: #fff79f; }
+div.wrap_tip { background-image: url(../../../wrap/images/note/48/tip.png); }
+span.wrap_tip { background-image: url(../../../wrap/images/note/16/tip.png); }
+
+/*____________ help ____________*/
+.wrap_help { background-color: #dcc2ef; }
+div.wrap_help { background-image: url(../../../wrap/images/note/48/help.png); }
+span.wrap_help { background-image: url(../../../wrap/images/note/16/help.png); }
+
+/*____________ todo ____________*/
+.wrap_todo { background-color: #c2efdd; }
+div.wrap_todo { background-image: url(../../../wrap/images/note/48/todo.png); }
+span.wrap_todo { background-image: url(../../../wrap/images/note/16/todo.png); }
+
+/*____________ download ____________*/
+.wrap_download { background-color: #d6efc2; }
+div.wrap_download { background-image: url(../../../wrap/images/note/48/download.png); }
+span.wrap_download { background-image: url(../../../wrap/images/note/16/download.png); }
+
+
+/*____________ safety notes ____________*/
+
+.wrap_danger {
+ background-color: #c00;
+ color: #fff;
+}
+.wrap_warning {
+ background-color: #f60;
+ color: #000;
+}
+.wrap_caution {
+ background-color: #ff0;
+ color: #000;
+}
+.wrap_notice {
+ background-color: #06f;
+ color: #fff;
+}
+.wrap_safety {
+ background-color: #090;
+ color: #fff;
+}
+
+
+/* mark
+********************************************************************/
+
+div.wrap_hi {
+ background-color: #ff9;
+}
+
+/* typography
+********************************************************************/
+
+/*____________ font colours ____________*/
+
+div.wrap_fgwhite {
+ color: #fff;
+}
+
+/*____________ background colours ____________*/
+
+div.wrap_bgred {
+ background-color: #fcc;
+}
+div.wrap_bggreen {
+ background-color: #cfc;
+}
+div.wrap_bgblue {
+ background-color: #ccf;
+}
+div.wrap_bgcyan {
+ background-color: #9ff;
+}
+div.wrap_bgviolet {
+ background-color: #f9f;
+}
+div.wrap_bgyellow {
+ background-color: #ff9;
+}
+div.wrap_bggrey {
+ background-color: #ccc;
+}
+div.wrap_bgwhite {
+ background-color: #fff;
+}
+div.wrap_bgblack {
+ background-color: #000;
+}
+
+
+/* miscellaneous
+********************************************************************/
+
+/*____________ spoiler ____________*/
+
+div.wrap_spoiler {
+ background-color: __background__ !important;
+ color: __background__ !important;
+ border: 1px dotted red;
+}
+
+/*____________ only print ____________*/
+
+div.wrap_onlyprint {
+ display: none;
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/zero_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/zero_link.png
new file mode 100644
index 000000000..5610884d9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/zero_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/zero_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/zero_over.png
new file mode 100644
index 000000000..3a14a577e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/flower/zero_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/audio_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/audio_link.png
new file mode 100644
index 000000000..daa740c40
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/audio_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/audio_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/audio_on.png
new file mode 100644
index 000000000..cd590aae9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/audio_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/audio_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/audio_over.png
new file mode 100644
index 000000000..cf537a008
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/audio_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/auto_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/auto_link.png
new file mode 100644
index 000000000..1c2ca4817
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/auto_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/auto_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/auto_on.png
new file mode 100644
index 000000000..4b0c923b8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/auto_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/auto_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/auto_over.png
new file mode 100644
index 000000000..dbea88975
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/auto_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/bg-slide.jpg b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/bg-slide.jpg
new file mode 100755
index 000000000..6da2a0090
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/bg-slide.jpg
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/delay_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/delay_link.png
new file mode 100644
index 000000000..c4d99cd57
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/delay_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/exit_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/exit_link.png
new file mode 100644
index 000000000..1bfd38790
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/exit_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/exit_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/exit_over.png
new file mode 100644
index 000000000..0fdd1c605
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/exit_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/fade_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/fade_link.png
new file mode 100644
index 000000000..482e4d53e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/fade_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/fade_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/fade_on.png
new file mode 100644
index 000000000..e12561fb2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/fade_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/fade_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/fade_over.png
new file mode 100644
index 000000000..fb8943c98
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/fade_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/framing.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/framing.css
new file mode 100644
index 000000000..24b5fb0e4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/framing.css
@@ -0,0 +1,23 @@
+/* The following styles size and place the slide components.
+ Edit them if you want to change the overall slide layout.
+ The commented lines can be uncommented (and modified, if necessary)
+ to help you with the rearrangement process. */
+
+div#header, div#footer, .slide {width: 100%; top: 0; left: 0;}
+div#header {top: 0; left: 0; z-index: 1;}
+div#footer {top: auto; bottom: 0; width: 100%; z-index: 5;}
+.slide {top: 0; width: 80%; padding: 1em 9% 2em 9%; z-index: 2; height: 100%;}
+
+div#controls {bottom: 1.5em; left: 0; width: 100%; text-align: center; z-index: 1000;}
+div#controls form {margin: 0; padding: 0;}
+
+#currentSlide {position: absolute; left: 0; bottom: 0.5em; z-index: 10;
+ width: 100%; text-align: center;}
+html>body #currentSlide {position: fixed;}
+
+/*
+div#header {background: #FCC;}
+div#footer {background: #CCF;}
+div#controls {background: #BBD;}
+div#currentSlide {background: #FFC;}
+*/
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/iepngfix.htc b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/iepngfix.htc
new file mode 100755
index 000000000..bba2db756
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/iepngfix.htc
@@ -0,0 +1,42 @@
+<public:component>
+<public:attach event="onpropertychange" onevent="doFix()" />
+
+<script>
+
+// IE5.5+ PNG Alpha Fix v1.0 by Angus Turnbull http://www.twinhelix.com
+// Free usage permitted as long as this notice remains intact.
+
+// This must be a path to a blank image. That's all the configuration you need here.
+var blankImg = 'ui/default/blank.gif';
+
+var f = 'DXImageTransform.Microsoft.AlphaImageLoader';
+
+function filt(s, m) {
+ if (filters[f]) {
+ filters[f].enabled = s ? true : false;
+ if (s) with (filters[f]) { src = s; sizingMethod = m }
+ } else if (s) style.filter = 'progid:'+f+'(src="'+s+'",sizingMethod="'+m+'")';
+}
+
+function doFix() {
+ if ((parseFloat(navigator.userAgent.match(/MSIE (\S+)/)[1]) < 5.5) ||
+ (event && !/(background|src)/.test(event.propertyName))) return;
+
+ if (tagName == 'IMG') {
+ if ((/\.png$/i).test(src)) {
+ filt(src, 'image'); // was 'scale'
+ src = blankImg;
+ } else if (src.indexOf(blankImg) < 0) filt();
+ } else if (style.backgroundImage) {
+ if (style.backgroundImage.match(/^url[("']+(.*\.png)[)"']+$/i)) {
+ var s = RegExp.$1;
+ style.backgroundImage = '';
+ filt(s, 'crop');
+ } else filt();
+ }
+}
+
+doFix();
+
+</script>
+</public:component> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/last_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/last_link.png
new file mode 100644
index 000000000..4f158d35d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/last_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/last_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/last_over.png
new file mode 100644
index 000000000..a7b629995
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/last_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/list_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/list_link.png
new file mode 100644
index 000000000..7e299bb50
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/list_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/loop_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/loop_link.png
new file mode 100644
index 000000000..41e12cb15
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/loop_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/loop_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/loop_on.png
new file mode 100644
index 000000000..2ffcacae1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/loop_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/loop_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/loop_over.png
new file mode 100644
index 000000000..9fe420153
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/loop_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/next_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/next_link.png
new file mode 100644
index 000000000..2b9f44c4d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/next_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/next_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/next_over.png
new file mode 100644
index 000000000..25788124d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/next_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/notes_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/notes_link.png
new file mode 100644
index 000000000..bb4a8ae37
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/notes_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/notes_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/notes_over.png
new file mode 100644
index 000000000..c6bfe69fc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/notes_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/opera.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/opera.css
new file mode 100755
index 000000000..9e9d2a3c5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/opera.css
@@ -0,0 +1,7 @@
+/* DO NOT CHANGE THESE unless you really want to break Opera Show */
+.slide {
+ visibility: visible !important;
+ position: static !important;
+ page-break-before: always;
+}
+#slide0 {page-break-before: avoid;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/outline.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/outline.css
new file mode 100755
index 000000000..62db519ed
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/outline.css
@@ -0,0 +1,15 @@
+/* don't change this unless you want the layout stuff to show up in the outline view! */
+
+.layout div, #footer *, #controlForm * {display: none;}
+#footer, #controls, #controlForm, #navLinks, #toggle {
+ display: block; visibility: visible; margin: 0; padding: 0;}
+#toggle {float: right; padding: 0.5em;}
+html>body #toggle {position: fixed; top: 0; right: 0;}
+
+/* making the outline look pretty-ish */
+
+#slide0 h1, #slide0 h2, #slide0 h3, #slide0 h4 {border: none; margin: 0;}
+#slide0 h1 {padding-top: 1.5em;}
+.slide h1 {margin: 1.5em 0 0; padding-top: 0.25em;
+ border-top: 1px solid #888; border-bottom: 1px solid #AAA;}
+#toggle {border: 1px solid; border-width: 0 0 1px 1px; background: #FFF;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/pause_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/pause_link.png
new file mode 100644
index 000000000..2561cb4a4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/pause_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/pause_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/pause_on.png
new file mode 100644
index 000000000..2fc9c4a4a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/pause_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/pause_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/pause_over.png
new file mode 100644
index 000000000..7a7c80ddc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/pause_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/pretty.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/pretty.css
new file mode 100644
index 000000000..80fad55d3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/pretty.css
@@ -0,0 +1,130 @@
+/*
+ Theme: Drupal S5
+*/
+
+html {
+ height: 99%;
+}
+
+body {background: #E5F3FC url('bg-slide.jpg');
+ background-position: bottom left;
+ height: 100%;
+ color: #012C46; font: 32px Arial, sans-serif;}
+a {text-decoration: none; color: #336; border-bottom: 1px dotted;}
+h1, h2, h3, h4, h5, h6 {font-size: 1em; margin: 0;}
+sup {font-size: 0.75em; font-weight: normal;
+ vertical-align: 0.5em; line-height: 1px;}
+ul {margin-left: 1em; padding-left: 0;}
+li {margin-bottom: 0.66em;}
+li li {margin: 0.33em 0; font-size: smaller;}
+
+#header {background: transparent;
+ padding-bottom: 2em;}
+#footer {color: #BBB; border-top: 0px solid #333;}
+#header, #footer {font-size: 0.5em;}
+#footer h1, #footer h2 { padding: 0.5em 0.75em;
+ font-weight: normal;}
+#footer h1 {left: 0; font-size: 1em; letter-spacing: 10px;}
+#footer h2 {position: absolute; bottom: 10; right: 10;}
+
+#navList {margin-top: 3px;}
+
+.slide h1 {font-size: 1.3em; line-height: 1; letter-spacing: -1px;
+ margin: 0 -15% 2em 0; padding: 0.25em 15% 0.06125em 0;}
+
+div#controls {position: absolute; left: 0; bottom: 0; width: 100%; text-align: center; font: bold 1em Verdana, Arial, Helvetica, sans-serif;}
+html>body div#controls {background: transparent; position: fixed; padding: 0; top: auto; text-align: center;}
+#controls #sheet {display: none;}
+#controls #controlForm {height: 32px; width: 100%; text-align: center; vertical-align: middle;}
+#controls #navLinks {border-top: 1px solid #999; overflow: hidden; padding: 0; margin: 0; height: 32px; width: 100%; background-color: #808080; text-align: center; vertical-align: middle; white-space: nowrap;}
+#controls #navLinks ul {margin: 0 auto; padding: 0; height: 32px; width: 500px; white-space: nowrap; text-align: center;}
+#controls #navLinks ul li {list-style: none; display: inline; margin: 0; padding: 0; float: left; height: 32px; width: 32px; text-align: center; background-color: transparent;}
+#controls #navLinks ul li.vr {list-style: none; display: inline; margin: 0px 2px; padding: 0; float: left; height: 32px; width: 1px; text-align: center; background-color: #606060;}
+#controls #navLinks ul li a {margin: 0; padding: 0; float: left; height: 32px; width: 32px; background-color: transparent;}
+
+#controls #navLinks ul li#exit {background: url(exit_link.png) no-repeat top left;}
+#controls #navLinks ul li#exit a:hover {background: url(exit_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#toggle {background: url(toggle_link.png) no-repeat top left;}
+#controls #navLinks ul li#toggle a:hover {background: url(toggle_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#show-notes {background: url(notes_link.png) no-repeat top left;}
+#controls #navLinks ul li#show-notes a:hover {background: url(notes_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#zero {background: url(zero_link.png) no-repeat top left;}
+#controls #navLinks ul li#zero a:hover {background: url(zero_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#prev {background: url(prev_link.png) no-repeat top left;}
+#controls #navLinks ul li#prev a:hover {background: url(prev_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#next {background: url(next_link.png) no-repeat top left;}
+#controls #navLinks ul li#next a:hover {background: url(next_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#last {background: url(last_link.png) no-repeat top left;}
+#controls #navLinks ul li#last a:hover {background: url(last_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#lst {background: url(list_link.png) no-repeat top left;}
+
+#controls #navLinks ul li#fade a.isoff {background: url(fade_link.png) no-repeat top left;}
+#controls #navLinks ul li#fade a.ison {background: url(fade_on.png) no-repeat top right;}
+#controls #navLinks ul li#fade a:hover {background: url(fade_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#audio {background: url(audio_link.png) no-repeat top left;}
+#controls #navLinks ul li#audio a.isoff {background: url(audio_link.png) no-repeat top left;}
+#controls #navLinks ul li#audio a.ison {background: url(audio_on.png) no-repeat top right;}
+#controls #navLinks ul li#audio a:hover {background: url(audio_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#vol {background: url(volume_link.png) no-repeat top left;}
+
+#controls #navLinks ul li#auto a.isoff {background: url(auto_link.png) no-repeat top left;}
+#controls #navLinks ul li#auto a.ison {background: url(auto_on.png) no-repeat top right;}
+#controls #navLinks ul li#auto a:hover {background: url(auto_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#pause a.isoff {background: url(pause_link.png) no-repeat top left;}
+#controls #navLinks ul li#pause a.ison {background: url(pause_on.png) no-repeat top right;}
+#controls #navLinks ul li#pause a:hover {background: url(pause_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#loop a.isoff {background: url(loop_link.png) no-repeat top left;}
+#controls #navLinks ul li#loop a.ison {background: url(loop_on.png) no-repeat top right;}
+#controls #navLinks ul li#loop a:hover {background: url(loop_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#del {background: url(delay_link.png) no-repeat top left;}
+
+#jumplist, #volumelist, #delaylist {padding: 0; margin: 0; width: 32px; height: 32px; cursor: n-resize;}
+
+#currentSlide {white-space: nowrap; text-align: center; margin-bottom: -0.5em; font-size: 0.5em; background-color: transparent; color: #999;}
+
+#guru {position: absolute; visibility: visible; left: 0px; top: 0px; padding: 4px; width: 99%; height: auto; text-align: center; background-color: black; z-index: 10;}
+#guru div {border: solid 3px red; padding: 4px; font-family: monospace; font-size: 60%; width: auto; height: auto; color: red; text-align: center;}
+
+#slide0 {padding-top: 3.5em; font-size: 90%;}
+#slide0 h1 {position: static; margin: 1em 0 0; padding: 0; font: bold 2em Verdana, Arial, Helvetica, sans-serif; font-variant: small-caps; text-shadow: 0.1em 0.1em 0.1em #666; white-space: normal; color: #222; background-color: transparent;}
+#slide0 h2 {font: bold italic 1em Arial, Helvetica, sans-serif; margin: 0.25em;}
+#slide0 h3 {margin-top: 1.5em; font-size: 1.5em;}
+#slide0 h4 {margin-top: 0; font-size: 1em;}
+
+ul.urls {list-style: none; display: inline; margin: 0;}
+.urls li {display: inline; margin: 0;}
+.external {border-bottom: 1px dotted gray;}
+html>body .external {border-bottom: none;}
+.external:after {content: " \274F"; font-size: smaller; color: #999;}
+
+.incremental, .incremental *, .incremental *:after {color: #ccc; visibility: visible;}
+img.incremental, canvas.incremental {visibility: hidden;}
+.slide .current {color: #B02;}
+
+/* diagnostics
+li:after {content: " [" attr(class) "]"; color: #F88;}
+*/
+
+table.piechart, table.barchart, table.linechart { border-spacing: 0.3em 0.15em; }
+table.piechart tr th, table.barchart tr th, table.linechart tr th { white-space: nowrap; }
+table.piechart tr td, table.barchart tr td, table.linechart tr td { vertical-align: top; white-space: nowrap; }
+table.piechart tr td.col, table.barchart tr td.col, table.linechart tr td.col { border-bottom: 1px solid #555; border-right: 1px solid #555; }
+table.fs90 tr td, table.fs90 tr th, div.fs90, pre.fs90, p.fs90 ,ul.fs90 {font-size: 0.9em; }
+table.fs75 tr td, table.fs75 tr th, div.fs75, pre.fs75, p.fs75 ,ul.fs75 {font-size: 0.75em; }
+table.fs66 tr td, table.fs66 tr th, div.fs66, pre.fs66, p.fs66 ,ul.fs66 {font-size: 0.66em; }
+table.fs50 tr td, table.fs50 tr th, div.fs50, pre.fs50, p.fs50 ,ul.fs50 {font-size: 0.5em; }
+
+#soundmanager-debug {position:fixed; top:0px; right:0px; width:30em; height:20em; overflow:auto; border:1px solid red; padding:1em; margin:2em; font-family:"sans serif"; font-size: 12px;color: black; background-color:#f6f6f6; z-index: 100;}
+#soundmanager-debug code {font-size: 11px;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/prev_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/prev_link.png
new file mode 100644
index 000000000..c31d21350
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/prev_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/prev_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/prev_over.png
new file mode 100644
index 000000000..6fef4fa52
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/prev_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/print.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/print.css
new file mode 100755
index 000000000..220083260
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/print.css
@@ -0,0 +1 @@
+/* The following rule is necessary to have all slides appear in print! DO NOT REMOVE IT! */ .slide, ul { page-break-inside: avoid; visibility: visible !important; } h1 { page-break-after: avoid; } html { width:100%; overflow:hidden; } body { font-size: 12pt; background: white; width:100%; } * { color: black; } #slide0 h1 { font-size: 200%; border: none; margin: 0.5em 0 0.25em; } #slide0 h3 { margin: 0; padding: 0; } #slide0 h4 { margin: 0 0 0.5em; padding: 0; } #slide0 { margin-bottom: 3em; } h1 { border-top: 2pt solid gray; border-bottom: 1px dotted silver; padding:0.2em 0 0.3em 0; } .extra { background: transparent !important; } div.extra, pre.extra, .example { font-size: 10pt; color: #333; } ul.extra a { font-weight: bold; } p.example { display: none; } #header { display: none; } #footer h1 { margin: 0; border-bottom: 1px solid; color: gray; font-style: italic; } #footer h2, #controls { display: none; } div.handout { display:block; border-top: 2px dotted #ccc; background:url(pics/back-handout-print.png) top right no-repeat #fff; min-height:6em; padding-right:6em; margin-bottom:1em; } .slide { display:block; background:url(pics/back-slide-print.png) top right no-repeat #fff; min-height:6em; margin-bottom:1em; } table { display:block; /* display:none; */ font-size:90%; overflow:hidden; margin-top:1em; margin-bottom:1em; } pre , code { margin:0; font-size:1pt; overflow:auto; } img { max-width:100% !important; } /* The following rule keeps the layout stuff out of print. Remove at your own risk! */ .layout, .layout * { display: none !important; } \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/s5-core.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/s5-core.css
new file mode 100644
index 000000000..3b0428edb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/s5-core.css
@@ -0,0 +1,9 @@
+/* Do not edit or override these styles! The system will likely break if you do. */
+
+div#header, div#footer, div#controls, div.slide {position: absolute;}
+html>body div#header, html>body div#footer,
+ html>body div#controls, html>body div.slide {position: fixed;}
+.handout {display: none;}
+.layout {display: block;}
+div.slide, .hideme, .incremental {visibility: hidden;}
+#slide0 {visibility: visible;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/slides.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/slides.css
new file mode 100644
index 000000000..0786d7dbd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/slides.css
@@ -0,0 +1,3 @@
+@import url(s5-core.css); /* required to make the slide show run at all */
+@import url(framing.css); /* sets basic placement and size of slide components */
+@import url(pretty.css); /* stuff that makes the slides look better than blah */ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/slides.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/slides.js
new file mode 100644
index 000000000..510a04c0e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/slides.js
@@ -0,0 +1,2812 @@
+// S5 1.3beta7 (18-Apr-2007) advanced version by C. Effenberger
+// Please see http://s5.netzgesta.de/ for more information
+// based on S5 v1.2a1 slides.js -- released into the Public Domain
+// Please see http://www.meyerweb.com/eric/tools/s5/credits.html for information
+// about all the wonderful and talented contributors to this code!
+// audio extension: soundmanager2 is NOT Public Domain
+// Please see http://www.schillmania.com/projects/soundmanager2/ for information
+
+var undef;
+var slideCSS = '';
+var snum = 0;
+var smax = 1;
+var incpos = 0;
+var number = undef;
+var firstTime = 1;
+var s5mode = true;
+var helpmode = false;
+var defaultView = 'slideshow'; //outline
+var controlVis = 'visible';
+var s5Path = 'lib/plugins/s5reloaded/';
+
+// scalable images extension
+var empx = 0;
+var images = new Array();
+var canvas = new Array();
+var medias = new Array();
+var piecharts = new Array();
+var barcharts = new Array();
+var linecharts = new Array();
+// scalable images extension
+
+// transition extension
+var tranSitions = false;
+var fadeModus = false;
+var fadeDuration = 500;
+var incrDuration = 250;
+var opac = 1;
+var cid = '';
+var nid = '';
+var tid = '';
+var jl = '';
+// transition extension
+
+// autoplay extension
+var autoMatic = false;
+var playLoop = false;
+var playPause = false;
+var autoRun = false;
+var playDelay = 5000;
+var remainDer = 0;
+var incrDelay = 0;
+// autoplay extension
+
+// audio extension
+var sound = new Array();
+var audioSupport = false;
+var audioVolume = 100;
+var audioError = false;
+var swfUnloaded = true;
+var bgSoundItem = 9999;
+var curSoundID = -1;
+// audio extension
+
+// panel extension
+var imgWidth = 32;
+var imgHeight = 32;
+// panel extension
+
+// canvas chart extension
+var canvasSupport = false;
+var ChartData = new Array();
+var colorSlice = new Array();
+var font = document.createElement("img");
+font.setAttribute("src", s5Path+"ui/graphic_support/numeric.png");
+signs = {
+ '0': {sx: 0, sy: 0, sw: 48, sh: 64},
+ '1': {sx: 48, sy: 0, sw: 48, sh: 64},
+ '2': {sx: 96, sy: 0, sw: 48, sh: 64},
+ '3': {sx: 144, sy: 0, sw: 48, sh: 64},
+ '4': {sx: 192, sy: 0, sw: 48, sh: 64},
+ '5': {sx: 240, sy: 0, sw: 48, sh: 64},
+ '6': {sx: 288, sy: 0, sw: 48, sh: 64},
+ '7': {sx: 336, sy: 0, sw: 48, sh: 64},
+ '8': {sx: 384, sy: 0, sw: 48, sh: 64},
+ '9': {sx: 432, sy: 0, sw: 48, sh: 64},
+ '%': {sx: 480, sy: 0, sw: 48, sh: 64},
+ '.': {sx: 528, sy: 0, sw: 24, sh: 64}
+};
+var colorNames= new Array();
+colorNames["black"]="#000000"; colorNames["maroon"]="#800000";
+colorNames["green"]="#008000"; colorNames["olive"]="#808000";
+colorNames["navy"]="#000080"; colorNames["purple"]="#800080";
+colorNames["teal"]="#008080"; colorNames["gray"]="#808080";
+colorNames["silver"]="#C0C0C0"; colorNames["red"]="#FF0000";
+colorNames["lime"]="#00FF00"; colorNames["yellow"]="#FFFF00";
+colorNames["blue"]="#0000FF"; colorNames["fuchsia"]="#FF00FF";
+colorNames["aqua"]="#00FFFF"; colorNames["white"]="#FFFFFF";
+colorNames["aliceblue"]="#F0F8FF"; colorNames["antiquewhite"]="#FAEBD7";
+colorNames["aquamarine"]="#7FFFD4"; colorNames["azure"]="#F0FFFF";
+colorNames["beige"]="#F5F5DC"; colorNames["blueviolet"]="#8A2BE2";
+colorNames["brown"]="#A52A2A"; colorNames["burlywood"]="#DEB887";
+colorNames["cadetblue"]="#5F9EA0"; colorNames["chartreuse"]="#7FFF00";
+colorNames["chocolate"]="#D2691E"; colorNames["coral"]="#FF7F50";
+colorNames["cornflowerblue"]="#6495ED"; colorNames["cornsilk"]="#FFF8DC";
+colorNames["crimson"]="#DC143C"; colorNames["darkblue"]="#00008B";
+colorNames["darkcyan"]="#008B8B"; colorNames["darkgoldenrod"]="#B8860B";
+colorNames["darkgray"]="#A9A9A9"; colorNames["darkgreen"]="#006400";
+colorNames["darkkhaki"]="#BDB76B"; colorNames["darkmagenta"]="#8B008B";
+colorNames["darkolivegreen"]="#556B2F"; colorNames["darkorange"]="#FF8C00";
+colorNames["darkorchid"]="#9932CC"; colorNames["darkred"]="#8B0000";
+colorNames["darksalmon"]="#E9967A"; colorNames["darkseagreen"]="#8FBC8F";
+colorNames["darkslateblue"]="#483D8B"; colorNames["darkslategray"]="#2F4F4F";
+colorNames["darkturquoise"]="#00CED1"; colorNames["darkviolet"]="#9400D3";
+colorNames["deeppink"]="#FF1493"; colorNames["deepskyblue"]="#00BFFF";
+colorNames["dimgray"]="#696969"; colorNames["dodgerblue"]="#1E90FF";
+colorNames["firebrick"]="#B22222"; colorNames["floralwhite"]="#FFFAF0";
+colorNames["forestgreen"]="#228B22"; colorNames["gainsboro"]="#DCDCDC";
+colorNames["ghostwhite"]="#F8F8FF"; colorNames["gold"]="#FFD700";
+colorNames["goldenrod"]="#DAA520"; colorNames["greenyellow"]="#ADFF2F";
+colorNames["honeydew"]="#F0FFF0"; colorNames["hotpink"]="#FF69B4";
+colorNames["indianred"]="#CD5C5C"; colorNames["indigo"]="#4B0082";
+colorNames["ivory"]="#FFFFF0"; colorNames["khaki"]="#F0E68C";
+colorNames["lavender"]="#E6E6FA"; colorNames["lavenderblush"]="#FFF0F5";
+colorNames["lawngreen"]="#7CFC00"; colorNames["lemonchiffon"]="#FFFACD";
+colorNames["lightblue"]="#ADD8E6"; colorNames["lightcoral"]="#F08080";
+colorNames["lightcyan"]="#E0FFFF"; colorNames["lightgoldenrodyellow"]="#FAFAD2";
+colorNames["lightgreen"]="#90EE90"; colorNames["lightgrey"]="#D3D3D3";
+colorNames["lightpink"]="#FFB6C1"; colorNames["lightsalmon"]="#FFA07A";
+colorNames["lightseagreen"]="#20B2AA"; colorNames["lightskyblue"]="#87CEFA";
+colorNames["lightslategray"]="#778899"; colorNames["lightsteelblue"]="#B0C4DE";
+colorNames["lightyellow"]="#FFFFE0"; colorNames["limegreen"]="#32CD32";
+colorNames["linen"]="#FAF0E6"; colorNames["mediumaquamarine"]="#66CDAA";
+colorNames["mediumblue"]="#0000CD"; colorNames["mediumorchid"]="#BA55D3";
+colorNames["ediumpurple"]="#9370D"; colorNames["mediumseagreen"]="#3CB371";
+colorNames["mediumslateblue"]="#7B68EE"; colorNames["mediumspringgreen"]="#00FA9A";
+colorNames["mediumturquoise"]="#48D1CC"; colorNames["mediumvioletred"]="#C71585";
+colorNames["midnightblue"]="#191970"; colorNames["mintcream"]="#F5FFFA";
+colorNames["mistyrose"]="#FFE4E1"; colorNames["moccasin"]="#FFE4B5";
+colorNames["navajowhite"]="#FFDEAD"; colorNames["oldlace"]="#FDF5E6";
+colorNames["olivedrab"]="#6B8E23"; colorNames["orange"]="#FFA500";
+colorNames["orangered"]="#FF4500"; colorNames["orchid"]="#DA70D6";
+colorNames["palegoldenrod"]="#EEE8AA"; colorNames["palegreen"]="#98FB98";
+colorNames["paleturquoise"]="#AFEEEE"; colorNames["palevioletred"]="#DB7093";
+colorNames["papayawhip"]="#FFEFD5"; colorNames["peachpuff"]="#FFDAB9";
+colorNames["peru"]="#CD853F"; colorNames["pink"]="#FFC0CB";
+colorNames["plum"]="#DDA0DD"; colorNames["powderblue"]="#B0E0E6";
+colorNames["rosybrown"]="#BC8F8F"; colorNames["royalblue"]="#4169E1";
+colorNames["saddlebrown"]="#8B4513"; colorNames["salmon"]="#FA8072";
+colorNames["sandybrown"]="#F4A460"; colorNames["seagreen"]="#2E8B57";
+colorNames["seashell"]="#FFF5EE"; colorNames["sienna"]="#A0522D";
+colorNames["skyblue"]="#87CEEB"; colorNames["slateblue"]="#6A5ACD";
+colorNames["slategray"]="#708090"; colorNames["snow"]="#FFFAFA";
+colorNames["springgreen"]="#00FF7F"; colorNames["steelblue"]="#4682B4";
+colorNames["tan"]="#D2B48C"; colorNames["thistle"]="#D8BFD8";
+colorNames["tomato"]="#FF6347"; colorNames["turquoise"]="#40E0D0";
+colorNames["violet"]="#EE82EE"; colorNames["wheat"]="#F5DEB3";
+colorNames["whitesmoke"]="#F5F5F5"; colorNames["yellowgreen"]="#9ACD32";
+var canvas_bgcolor = "";
+var canvas_width = 200;
+var canvas_height = 200;
+var canvas_noshade = 0;
+var canvas_nofill = 0;
+var canvas_noshadow = 0;
+var canvas_htmltext = 0;
+var canvas_imgtext = 0;
+var canvas_notext = 0;
+// canvas chart extension
+
+var s5NotesWindow;
+var s5NotesWindowLoaded = false;
+var previousSlide = 0;
+var presentationStart = new Date();
+var slideStart = new Date();
+
+var countdown = {
+ timer: 0,
+ state: 'pause',
+ start: new Date(),
+ end: 0,
+ remaining: 0
+};
+
+var isIE = navigator.appName == 'Microsoft Internet Explorer' && navigator.userAgent.indexOf('Opera') < 1 ? 1 : 0;
+if(isIE) var notIE7 = parseInt(navigator.appVersion) < 7 ? 1 : 0;
+var isOp = navigator.userAgent.indexOf('Opera') > -1 ? 1 : 0;
+var isGe = navigator.userAgent.indexOf('Gecko') > -1 && navigator.userAgent.indexOf('Safari') < 1 ? 1 : 0;
+var isS2 = navigator.userAgent.indexOf('Safari') >= 2 ? 1 : 0;
+
+function hasClass(object, className) {
+ if (!object.className) return false;
+ return (object.className.search('(^|\\s)' + className + '(\\s|$)') != -1);
+}
+
+function hasValue(object, value) {
+ if (!object) return false;
+ return (object.search('(^|\\s)' + value + '(\\s|$)') != -1);
+}
+
+function removeClass(object,className) {
+ if (!object || !hasClass(object,className)) return;
+ object.className = object.className.replace(new RegExp('(^|\\s)'+className+'(\\s|$)'), RegExp.$1+RegExp.$2);
+}
+
+function addClass(object,className) {
+ if (!object || hasClass(object, className)) return;
+ if (object.className) {
+ object.className += ' '+className;
+ } else {
+ object.className = className;
+ }
+}
+
+function changeClass(object,className) {
+ if (!object) return;
+ object.firstChild.className = className;
+}
+
+function GetElementsWithClassName(elementName,className) {
+ var allElements = document.getElementsByTagName(elementName);
+ var elemColl = new Array();
+ for (var i = 0; i< allElements.length; i++) {
+ if (hasClass(allElements[i], className)) {
+ elemColl[elemColl.length] = allElements[i];
+ }
+ }
+ return elemColl;
+}
+
+function isParentOrSelf(element, id) {
+ if (element == null || element.nodeName=='BODY') return false;
+ else if (element.id == id) return true;
+ else return isParentOrSelf(element.parentNode, id);
+}
+
+function nodeValue(node) {
+ var result = "";
+ if (node.nodeType == 1) {
+ var children = node.childNodes;
+ for (var i = 0; i < children.length; ++i) {
+ result += nodeValue(children[i]);
+ }
+ }
+ else if (node.nodeType == 3) {
+ result = node.nodeValue;
+ }
+ return(result);
+}
+
+function slideLabel() {
+ var slideColl = GetElementsWithClassName('*','slide');
+ var list = document.getElementById('jumplist');
+ smax = slideColl.length;
+ for (var n = 0; n < smax; n++) {
+ var obj = slideColl[n];
+ var did = 'slide' + n.toString();
+ obj.setAttribute('id',did);
+ var otext = '';
+ var menu = obj.firstChild;
+ if (!menu) continue; // to cope with empty slides
+ while (menu && menu.nodeType == 3) {
+ menu = menu.nextSibling;
+ }
+ if (!menu) continue; // to cope with slides with only text nodes
+ var menunodes = menu.childNodes;
+ for (var o = 0; o < menunodes.length; o++) {
+ otext += nodeValue(menunodes[o]);
+ }
+ list.options[list.length] = new Option(n + ' : ' + otext, n);
+ }
+}
+
+function currentSlide() {
+ var cs, at, fd, ss;
+ if (document.getElementById) {
+ cs = document.getElementById('currentSlide');
+ } else {
+ cs = document.currentSlide;
+ }
+ fd = fadeModus?"F":"&ndash;";
+ ss = audioSupport?"S":"&ndash;";
+ at = (autoMatic?(playPause?"||":(playLoop?"&gt;0":"&gt;|")):"&ndash;&ndash;");
+ cs.innerHTML = '<div id="plink" nowrap="nowrap">' +
+ '<span id="csFade">[' + fd + ss + ']<\/span>&nbsp;' +
+ '<span id="csHere"><strong>' + snum + '<\/strong><\/span>' +
+ '<span id="csSep">\/<\/span>' +
+ '<span id="csTotal">' + (smax-1) + '<\/span>&nbsp;' +
+ '<span id="csAuto">[' + at + ']<\/span>' +
+ '<\/div>';
+
+ if (snum == 0) {
+ cs.style.visibility = 'hidden';
+ } else {
+ cs.style.visibility = 'visible';
+ }
+}
+
+function go(step) {
+ if (document.getElementById('slideProj').disabled || step == 0) return;
+ jl = document.getElementById('jumplist');
+ cid = 'slide' + snum;
+ var ce = document.getElementById(cid);
+ if (incrementals[snum].length > 0) {
+ for (var i = 0; i < incrementals[snum].length; i++) {
+ removeClass(incrementals[snum][i], 'current');
+ removeClass(incrementals[snum][i], 'incremental');
+ }
+ }
+ if (step != 'j') {
+ snum += step;
+ lmax = smax - 1;
+ if (snum > lmax) snum = lmax;
+ if (snum < 0) snum = 0;
+ }else {
+ snum = parseInt(jl.value);
+ }
+ nid = 'slide' + snum;
+ var ne = document.getElementById(nid);
+ if (!ne) {
+ ne = document.getElementById('slide0');
+ nid = 'slide0';
+ snum = 0;
+ }
+ if (step < 0) {
+ incpos = incrementals[snum].length
+ }else {
+ incpos = 0;
+ }
+ if (incrementals[snum].length > 0 && incpos == 0) {
+ for (var i = 0; i < incrementals[snum].length; i++) {
+ if (hasClass(incrementals[snum][i], 'current')) {
+ incpos = i + 1;
+ }else {
+ addClass(incrementals[snum][i], 'incremental');
+ }
+ }
+ }
+ if (incrementals[snum].length > 0 && incpos > 0) {
+ addClass(incrementals[snum][incpos - 1], 'current');
+ }
+ var guru = document.getElementById('guru');
+ if(guru && snum==0) {
+ guru.style.visibility = 'visible';
+ }else if(guru && snum>0) {
+ guru.style.visibility = 'hidden';
+ }
+ if(tranSitions && s5mode && fadeModus) {
+ if(curSoundID != getSoundID(nid)) {
+ if(curSoundID == bgSoundItem && !sound[getSoundID(nid)]) {
+ }else {fadeoutSound(curSoundID,true); } // audio support
+ }
+ changeOpac(0,nid);
+ changeOpac(100,cid);
+ ce.style.visibility = 'visible';
+ shiftOpacity(cid,fadeDuration);
+ window.setTimeout("changeSlides()",fadeDuration);
+ }else {
+ if(curSoundID != getSoundID(nid)) {
+ if(curSoundID == bgSoundItem && !sound[getSoundID(nid)]) {
+ }else {stopSound(curSoundID); } // audio support
+ }
+ ce.style.visibility = 'hidden';
+ if (isOp) location.hash = nid;
+ ne.style.visibility = 'visible';
+ finishSlides();
+ }
+}
+
+function changeSlides() {
+ if(nid != cid) changeOpac(100,cid);
+ document.getElementById(cid).style.visibility = 'hidden';
+ document.getElementById(nid).style.visibility = 'visible';
+ if (isOp) location.hash = nid;
+ shiftOpacity(nid,fadeDuration);
+ window.setTimeout("finishSlides()",fadeDuration);
+}
+
+function finishSlides() {
+ jl.selectedIndex = snum;
+ currentSlide();
+ loadNote();
+ permaLink();
+ number = undef;
+ if(sound[getSoundID(nid)]) {
+ playSound(nid); // audio support
+ }else if(sound[bgSoundItem] && curSoundID != bgSoundItem) {
+ playSound(bgSoundItem); // audio support
+ }
+}
+
+function goTo(target) {
+ if (target >= smax || target == snum) return;
+ go(target - snum);
+}
+
+function subgo(step) {
+ if (step > 0) {
+ removeClass(incrementals[snum][incpos - 1],'current');
+ removeClass(incrementals[snum][incpos], 'incremental');
+ if(tranSitions && s5mode && fadeModus) {
+ if(!incrementals[snum][incpos].id) {
+ var tmp = new Date(); tid = "inc" + String(tmp.getTime());
+ incrementals[snum][incpos].id = tid;
+ }else {
+ tid = incrementals[snum][incpos].id;
+ }
+ if(typeof(incrementals[snum][incpos].src) != "undefined" || incrementals[snum][incpos].getContext) {
+ changeOpac(0,tid);
+ addClass(incrementals[snum][incpos],'current');
+ shiftOpacity(tid,incrDuration);
+ setTimeout("nextInc()",incrDuration);
+ }else {
+ addClass(incrementals[snum][incpos],'current');
+ nextInc();
+ }
+ }else {
+ addClass(incrementals[snum][incpos],'current');
+ nextInc();
+ }
+ } else {
+ incpos--;
+ removeClass(incrementals[snum][incpos],'current');
+ addClass(incrementals[snum][incpos], 'incremental');
+ addClass(incrementals[snum][incpos - 1],'current');
+ loadNote();
+ }
+}
+
+function nextInc() {
+ incpos++;
+ loadNote();
+}
+
+function toggle() {
+ var slideColl = GetElementsWithClassName('*','slide');
+ var slides = document.getElementById('slideProj');
+ var outline = document.getElementById('outlineStyle');
+ var guru = document.getElementById('guru');
+ if (!slides.disabled) {
+ stopPlay();
+ if(audioSupport && !swfUnloaded) stopAllSounds();
+ slides.disabled = true;
+ outline.disabled = false;
+ s5mode = false;
+ fontSize(1,'em');
+ for (var n = 0; n < smax; n++) {
+ var slide = slideColl[n];
+ slide.style.visibility = 'visible';
+ }
+ if(guru) guru.style.visibility = 'hidden';
+ } else {
+ slides.disabled = false;
+ outline.disabled = true;
+ s5mode = true;
+ fontScale();
+ for (var n = 0; n < smax; n++) {
+ var slide = slideColl[n];
+ slide.style.visibility = 'hidden';
+ }
+ slideColl[snum].style.visibility = 'visible';
+ if(guru && snum==0) guru.style.visibility = 'visible';
+ }
+}
+
+function showHide(action) {
+ var obj = GetElementsWithClassName('*','hideme')[0];
+ switch (action) {
+ case 's':
+ obj.style.visibility = 'visible';
+ break;
+ case 'h':
+ obj.style.visibility = 'hidden';
+ break;
+ case 'k':
+ if (obj.style.visibility != 'visible') {
+ obj.style.visibility = 'visible';
+ } else {
+ obj.style.visibility = 'hidden';
+ }
+ break;
+ }
+}
+
+function keys(key) {
+ if (!key) {
+ key = event;
+ key.which = key.keyCode;
+ }
+ if (helpmode) {
+ dumpHelpReq();
+ return;
+ }
+ if (key.which == 84 && !isOp) {
+ toggle();
+ return;
+ }
+ if (s5mode) {
+ if (autoMatic) {
+ switch (key.which) {
+ case 70: // f/ading on/off
+ switchFade();
+ break;
+ case 83: // s/ound on/off
+ toggleSounds();
+ break;
+ case 67: // c
+ showHide('k');
+ break;
+ case 65: // a/utoplay on/off
+ stopPlay();
+ break;
+ case 76: // l/ooping on/off
+ switchLoop();
+ break;
+ case 80: // p/ause
+ case 32: // spacebar
+ pausePlay();
+ break;
+ }
+ }else {
+ switch (key.which) {
+ case 8: // backspace = HELP
+ createHelpReq();
+ break;
+ case 10: // return
+ case 13: // enter
+ if (window.event && isParentOrSelf(window.event.srcElement, 'controls')) return;
+ if (key.target && isParentOrSelf(key.target, 'controls')) return;
+ if(number != undef) {
+ goTo(number);
+ break;
+ }
+ case 32: // spacebar
+ case 34: // page down
+ case 39: // rightkey
+ case 40: // downkey
+ if(number != undef) {
+ go(number);
+ } else if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ } else {
+ subgo(1);
+ }
+ break;
+ case 33: // page up
+ case 37: // leftkey
+ case 38: // upkey
+ if(number != undef) {
+ go(-1 * number);
+ } else if (!incrementals[snum] || incpos <= 0) {
+ go(-1);
+ } else {
+ subgo(-1);
+ }
+ break;
+ case 65: // a/utoplay
+ startPlay();
+ break;
+ case 72: // h
+ case 36: // home
+ goTo(0);
+ break;
+ case 69: // e
+ case 35: // end
+ goTo(smax-1);
+ break;
+ case 70: // f/ade transitions on/off
+ switchFade();
+ break;
+ case 76: // l/ooping on/off
+ switchLoop();
+ break;
+ case 83: // s/ound support on/off
+ toggleSounds();
+ break;
+ case 27: // escape
+ case 81: // q
+ if(!isOp) byby();
+ break;
+ case 67: // c
+ showHide('k');
+ break;
+ case 78: // n
+ createNotesWindow();
+ break;
+ }
+ if (key.which < 48 || key.which > 57) {
+ number = undef;
+ } else {
+ if (window.event && isParentOrSelf(window.event.srcElement, 'controls')) return;
+ if (key.target && isParentOrSelf(key.target, 'controls')) return;
+ number = (((number != undef) ? number : 0) * 10) + (key.which - 48);
+ }
+ }
+ }
+ return false;
+}
+
+function clicker(e) {
+ number = undef;
+ var target;
+ if (window.event) {
+ target = window.event.srcElement;
+ e = window.event;
+ } else {
+ target = e.target;
+ }
+ if (target.href != null || hasValue(target.rel, 'external') || isParentOrSelf(target, 'controls') || isParentOrSelf(target,'embed') || isParentOrSelf(target,'object')) return true;
+ if (!helpmode) {
+ if (!e.which || e.which == 1) {
+ if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ } else {
+ subgo(1);
+ }
+ }
+ } else {
+ dumpHelpReq();
+ }
+}
+
+function findSlide(hash) {
+ var target = null;
+ var slides = GetElementsWithClassName('*','slide');
+ for (var i = 0; i < slides.length; i++) {
+ var targetSlide = slides[i];
+ if ( (targetSlide.name && targetSlide.name == hash)
+ || (targetSlide.id && targetSlide.id == hash) ) {
+ target = targetSlide;
+ break;
+ }
+ }
+ while(target != null && target.nodeName != 'BODY') {
+ if (hasClass(target, 'slide')) {
+ return parseInt(target.id.slice(5));
+ }
+ target = target.parentNode;
+ }
+ return null;
+}
+
+function slideJump() {
+ if (window.location.hash == null) return;
+ var sregex = /^#slide(\d+)$/;
+ var matches = sregex.exec(window.location.hash);
+ var dest = null;
+ if (matches != null) {
+ dest = parseInt(matches[1]);
+ } else {
+ dest = findSlide(window.location.hash.slice(1));
+ }
+ if (dest != null)
+ go(dest - snum);
+}
+
+function fixLinks() {
+ var thisUri = window.location.href;
+ thisUri = thisUri.slice(0, thisUri.length - window.location.hash.length);
+ var aelements = document.getElementsByTagName('A');
+ for (var i = 0; i < aelements.length; i++) {
+ var a = aelements[i].href;
+ var slideID = a.match('\#slide[0-9]{1,2}');
+ if ((slideID) && (slideID[0].slice(0,1) == '#')) {
+ var dest = findSlide(slideID[0].slice(1));
+ if (dest != null) {
+ if (aelements[i].addEventListener) {
+ aelements[i].addEventListener("click", new Function("e",
+ "if (document.getElementById('slideProj').disabled) return;" +
+ "go("+dest+" - snum); " +
+ "if (e.preventDefault) e.preventDefault();"), true);
+ } else if (aelements[i].attachEvent) {
+ aelements[i].attachEvent("onclick", new Function("",
+ "if (document.getElementById('slideProj').disabled) return;" +
+ "go("+dest+" - snum); " +
+ "event.returnValue = false;"));
+ }
+ }
+ }
+ }
+}
+
+function externalLinks() {
+ if (!document.getElementsByTagName) return;
+ var anchors = document.getElementsByTagName('a');
+ for (var i=0; i<anchors.length; i++) {
+ var anchor = anchors[i];
+ if (anchor.getAttribute('href') && hasValue(anchor.rel, 'external')) {
+ anchor.target = '_blank';
+ addClass(anchor,'external');
+ }
+ }
+}
+
+function permaLink() {
+ document.getElementById('plink').href = window.location.pathname + '#slide' + snum;
+}
+
+function createControls() {
+ var controlsDiv = document.getElementById("controls");
+ if (!controlsDiv) return;
+ var hider = ' onmouseover="showHide(\'s\');" onmouseout="showHide(\'h\');"';
+ var hideDiv, hideList = '';
+ if (controlVis == 'hidden') {
+ hideDiv = hider;
+ } else {
+ hideList = hider;
+ }
+ if(isOp) {
+ var str = '';
+ }else {
+ var str = '<a accesskey="t" id="sheet" title="toggle CSS" href="javascript:toggle();">&plusmn;<\/a>';
+ }
+ if(isIE) {
+ var tmp = "move around&xA0;until the color&xA0;change to red!";
+ }else if(isS2) {
+ var tmp = "move around\r\nuntil the color\r\nchange to red!";
+ }else {
+ var tmp = "move around until color change to red!";
+ }
+ if(isIE) {
+ controlsDiv.innerHTML = str +
+ '<form action="#" id="controlForm"' + hideDiv + '>' +
+ '<div id="navLinks" title="press [backspace] for keyboard help!"><ul>' +
+ '<li id="show-notes"><a href="javascript:createNotesWindow();" accesskey="n" title="show Notes"><\/a><\/li>' +
+ '<li id="toggle"><a href="javascript:toggle();" accesskey="t" title="toggle CSS"><\/a><\/li>' +
+ '<li id="zero"><a href="javascript:goTo(0);" accesskey="h" title="goto Start Slide"><\/a><\/li>' +
+ '<li id="prev"><a href="javascript:go(-1);" accesskey="y" title="previous Slide"><\/a><\/li>' +
+ '<li id="next"><a href="javascript:go(1);" accesskey="x" title="next Slide"><\/a><\/li>' +
+ '<li id="last"><a href="javascript:goTo(smax-1);" accesskey="e" title="goto Last Slide"><\/a><\/li>' +
+ '<li id="lst" style="vertical-align: middle; width: 160px;"><select style="cursor: auto; width: 160px; height: auto;" id="jumplist" title="select named Slide" onchange="go(\'j\');"><\/select><\/li>' +
+ '<li id="auto"><a class="isoff" href="javascript:togglePlay();" accesskey="a" title="Auto Play"><\/a><\/li>' +
+ '<li id="pause"><a class="isoff" href="javascript:pausePlay();" accesskey="p" title="Pause"><\/a><\/li>' +
+ '<li id="loop"><a class="isoff" href="javascript:switchLoop();" accesskey="l" title="Loop"><\/a><\/li>' +
+ '<li id="del" style="vertical-align: middle; width: 52px;"><select style="cursor: auto; width: 52px; height: auto;" id="delaylist" title="select Delay" onchange="setDelay();"><option value="90">90<\/option><option value="75">75<\/option><option value="60">60<\/option><option value="45">45<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="15">15<\/option><option value="10">10<\/option><option value="5">5<\/option><\/select><\/li>' +
+ '<\/ul><\/div><\/form>';
+ }else {
+ controlsDiv.innerHTML = str +
+ '<form action="#" id="controlForm"' + hideDiv + '>' +
+ '<div id="navLinks" title="press [backspace] or double click this area for keyboard help!" ondblclick="createHelpReq();"><ul>' +
+ '<li id="exit"><a href="javascript:byby();" accesskey="q" title="exit Show"><\/a><\/li>' +
+ '<li id="show-notes"><a href="javascript:createNotesWindow();" accesskey="n" title="show Notes"><\/a><\/li>' +
+ '<li id="toggle"><a href="javascript:toggle();" accesskey="t" title="toggle CSS"><\/a><\/li>' +
+ '<li class="vr"><\/li>' +
+ '<li id="zero"><a href="javascript:goTo(0);" accesskey="h" title="goto Start Slide"><\/a><\/li>' +
+ '<li id="prev"><a href="javascript:go(-1);" accesskey="y" title="previous Slide"><\/a><\/li>' +
+ '<li id="next"><a href="javascript:go(1);" accesskey="x" title="next Slide"><\/a><\/li>' +
+ '<li id="last"><a href="javascript:goTo(smax-1);" accesskey="e" title="goto Last Slide"><\/a><\/li>' +
+ '<li id="lst"><a id="list" style="cursor:wait;" title="' + tmp + '"><\/a><select id="jumplist" title="select named Slide" onchange="go(\'j\');"><\/select><\/li>' +
+ '<li id="fadeLinks" class="vr"><\/li>' +
+ '<li id="fade"><a class="isoff" href="javascript:switchFade();" accesskey="f" title="Transions"><\/a><\/li>' +
+ '<li id="audioLinks" class="vr"><\/li>' +
+ '<li id="audio"><a class="isoff" href="javascript:toggleSounds();" accesskey="s" title="Sounds" ><\/a><\/li>' +
+ '<li id="vol"><a id="volume" style="cursor:wait;" title="' + tmp + '"><\/a><select id="volumelist" title="select Volume" onchange="setVolume();"><option value="100">100<\/option><option value="90">90<\/option><option value="80">80<\/option><option value="70">70<\/option><option value="60">60<\/option><option value="50">50<\/option><option value="40">40<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="10">10<\/option><option value="0">0<\/option><\/select><\/li>' +
+ '<li id="autoLinks" class="vr"><\/li>' +
+ '<li id="auto"><a class="isoff" href="javascript:togglePlay();" accesskey="a" title="Auto Play"><\/a><\/li>' +
+ '<li id="pause"><a class="isoff" href="javascript:pausePlay();" accesskey="p" title="Pause"><\/a><\/li>' +
+ '<li id="loop"><a class="isoff" href="javascript:switchLoop();" accesskey="l" title="Loop"><\/a><\/li>' +
+ '<li id="del"><a id="delay" style="cursor:wait;" title="' + tmp + '"><\/a><select id="delaylist" title="select Delay" onchange="setDelay();"><option value="90">90<\/option><option value="75">75<\/option><option value="60">60<\/option><option value="45">45<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="15">15<\/option><option value="10">10<\/option><option value="5">5<\/option><\/select><\/li>' +
+ '<\/ul>' +
+ '<a href="http://s5.netzgesta.de" target="_blank" title="S5 Reloaded"><img style="position: absolute; right: 0; bottom: 0;" src="data:image/png;charset=utf-8;base64,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" width="20" height="32" alt="S5" \/><\/a>' +
+ '<\/div><\/form>';
+ }
+ if (controlVis == 'hidden') {
+ var hidden = document.getElementById('navLinks');
+ } else {
+ var hidden = document.getElementById('jumplist');
+ }
+ addClass(hidden,'hideme');
+}
+
+function fontScale() { // causes layout problems in FireFox that get fixed if browser's Reload is used; same may be true of other Gecko-based browsers
+ if (!s5mode && !isOp) return false;
+ var hScreen = screen.width; var vScreen = screen.height;
+ var vWindow = window.outerHeight; var hWindow = window.outerWidth;
+ if (isOp && s5mode && defaultView=='slideshow' && ((hScreen != hWindow) || (vScreen != vWindow))) {
+ toggle();
+ return false;
+ }
+ if (isOp && !s5mode && ((hScreen != hWindow) || (vScreen != vWindow))) return false;
+ if (isOp && !s5mode && (hScreen == hWindow) && (vScreen == vWindow)) toggle();
+ var vScale = 48; // both yield 16 (the usual browser default) at 1024x768
+ var hScale = 64; // perhaps should auto-calculate based on theme's declared value?
+ if (window.innerHeight) {
+ var vSize = window.innerHeight;
+ var hSize = window.innerWidth;
+ } else if (document.documentElement.clientHeight) {
+ var vSize = document.documentElement.clientHeight;
+ var hSize = document.documentElement.clientWidth;
+ } else if (document.body.clientHeight) {
+ var vSize = document.body.clientHeight;
+ var hSize = document.body.clientWidth;
+ } else {
+ var vSize = 700; // assuming 1024x768, minus chrome and such equals 8:5
+ var hSize = 1024; // these do not account for kiosk mode or Opera Show
+ }
+ var newSize = Math.min(Math.round(vSize/vScale),Math.round(hSize/hScale));
+ extendImgSizes(newSize); // scalable images extension
+ extendCanSizes(newSize); // scalable canvas extension
+ extendObjSizes(newSize); // scalable object extension
+ fontSize(newSize,"px");
+ if(!isS2 || firstTime==0) {
+ generateCanvas(); // dynamic canvas extension
+ }
+ if (isGe) { // hack to counter incremental reflow bugs
+ var obj = document.getElementsByTagName('body')[0];
+ obj.style.visibility = 'hidden';
+ obj.style.display = 'none';
+ obj.style.display = 'block';
+ obj.style.visibility = 'visible';
+ changeOpac(100,'slide' + snum);
+ shiftOpacity('slide' + snum,10);
+ window.setTimeout("fixReflow()",10);
+ }else {
+ setListPos(); // invisible select extension
+ }
+}
+
+function fixReflow() {
+ shiftOpacity('slide' + snum,10);
+ window.setTimeout("finishReflow()",10);
+}
+function finishReflow() {
+ setListPos(); // invisible select extension
+}
+
+function fontSize(val,fmt) {
+ var value = val + fmt;
+ if (!(s5ss = document.getElementById('s5ss'))) {
+ if (!document.createStyleSheet) {
+ document.getElementsByTagName('head')[0].appendChild(s5ss = document.createElement('style'));
+ s5ss.setAttribute('media','screen, projection');
+ s5ss.setAttribute('id','s5ss');
+ } else {
+ document.createStyleSheet();
+ document.s5ss = document.styleSheets[document.styleSheets.length - 1];
+ }
+ }
+ if (!(document.s5ss && document.s5ss.addRule)) {
+ while (s5ss.lastChild) s5ss.removeChild(s5ss.lastChild);
+ s5ss.appendChild(document.createTextNode('html {font-size: ' + value + ' !important;}'));
+ } else {
+ document.s5ss.addRule('html','font-size: ' + value + ' !important;');
+ }
+}
+
+function windowChange() {
+ fontScale();
+}
+
+function notOperaFix() {
+ slideCSS = document.getElementById('slideProj').href;
+ var slides = document.getElementById('slideProj');
+ var outline = document.getElementById('outlineStyle');
+ slides.setAttribute('media','screen');
+ outline.disabled = true;
+ if (isGe) {
+ slides.setAttribute('href','null'); // Gecko fix
+ slides.setAttribute('href',slideCSS); // Gecko fix
+ }
+ if ((isIE && notIE7) && document.styleSheets && document.styleSheets[0]) {
+ document.styleSheets[0].addRule('img', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ document.styleSheets[0].addRule('div', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ document.styleSheets[0].addRule('.slide', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ }
+}
+
+function getIncrementals(obj) {
+ var incrementals = new Array();
+ if (!obj)
+ return incrementals;
+ var children = obj.childNodes;
+ for (var i = 0; i < children.length; i++) {
+ var child = children[i];
+ if (hasClass(child, 'incremental')) {
+ if (child.nodeName == 'OL' || child.nodeName == 'UL') {
+ removeClass(child, 'incremental');
+ for (var j = 0; j < child.childNodes.length; j++) {
+ if (child.childNodes[j].nodeType == 1) {
+ addClass(child.childNodes[j], 'incremental');
+ }
+ }
+ } else {
+ incrementals[incrementals.length] = child;
+ removeClass(child,'incremental');
+ }
+ }
+ if (hasClass(child, 'show-first')) {
+ if (child.nodeName == 'OL' || child.nodeName == 'UL') {
+ removeClass(child, 'show-first');
+ if (child.childNodes[isGe].nodeType == 1) {
+ removeClass(child.childNodes[isGe], 'incremental');
+ }
+ } else {
+ incrementals[incrementals.length] = child;
+ }
+ }
+ incrementals = incrementals.concat(getIncrementals(child));
+ }
+ return incrementals;
+}
+
+function createIncrementals() {
+ var incrementals = new Array();
+ for (var i = 0; i < smax; i++) {
+ incrementals[i] = getIncrementals(document.getElementById('slide'+i));
+ }
+ return incrementals;
+}
+
+function trap(e) {
+ if (!e) {
+ e = event;
+ e.which = e.keyCode;
+ }
+ try {
+ modifierKey = e.ctrlKey || e.altKey || e.metaKey;
+ }
+ catch(e) {
+ modifierKey = false;
+ }
+ return modifierKey || e.which == 0;
+}
+
+// notes extension
+function noteLabel() { // Gives notes id's to match parent slides
+ var notes = GetElementsWithClassName('div','notes');
+ for (var i = 0; i < notes.length; i++) {
+ var note = notes[i];
+ var id = 'note' + note.parentNode.id.substring(5);
+ note.setAttribute('id',id);
+ }
+ resetElapsedSlide();
+ resetRemainingTime();
+ window.setInterval('updateElaspedTime()', 1000);
+}
+
+function createNotesWindow() { // creates a window for our notes
+ if (!s5NotesWindow || s5NotesWindow.closed) { // Create the window if it doesn't exist
+ s5NotesWindowLoaded = false;
+ // Note: Safari has a tendency to ignore window options preferring to default to the settings of the parent window, grr.
+ s5NotesWindow = window.open(s5Path+'ui/s5-notes.html', 's5NotesWindow', 'top=0,left=0');
+ }
+ if (s5NotesWindowLoaded) { // Load the current note if the Note HTML has loaded
+ loadNote();
+ } else { // Keep trying...
+ window.setTimeout('createNotesWindow()', 50);
+ }
+}
+
+function loadNote() {
+// Loads a note into the note window
+ var notes = nextNotes = '<em class="disclaimer">There are no notes for this slide.</em>';
+ if (document.getElementById('note' + snum)) {
+ notes = document.getElementById('note' + snum).innerHTML;
+ }
+ if (document.getElementById('note' + (snum + 1))) {
+ nextNotes = document.getElementById('note' + (snum + 1)).innerHTML;
+ }
+
+ var jl = document.getElementById('jumplist');
+ var slideTitle = jl.options[jl.selectedIndex].text.replace(/^\d+\s+:\s+/, '') + ((jl.selectedIndex) ? ' (' + jl.selectedIndex + '/' + (smax - 1) + ')' : '');
+ if (incrementals[snum].length > 0) {
+ slideTitle += ' <small>[' + incpos + '/' + incrementals[snum].length + ']</small>';
+ }
+ if (jl.selectedIndex < smax - 1) {
+ var nextTitle = jl.options[jl.selectedIndex + 1].text.replace(/^\d+\s+:\s+/, '') + ((jl.selectedIndex + 1) ? ' (' + (jl.selectedIndex + 1) + '/' + (smax - 1) + ')' : '');
+ } else {
+ var nextTitle = '[end of slide show]';
+ }
+
+ if (s5NotesWindow && !s5NotesWindow.closed && s5NotesWindow.document) {
+ s5NotesWindow.document.getElementById('slide').innerHTML = slideTitle;
+ s5NotesWindow.document.getElementById('notes').innerHTML = notes;
+ s5NotesWindow.document.getElementById('next').innerHTML = nextTitle;
+ s5NotesWindow.document.getElementById('nextnotes').innerHTML = nextNotes;
+ }
+ resetElapsedSlide();
+}
+
+function minimizeTimer(id) {
+ var obj = s5NotesWindow.document.getElementById(id);
+ if (hasClass(obj,'collapsed')) {
+ removeClass(obj,'collapsed');
+ } else {
+ addClass(obj,'collapsed');
+ }
+}
+
+function resetElapsedTime() {
+ presentationStart = new Date();
+ slideStart = new Date();
+ updateElaspedTime();
+}
+
+function resetElapsedSlide() {
+ if (snum != previousSlide) {
+ slideStart = new Date();
+ previousSlide = snum;
+ updateElaspedTime();
+ }
+}
+
+function updateElaspedTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var now = new Date();
+ var ep = s5NotesWindow.document.getElementById('elapsed-presentation');
+ var es = s5NotesWindow.document.getElementById('elapsed-slide');
+ ep.innerHTML = formatTime(now.valueOf() - presentationStart.valueOf());
+ es.innerHTML = formatTime(now.valueOf() - slideStart.valueOf());
+}
+
+function resetRemainingTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var startField = s5NotesWindow.document.getElementById('startFrom');
+ startFrom = readTime(startField.value);
+ countdown.remaining = startFrom * 60000; // convert to msecs
+ countdown.start = new Date().valueOf();
+ countdown.end = countdown.start + countdown.remaining;
+ var tl = s5NotesWindow.document.getElementById('timeLeft');
+ var timeLeft = formatTime(countdown.remaining);
+ tl.innerHTML = timeLeft;
+}
+
+function updateRemainingTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var tl = s5NotesWindow.document.getElementById('timeLeft');
+ var now = new Date();
+ if (countdown.state == 'run') {
+ countdown.remaining = countdown.end - now;
+ }
+ tl.style.color = '';
+ tl.style.backgroundColor = '';
+ if (countdown.remaining >= 0) {
+ var timeLeft = formatTime(countdown.remaining);
+ removeClass(tl,'overtime');
+ if (countdown.remaining < 300000) {
+ tl.style.color = 'rgb(' + (255-Math.round(countdown.remaining/2000)) + ',0,0)';
+ tl.style.backgroundColor = 'rgb(255,255,' + (Math.round(countdown.remaining/2000)) + ')';
+ }
+ } else {
+ var timeLeft = '-' + formatTime(-countdown.remaining);
+ addClass(tl,'overtime');
+ }
+ tl.innerHTML = timeLeft;
+}
+
+function toggleRemainingTime() {
+ if (countdown.state == 'pause') countdown.state = 'run'; else countdown.state = 'pause';
+ if (countdown.state == 'pause') {
+ window.clearInterval(countdown.timer);
+ }
+ if (countdown.state == 'run') {
+ countdown.start = new Date().valueOf();
+ countdown.end = countdown.start + countdown.remaining;
+ countdown.timer = window.setInterval('updateRemainingTime()', 1000);
+ }
+}
+
+function alterRemainingTime(amt) {
+ var change = amt * 60000; // convert to msecs
+ countdown.end += change;
+ countdown.remaining += change;
+ updateRemainingTime();
+}
+
+function formatTime(msecs) {
+ var time = new Date(msecs);
+
+ var hrs = time.getUTCHours() + ((time.getUTCDate() -1) * 24); // I doubt anyone will spend more than 24 hours on a presentation or single slide but just in case...
+ hrs = (hrs < 10) ? '0'+hrs : hrs;
+ if (hrs == 'NaN' || isNaN(hrs)) hrs = '--';
+
+ var min = time.getUTCMinutes();
+ min = (min < 10) ? '0'+min : min;
+ if (min == 'NaN' || isNaN(min)) min = '--';
+
+ var sec = time.getUTCSeconds();
+ sec = (sec < 10) ? '0'+sec : sec;
+ if (sec == 'NaN' || isNaN(sec)) sec = '--';
+
+ return hrs + ':' + min + ':' + sec;
+}
+
+function readTime(val) {
+ var sregex = /:/;
+ var matches = sregex.exec(val);
+ if (matches == null) {
+ return val;
+ } else {
+ var times = val.split(':');
+ var hours = parseInt(times[0]);
+ var mins = parseInt(times[1]);
+ var total = (hours * 60) + mins;
+ return total;
+ }
+}
+// notes extension
+
+// startup process
+function createSlideShow() {
+ defaultCheck();
+ if(!isIE) createDetector(); // (degrade IE) scalable images extension
+ if(opac!=0 || isIE) { // &&!isIE (degrade IE)
+ tranSitions = false;
+ fadeModus = false;
+ }
+ if(tranSitions && document.getElementById && document.createElement){
+ createProgress();
+ var nop=document.getElementById('StartupControl');
+ nop.onload = dumpProgress;
+ }else {
+ startup();
+ showAll();
+ setListPos(true); // invisible select extension
+ panelSetup();
+ audioSetup(); // audio extension
+ if(isS2 && firstTime>=1) {
+ generateCanvas(); // dynamic canvas extension
+ } firstTime = 0;
+ }
+}
+
+function defaultCheck() {
+ var allMetas = document.getElementsByTagName('meta');
+ for (var i = 0; i< allMetas.length; i++) {
+ if (allMetas[i].name == 'defaultView') {
+ defaultView = allMetas[i].content;
+ }
+ if (allMetas[i].name == 'controlVis') {
+ controlVis = allMetas[i].content;
+ }
+ if (allMetas[i].name == 'tranSitions') {
+ tranSitions = (allMetas[i].content == "true") ? true : false;
+ fadeModus = (tranSitions == true) ? true : false;
+ }
+ if (allMetas[i].name == 'fadeDuration') {
+ var tmp = parseInt(allMetas[i].content);
+ fadeDuration = Math.max(200,Math.min(tmp,2000));
+ }
+ if (allMetas[i].name == 'incrDuration') {
+ var tmp = parseInt(allMetas[i].content);
+ incrDuration = Math.max(50,Math.min(tmp,500));
+ }
+ if (allMetas[i].name == 'autoMatic') {
+ autoMatic = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'playLoop') {
+ playLoop = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'playDelay') {
+ var tmp = parseInt(allMetas[i].content);
+ playDelay = Math.max(5,Math.min(tmp,90))*1000;
+ playDelay = (fadeModus == true) ? (playDelay+(2*fadeDuration)) : playDelay;
+ }
+ if (allMetas[i].name == 'audioSupport') {
+ audioSupport = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'audioVolume') {
+ var tmp = parseInt(allMetas[i].content);
+ audioVolume = Math.max(0,Math.min(tmp,100));
+ }
+ if (allMetas[i].name == 'audioError') {
+ audioError = (allMetas[i].content == "true") ? true : false;
+ }
+ }
+}
+
+function createProgress() {
+ var obj = document.getElementsByTagName("body")[0].firstChild;
+ var pg = document.createElement('div');
+ pg.id = "StartupProgress";
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=100)";
+ }else {
+ pg.style.opacity = 1.0;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(255, 255, 255)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/progress.gif)";
+ document.getElementsByTagName("body")[0].insertBefore(pg,obj);
+
+ var im = document.createElement('img');
+ im.id = "StartupControl";
+ im.src = s5Path+"ui/graphic_support/blank.gif?" + new Date().valueOf();
+ document.getElementsByTagName("body")[0].appendChild(im);
+}
+
+function startup() {
+ createControls(); // hallvord
+ slideLabel();
+ incrementals = createIncrementals();
+ noteLabel(); // [SI:060104] must follow slideLabel()
+ loadNote();
+ fixLinks();
+ externalLinks();
+ fontScale();
+ if (!isOp) {
+ if(isIE) {
+ document.getElementById('lst').style.backgroundImage = 'none';
+ document.getElementById('del').style.backgroundImage = 'none';
+ }else {
+ document.getElementById('audio').style.backgroundImage = 'none';
+ }
+ notOperaFix();
+ }else if(isOp) {
+ var obj = document.getElementById('exit');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('exit').style.opacity = 0.5;
+ obj = document.getElementById('toggle');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('toggle').style.opacity = 0.5;
+ document.getElementById('jumplist').style.visibility = 'hidden';
+ document.getElementById('list').style.visibility = 'hidden';
+ document.getElementById('lst').style.opacity = 0.5;
+ obj = document.getElementById('audio');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('audio').style.opacity = 0.5;
+ document.getElementById('volumelist').style.visibility = 'hidden';
+ document.getElementById('volume').style.visibility = 'hidden';
+ document.getElementById('vol').style.opacity = 0.5;
+ document.getElementById('delaylist').style.visibility = 'hidden';
+ document.getElementById('delay').style.visibility = 'hidden';
+ document.getElementById('del').style.opacity = 0.5;
+ }
+ slideJump();
+ if (defaultView == 'outline') toggle();
+ document.onkeyup = keys;
+ document.onkeypress = trap;
+ document.onclick = clicker;
+}
+
+function preloadImgages() {
+ var temp = '';
+ var objects = document.getElementsByTagName('img');
+ for (var i=0; i < objects.length; i++) {
+ if(objects[i].src != '') {
+ temp = new Image();
+ temp.src = objects[i].src;
+ }
+ }
+}
+
+function showAll() {
+ var obj1 = GetElementsWithClassName('div','presentation')[0];
+ if(!obj1) var obj1 = GetElementsWithClassName('ol','presentation')[0];
+ var obj2 = GetElementsWithClassName('div','layout')[0];
+ if(!obj1){}else {obj1.style.display = 'block'};
+ if(!obj2){}else {obj2.style.display = 'block'};
+}
+
+function dumpProgress() {
+ document.body.removeChild(document.getElementById('StartupControl'));
+ startup();
+ preloadImgages();
+ showAll();
+ createSoundManagerScript();
+ shiftOpacity('StartupProgress',1000);
+ window.setTimeout("removeProgress()",1000);
+}
+
+function removeProgress() {
+ document.body.removeChild(document.getElementById('StartupProgress'));
+ setListPos(true); // invisible select extension
+ panelSetup();
+ audioSetup(); // audio extension
+ if(isS2 && firstTime>=1) {
+ generateCanvas(); // dynamic canvas extension
+ } firstTime = 0;
+}
+function panelSetup() {
+ if(playPause) {
+ var obj = document.getElementById('pause'); changeClass(obj,'ison');
+ }
+ if(playLoop) {
+ var obj = document.getElementById('loop'); changeClass(obj,'ison');
+ }
+ if(audioSupport && !isIE && !isOp) {
+ var obj = document.getElementById('audio'); changeClass(obj,'ison');
+ }
+ if(fadeModus && !isIE) {
+ var obj = document.getElementById('fade'); changeClass(obj,'ison');
+ }
+ if(autoMatic) {
+ var obj = document.getElementById('auto'); changeClass(obj,'ison');
+ startPlay();
+ }
+ if(audioVolume && !isIE && !isOp) {
+ var idx = 0;
+ if(audioVolume >= 95 && audioVolume <= 100) {idx = 0;}
+ else if(audioVolume >= 85 && audioVolume < 95) {idx = 1;}
+ else if(audioVolume >= 75 && audioVolume < 85) {idx = 2;}
+ else if(audioVolume >= 65 && audioVolume < 75) {idx = 3;}
+ else if(audioVolume >= 55 && audioVolume < 65) {idx = 4;}
+ else if(audioVolume >= 45 && audioVolume < 55) {idx = 5;}
+ else if(audioVolume >= 35 && audioVolume < 45) {idx = 6;}
+ else if(audioVolume >= 25 && audioVolume < 35) {idx = 7;}
+ else if(audioVolume >= 15 && audioVolume < 25) {idx = 8;}
+ else if(audioVolume >= 5 && audioVolume < 15) {idx = 9;}
+ else {idx = 10;}
+ document.getElementById('volumelist').selectedIndex = idx;
+ }
+ if(playDelay && !isOp) {
+ var idx = 0; var vol = parseInt(playDelay/1000);
+ if(vol >= 83 && vol <= 90) {idx = 0;}
+ else if(vol >= 68 && vol < 83) {idx = 1;}
+ else if(vol >= 53 && vol < 68) {idx = 2;}
+ else if(vol >= 38 && vol < 53) {idx = 3;}
+ else if(vol >= 25 && vol < 38) {idx = 4;}
+ else if(vol >= 18 && vol < 25) {idx = 5;}
+ else if(vol >= 13 && vol < 18) {idx = 6;}
+ else if(vol >= 8 && vol < 13) {idx = 7;}
+ else {idx = 8;}
+ document.getElementById('delaylist').selectedIndex = idx;
+ }
+}
+// startup process
+
+// shutdown process
+function byby() {
+ stopPlay();
+ if(tranSitions && fadeModus && s5mode && !isOp) {
+ fadeoutSound(curSoundID,true); // audio support
+ var pg = document.createElement('div');
+ pg.id = "GoodBy";
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=0)";
+ }else {
+ pg.style.opacity = 0.0;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(255, 255, 255)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/finish.gif)";
+ document.getElementsByTagName("body")[0].appendChild(pg);
+ shiftOpacity('GoodBy',1000);
+ window.setTimeout("history.back()",1000);
+ }else {
+ stopSound(curSoundID);
+ history.back();
+ }
+}
+// shutdown process
+
+// scalable images extension
+function createDetector() {
+ var em = document.createElement('div');
+ em.id='EMSizeControl'; em.style.position="absolute"; em.style.left="-999px";
+ em.style.width="1em"; em.style.height="1em"; em.style.opacity=0.0;
+ document.getElementsByTagName("body")[0].appendChild(em);
+ var nop=document.getElementById('EMSizeControl');
+ if(!nop||findPosX(nop)!=-999) {}else {
+ opac=document.getElementById('EMSizeControl').style.opacity;
+ empx=document.getElementById('EMSizeControl').offsetHeight;
+ document.body.removeChild(document.getElementById('EMSizeControl'));
+ var objects = document.getElementsByTagName('img');
+ var j = 0; var i = 0; var k = 0; var d; var obj;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ images[j] = objects[i];
+ ++j;
+ }
+ }
+ var objects = document.getElementsByTagName('canvas'); j = 0;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ canvas[objects[i].id] = objects[i];
+ if(j==0) {
+ if(objects[i].getContext) {
+ canvasSupport = true;
+ }
+ ++j;
+ }
+ }
+ }
+ if(canvasSupport!=true) {
+ for (d in canvas) {
+ try {
+ canvas[d].setAttribute("width",1);
+ canvas[d].setAttribute("height",1);
+ } catch (e) {
+ }
+ }
+ }
+ var objects = document.getElementsByTagName('table');
+ j = 0; k = 0; l = 0; var w; var h; var tmp; var cnt;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^piechart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ piecharts[j] = objects[i];
+ em = piecharts[j].getAttribute("summary");
+ if(em != "") em = "," + em;
+ piecharts[j].setAttribute("summary", w + "," + h + em);
+ ++j;
+ }
+ }
+ }
+ if(objects[i].className.match(/^barchart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ barcharts[k] = objects[i];
+ em = barcharts[k].getAttribute("summary");
+ if(em != "") em = "," + em;
+ barcharts[k].setAttribute("summary", w + "," + h + em);
+ ++k;
+ }
+ }
+ }
+ if(objects[i].className.match(/^linechart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ linecharts[l] = objects[i];
+ em = linecharts[l].getAttribute("summary");
+ if(em != "") em = "," + em;
+ linecharts[l].setAttribute("summary", w + "," + h + em);
+ ++l;
+ }
+ }
+ }
+ }
+ objects = document.getElementsByTagName('object'); j = 0; i = 0;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ medias[j] = objects[i]; ++j;
+ if(!isIE) {
+ if(objects[i].getAttributeNode("classid")) objects[i].removeAttributeNode(objects[i].getAttributeNode("classid"));
+ if(objects[i].getAttributeNode("codebase")) objects[i].removeAttributeNode(objects[i].getAttributeNode("codebase"));
+ }
+ }
+ }
+ }
+}
+function extendImgSizes(f) {
+ if(empx>0) {
+ var q = (f/empx); var w = 0; var h = 0;
+ for(var i=0; i < images.length; i++) {
+ w=images[i].getAttribute("width",0);
+ h=images[i].getAttribute("height",0);
+ if(w>0&&h>0) {
+ images[i].style.width=Math.floor(w*q)+"px";
+ images[i].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+}
+
+function extendCanSizes(f) {
+ if(empx>0 && canvasSupport) {
+ var q = (f/empx); var w = 0; var h = 0; var tmp = ""; var cnt; var obj;
+ for(var i=0; i < piecharts.length; i++) {
+ if(piecharts[i].getAttribute("summary")) {
+ tmp = piecharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = piecharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ for(var i=0; i < barcharts.length; i++) {
+ if(barcharts[i].getAttribute("summary")) {
+ tmp = barcharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = barcharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ for(var i=0; i < linecharts.length; i++) {
+ if(linecharts[i].getAttribute("summary")) {
+ tmp = linecharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = linecharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ }
+}
+function extendObjSizes(f) {
+ if(empx>0) {
+ var q = (f/empx); var w = 0; var h = 0;
+ for(var i=0; i < medias.length; i++) {
+ w=medias[i].getAttribute("width",0);
+ h=medias[i].getAttribute("height",0);
+ if(w>0&&h>0) {
+ medias[i].style.width=Math.floor(w*q)+"px";
+ medias[i].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+}
+function findPosX(obj) {
+ var posLeft = 0;
+ while (obj.offsetParent) {
+ posLeft += obj.offsetLeft;
+ obj = obj.offsetParent;
+ }
+ return posLeft;
+}
+function findPosY(obj) {
+ var posTop = 0;
+ while (obj.offsetParent) {
+ posTop += obj.offsetTop;
+ obj = obj.offsetParent;
+ }
+ return posTop;
+}
+// scalable images extension
+
+// canvas chart extension
+function deg2rad(degrees) {
+ return Math.PI *degrees/180;
+}
+function rad2deg(radians) {
+ return 180.0 *radians/Math.PI;
+}
+function circle_point_x(radians, diameter) {
+ var x = Math.cos(radians)*(diameter/2);
+ return x;
+}
+function circle_point_y(radians, diameter) {
+ var y = Math.sin(radians)*(diameter/2);
+ return y;
+}
+function roundTo(val,dig) {
+ var num = val;
+ if (val > 8191 && val < 10485) {
+ val = val-5000;
+ num = Math.round(val*Math.pow(10,dig))/Math.pow(10,dig);
+ num = num+5000;
+ } else {
+ num = Math.round(val*Math.pow(10,dig))/Math.pow(10,dig);
+ }
+ return num;
+}
+function searchColor(value) {
+ for (var dat in colorNames) {
+ if(dat==value) return colorNames[dat];
+ }
+ return false;
+}
+function scanColor(value) {
+ if(value.match(/^#[0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ var val1 = value.substr(1,1).toLowerCase();
+ var val2 = value.substr(2,1).toLowerCase();
+ var val3 = value.substr(3,1).toLowerCase();
+ value = '#' + val1 + val1 + val2 + val2 + val3 + val3;
+ }
+ if(!value.match(/^#[0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ var tmp = searchColor(value.toLowerCase());
+ if(!tmp) {}else{value = tmp;}
+ }
+ if(!value.match(/^#[0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ value = '#000000';
+ }
+ return value.toLowerCase();
+}
+function hex2rgb(val,trans) {
+ if(val.length==7) {
+ var tp1 = Math.max(0,Math.min(parseInt(val.substr(1,2),16),255));
+ var tp2 = Math.max(0,Math.min(parseInt(val.substr(3,2),16),255));
+ var tp3 = Math.max(0,Math.min(parseInt(val.substr(5,2),16),255));
+ return 'rgba(' + tp1 + ',' + tp2 + ',' + tp3 + ',' + trans + ')';
+ }
+}
+function trim(str) {
+ return (str.replace(/\s+$/,"").replace(/^\s+/,""));
+}
+function roundedRect(ctx,x,y,width,height,radius){
+ ctx.beginPath();
+ ctx.moveTo(x,y+radius);
+ ctx.lineTo(x,y+height-radius);
+ ctx.quadraticCurveTo(x,y+height,x+radius,y+height);
+ ctx.lineTo(x+width-radius,y+height);
+ ctx.quadraticCurveTo(x+width,y+height,x+width,y+height-radius);
+ ctx.lineTo(x+width,y+radius);
+ ctx.quadraticCurveTo(x+width,y,x+width-radius,y);
+ ctx.lineTo(x+radius,y);
+ ctx.quadraticCurveTo(x,y,x,y+radius);
+ ctx.closePath();
+}
+function drawString(ctx, text, fc, tx, ty) {
+ var xp = 0; var c = "";
+ ctx.beginPath();
+ for (var i = 0; i < text.length; i++) {
+ c = text[i];
+ ctx.drawImage(font, signs[c].sx, signs[c].sy, signs[c].sw, signs[c].sh, tx+xp, ty, signs[c].sw*fc, signs[c].sh*fc);
+ xp += (signs[c].sw*fc);
+ }
+ ctx.closePath();
+}
+function strokeString(ctx, txt, col, fh, tx, ty) {
+ var fw = fh*0.666666; var lw = fh*0.125;
+ var ls = lw/2; var cr = lw; var xp = 0;
+ ctx.lineCap = "round"; ctx.lineJoin = "round"
+ ctx.lineWidth = lw; ctx.strokeStyle = col;
+ for (var i = 0; i < txt.length; i++) {
+ strokeSymbol(ctx, txt[i], ls, tx+xp, ty, fw, fh);
+ xp += (txt[i]!="."?fw+cr:(fw/2)+cr);
+ }
+}
+function strokeSymbol(ctx, symbol, fc, cx, cy, cw, ch) {
+ ctx.beginPath();
+ switch (symbol) {
+ case "0":
+ ctx.moveTo(cx+fc,cy+(ch*0.333333));
+ ctx.arc(cx+(cw/2),cy+(cw/2),(cw/2)-fc,deg2rad(180),0, false);
+ ctx.arc(cx+(cw/2),(cy+ch)-(cw/2),(cw/2)-fc,0,deg2rad(180), false);
+ ctx.closePath();
+ break;
+ case "1":
+ ctx.moveTo(cx+(cw*0.1)+fc,cy+ch-fc);
+ ctx.lineTo(cx+cw-fc,cy+ch-fc);
+ ctx.moveTo(cx+(cw*0.666666),cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.666666),cy+fc);
+ ctx.lineTo(cx+(cw*0.25),cy+(ch*0.25));
+ break;
+ case "2":
+ ctx.moveTo(cx+cw-fc,cy+(ch*0.8));
+ ctx.lineTo(cx+cw-fc,cy+ch-fc);
+ ctx.lineTo(cx+fc,cy+ch-fc);
+ ctx.arc(cx+(cw/2),cy+(cw*0.425),(cw*0.425)-fc,deg2rad(45),deg2rad(-180), true);
+ break;
+ case "3":
+ ctx.moveTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.9)-fc,cy+fc);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-90),deg2rad(180), false);
+ break;
+ case "4":
+ ctx.moveTo(cx+(cw*0.75),cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.75),cy+fc);
+ ctx.moveTo(cx+cw-fc,cy+(ch*0.666666));
+ ctx.lineTo(cx+fc,cy+(ch*0.666666));
+ ctx.lineTo(cx+(cw*0.75),cy+fc);
+ ctx.moveTo(cx+cw-fc,cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.5),cy+ch-fc);
+ break;
+ case "5":
+ ctx.moveTo(cx+(cw*0.9)-fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+(ch*0.333333));
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-80),deg2rad(180), false);
+ break;
+ case "6":
+ ctx.moveTo(cx+fc,cy+ch-(cw*0.5)-fc);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-180),deg2rad(180), false);
+ ctx.bezierCurveTo(cx+fc,cy+fc,cx+fc,cy+fc,cx+(cw*0.9)-fc,cy+fc);
+ ctx.moveTo(cx+(cw*0.9)-fc,cy+fc);
+ break;
+ case "7":
+ ctx.moveTo(cx+(cw*0.5),cy+ch-fc);
+ ctx.lineTo(cx+cw-fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+(ch*0.25)-fc);
+ break;
+ case "8":
+ ctx.moveTo(cx+(cw*0.92)-fc,cy+(cw*0.59));
+ ctx.arc(cx+(cw/2),cy+(cw*0.45),(cw*0.45)-fc,deg2rad(25),deg2rad(-205), true);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-135),deg2rad(-45), true);
+ ctx.closePath();
+ ctx.moveTo(cx+(cw*0.79),cy+(ch*0.47));
+ ctx.lineTo(cx+(cw*0.21),cy+(ch*0.47));
+ break;
+ case "9":
+ ctx.moveTo(cx+cw-fc,cy+(cw*0.5));
+ ctx.arc(cx+(cw/2),cy+(cw*0.5),(cw*0.5)-fc,deg2rad(0),deg2rad(360), false);
+ ctx.bezierCurveTo(cx+cw-fc,cy+ch-fc,cx+cw-fc,cy+ch-fc,cx+(cw*0.1)+fc,cy+ch-fc);
+ break;
+ case "%":
+ ctx.moveTo(cx+fc,cy+(ch*0.75));
+ ctx.lineTo(cx+cw-fc,cy+(ch*0.25));
+ ctx.moveTo(cx+(cw*0.505),cy+(cw*0.3));
+ ctx.arc(cx+(cw*0.3),cy+(cw*0.3),(cw*0.3)-fc,deg2rad(0),deg2rad(360), false);
+ ctx.moveTo(cx+(cw*0.905),cy+ch-(cw*0.3));
+ ctx.arc(cx+(cw*0.7),cy+ch-(cw*0.3),(cw*0.3)-fc,deg2rad(0),deg2rad(360), false);
+ break;
+ case ".":
+ ctx.moveTo(cx+(cw*0.25),cy+ch-fc-fc);
+ ctx.arc(cx+(cw*0.25),cy+ch-fc-fc,fc,deg2rad(0),deg2rad(360), false);
+ ctx.closePath();
+ break;
+ default:
+ break;
+ }
+ ctx.stroke();
+}
+function drawBar(ctx,x,y,width,height,color,value,textdiv){
+ var rw = width/2; var rh = rw/2;
+ height = Math.max(height,rw);
+ var dh = Math.max(height-(2*rh),0.1); var S2L;
+ var xx = rw/8; var yy = rh/4;
+ var yo = rh/2; y = y - yy;
+ if(canvas_noshadow <= 0 && canvas_noshade <= 0) {
+ ctx.save();
+ S2L = ctx.createRadialGradient(x+rw+rh,y+height-rw+yy+rh,0,x+rw,y+height-rw+yy,rw);
+ S2L.addColorStop(0, 'rgba(0,0,0,0.5)');
+ S2L.addColorStop(0.7, 'rgba(0,0,0,0.25)');
+ S2L.addColorStop(0.9, 'rgba(0,0,0,0.1)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.fillStyle = S2L;
+ ctx.scale(1,0.5);
+ ctx.translate(xx,y+height+yy);
+ if(isOp){
+ ctx.fillRect(x,y+yy+height-width,width,width);
+ }else {
+ ctx.arc(x+rw,y+yy+height-width,width,0,deg2rad(360), false);
+ ctx.fill();
+ }
+ ctx.restore();
+ }else if(canvas_noshadow <= 0 && canvas_noshade >= 1) {
+ ctx.fillStyle = 'rgba(0,0,0,0.2)';
+ ctx.fillRect(x+yo,y+rh+yo+yo,width,dh);
+ }
+
+ if(canvas_noshade <= 0) {
+ ctx.beginPath();
+ ctx.moveTo(x,y+rh);
+ ctx.lineTo(x,y+rh+dh);
+ ctx.bezierCurveTo(x,y+height,x+width,y+height,x+width,y+rh+dh)
+ ctx.lineTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = color;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x,y+(height/2),x+width,y+(height/2));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.75)');
+ S2L.addColorStop(0.2, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.3, 'rgba(0,0,0,0)');
+ S2L.addColorStop(0.5, 'rgba(0,0,0,0.1)');
+ S2L.addColorStop(0.9, 'rgba(0,0,0,0.35)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.3)');
+ ctx.beginPath();
+ ctx.moveTo(x,y+rh);
+ ctx.lineTo(x,y+rh+dh);
+ ctx.bezierCurveTo(x,y+height,x+width,y+height,x+width,y+rh+dh)
+ ctx.lineTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = S2L;
+ ctx.fill();
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = color;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x+rw-(width*0.15),y-2,x+rw+(width*0.15),y+(2.1*rh));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.9)');
+ S2L.addColorStop(0.5, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.6, 'rgba(0,0,0,0)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.3)');
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = S2L;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x+rw-(width*0.15),y-2,x+rw+(width*0.15),y+(2.1*rh));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.9)');
+ S2L.addColorStop(0.5, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.6, 'rgba(0,0,0,0)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.1)');
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.strokeStyle = S2L;
+ ctx.stroke();
+ }else {
+ ctx.fillStyle = color;
+ ctx.fillRect(x,y+rh+yo,width,dh);
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = 1;
+ ctx.fillStyle = 'rgba(255,255,255,0.5)';
+ var bw = width; var bh = bw/4;
+ var th = roundTo(bh*0.75,0); var tf = bh/80;
+ var c; var w;
+ if(canvas_notext <= 0) {
+ if(canvas_htmltext <= 0) {
+ w = '"' + per + '"';
+ if(w.indexOf(".")!=-1) {
+ c = w.length+0.5;
+ w = parseFloat(c-2)*parseFloat(48*tf);
+ bw = parseFloat(c-1)*parseFloat(48*tf);
+ }else {
+ c = w.length;
+ w = parseFloat(c-1)*parseFloat(48*tf);
+ bw = parseFloat(c)*parseFloat(48*tf);
+ }
+ roundedRect(ctx,x+(width/2)-(bw/2),y,bw,bh,bh/4);
+ ctx.fill();
+ if(canvas_imgtext <= 0) {
+ strokeString(ctx, value + "%","rgba(48,48,48,1)", th, x+(width/2)-(w/2), y+((bh-th)/2));
+ }else {
+ drawString(ctx, value + "%", tf, x+(width/2)-(w/2), y+((bh-th)/2.2));
+ }
+ }else {
+ var bh = bw/3; var th = roundTo(bh*0.75,0);
+ roundedRect(ctx,x,y,bw,bh,bh/4);
+ ctx.fill();
+ var obj = document.createElement('div');
+ obj.style.position = "absolute";
+ obj.style.overflow = "hidden";
+ obj.style.textAlign = "center";
+ obj.style.width = bw + "px";
+ obj.style.left = x + "px";
+ obj.style.top = y+((bh-th)/2.2) + "px";
+ obj.appendChild(document.createTextNode(per + "%"));
+ textdiv.appendChild(obj);
+ }
+
+ }
+}
+function drawLine(ctx,x,y,width,height,dist,array,factor,color,fill){
+ if(fill <= 0){
+ var style = hex2rgb(color,0.5);
+ ctx.lineJoin = "miter";
+ ctx.beginPath();
+ ctx.moveTo(x,y+height);
+ for (var i = 0; i < array.length; i++) {
+ ctx.lineTo(x+(i*dist),y+height-(array[i]*factor));
+ }
+ ctx.lineTo(x+width,y+height);
+ ctx.lineTo(x,y+height);
+ ctx.closePath();
+ ctx.fillStyle = style;
+ ctx.fill();
+ }
+ ctx.lineJoin = "round";
+ ctx.beginPath();
+ ctx.moveTo(x,y+height-(array[0]*factor));
+ for (var i = 0; i < array.length; i++) {
+ ctx.lineTo(x+(i*dist),y+height-(array[i]*factor));
+ }
+ ctx.strokeStyle = color;
+ ctx.stroke();
+}
+function setDataURL(cid) {
+ if(cid.toDataURL) {
+ var obj = document.getElementById(cid.id + "_link");
+ if(obj) {
+ obj.setAttribute("title", "To Data URL");
+ obj.setAttribute("target", "_blank");
+ obj.setAttribute("href", cid.toDataURL());
+ }
+ }
+}
+function get_input(dataobj,canvasobj,linechart) {
+ var table = document.getElementById(dataobj);
+ var canvas = document.getElementById(canvasobj);
+ var row; var clm; var cnt = 0; var val = 0; var nme = ""; var col = "";
+ if(canvas.getContext) {
+ canvas_width = parseInt(canvas.style.width);
+ canvas_height = parseInt(canvas.style.height);
+ if(canvas_width >= 16 && canvas_height >= 16) {
+ var tmp = table.getAttribute("summary");
+ canvas_noshade = 0; canvas_noshadow = 0;
+ canvas_notext = 0; canvas_imgtext = 0;
+ canvas_htmltext = 0; canvas_nofill = 0;
+ if(tmp.search(/noshadow/) != -1) canvas_noshadow = 1;
+ if(tmp.search(/noshade/) != -1) canvas_noshade = 1;
+ if(tmp.search(/nofill/) != -1) canvas_nofill = 1;
+ if(tmp.search(/notext/) != -1) canvas_notext = 1;
+ if(tmp.search(/htmltext/) != -1) canvas_htmltext = 1;
+ if(tmp.search(/imgtext/) != -1) canvas_imgtext = 1;
+ if(table.getAttribute("bgcolor")) {
+ canvas_bgcolor = scanColor(table.getAttribute("bgcolor"));
+ }
+ ChartData = new Array(); colorSlice = new Array();
+ if(table.getElementsByTagName("tr")[0].getElementsByTagName("th")[0]) cnt = 1;
+ for(var r = cnt; r < table.getElementsByTagName("tr").length; r++) {
+ row = table.getElementsByTagName("tr")[r];
+ clm = row.getElementsByTagName("td").length;
+ if(row.getElementsByTagName("td")[0].getAttribute("bgcolor")) {
+ col = scanColor(row.getElementsByTagName("td")[0].getAttribute("bgcolor")); val = 0;
+ nme = trim(row.getElementsByTagName("td")[1].innerHTML.replace(/<[^>]+>/g,""));
+ if(!linechart) {
+ if(clm > 3) {
+ for(var z = 2; z < clm; z++) {
+ val += parseFloat(row.getElementsByTagName("td")[z].innerHTML.replace(/<[^>]+>/g,""));
+ }
+ }else {
+ val = parseFloat(row.getElementsByTagName("td")[2].innerHTML.replace(/<[^>]+>/g,""));
+ }
+ if(!isNaN(val) && val > 0 && nme != '') {
+ colorSlice[r-cnt] = col; ChartData[nme] = Math.abs(val);
+ }else {
+ break;
+ }
+ }else {
+ val = 0; i = 0;
+ if(clm > 3 && nme != '') {
+ ChartData[r-cnt] = new Array();
+ colorSlice[r-cnt] = col;
+ for(var z = 2; z < clm; z++) {
+ val = Math.abs(parseFloat(row.getElementsByTagName("td")[z].innerHTML.replace(/<[^>]+>/g,"")));
+ if(!isNaN(val) && val >= 0) {
+ ChartData[r-cnt][i] = val; i++;
+ }else {
+ ChartData[r-cnt][i] = 0; i++;
+ }
+ }
+ }else {
+ break;
+ }
+ }
+ }else {
+ break;
+ }
+ }
+ }
+ }
+}
+function setPieChart(canvasobj,textobj) {
+ var cd = (Math.min(canvas_width,canvas_height)/110)*100;
+ var cp = cd*0.1; var cr = cd/2;
+ var sr = cr*0.93; var cw = cd+cp; var ch = cw;
+ var cx = (cd/2)+(cp/2); var cy = cx; var ct = 0;
+ for (var data in ChartData) {
+ ct += ChartData[data];
+ }
+ var poc = ct/100;
+ var canvas = document.getElementById(canvasobj);
+ if(canvas_htmltext >= 1) {
+ if(document.getElementById(textobj)) {
+ canvas.parentNode.removeChild(canvas.parentNode.lastChild);
+ }
+ }
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,cw,ch);
+ }else {
+ ctx.clearRect(0,0,cw,ch);
+ }
+ if(canvas_noshadow <= 0) {
+ if(!isOp) {
+ ctx.beginPath();
+ var B2B = ctx.createRadialGradient(cx+(cr*0.1),cy+(cr*0.1),cr,cx+(cr*0.1),cy+(cr*0.1),sr*0.9);
+ B2B.addColorStop(0, 'rgba(0,0,0,0)');
+ B2B.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.arc(cx+(cr*0.1),cy+(cr*0.1),cr,0,deg2rad(360), false);
+ ctx.closePath();
+ ctx.fillStyle = B2B;
+ ctx.fill();
+ }else {
+ var B2B = ctx.createRadialGradient(cx+(cr*0.1),cy+(cr*0.1),0,cx+(cr*0.1),cy+(cr*0.1),cr);
+ B2B.addColorStop(0, 'rgba(0,0,0,0.5)');
+ B2B.addColorStop(0.87, 'rgba(0,0,0,0.5)');
+ B2B.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.fillStyle = B2B;
+ ctx.fillRect(0,0,cw,ch);
+ }
+ }
+ var val = 0; var deg = 0; var idx = 0; var data;
+ for (data in ChartData) {
+ val = ChartData[data]; sdeg = deg;
+ deg += (val/ct)*deg2rad(360); edeg = deg;
+ ctx.beginPath();
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr,sdeg,edeg, false);
+ ctx.lineTo(cx,cy);
+ ctx.closePath();
+ ctx.fillStyle = colorSlice[(idx++)];
+ ctx.fill();
+ }
+ if(canvas_noshade <= 0) {
+ ctx.beginPath();
+ var W2T = ctx.createLinearGradient(cp*2,cp*2,cx,cy);
+ W2T.addColorStop(0, 'rgba(255,255,255,0.8)');
+ W2T.addColorStop(1, 'rgba(255,255,255,0)');
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,sr,deg2rad(135),deg2rad(315), false);
+ ctx.closePath();
+ ctx.fillStyle = W2T;
+ ctx.fill();
+ ctx.beginPath();
+ var T2B = ctx.createLinearGradient(cx,cy,cw-(cp*2),ch-(cp*2));
+ T2B.addColorStop(0, 'rgba(0,0,0,0)');
+ T2B.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,sr,deg2rad(-45),deg2rad(135), false);
+ ctx.closePath();
+ ctx.fillStyle = T2B;
+ ctx.fill();
+ ctx.beginPath();
+ var B2T = ctx.createLinearGradient(cx,cy,cw-(cp*1.9),ch-(cp*1.9));
+ B2T.addColorStop(0, 'rgba(0,0,0,0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.6)');
+ ctx.lineWidth = cr*0.07;
+ ctx.lineCap = "round";
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr*0.965,deg2rad(-45),deg2rad(135), false);
+ ctx.closePath();
+ ctx.strokeStyle = B2T;
+ ctx.stroke();
+ ctx.beginPath();
+ var T2W = ctx.createLinearGradient(cx,cy,(cp*1.9),(cp*1.9));
+ T2W.addColorStop(0, 'rgba(255,255,255,0)');
+ T2W.addColorStop(1, 'rgba(255,255,255,0.6)');
+ ctx.lineWidth = cr*0.07;
+ ctx.lineCap = "round";
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr*0.965,deg2rad(135),deg2rad(315), false);
+ ctx.closePath();
+ ctx.strokeStyle = T2W;
+ ctx.stroke();
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = 1;
+ ctx.fillStyle = 'rgba(255,255,255,0.5)';
+ var mpos = 0; var per = 0; var gx = 0;
+ var gy = 0; var tx = 0; var ty = 0;
+ var obj = ""; var w = 0; var c = 0;
+ var bw = cr*0.45; var bh = cr*0.125;
+ var th = roundTo(bh*0.75,0); var tf = bh/80;
+ if(canvas_notext <= 0) {
+ if(canvas_htmltext >= 1) {
+ bw = (cr*0.365);
+ canvas.parentNode.style.position = "relative";
+ if(!document.getElementById(textobj)) {
+ obj = document.createElement('div');
+ obj.id = textobj;
+ obj.style.color = "rgb(0,0,0)";
+ obj.style.fontFamily = "Arial,sans-serif";
+ obj.style.fontSize = th + "px";
+ obj.style.zIndex = 11;
+ canvas.parentNode.appendChild(obj);
+ }
+ var textdiv = document.getElementById(obj.id);
+ }
+ for (data in ChartData) {
+ val = ChartData[data];
+ sdeg = deg; mpos = deg+(((val/2)/ct)*deg2rad(360));
+ deg += (val/ct)*deg2rad(360); edeg = deg;
+ per = roundTo(val/poc,2);
+ gx = circle_point_x(mpos, cd);
+ gy = circle_point_y(mpos, cd);
+ tx = parseFloat((cx+(2*Math.floor(cx + gx)))/3);
+ ty = (cy+(2*Math.floor(cy + gy)))/3;
+ if(canvas_htmltext <= 0) {
+ w = '"' + per + '"';
+ if(w.indexOf(".")!=-1) {
+ c = w.length+0.5;
+ w = parseFloat(c-2)*parseFloat(48*tf);
+ bw = parseFloat(c-1)*parseFloat(48*tf);
+ }else {
+ c = w.length;
+ w = parseFloat(c-1)*parseFloat(48*tf);
+ bw = parseFloat(c)*parseFloat(48*tf);
+ }
+ roundedRect(ctx,(tx-(bw/2)),ty-(bh/2),bw,bh,bh/4);
+ ctx.fill();
+ if(canvas_imgtext >= 1) {
+ drawString(ctx, per + "%", tf, tx-(w/2), ty-(th/1.8));
+ }else {
+ strokeString(ctx, per + "%","rgba(48,48,48,1)", th, tx-(w/2), ty-(th/2));
+ }
+ }else {
+ roundedRect(ctx,(tx-(bw/2)),ty-(bh/2),bw,bh,bh/4);
+ ctx.fill();
+ obj = document.createElement('div');
+ obj.style.position = "absolute";
+ obj.style.overflow = "hidden";
+ obj.style.textAlign = "center";
+ obj.style.width = bw + "px";
+ obj.style.left = (tx-(bw/2)) + "px";
+ obj.style.top = ty-(th/1.8) + "px";
+ obj.appendChild(document.createTextNode(per + "%"));
+ textdiv.appendChild(obj);
+ }
+ }
+ }
+ }
+}
+function setBarChart(canvasobj,textobj) {
+ var iw = canvas_width*0.9; var ih = canvas_height*0.9;
+ var pw = canvas_width*0.05; var ph = canvas_height*0.05;
+ var cm = 0; var ct = 0;
+ for (var data in ChartData) {
+ cm = Math.max(cm,ChartData[data]);
+ ct += ChartData[data];
+ }
+ var hf = ih/cm; var bs = colorSlice.length;
+ var bw = iw/(bs*1.1); var poc = ct/100;
+ var th = roundTo((bw/3)*0.75,0);
+ var canvas = document.getElementById(canvasobj);
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,canvas_width,canvas_height);
+ }else {
+ ctx.clearRect(0,0,canvas_width,canvas_height);
+ }
+ if(canvas_htmltext >= 1) {
+ if(document.getElementById(textobj)) {
+ canvas.parentNode.removeChild(canvas.parentNode.lastChild);
+ }
+ canvas.parentNode.style.position = "relative";
+ if(!document.getElementById(textobj)) {
+ var obj = document.createElement('div');
+ obj.id = textobj;
+ obj.style.color = "rgb(0,0,0)";
+ obj.style.fontFamily = "Arial,sans-serif";
+ obj.style.fontSize = th + "px";
+ obj.style.zIndex = 11;
+ canvas.parentNode.appendChild(obj);
+ }
+ var textdiv = document.getElementById(obj.id);
+ }
+ var cc = ""; var bh = 0; var idx = 0; var t = 0; per = 0;
+ for (var data in ChartData) {
+ per = roundTo(ChartData[data]/poc,2);
+ bh = ChartData[data]*hf;
+ drawBar(ctx,pw+(t*(bw*1.1)),ph+ih-bh,bw,bh,colorSlice[(idx++)],per,textdiv);
+ t++;
+ }
+ }
+}
+function setLineChart(canvasobj,textobj) {
+ var iw = canvas_width*0.9;
+ var ih = canvas_height*0.9;
+ var pw = canvas_width*0.05;
+ var ph = canvas_height*0.05;
+ var cm = 0;
+ for (var i = 0; i < ChartData.length; i++) {
+ for (var j = 0; j < ChartData[i].length; j++) {
+ cm = Math.max(cm,ChartData[i][j]);
+ }
+ }
+ var hf = (ih*0.95)/cm; var bw = iw;
+ var dw = iw/(ChartData[0].length-1)
+ var dh = ih/(ChartData[0].length-1)
+ var ps = Math.min(pw,ph); lw = ps/4;
+ var B2T;
+ var canvas = document.getElementById(canvasobj);
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,canvas_width,canvas_height);
+ }else {
+ ctx.clearRect(0,0,canvas_width,canvas_height);
+ }
+ if(canvas_noshadow <= 0) {
+ B2T = ctx.createLinearGradient(pw+ps,ph+ih+1,pw+ps+ps,ph+ih+1);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+ps,ph+ih);
+ ctx.lineTo(pw+ps,ph+ih+ps);
+ ctx.lineTo(pw+ps+ps,ph+ih);
+ ctx.closePath();
+ ctx.fill();
+ B2T = ctx.createLinearGradient(pw+ps+ps,ph+ih,pw+ps+ps,ph+ih+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.5)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.0)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+ps+ps,ph+ih);
+ ctx.lineTo(pw+iw,ph+ih);
+ ctx.lineTo(pw+ps+iw,ph+ih+ps);
+ ctx.lineTo(pw+ps,ph+ih+ps);
+ ctx.closePath();
+ ctx.fill();
+ var B2T = ctx.createLinearGradient(pw+iw+1,ph+ps,pw+iw+1,ph+ps+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.35)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+iw,ph+ps);
+ ctx.lineTo(pw+iw,ph+ps+ps);
+ ctx.lineTo(pw+iw+ps,ph+ps);
+ ctx.closePath();
+ ctx.fill();
+ B2T = ctx.createLinearGradient(pw+iw,ph+ps+ps,pw+iw+ps,ph+ps+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.35)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.0)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+iw,ph+ps+ps);
+ ctx.lineTo(pw+iw,ph+ih);
+ ctx.lineTo(pw+iw+ps,ph+ih+ps);
+ ctx.lineTo(pw+iw+ps,ph+ps);
+ ctx.closePath();
+ ctx.fill();
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = lw/2;
+ ctx.strokeStyle = "rgba(255,255,255,0.25)";
+ if(canvas_nofill <= 0) {
+ ctx.fillStyle = "rgba(240,240,240,0.8)";
+ ctx.strokeStyle = "rgba(255,255,255,1)";
+ ctx.fillRect(pw,ph,iw,ih);
+ }
+ for (var i = 0; i < ChartData[0].length-1; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw+(i*dw),ph);
+ ctx.lineTo(pw+(i*dw),ph+ih);
+ ctx.stroke();
+ }
+ for (var i = 1; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw,ph+(i*dh));
+ ctx.lineTo(pw+iw,ph+(i*dh));
+ ctx.stroke();
+ }
+ ctx.lineWidth = lw;
+ var cc = ""; var bh = 0; var idx = 0; var t = 0;
+ for (var i = 0; i < ChartData.length; i++) {
+ for (var j = 0; j < ChartData[i].length; j++) {
+ t = Math.max(t,ChartData[i][j]);
+ }
+ bh = t*hf; cc = colorSlice[(idx++)];
+ drawLine(ctx,pw,ph+ih-bh,bw,bh,dw,ChartData[i],hf,cc,canvas_nofill);
+ }
+ ctx.beginPath();
+ ctx.moveTo(pw,ph);
+ ctx.lineTo(pw,ph+ih);
+ ctx.lineTo(pw+iw+(lw/2),ph+ih);
+ ctx.strokeStyle = "rgba(48,48,48,1)";
+ ctx.stroke();
+ ctx.lineWidth = lw/2;
+ B2T = ctx.createLinearGradient(pw,ph+ih,pw,ph+ih+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,1)');
+ B2T.addColorStop(0.5, 'rgba(0,0,0,1)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.strokeStyle = B2T;
+ for (var i = 0; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw+(i*dw),ph+ih);
+ ctx.lineTo(pw+(i*dw),ph+ih+ps);
+ ctx.stroke();
+ }
+ B2T = ctx.createLinearGradient(pw-ps,ph,pw,ph);
+ B2T.addColorStop(0, 'rgba(0,0,0,0)');
+ B2T.addColorStop(0.5, 'rgba(0,0,0,1)');
+ B2T.addColorStop(1, 'rgba(0,0,0,1)');
+ ctx.strokeStyle = B2T;
+ for (var i = 1; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw-ps,ph+(i*dh));
+ ctx.lineTo(pw,ph+(i*dh));
+ ctx.stroke();
+ }
+ }
+}
+function generateCanvas() {
+ if(canvasSupport) {
+ var canvasID; var tdataID; var textID;
+ var tmp; var dat; var i; var j;
+ for(i=0; i < piecharts.length; i++) {
+ tmp = piecharts[i].id.split("_");
+ tdataID = piecharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID);
+ if(colorSlice.length > 0) {
+ j = 0; dat = ""; for(dat in ChartData) {j++; }
+ if(j > 0 && j == colorSlice.length) {
+ setPieChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ for(i=0; i < barcharts.length; i++) {
+ tmp = barcharts[i].id.split("_");
+ tdataID = barcharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID);
+ if(colorSlice.length > 0) {
+ j = 0; dat = ""; for(dat in ChartData) {j++; }
+ if(j > 0 && j == colorSlice.length) {
+ setBarChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ for(i=0; i < linecharts.length; i++) {
+ tmp = linecharts[i].id.split("_");
+ tdataID = linecharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID,true);
+ if(colorSlice.length > 0) {
+ if(ChartData.length == colorSlice.length) {
+ setLineChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ }
+}
+// canvas chart extension
+
+// invisible select extension
+function setListPos(opt) {
+ if(!isIE) { //(degrade IE)
+ var ref = document.getElementById('list');
+ var x = findPosX(ref); var y = findPosY(ref);
+ var obj = document.getElementById('jumplist');
+ obj.style.position = 'fixed'; obj.style.left = x + 'px'; obj.style.top = y + 'px';
+ var vol = document.getElementById('volumelist');
+ ref = document.getElementById('volume');
+ x = findPosX(ref); if(isOp) {y = window.innerHeight-imgHeight; }else {y = findPosY(ref);}
+ vol.style.position = 'fixed'; vol.style.left = x + 'px'; vol.style.top = y + 'px';
+ var del = document.getElementById('delaylist');
+ ref = document.getElementById('delay');
+ x = findPosX(ref); if(isOp) {y = window.innerHeight-imgHeight; }else {y = findPosY(ref);}
+ del.style.position = 'fixed'; del.style.left = x + 'px'; del.style.top = y + 'px';
+ var pnl = document.getElementById('navLinks');
+ }
+ if(opt) {
+ if(isIE) {
+ //(degrade IE)
+ //pnl.style.filter = "alpha(opacity=80)";
+ }else {
+ obj.style.opacity = 0.0;
+ vol.style.opacity = 0.0;
+ del.style.opacity = 0.0;
+ pnl.style.opacity = 0.8;
+ }
+ }
+}
+// invisible select extension
+
+// transition extension
+function switchFade() {
+ if(tranSitions && s5mode && fadeModus) {
+ fadeModus = false;
+ playDelay = playDelay-(2*fadeDuration);
+ var obj = document.getElementById('fade'); changeClass(obj,'isoff');
+ }else if(tranSitions && s5mode && !fadeModus) {
+ fadeModus = true;
+ playDelay = playDelay+(2*fadeDuration);
+ var obj = document.getElementById('fade'); changeClass(obj,'ison');
+ }
+ currentSlide();
+}
+function opacity(ids, opacStart, opacEnd, millisec) {
+ var speed = Math.round(millisec / 100);
+ var timer = 0;
+ if(opacStart > opacEnd) {
+ for(var i = opacStart; i >= opacEnd; i--) {
+ window.setTimeout("changeOpac(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ } else if(opacStart < opacEnd) {
+ for(var i = opacStart; i <= opacEnd; i++) {
+ window.setTimeout("changeOpac(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ }
+}
+function changeOpac(opacity, ids) {
+ var obj = document.getElementById(ids);
+ if (isIE) {
+ obj.style.filter = "alpha(opacity=" + opacity + ")";
+ } else {
+ obj.style.opacity = (opacity / 100);
+ }
+}
+function shiftOpacity(ids, millisec) {
+ if(document.getElementById(ids).style.opacity != '') {
+ var currentOpac = document.getElementById(ids).style.opacity * 100;
+ } else {
+ var currentOpac = 0;
+ }
+ if(currentOpac == 0) {
+ opacity(ids, currentOpac, 100, millisec);
+ } else if(currentOpac > 0) {
+ opacity(ids, currentOpac, 0, millisec);
+ }
+}
+// transition extension
+
+// autoplay extension
+function autoPlay() {
+ if (s5mode && autoMatic && !playPause) {
+ if ((snum >= (smax-1)) && playLoop) {
+ goTo(0);
+ autoRun = setTimeout('autoPlay();',playDelay);
+ }else if ((snum >= (smax-1)) && !playLoop) {
+ stopPlay();
+ }else {
+ if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ if (incrementals[snum].length >0) {
+ clearTimeout(autoRun); autoRun = null;
+ incrDelay = parseInt(playDelay/(incrementals[snum].length+1));
+ remainDer = parseInt(playDelay-(incrDelay*incrementals[snum].length));
+ autoRun = setTimeout('autoPlayIncr()',incrDelay);
+ }
+ } else {
+ clearTimeout(autoRun); autoRun = null;
+ incrDelay = incrDuration;
+ remainDer = parseInt(playDelay-(incrementals[snum].length*incrDuration));
+ autoRun = setTimeout('autoPlayIncr()',incrDelay);
+ }
+ autoRun = setTimeout('autoPlay();',playDelay);
+ }
+ }
+}
+function autoPlayIncr() {
+ if (incpos < incrementals[snum].length) {
+ subgo(1);
+ autoRun = setTimeout('autoPlayIncr();',incrDelay);
+ }else {
+ autoRun = setTimeout('nop();',remainDer);
+ }
+}
+function nop() {
+ // no operation dummy
+}
+function togglePlay() {
+ if (autoRun && s5mode) {
+ stopPlay();
+ }else if (!autoRun && s5mode) {
+ startPlay();
+ }
+}
+function stopPlay() {
+ if (autoRun && s5mode) {
+ clearTimeout(autoRun); autoRun = null;
+ autoMatic = false; playPause = false; var obj = '';
+ obj = document.getElementById('auto'); changeClass(obj,'isoff');
+ obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ currentSlide();
+ }
+}
+function startPlay() {
+ if (!autoRun && s5mode) {
+ playPause = false; autoMatic = true; var obj = '';
+ obj = document.getElementById('auto'); changeClass(obj,'ison');
+ obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ autoRun = setTimeout('autoPlay();',playDelay);
+ currentSlide();
+ }
+}
+function pausePlay() {
+ if (s5mode && autoMatic) {
+ if (playPause) {
+ playPause = false; autoRun = setTimeout('autoPlay();',playDelay);
+ var obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ }else {
+ if (autoRun){
+ clearTimeout(autoRun); autoRun = null; playPause = true;
+ var obj = document.getElementById('pause'); changeClass(obj,'ison');
+ }
+ }
+ currentSlide();
+ }
+}
+function switchLoop() {
+ if(s5mode) {
+ if (playLoop) {
+ playLoop = false;
+ var obj = document.getElementById('loop'); changeClass(obj,'isoff');
+ }else {
+ playLoop = true;
+ var obj = document.getElementById('loop'); changeClass(obj,'ison');
+ }
+ }
+ currentSlide();
+}
+function setDelay() {
+ var val = document.getElementById('delaylist');
+ if(s5mode) {
+ var delay = Math.max(5,Math.min(parseInt(val.value),300));
+ playDelay = (fadeModus == true) ? ((delay*1000)+(2*fadeDuration)) : (delay*1000);
+ }
+}
+// autoplay extension
+
+// audio extension
+function createSoundManagerScript() {
+ if(typeof soundManager=="undefined") {
+ onerrorSM2();
+ }else {
+ var script=document.createElement('SCRIPT');
+ var tx=document.createTextNode("soundManager.createMovie();");
+ script.appendChild(tx);
+ document.getElementsByTagName("body")[0].appendChild(script);
+ }
+}
+//soundManager.onload = function() {
+function onloadSM2() {
+ if(!isIE) { //(degrade IE)
+ swfUnloaded = false;
+ preloadSounds();
+ }
+}
+//soundManager.onerror = function() {
+function onerrorSM2() {
+ if(typeof soundManager!="undefined") {
+ soundManager.destruct;
+ delete soundManager;
+ }
+ audioSupport = false; swfUnloaded = true;
+ if(audioError && !isIE && !isOp) {
+ var dv = document.createElement('div'); dv.id = "guru";
+ var d2=document.createElement('div'); dv.appendChild(d2);
+ var tx=document.createTextNode('Guru Meditation - SoundManager failed to load/initialize!');
+ d2.appendChild(tx); document.getElementById('slide0').appendChild(dv);
+ }
+}
+function audioSetup() {
+ if(sound[0]) {
+ playSound(0);
+ }else if(sound[bgSoundItem] && !sound[0]) {
+ playSound(bgSoundItem);
+ }
+}
+function fadeoutSound(ids, option) {
+ if(curSoundID >= 0 && !swfUnloaded) {
+ if(isNaN(ids)) {
+ if(ids == "bgSound") {
+ var cnum = parseInt(bgSoundItem);
+ }else {
+ for (var i = 0; i < (sound.length-1); i++) {
+ if(sound[i] && ids == sound[i]["id"]) {
+ var cnum = i;
+ break;
+ }
+ }
+ }
+ }else {
+ var cnum = ids;
+ ids = sound[cnum]["id"];
+ }
+ var vol = getMaxVolume(sound[cnum]["volume"]);
+ var millisec = fadeDuration;
+ var speed = Math.round(millisec / vol);
+ var timer = 0;
+ for(var i = vol; i > 0; i--) {
+ setTimeout("fadeout(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ if(option) setTimeout("stopSound('"+ids+"')",millisec);
+ }
+}
+function fadeout(volume, id) {
+ soundManager.setVolume(id,volume);
+}
+function stopSound(ids) {
+ var sid;
+ if(isNaN(ids)) {
+ sid = ids;
+ }else if(sound[ids]) {
+ sid = sound[ids]["id"];
+ }
+ if(sid!='' && curSoundID >= 0) {
+ soundManager.stop(sid);
+ curSoundID = -1;
+ }
+}
+function toggleSounds() {
+ if(audioSupport && !swfUnloaded) {
+ stopAllSounds();
+ }else if (!audioSupport && !swfUnloaded) {
+ allowSounds();
+ }
+}
+function allowSounds() {
+ if(!swfUnloaded) {
+ audioSupport = true;
+ var obj = document.getElementById('audio'); changeClass(obj,'ison');
+ currentSlide();
+ }
+}
+function stopAllSounds() {
+ if(curSoundID >= 0) {
+ stopSound(curSoundID);
+ }else {
+ soundManager.stopAll();
+ curSoundID = -1;
+ }
+ audioSupport = false;
+ var obj = document.getElementById('audio'); changeClass(obj,'isoff');
+ currentSlide();
+}
+function playSound(id) {
+ if(audioSupport && !swfUnloaded) {
+ var url, sid, vol, lps, cnum;
+ if(isNaN(id)) {
+ sid = id;
+ if(sid == "bgSound") {
+ cnum = parseInt(bgSoundItem);
+ }else {
+ cnum = getSoundID(id);
+ }
+ }else {
+ cnum = parseInt(id);
+ if(sound[cnum]) sid = sound[cnum]["id"];
+ }
+ if(sound[cnum] && sound[cnum]["url"]!='' && sid!=''){
+ url = sound[cnum]["url"];
+ vol = (sound[cnum]["volume"]!='')?getMaxVolume(sound[cnum]["volume"]):getMaxVolume(100);
+ lps = (sound[cnum]["loops"])?true:false;
+ if(lps) {
+ soundManager.play(sid,{volume:vol,onplay:function(){curSoundID=cnum;},onfinish:function(){soundManager.play(sid,{volume:getMaxVolume(sound[cnum]["volume"])});}});
+ }else {
+ soundManager.play(sid,{volume:vol,onplay:function(){curSoundID=cnum;},onfinish:function(){curSoundID=-1;}});
+ }
+ }
+ }
+}
+function getSoundID(str) {
+ for (var i = 0; i < (sound.length-1); i++) {
+ if(sound[i] && str == sound[i]["id"]) {
+ var id = i;
+ break;
+ }
+ }
+ return id;
+}
+function setVolume() {
+ var vol = document.getElementById('volumelist');
+ if(audioSupport && !swfUnloaded) {
+ audioVolume = parseInt(vol.value);
+ if(curSoundID >= 0) {
+ if(sound[curSoundID]) {
+ var sid = sound[curSoundID]["id"];
+ var vid = getMaxVolume(sound[curSoundID]["volume"]);
+ soundManager.setVolume(sid,vid);
+ }
+ }
+ }
+}
+function getMaxVolume(value) {
+ if(audioVolume>0) {var factor = audioVolume/100;}else {var factor = 0;}
+ return Math.max(0,Math.min(parseInt(value*factor),audioVolume));
+}
+function preloadSounds() {
+ var temp = ''; var parm = ''; var t = ''; var cl = '';
+ var objects = document.getElementsByTagName('object');
+ for (var i=0; i < objects.length; i++) {
+ if(objects[i].type.toLowerCase() == 'audio/mp3' && objects[i].data != '') {
+ objects[i].width = 0; objects[i].height = 0;
+ if(objects[i].parentNode.tagName == 'DIV') {
+ cl = objects[i].parentNode.className.toLowerCase();
+ if(cl == 'presentation' || cl == 'slide') {
+ if(cl == 'presentation') {
+ t = parseInt(bgSoundItem);
+ }else {
+ t = parseInt(objects[i].parentNode.id.slice(5, objects[i].parentNode.id.length));
+ }
+ sound[t] = new Object();
+ if(t < bgSoundItem) {
+ sound[t]["id"] = objects[i].parentNode.id;
+ }else {
+ sound[t]["id"] = "bgSound";
+ }
+ sound[t]["url"] = objects[i].data;
+ sound[t]["volume"] = 100; sound[t]["loops"] = false;
+ if(objects[i].archive != '') {
+ parm = objects[i].archive.toLowerCase().split(',');
+ for (var j=0; j < parm.length; j++) {
+ if(parm[j] == 'loop') sound[t]["loops"] = true;
+ if(parm[j].search(/^volume/) != -1) {
+ var tmp = parm[j].split(':');
+ sound[t]["volume"] = parseInt(tmp[1]);
+ }
+ }
+ }
+ soundManager.createSound(sound[t]["id"],sound[t]["url"]);
+ }
+ }
+ }
+ }
+}
+// audio extension
+
+// help extension
+function createHelpReq() {
+ if(!document.getElementById("HelpReq")) {
+ var obj = document.getElementsByTagName("body")[0].firstChild;
+ var pg = document.createElement('div');
+ pg.id = "HelpReq";
+ if (pg.addEventListener) {
+ pg.addEventListener("onclick",dumpHelpReq,false);
+ } else if (pg.attachEvent) {
+ pg.attachEvent("onclick",dumpHelpReq);
+ }
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=90)";
+ } else {
+ pg.style.opacity = 0.9;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(64,64,64)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/help.jpg)";
+ document.getElementsByTagName("body")[0].insertBefore(pg,obj);
+ if(document.getElementById("HelpReq")) {
+ helpmode = true;
+ }
+ }
+}
+function dumpHelpReq() {
+ if(document.getElementById("HelpReq")) {
+ document.body.removeChild(document.getElementById('HelpReq'));
+ helpmode = false;
+ }
+}
+// help extension
+
+//DEBUG
+function ConsoleLog(value) {
+ if(window.console) {
+ window.console.log(value);
+ }
+}
+//DEBUG
+
+document.write('<style type="text/css" media="screen" id="blockStyle">.presentation, .layout {display: none; }</style>');
+
+if(!isIE && !isOp) {
+ document.write('<script type="text/javascript" src="'+s5Path+'ui/audio_support/soundmanager2.js"></script>');
+ if(typeof soundManager!="undefined") {
+ var allMetas = document.getElementsByTagName('meta');
+ for (var i = 0; i< allMetas.length; i++) {
+ if (allMetas[i].name == 'audioDebug') {
+ var audioDebug = (allMetas[i].content == "true") ? true : false;
+ soundManager.defaultOptions.debugMode = audioDebug;
+ }
+ }
+ }
+}
+
+window.onload = createSlideShow;
+window.onresize = function(){setTimeout('windowChange()',5);} \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/toggle_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/toggle_link.png
new file mode 100644
index 000000000..4588e2514
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/toggle_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/toggle_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/toggle_over.png
new file mode 100644
index 000000000..400f613b6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/toggle_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/volume_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/volume_link.png
new file mode 100644
index 000000000..f362acd1f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/volume_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/wrap.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/wrap.css
new file mode 100644
index 000000000..a37315552
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/wrap.css
@@ -0,0 +1,410 @@
+/********************************************************************
+Screen and Print Styles for the Wrap Plugin
+********************************************************************/
+
+/* tables in columns and boxes should span the whole width */
+.wrap_column table,
+.wrap_left table, .wrap_right table, .wrap_center table,
+.wrap_box table,
+.wrap_info table, .wrap_important table, .wrap_alert table, .wrap_tip table, .wrap_help table, .wrap_todo table, .wrap_download table {
+ width: 100%;
+}
+/* emulate a headline */
+.wrap_column em strong,
+.wrap_left em strong, .wrap_right em strong, .wrap_center em strong,
+.wrap_box em strong,
+.wrap_info em strong, .wrap_important em strong, .wrap_alert em strong, .wrap_tip em strong, .wrap_help em strong, .wrap_todo em strong, .wrap_download em strong {
+ font-size: 130%;
+ font-weight: bold;
+ font-style: normal;
+ display: block;
+}
+/* emulate a bigger headline with a bottom border */
+.wrap_column em strong em.u,
+.wrap_left em strong em.u, .wrap_right em strong em.u, .wrap_center em strong em.u,
+.wrap_box em strong em.u,
+.wrap_info em strong em.u, .wrap_important em strong em.u, .wrap_alert em strong em.u, .wrap_tip em strong em.u, .wrap_help em strong em.u, .wrap_todo em strong em.u, .wrap_download em strong em.u {
+ font-size: 115%;
+ border-bottom: 1px solid __border__;
+ font-style: normal;
+ text-decoration: none;
+ display: block;
+}
+/* different bigger headline for safety notes */
+.wrap_danger em strong em.u, .wrap_warning em strong em.u, .wrap_caution em strong em.u, .wrap_notice em strong em.u, .wrap_safety em strong em.u {
+ font-size: 115%;
+ font-style: normal;
+ text-decoration: none;
+ display: block;
+ text-transform: uppercase;
+ border-bottom-width: 0;
+}
+/* change border colour of emulated headlines inside boxes to something more neutral
+ (to match all the different background colours) */
+.wrap_box em strong em.u,
+.wrap_info em strong em.u, .wrap_important em strong em.u, .wrap_alert em strong em.u, .wrap_tip em strong em.u, .wrap_help em strong em.u, .wrap_todo em strong em.u, .wrap_download em strong em.u {
+ border-bottom-color: #999;
+}
+
+
+/* columns
+********************************************************************/
+
+.wrap_left,
+.wrap_column {
+ float: left;
+ margin-right: 1.5em;
+}
+.wrap_right {
+ float: right;
+ margin-left: 1.5em;
+}
+.wrap_center {
+ display: block;
+ margin-left: auto;
+ margin-right: auto;
+}
+/*
+.wrap_column,
+.wrap_left,
+.wrap_right {
+ overflow: hidden;
+}
+*/
+.wrap_container {
+ margin-right: -1.5em;
+}
+
+
+/* alignments
+********************************************************************/
+
+.wrap_leftalign {
+ text-align: left;
+}
+.wrap_centeralign {
+ text-align: center;
+}
+.wrap_rightalign {
+ text-align: right;
+}
+.wrap_justify {
+ text-align: justify;
+}
+
+
+/* box
+********************************************************************/
+
+/* see styles for boxes and notes with icons in style.css */
+
+/*____________ rounded corners ____________*/
+/* (only for modern browsers) */
+
+div.wrap_round {
+ border-radius: 20px;
+ -moz-border-radius: 20px;
+ -webkit-border-radius: 20px;
+ -khtml-border-radius: 20px;
+}
+span.wrap_round {
+ border-radius: 2px;
+ -moz-border-radius: 2px;
+ -webkit-border-radius: 2px;
+ -khtml-border-radius: 2px;
+}
+
+
+/* mark
+********************************************************************/
+
+div.wrap_lo {
+ color: __text_neu__;
+ font-size: 85%;
+}
+div.wrap_em {
+ color: #c00;
+ font-weight: bold;
+}
+
+/* see styles for highlighted text in style.css */
+
+
+/* typography
+********************************************************************/
+
+/*____________ fonts ____________*/
+
+div.wrap_sansserif {
+ font-family: Verdana,Tahoma,Geneva,"DejaVu Sans","Bitstream Vera Sans","Liberation Sans", Arial,Helvetica,FreeSans,"Liberation Sans","Nimbus Sans L", sans-serif;
+}
+div.wrap_serif {
+ font-family: Georgia,Garamond,"Palatino Linotype","Book Antiqua",Palatino,Palladio,"URW Palladio L","Liberation Serif",Didot,Gentium,"Bitstream Charter","Century Schoolbook L", "Times New Roman",Times,"Nimbus Roman No9 L","FreeSerif", serif;
+}
+div.wrap_monospace {
+ font-family: Courier,"Courier New",FreeMono,"Nimbus Mono L","Liberation Mono", "Lucida Console",Monaco,"DejaVu Sans Mono","Bitstream Vera Sans Mono", monospace;
+}
+
+/*____________ font size ____________*/
+
+div.wrap_bigger {
+ font-size: 125%;
+}
+div.wrap_muchbigger {
+ font-size: 200%;
+}
+div.wrap_smaller {
+ font-size: 75%;
+}
+
+/*____________ font colours ____________*/
+
+div.wrap_fgred {
+ color: #900;
+}
+div.wrap_fggreen {
+ color: #090;
+}
+div.wrap_fgblue {
+ color: #009;
+}
+div.wrap_fgcyan {
+ color: #099;
+}
+div.wrap_fgviolet {
+ color: #909;
+}
+div.wrap_fgyellow {
+ color: #990;
+}
+div.wrap_fggrey {
+ color: #666;
+}
+div.wrap_fgblack {
+ color: #000;
+}
+
+/* see styles for background colours and white font colour in style.css */
+
+
+/* miscellaneous
+********************************************************************/
+
+/*____________ indent ____________*/
+
+div.wrap_indent {
+ padding-left: 1.5em;
+}
+
+/*____________ outdent ____________*/
+
+div.wrap_outdent {
+ margin-left: -1.5em;
+}
+
+/*____________ word wrapping in pre ____________*/
+
+divdiv.wrap_prewrap pre {
+ white-space: pre-wrap;
+ word-wrap: break-word;/* for IE < 8 */
+ /* white-space: -moz-pre-wrap; for FF < 3 */
+}
+
+/*____________ spoiler ____________*/
+
+divdiv.wrap_spoiler {
+ margin-bottom: 1.5em;
+}
+/* see rest of spoiler styles in style.css */
+
+/*____________ clear float ____________*/
+
+div.wrap_clear {
+ clear: both;
+ line-height: 0;
+ height: 0;
+ font-size: 1px;
+ visibility: hidden;
+ overflow: hidden;
+}
+
+/*____________ hide ____________*/
+
+div.wrap_hide {
+ display: none;
+}
+
+
+/* STYLE.CSS */
+
+/* box
+********************************************************************/
+
+.wrap_box {
+ background: __background_alt__;
+ color: __text__;
+/*
+ overflow: hidden;
+*/
+}
+div.wrap_box,
+div.wrap_danger, div.wrap_warning, div.wrap_caution, div.wrap_notice, div.wrap_safety {
+ padding: 1em 1em .5em;
+ margin-bottom: 1.5em;
+}
+span.wrap_box,
+span.wrap_danger, span.wrap_warning, span.wrap_caution, span.wrap_notice, span.wrap_safety {
+ padding: 0 .3em;
+}
+
+/*____________ notes with icons ____________*/
+
+/* general styles for all note divs */
+div.wrap_info, div.wrap_important, div.wrap_alert, div.wrap_tip, div.wrap_help, div.wrap_todo, div.wrap_download {
+ padding: 1em 1em .5em 70px;
+ margin-bottom: 1.5em;
+ min-height: 68px;
+ background-position: 10px 50%;
+ background-repeat: no-repeat;
+ color: #000;
+}
+/* special treatment for IE6 */
+* html div.wrap_info, * html div.wrap_important, * html div.wrap_alert, * html div.wrap_tip, * html div.wrap_help, * html div.wrap_todo, * html div.wrap_download {
+ height: 68px;
+}
+/* general styles for all note spans */
+span.wrap_info, span.wrap_important, span.wrap_alert, span.wrap_tip, span.wrap_help, span.wrap_todo, span.wrap_download {
+ padding: 0 2px 0 20px;
+ min-height: 20px;
+ background-position: 2px 50%;
+ background-repeat: no-repeat;
+ color: #000;
+}
+/* special treatment for IE6 */
+* html span.wrap_info, * html span.wrap_important, * html span.wrap_alert, * html span.wrap_tip, * html span.wrap_help, * html span.wrap_todo, * html span.wrap_download {
+ height: 20px;
+}
+
+/*____________ info ____________*/
+.wrap_info { background-color: #d1d7df; }
+div.wrap_info { background-image: url(../../../wrap/images/note/48/info.png); }
+span.wrap_info { background-image: url(../../../wrap/images/note/16/info.png); }
+
+/*____________ important ____________*/
+.wrap_important { background-color: #ffd39f; }
+div.wrap_important { background-image: url(../../../wrap/images/note/48/important.png); }
+span.wrap_important { background-image: url(../../../wrap/images/note/16/important.png); }
+
+/*____________ alert ____________*/
+.wrap_alert { background-color: #ffbcaf; }
+div.wrap_alert { background-image: url(../../../wrap/images/note/48/alert.png); }
+span.wrap_alert { background-image: url(../../../wrap/images/note/16/alert.png); }
+
+/*____________ tip ____________*/
+.wrap_tip { background-color: #fff79f; }
+div.wrap_tip { background-image: url(../../../wrap/images/note/48/tip.png); }
+span.wrap_tip { background-image: url(../../../wrap/images/note/16/tip.png); }
+
+/*____________ help ____________*/
+.wrap_help { background-color: #dcc2ef; }
+div.wrap_help { background-image: url(../../../wrap/images/note/48/help.png); }
+span.wrap_help { background-image: url(../../../wrap/images/note/16/help.png); }
+
+/*____________ todo ____________*/
+.wrap_todo { background-color: #c2efdd; }
+div.wrap_todo { background-image: url(../../../wrap/images/note/48/todo.png); }
+span.wrap_todo { background-image: url(../../../wrap/images/note/16/todo.png); }
+
+/*____________ download ____________*/
+.wrap_download { background-color: #d6efc2; }
+div.wrap_download { background-image: url(../../../wrap/images/note/48/download.png); }
+span.wrap_download { background-image: url(../../../wrap/images/note/16/download.png); }
+
+
+/*____________ safety notes ____________*/
+
+.wrap_danger {
+ background-color: #c00;
+ color: #fff;
+}
+.wrap_warning {
+ background-color: #f60;
+ color: #000;
+}
+.wrap_caution {
+ background-color: #ff0;
+ color: #000;
+}
+.wrap_notice {
+ background-color: #06f;
+ color: #fff;
+}
+.wrap_safety {
+ background-color: #090;
+ color: #fff;
+}
+
+
+/* mark
+********************************************************************/
+
+div.wrap_hi {
+ background-color: #ff9;
+}
+
+/* typography
+********************************************************************/
+
+/*____________ font colours ____________*/
+
+div.wrap_fgwhite {
+ color: #fff;
+}
+
+/*____________ background colours ____________*/
+
+div.wrap_bgred {
+ background-color: #fcc;
+}
+div.wrap_bggreen {
+ background-color: #cfc;
+}
+div.wrap_bgblue {
+ background-color: #ccf;
+}
+div.wrap_bgcyan {
+ background-color: #9ff;
+}
+div.wrap_bgviolet {
+ background-color: #f9f;
+}
+div.wrap_bgyellow {
+ background-color: #ff9;
+}
+div.wrap_bggrey {
+ background-color: #ccc;
+}
+div.wrap_bgwhite {
+ background-color: #fff;
+}
+div.wrap_bgblack {
+ background-color: #000;
+}
+
+
+/* miscellaneous
+********************************************************************/
+
+/*____________ spoiler ____________*/
+
+div.wrap_spoiler {
+ background-color: __background__ !important;
+ color: __background__ !important;
+ border: 1px dotted red;
+}
+
+/*____________ only print ____________*/
+
+div.wrap_onlyprint {
+ display: none;
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/zero_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/zero_link.png
new file mode 100644
index 000000000..5610884d9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/zero_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/zero_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/zero_over.png
new file mode 100644
index 000000000..3a14a577e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/garlandica/zero_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/LEEME.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/LEEME.txt
new file mode 100755
index 000000000..f656fa7de
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/LEEME.txt
@@ -0,0 +1,32 @@
+GORILLA
+(Based on the gorilla icon from the gorilla icon theme by Jakub Steiner)
+
+Tema para S5, creado originalmente por jEsuSdA 8)
+http://www.jesusda.com
+
+M谩s temas en: http://www.jesusda.com/projects/presentaciones_s5
+
+
+
+Para customizar este tema modifica el archivo CSS:
+
+s5-ultraviolet/ui/default/pretty.css
+
+
+
+Los archivos gr谩ficos referentes al tema est谩n en la carpeta:
+
+/ui/default/pics
+
+
+Puedes personalizar el favicon copiando el tuyo en la carpeta:
+
+/ui/default/pics
+
+con el nombre favicon.ico
+
+
+
+Para cualquier sugerencia e idea, por favor, contacta conmigo a trav茅s de mi web. ;)
+
+--jEsuSdA 8) \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/audio_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/audio_link.png
new file mode 100644
index 000000000..daa740c40
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/audio_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/audio_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/audio_on.png
new file mode 100644
index 000000000..cd590aae9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/audio_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/audio_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/audio_over.png
new file mode 100644
index 000000000..cf537a008
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/audio_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/auto_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/auto_link.png
new file mode 100644
index 000000000..1c2ca4817
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/auto_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/auto_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/auto_on.png
new file mode 100644
index 000000000..4b0c923b8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/auto_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/auto_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/auto_over.png
new file mode 100644
index 000000000..dbea88975
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/auto_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/backgrnd.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/backgrnd.png
new file mode 100644
index 000000000..0e48413d3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/backgrnd.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/blank.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/blank.gif
new file mode 100755
index 000000000..75b945d25
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/blank.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/delay_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/delay_link.png
new file mode 100644
index 000000000..c4d99cd57
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/delay_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/exit_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/exit_link.png
new file mode 100644
index 000000000..1bfd38790
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/exit_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/exit_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/exit_over.png
new file mode 100644
index 000000000..0fdd1c605
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/exit_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/fade_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/fade_link.png
new file mode 100644
index 000000000..482e4d53e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/fade_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/fade_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/fade_on.png
new file mode 100644
index 000000000..e12561fb2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/fade_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/fade_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/fade_over.png
new file mode 100644
index 000000000..fb8943c98
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/fade_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/footer.jpg b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/footer.jpg
new file mode 100644
index 000000000..49370dbba
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/footer.jpg
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/framing.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/framing.css
new file mode 100755
index 000000000..14d8509e9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/framing.css
@@ -0,0 +1,23 @@
+/* The following styles size, place, and layer the slide components.
+ Edit these if you want to change the overall slide layout.
+ The commented lines can be uncommented (and modified, if necessary)
+ to help you with the rearrangement process. */
+
+/* target = 1024x768 */
+
+div#header, div#footer, .slide {width: 100%; top: 0; left: 0;}
+div#header {top: 0; height: 3em; z-index: 1;}
+div#footer {top: auto; bottom: 0; height: 2.5em; z-index: 5;}
+.slide {top: 0; width: 92%; padding: 3.5em 4% 4%; z-index: 2; list-style: none;}
+div#controls {left: 50%; bottom: 0; width: 50%; z-index: 100;}
+div#controls form {position: absolute; bottom: 0; right: 0; width: 100%;
+ margin: 0;}
+#currentSlide {position: absolute; width: 10%; left: 45%; bottom: 1em; z-index: 10;}
+html>body #currentSlide {position: fixed;}
+
+/*
+div#header {background: #FCC;}
+div#footer {background: #CCF;}
+div#controls {background: #BBD;}
+div#currentSlide {background: #FFC;}
+*/
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/header.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/header.png
new file mode 100644
index 000000000..929dabba1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/header.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/iepngfix.htc b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/iepngfix.htc
new file mode 100755
index 000000000..bba2db756
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/iepngfix.htc
@@ -0,0 +1,42 @@
+<public:component>
+<public:attach event="onpropertychange" onevent="doFix()" />
+
+<script>
+
+// IE5.5+ PNG Alpha Fix v1.0 by Angus Turnbull http://www.twinhelix.com
+// Free usage permitted as long as this notice remains intact.
+
+// This must be a path to a blank image. That's all the configuration you need here.
+var blankImg = 'ui/default/blank.gif';
+
+var f = 'DXImageTransform.Microsoft.AlphaImageLoader';
+
+function filt(s, m) {
+ if (filters[f]) {
+ filters[f].enabled = s ? true : false;
+ if (s) with (filters[f]) { src = s; sizingMethod = m }
+ } else if (s) style.filter = 'progid:'+f+'(src="'+s+'",sizingMethod="'+m+'")';
+}
+
+function doFix() {
+ if ((parseFloat(navigator.userAgent.match(/MSIE (\S+)/)[1]) < 5.5) ||
+ (event && !/(background|src)/.test(event.propertyName))) return;
+
+ if (tagName == 'IMG') {
+ if ((/\.png$/i).test(src)) {
+ filt(src, 'image'); // was 'scale'
+ src = blankImg;
+ } else if (src.indexOf(blankImg) < 0) filt();
+ } else if (style.backgroundImage) {
+ if (style.backgroundImage.match(/^url[("']+(.*\.png)[)"']+$/i)) {
+ var s = RegExp.$1;
+ style.backgroundImage = '';
+ filt(s, 'crop');
+ } else filt();
+ }
+}
+
+doFix();
+
+</script>
+</public:component> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/index.html b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/index.html
new file mode 100755
index 000000000..39d8f46ad
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/index.html
@@ -0,0 +1,100 @@
+<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Strict//EN"
+ "http://www.w3.org/TR/xhtml1/DTD/xhtml1-strict.dtd">
+
+<html xmlns="http://www.w3.org/1999/xhtml" xml:lang="es" lang="es-es" >
+
+
+<head>
+
+ <title>[T铆tulo de la presentaci贸n aqu铆]</title>
+ <!-- metadata -->
+ <meta http-equiv="content-type" content="text/html; charset=utf-8" />
+ <meta http-equiv="content-language" content="es" />
+
+ <!--titulo y datos de publicaci贸n de la presentaci贸n-->
+
+ <meta name="description" content="[T铆tulo de la presentaci贸n aqu铆]" />
+
+ <meta name="keywords" content="[keywords aqu铆]" />
+ <meta name="presdate" content="[Aqu铆 la fecha de publicaci贸n en formato aaaammdd]" />
+
+ <meta name="author" lang="es" content="[Nombre del autor de la presentaci贸n aqu铆]" />
+ <meta name="company" content="[Organizaci贸n del autor de la presentaci贸n aqu铆]" />
+
+ <link rel="shortcut icon" href="ui/default/pics/favicon.ico" />
+
+ <meta name="generator" content="S5" />
+ <meta name="progid" content="quanta+" />
+ <meta name="version" content="S5 路 jEsuSdA 路 1.1" />
+ <!--Versi贸n adaptada del estandard S5 1.1 por jEsuSdA-->
+
+ <meta name="robots" content="all" />
+
+ <!-- configuration parameters -->
+ <meta name="defaultView" content="slideshow" />
+ <meta name="controlVis" content="hidden" />
+
+ <!-- style sheet links -->
+ <link rel="stylesheet" href="ui/default/slides.css" type="text/css" media="projection" id="slideProj" />
+ <link rel="stylesheet" href="ui/default/outline.css" type="text/css" media="screen" id="outlineStyle" />
+ <link rel="stylesheet" href="ui/default/print.css" type="text/css" media="print" id="slidePrint" />
+ <link rel="stylesheet" href="ui/default/opera.css" type="text/css" media="projection" id="operaFix" />
+
+ <!-- S5 JS -->
+ <script src="ui/default/slides.js" type="text/javascript"></script>
+
+</head>
+
+<body>
+
+ <div class="layout">
+ <div id="controls"><!-- NO EDITAR --></div>
+ <div id="currentSlide"><!-- NO EDITAR --></div>
+
+ <div id="header">
+ <div id="logo"><!-- NO EDITAR --></div>
+ </div>
+
+ </div>
+
+ <div id="footer">
+ <h1>[Lugar/Fecha de la presentaci贸n aqu铆]</h1>
+ <h2>[T铆tulo de la presentaci贸n aqu铆]</h2>
+ </div>
+
+
+
+
+<div class="presentation">
+
+ <div class="slide">
+ <h1>[T铆tulo de la presentaci贸n aqu铆]</h1>
+ <h3>[Nombre del ponente aqu铆]</h3>
+ <h4>[Datos extra aqu铆]</h4>
+ </div>
+
+
+ <div class="slide">
+ <h1>[T铆tulo de la diapositiva]</h1>
+
+ <ul>
+ <li>[Punto uno]</li>
+ <li>[Punto dos]</li>
+ <li>[Punto tres]</li>
+ <li>[Punto cuatro]</li>
+ <li>[Punto cinco]</li>
+ </ul>
+
+ <div class="handout">
+
+ [A帽ade aqu铆 cualquier nota o informaci贸n que desees mostrar en la versi贸n impresa, pero no en la versi贸n en pantalla]
+
+ </div>
+
+ </div>
+ <!--fin slide-->
+
+</div>
+
+</body>
+</html>
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/last_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/last_link.png
new file mode 100644
index 000000000..4f158d35d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/last_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/last_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/last_over.png
new file mode 100644
index 000000000..a7b629995
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/last_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/list_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/list_link.png
new file mode 100644
index 000000000..7e299bb50
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/list_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/loop_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/loop_link.png
new file mode 100644
index 000000000..41e12cb15
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/loop_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/loop_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/loop_on.png
new file mode 100644
index 000000000..2ffcacae1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/loop_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/loop_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/loop_over.png
new file mode 100644
index 000000000..9fe420153
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/loop_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/next_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/next_link.png
new file mode 100644
index 000000000..2b9f44c4d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/next_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/next_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/next_over.png
new file mode 100644
index 000000000..25788124d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/next_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/notes_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/notes_link.png
new file mode 100644
index 000000000..bb4a8ae37
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/notes_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/notes_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/notes_over.png
new file mode 100644
index 000000000..c6bfe69fc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/notes_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/opera.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/opera.css
new file mode 100755
index 000000000..9e9d2a3c5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/opera.css
@@ -0,0 +1,7 @@
+/* DO NOT CHANGE THESE unless you really want to break Opera Show */
+.slide {
+ visibility: visible !important;
+ position: static !important;
+ page-break-before: always;
+}
+#slide0 {page-break-before: avoid;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/outline.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/outline.css
new file mode 100755
index 000000000..62db519ed
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/outline.css
@@ -0,0 +1,15 @@
+/* don't change this unless you want the layout stuff to show up in the outline view! */
+
+.layout div, #footer *, #controlForm * {display: none;}
+#footer, #controls, #controlForm, #navLinks, #toggle {
+ display: block; visibility: visible; margin: 0; padding: 0;}
+#toggle {float: right; padding: 0.5em;}
+html>body #toggle {position: fixed; top: 0; right: 0;}
+
+/* making the outline look pretty-ish */
+
+#slide0 h1, #slide0 h2, #slide0 h3, #slide0 h4 {border: none; margin: 0;}
+#slide0 h1 {padding-top: 1.5em;}
+.slide h1 {margin: 1.5em 0 0; padding-top: 0.25em;
+ border-top: 1px solid #888; border-bottom: 1px solid #AAA;}
+#toggle {border: 1px solid; border-width: 0 0 1px 1px; background: #FFF;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pause_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pause_link.png
new file mode 100644
index 000000000..2561cb4a4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pause_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pause_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pause_on.png
new file mode 100644
index 000000000..2fc9c4a4a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pause_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pause_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pause_over.png
new file mode 100644
index 000000000..7a7c80ddc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pause_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/back-handout-print.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/back-handout-print.png
new file mode 100755
index 000000000..9e49dd625
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/back-handout-print.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/back-slide-print.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/back-slide-print.png
new file mode 100755
index 000000000..e8b5f9d82
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/back-slide-print.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/back_console.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/back_console.png
new file mode 100755
index 000000000..ac1708379
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/back_console.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/background-foot.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/background-foot.png
new file mode 100755
index 000000000..e007d2946
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/background-foot.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/background-head.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/background-head.png
new file mode 100755
index 000000000..20c90e79d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/background-head.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/background-main.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/background-main.png
new file mode 100755
index 000000000..28e8eee94
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/background-main.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/background-main2.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/background-main2.png
new file mode 100755
index 000000000..074709b5f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/background-main2.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/favicon.ico b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/favicon.ico
new file mode 100755
index 000000000..834bd85a5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/favicon.ico
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/logo.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/logo.png
new file mode 100755
index 000000000..b542561d7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/logo.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/table-background.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/table-background.png
new file mode 100755
index 000000000..89a7e4fa2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/table-background.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/table-header.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/table-header.png
new file mode 100755
index 000000000..565c6fd87
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pics/table-header.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pretty.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pretty.css
new file mode 100755
index 000000000..aebdafd61
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/pretty.css
@@ -0,0 +1,583 @@
+/* Following are the presentation styles -- edit away! */
+
+body
+{
+ background: #FFF url(pics/background-main.png) top left repeat;
+ color: #5d4e3b; font-size: 2em;
+/* font-family: Trebuchet MS, Arial, Helvetica, sans-serif; */
+ font-family: Arial, Helvetica, sans-serif;
+
+}
+
+:link, :visited
+{
+ text-decoration: none;
+ color: #00C;
+}
+
+
+
+h1, h2, h3, h4
+{
+ font-size: 100%;
+ margin: 0;
+ padding: 0;
+ font-weight: inherit;
+}
+
+h1 abbr
+{
+ font-variant: small-caps;
+}
+
+ul, pre
+{
+ margin: 0;
+ line-height: 1em;
+}
+
+html, body
+{
+ margin: 0;
+ padding: 0;
+}
+
+blockquote, q
+{
+ font-style: italic;
+}
+
+blockquote
+{
+ padding: 0 2em 0.5em;
+ margin: 0 1.5em 0.5em;
+ text-align: center;
+ font-size: 1em;
+}
+
+blockquote p
+{
+ margin: 0;
+}
+
+blockquote i
+{
+ font-style: normal;
+}
+
+blockquote b
+{
+ display: block;
+ margin-top: 0.5em;
+ font-weight: normal;
+ font-size: smaller;
+ font-style: normal;
+}
+
+blockquote b i
+{
+ font-style: italic;
+}
+
+kbd
+{
+ font-weight: bold;
+ font-size: 1em;
+}
+
+sup
+{
+ font-size: smaller;
+ line-height: 1px;
+}
+
+
+ul.urls
+{
+ list-style: none;
+ display: inline;
+ margin: 0;
+}
+
+.urls li
+{
+ display: inline;
+ margin: 0;
+}
+
+.notes
+{
+ display: none;
+}
+
+.external
+{
+ border-bottom: 1px dotted gray;
+}
+
+html>body .external
+{
+ border-bottom: none;
+}
+
+.external:after
+{
+ content: " \274F";
+ font-size: smaller;
+ color: #fff;
+}
+
+.incremental, .incremental *, .incremental *:after
+{
+ color: #fff;
+ visibility: visible;
+}
+
+img.incremental
+{
+ visibility: hidden;
+}
+
+.slide .current
+{
+ color: #fff;
+}
+
+
+/* ============== */
+/* === SLIDES === */
+/* ============== */
+
+.slide code
+{
+ padding: 2px 0.25em;
+ font-weight: bold;
+}
+
+.slide code.bad, code del
+{
+ color: red;
+}
+
+.slide code.old
+{
+ color: silver;
+}
+
+.slide pre
+{
+
+ color: #ffca00;
+ display:block;
+ font-weight:bold;
+ font-family: Arial, Sans-Serif !important;
+ font-size:41.5%;
+ line-height:1.5em;
+ background:#2e3436 url(pics/back_console.png) right bottom no-repeat ;
+ border:5px solid #ccc;
+ padding:1em 1em 1em 1em;
+
+
+}
+
+.slide pre code
+{
+ font-family: Arial, Sans-Serif !important;
+ display:block;
+ margin:0;
+ padding:0;
+}
+
+
+
+.slide ul
+{
+ margin-left: 5%;
+ margin-right: 7%;
+ list-style: disc;
+}
+
+.slide li
+{
+ margin-top: 0.75em;
+ margin-right: 0;
+}
+
+.slide ul ul
+{
+ line-height: 1;
+}
+
+.slide ul ul li
+{
+ margin: .2em;
+ font-size: 85%;
+ list-style: square;
+}
+
+.slide img.leader
+{
+ display: block;
+ margin: 0 auto;
+}
+
+
+/* ========================= */
+/* === HEADER AND FOOTER === */
+/* ========================= */
+
+div#logo
+{
+ position:absolute;
+ top:0%;
+ right:0%;
+ background:url(pics/logo.png) 50% 50% no-repeat;
+ height:200%;
+ width:150px;
+}
+
+div#header, div#footer
+{
+ background: #a80231;
+ color: #FFF;
+}
+
+
+div#header
+{
+ background:url(pics/background-head.png) bottom left no-repeat transparent;
+ line-height: 1px;
+}
+
+div#footer
+{
+ background:#7ea1c9 url(pics/background-foot.png) top left repeat-x;
+ font-size: 0.5em;
+ font-weight: bold;
+ padding: 1em 0;
+}
+
+
+
+
+
+#footer h1, #footer h2
+{
+ display: block;
+ padding: 0 1em;
+}
+
+#footer h2
+{
+ font-style: italic;
+}
+
+
+
+/* ============== */
+/* === CLASES === */
+/* ============== */
+
+div.long
+{
+ font-size: 0.75em;
+}
+
+.slide h1
+{
+ position: absolute;
+ top: 0.7em;
+ left: 87px;
+ z-index: 1;
+ margin: 0;
+ padding: 0.3em 0 0 50px;
+ white-space: nowrap;
+ font-weight: bold;
+ font-size: 150%/1em;
+
+ text-transform: capitalize;
+ color: #fff;
+ background: transparent;
+}
+
+.slide h3
+{
+ font-size: 130%;
+}
+
+/* ================ */
+/* === CONTROLS === */
+/* ================ */
+
+#currentSlide
+{
+ text-align: center;
+ font-size: 0.6em;
+ font-weight:bold;
+ color: #fff;
+}
+
+#slide0
+{
+ padding-top: 3.5em;
+ font-size: 90%;
+}
+
+#slide0 h1
+{
+ position: static;
+ margin: 1em 0 0;
+ padding: 0;
+/* font: bold 2em Helvetica, sans-serif; */
+ font-weight: bold;
+ font-size:2em;
+ white-space: normal;
+ color: #000;
+ background: transparent;
+}
+
+#slide0 h2
+{
+ font-weight: bold;
+ font-style: italic;
+ font-size: 1em;
+ margin: 0.25em;
+}
+
+#slide0 h3
+{
+ margin-top: 1.5em;
+ font-size: 1.5em;
+}
+
+#slide0 h4
+{
+ margin-top: 0;
+ font-size: 1em;
+}
+
+/* ================ */
+/* === JESUSDA === */
+/* ================ */
+
+.first-pic
+{
+
+ float:right;
+ margin-top:-2em;
+}
+
+/* ================ */
+
+.align-center
+{
+ text-align:center;
+}
+/* ================ */
+
+.float-left , .left-column
+{
+ float:left !important;
+ width:49% !important;
+}
+
+.float-right , .right-column
+{
+ float:right !important;
+ width:49% !important;
+}
+/* ================ */
+.fullscreen
+{
+ z-index:1000 !important;
+ position:fixed !important;
+ top:0;
+ left:0;
+ width:100% !important;
+ height:100% !important;
+
+ background: #fff url(pics/background-main.png) top left no-repeat;
+
+ text-align:center;
+ margin-left:-1.65em;
+
+}
+
+.fullscreen h1
+{
+ display:none;
+}
+
+.rotulo
+{
+ font-size:800%;
+ text-align:center;
+ margin-top:-0.05em;
+
+}
+
+.rotulo2
+{
+ font-size:200%;
+ text-align:center;
+ font-weight:bolder;
+ margin-top:-0.05em;
+
+}
+
+.align-center img
+{
+ margin:auto;
+ text-align:center;
+ margin:0;
+}
+
+/* ========================= */
+/* === TABLAS === */
+/* ========================= */
+
+table
+{
+ background:url(pics/table-background.png) bottom left repeat-x #fff;
+ margin-right:1em;
+ font-size:70%;
+ border:1px solid #5d4e3b !important;
+ border-spacing: 0;
+ text-align:center;
+}
+
+table td
+{
+ padding:0.5em;
+ font-size:90%;
+ border:1px solid #5d4e3b !important;
+ border-spacing: 0;
+ text-align:center;
+}
+
+th
+{
+ background:url(pics/table-header.png) bottom left repeat-x #6b5a45;
+ color:#fff;
+}
+
+th a
+{
+ color:#fff !important;
+}
+
+
+
+table caption
+{
+ margin-bottom:1em;
+ margin-top:1em;
+ margin-left:-7em;
+
+
+}
+
+
+display-none
+{
+ display:none;
+}
+
+div#controls {position: absolute; left: 0; bottom: 0; width: 100%; text-align: center; font: bold 1em Verdana, Arial, Helvetica, sans-serif;}
+html>body div#controls {background: transparent; position: fixed; padding: 0; top: auto; text-align: center;}
+#controls #sheet {display: none;}
+#controls #controlForm {height: 32px; width: 100%; text-align: center; vertical-align: middle;}
+#controls #navLinks {border-top: 1px solid #999; overflow: hidden; padding: 0; margin: 0; height: 32px; width: 100%; background-color: #808080; text-align: center; vertical-align: middle; white-space: nowrap;}
+#controls #navLinks ul {margin: 0 auto; padding: 0; height: 32px; width: 500px; white-space: nowrap; text-align: center;}
+#controls #navLinks ul li {list-style: none; display: inline; margin: 0; padding: 0; float: left; height: 32px; width: 32px; text-align: center; background-color: transparent;}
+#controls #navLinks ul li.vr {list-style: none; display: inline; margin: 0px 2px; padding: 0; float: left; height: 32px; width: 1px; text-align: center; background-color: #606060;}
+#controls #navLinks ul li a {margin: 0; padding: 0; float: left; height: 32px; width: 32px; background-color: transparent;}
+
+#controls #navLinks ul li#exit {background: url(exit_link.png) no-repeat top left;}
+#controls #navLinks ul li#exit a:hover {background: url(exit_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#toggle {background: url(toggle_link.png) no-repeat top left;}
+#controls #navLinks ul li#toggle a:hover {background: url(toggle_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#show-notes {background: url(notes_link.png) no-repeat top left;}
+#controls #navLinks ul li#show-notes a:hover {background: url(notes_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#zero {background: url(zero_link.png) no-repeat top left;}
+#controls #navLinks ul li#zero a:hover {background: url(zero_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#prev {background: url(prev_link.png) no-repeat top left;}
+#controls #navLinks ul li#prev a:hover {background: url(prev_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#next {background: url(next_link.png) no-repeat top left;}
+#controls #navLinks ul li#next a:hover {background: url(next_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#last {background: url(last_link.png) no-repeat top left;}
+#controls #navLinks ul li#last a:hover {background: url(last_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#lst {background: url(list_link.png) no-repeat top left;}
+
+#controls #navLinks ul li#fade a.isoff {background: url(fade_link.png) no-repeat top left;}
+#controls #navLinks ul li#fade a.ison {background: url(fade_on.png) no-repeat top right;}
+#controls #navLinks ul li#fade a:hover {background: url(fade_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#audio {background: url(audio_link.png) no-repeat top left;}
+#controls #navLinks ul li#audio a.isoff {background: url(audio_link.png) no-repeat top left;}
+#controls #navLinks ul li#audio a.ison {background: url(audio_on.png) no-repeat top right;}
+#controls #navLinks ul li#audio a:hover {background: url(audio_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#vol {background: url(volume_link.png) no-repeat top left;}
+
+#controls #navLinks ul li#auto a.isoff {background: url(auto_link.png) no-repeat top left;}
+#controls #navLinks ul li#auto a.ison {background: url(auto_on.png) no-repeat top right;}
+#controls #navLinks ul li#auto a:hover {background: url(auto_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#pause a.isoff {background: url(pause_link.png) no-repeat top left;}
+#controls #navLinks ul li#pause a.ison {background: url(pause_on.png) no-repeat top right;}
+#controls #navLinks ul li#pause a:hover {background: url(pause_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#loop a.isoff {background: url(loop_link.png) no-repeat top left;}
+#controls #navLinks ul li#loop a.ison {background: url(loop_on.png) no-repeat top right;}
+#controls #navLinks ul li#loop a:hover {background: url(loop_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#del {background: url(delay_link.png) no-repeat top left;}
+
+#jumplist, #volumelist, #delaylist {padding: 0; margin: 0; width: 32px; height: 32px; cursor: n-resize;}
+
+#guru {position: absolute; visibility: visible; left: 0px; top: 0px; padding: 4px; width: 99%; height: auto; text-align: center; background-color: black; z-index: 10;}
+#guru div {border: solid 3px red; padding: 4px; font-family: monospace; font-size: 60%; width: auto; height: auto; color: red; text-align: center;}
+
+/*
+#currentSlide {white-space: nowrap; text-align: center; margin-bottom: -0.5em; font-size: 0.5em; background-color: transparent; color: #999;}
+
+#slide0 {padding-top: 3.5em; font-size: 90%;}
+#slide0 h1 {position: static; margin: 1em 0 0; padding: 0; font: bold 2em Verdana, Arial, Helvetica, sans-serif; font-variant: small-caps; text-shadow: 0.1em 0.1em 0.1em #666; white-space: normal; color: #222; background-color: transparent;}
+#slide0 h2 {font: bold italic 1em Arial, Helvetica, sans-serif; margin: 0.25em;}
+#slide0 h3 {margin-top: 1.5em; font-size: 1.5em;}
+#slide0 h4 {margin-top: 0; font-size: 1em;}
+*/
+
+ul.urls {list-style: none; display: inline; margin: 0;}
+.urls li {display: inline; margin: 0;}
+.external {border-bottom: 1px dotted gray;}
+html>body .external {border-bottom: none;}
+.external:after {content: " \274F"; font-size: smaller; color: #999;}
+
+.incremental, .incremental *, .incremental *:after {color: #ccc; visibility: visible;}
+img.incremental, canvas.incremental {visibility: hidden;}
+.slide .current {color: #B02;}
+
+/* diagnostics
+li:after {content: " [" attr(class) "]"; color: #F88;}
+*/
+
+table.piechart, table.barchart, table.linechart { border-spacing: 0.3em 0.15em; }
+table.piechart tr th, table.barchart tr th, table.linechart tr th { white-space: nowrap; }
+table.piechart tr td, table.barchart tr td, table.linechart tr td { vertical-align: top; white-space: nowrap; }
+table.piechart tr td.col, table.barchart tr td.col, table.linechart tr td.col { border-bottom: 1px solid #555; border-right: 1px solid #555; }
+table.fs90 tr td, table.fs90 tr th, div.fs90, pre.fs90, p.fs90 ,ul.fs90 {font-size: 0.9em; }
+table.fs75 tr td, table.fs75 tr th, div.fs75, pre.fs75, p.fs75 ,ul.fs75 {font-size: 0.75em; }
+table.fs66 tr td, table.fs66 tr th, div.fs66, pre.fs66, p.fs66 ,ul.fs66 {font-size: 0.66em; }
+table.fs50 tr td, table.fs50 tr th, div.fs50, pre.fs50, p.fs50 ,ul.fs50 {font-size: 0.5em; }
+
+#soundmanager-debug {position:fixed; top:0px; right:0px; width:30em; height:20em; overflow:auto; border:1px solid red; padding:1em; margin:2em; font-family:"sans serif"; font-size: 12px;color: black; background-color:#f6f6f6; z-index: 100;}
+#soundmanager-debug code {font-size: 11px;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/prev_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/prev_link.png
new file mode 100644
index 000000000..c31d21350
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/prev_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/prev_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/prev_over.png
new file mode 100644
index 000000000..6fef4fa52
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/prev_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/print.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/print.css
new file mode 100755
index 000000000..220083260
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/print.css
@@ -0,0 +1 @@
+/* The following rule is necessary to have all slides appear in print! DO NOT REMOVE IT! */ .slide, ul { page-break-inside: avoid; visibility: visible !important; } h1 { page-break-after: avoid; } html { width:100%; overflow:hidden; } body { font-size: 12pt; background: white; width:100%; } * { color: black; } #slide0 h1 { font-size: 200%; border: none; margin: 0.5em 0 0.25em; } #slide0 h3 { margin: 0; padding: 0; } #slide0 h4 { margin: 0 0 0.5em; padding: 0; } #slide0 { margin-bottom: 3em; } h1 { border-top: 2pt solid gray; border-bottom: 1px dotted silver; padding:0.2em 0 0.3em 0; } .extra { background: transparent !important; } div.extra, pre.extra, .example { font-size: 10pt; color: #333; } ul.extra a { font-weight: bold; } p.example { display: none; } #header { display: none; } #footer h1 { margin: 0; border-bottom: 1px solid; color: gray; font-style: italic; } #footer h2, #controls { display: none; } div.handout { display:block; border-top: 2px dotted #ccc; background:url(pics/back-handout-print.png) top right no-repeat #fff; min-height:6em; padding-right:6em; margin-bottom:1em; } .slide { display:block; background:url(pics/back-slide-print.png) top right no-repeat #fff; min-height:6em; margin-bottom:1em; } table { display:block; /* display:none; */ font-size:90%; overflow:hidden; margin-top:1em; margin-bottom:1em; } pre , code { margin:0; font-size:1pt; overflow:auto; } img { max-width:100% !important; } /* The following rule keeps the layout stuff out of print. Remove at your own risk! */ .layout, .layout * { display: none !important; } \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/s5-core.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/s5-core.css
new file mode 100755
index 000000000..86444e041
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/s5-core.css
@@ -0,0 +1,9 @@
+/* Do not edit or override these styles! The system will likely break if you do. */
+
+div#header, div#footer, div#controls, .slide {position: absolute;}
+html>body div#header, html>body div#footer,
+ html>body div#controls, html>body .slide {position: fixed;}
+.handout {display: none;}
+.layout {display: block;}
+.slide, .hideme, .incremental {visibility: hidden;}
+#slide0 {visibility: visible;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/slides.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/slides.css
new file mode 100755
index 000000000..0786d7dbd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/slides.css
@@ -0,0 +1,3 @@
+@import url(s5-core.css); /* required to make the slide show run at all */
+@import url(framing.css); /* sets basic placement and size of slide components */
+@import url(pretty.css); /* stuff that makes the slides look better than blah */ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/slides.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/slides.js
new file mode 100644
index 000000000..510a04c0e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/slides.js
@@ -0,0 +1,2812 @@
+// S5 1.3beta7 (18-Apr-2007) advanced version by C. Effenberger
+// Please see http://s5.netzgesta.de/ for more information
+// based on S5 v1.2a1 slides.js -- released into the Public Domain
+// Please see http://www.meyerweb.com/eric/tools/s5/credits.html for information
+// about all the wonderful and talented contributors to this code!
+// audio extension: soundmanager2 is NOT Public Domain
+// Please see http://www.schillmania.com/projects/soundmanager2/ for information
+
+var undef;
+var slideCSS = '';
+var snum = 0;
+var smax = 1;
+var incpos = 0;
+var number = undef;
+var firstTime = 1;
+var s5mode = true;
+var helpmode = false;
+var defaultView = 'slideshow'; //outline
+var controlVis = 'visible';
+var s5Path = 'lib/plugins/s5reloaded/';
+
+// scalable images extension
+var empx = 0;
+var images = new Array();
+var canvas = new Array();
+var medias = new Array();
+var piecharts = new Array();
+var barcharts = new Array();
+var linecharts = new Array();
+// scalable images extension
+
+// transition extension
+var tranSitions = false;
+var fadeModus = false;
+var fadeDuration = 500;
+var incrDuration = 250;
+var opac = 1;
+var cid = '';
+var nid = '';
+var tid = '';
+var jl = '';
+// transition extension
+
+// autoplay extension
+var autoMatic = false;
+var playLoop = false;
+var playPause = false;
+var autoRun = false;
+var playDelay = 5000;
+var remainDer = 0;
+var incrDelay = 0;
+// autoplay extension
+
+// audio extension
+var sound = new Array();
+var audioSupport = false;
+var audioVolume = 100;
+var audioError = false;
+var swfUnloaded = true;
+var bgSoundItem = 9999;
+var curSoundID = -1;
+// audio extension
+
+// panel extension
+var imgWidth = 32;
+var imgHeight = 32;
+// panel extension
+
+// canvas chart extension
+var canvasSupport = false;
+var ChartData = new Array();
+var colorSlice = new Array();
+var font = document.createElement("img");
+font.setAttribute("src", s5Path+"ui/graphic_support/numeric.png");
+signs = {
+ '0': {sx: 0, sy: 0, sw: 48, sh: 64},
+ '1': {sx: 48, sy: 0, sw: 48, sh: 64},
+ '2': {sx: 96, sy: 0, sw: 48, sh: 64},
+ '3': {sx: 144, sy: 0, sw: 48, sh: 64},
+ '4': {sx: 192, sy: 0, sw: 48, sh: 64},
+ '5': {sx: 240, sy: 0, sw: 48, sh: 64},
+ '6': {sx: 288, sy: 0, sw: 48, sh: 64},
+ '7': {sx: 336, sy: 0, sw: 48, sh: 64},
+ '8': {sx: 384, sy: 0, sw: 48, sh: 64},
+ '9': {sx: 432, sy: 0, sw: 48, sh: 64},
+ '%': {sx: 480, sy: 0, sw: 48, sh: 64},
+ '.': {sx: 528, sy: 0, sw: 24, sh: 64}
+};
+var colorNames= new Array();
+colorNames["black"]="#000000"; colorNames["maroon"]="#800000";
+colorNames["green"]="#008000"; colorNames["olive"]="#808000";
+colorNames["navy"]="#000080"; colorNames["purple"]="#800080";
+colorNames["teal"]="#008080"; colorNames["gray"]="#808080";
+colorNames["silver"]="#C0C0C0"; colorNames["red"]="#FF0000";
+colorNames["lime"]="#00FF00"; colorNames["yellow"]="#FFFF00";
+colorNames["blue"]="#0000FF"; colorNames["fuchsia"]="#FF00FF";
+colorNames["aqua"]="#00FFFF"; colorNames["white"]="#FFFFFF";
+colorNames["aliceblue"]="#F0F8FF"; colorNames["antiquewhite"]="#FAEBD7";
+colorNames["aquamarine"]="#7FFFD4"; colorNames["azure"]="#F0FFFF";
+colorNames["beige"]="#F5F5DC"; colorNames["blueviolet"]="#8A2BE2";
+colorNames["brown"]="#A52A2A"; colorNames["burlywood"]="#DEB887";
+colorNames["cadetblue"]="#5F9EA0"; colorNames["chartreuse"]="#7FFF00";
+colorNames["chocolate"]="#D2691E"; colorNames["coral"]="#FF7F50";
+colorNames["cornflowerblue"]="#6495ED"; colorNames["cornsilk"]="#FFF8DC";
+colorNames["crimson"]="#DC143C"; colorNames["darkblue"]="#00008B";
+colorNames["darkcyan"]="#008B8B"; colorNames["darkgoldenrod"]="#B8860B";
+colorNames["darkgray"]="#A9A9A9"; colorNames["darkgreen"]="#006400";
+colorNames["darkkhaki"]="#BDB76B"; colorNames["darkmagenta"]="#8B008B";
+colorNames["darkolivegreen"]="#556B2F"; colorNames["darkorange"]="#FF8C00";
+colorNames["darkorchid"]="#9932CC"; colorNames["darkred"]="#8B0000";
+colorNames["darksalmon"]="#E9967A"; colorNames["darkseagreen"]="#8FBC8F";
+colorNames["darkslateblue"]="#483D8B"; colorNames["darkslategray"]="#2F4F4F";
+colorNames["darkturquoise"]="#00CED1"; colorNames["darkviolet"]="#9400D3";
+colorNames["deeppink"]="#FF1493"; colorNames["deepskyblue"]="#00BFFF";
+colorNames["dimgray"]="#696969"; colorNames["dodgerblue"]="#1E90FF";
+colorNames["firebrick"]="#B22222"; colorNames["floralwhite"]="#FFFAF0";
+colorNames["forestgreen"]="#228B22"; colorNames["gainsboro"]="#DCDCDC";
+colorNames["ghostwhite"]="#F8F8FF"; colorNames["gold"]="#FFD700";
+colorNames["goldenrod"]="#DAA520"; colorNames["greenyellow"]="#ADFF2F";
+colorNames["honeydew"]="#F0FFF0"; colorNames["hotpink"]="#FF69B4";
+colorNames["indianred"]="#CD5C5C"; colorNames["indigo"]="#4B0082";
+colorNames["ivory"]="#FFFFF0"; colorNames["khaki"]="#F0E68C";
+colorNames["lavender"]="#E6E6FA"; colorNames["lavenderblush"]="#FFF0F5";
+colorNames["lawngreen"]="#7CFC00"; colorNames["lemonchiffon"]="#FFFACD";
+colorNames["lightblue"]="#ADD8E6"; colorNames["lightcoral"]="#F08080";
+colorNames["lightcyan"]="#E0FFFF"; colorNames["lightgoldenrodyellow"]="#FAFAD2";
+colorNames["lightgreen"]="#90EE90"; colorNames["lightgrey"]="#D3D3D3";
+colorNames["lightpink"]="#FFB6C1"; colorNames["lightsalmon"]="#FFA07A";
+colorNames["lightseagreen"]="#20B2AA"; colorNames["lightskyblue"]="#87CEFA";
+colorNames["lightslategray"]="#778899"; colorNames["lightsteelblue"]="#B0C4DE";
+colorNames["lightyellow"]="#FFFFE0"; colorNames["limegreen"]="#32CD32";
+colorNames["linen"]="#FAF0E6"; colorNames["mediumaquamarine"]="#66CDAA";
+colorNames["mediumblue"]="#0000CD"; colorNames["mediumorchid"]="#BA55D3";
+colorNames["ediumpurple"]="#9370D"; colorNames["mediumseagreen"]="#3CB371";
+colorNames["mediumslateblue"]="#7B68EE"; colorNames["mediumspringgreen"]="#00FA9A";
+colorNames["mediumturquoise"]="#48D1CC"; colorNames["mediumvioletred"]="#C71585";
+colorNames["midnightblue"]="#191970"; colorNames["mintcream"]="#F5FFFA";
+colorNames["mistyrose"]="#FFE4E1"; colorNames["moccasin"]="#FFE4B5";
+colorNames["navajowhite"]="#FFDEAD"; colorNames["oldlace"]="#FDF5E6";
+colorNames["olivedrab"]="#6B8E23"; colorNames["orange"]="#FFA500";
+colorNames["orangered"]="#FF4500"; colorNames["orchid"]="#DA70D6";
+colorNames["palegoldenrod"]="#EEE8AA"; colorNames["palegreen"]="#98FB98";
+colorNames["paleturquoise"]="#AFEEEE"; colorNames["palevioletred"]="#DB7093";
+colorNames["papayawhip"]="#FFEFD5"; colorNames["peachpuff"]="#FFDAB9";
+colorNames["peru"]="#CD853F"; colorNames["pink"]="#FFC0CB";
+colorNames["plum"]="#DDA0DD"; colorNames["powderblue"]="#B0E0E6";
+colorNames["rosybrown"]="#BC8F8F"; colorNames["royalblue"]="#4169E1";
+colorNames["saddlebrown"]="#8B4513"; colorNames["salmon"]="#FA8072";
+colorNames["sandybrown"]="#F4A460"; colorNames["seagreen"]="#2E8B57";
+colorNames["seashell"]="#FFF5EE"; colorNames["sienna"]="#A0522D";
+colorNames["skyblue"]="#87CEEB"; colorNames["slateblue"]="#6A5ACD";
+colorNames["slategray"]="#708090"; colorNames["snow"]="#FFFAFA";
+colorNames["springgreen"]="#00FF7F"; colorNames["steelblue"]="#4682B4";
+colorNames["tan"]="#D2B48C"; colorNames["thistle"]="#D8BFD8";
+colorNames["tomato"]="#FF6347"; colorNames["turquoise"]="#40E0D0";
+colorNames["violet"]="#EE82EE"; colorNames["wheat"]="#F5DEB3";
+colorNames["whitesmoke"]="#F5F5F5"; colorNames["yellowgreen"]="#9ACD32";
+var canvas_bgcolor = "";
+var canvas_width = 200;
+var canvas_height = 200;
+var canvas_noshade = 0;
+var canvas_nofill = 0;
+var canvas_noshadow = 0;
+var canvas_htmltext = 0;
+var canvas_imgtext = 0;
+var canvas_notext = 0;
+// canvas chart extension
+
+var s5NotesWindow;
+var s5NotesWindowLoaded = false;
+var previousSlide = 0;
+var presentationStart = new Date();
+var slideStart = new Date();
+
+var countdown = {
+ timer: 0,
+ state: 'pause',
+ start: new Date(),
+ end: 0,
+ remaining: 0
+};
+
+var isIE = navigator.appName == 'Microsoft Internet Explorer' && navigator.userAgent.indexOf('Opera') < 1 ? 1 : 0;
+if(isIE) var notIE7 = parseInt(navigator.appVersion) < 7 ? 1 : 0;
+var isOp = navigator.userAgent.indexOf('Opera') > -1 ? 1 : 0;
+var isGe = navigator.userAgent.indexOf('Gecko') > -1 && navigator.userAgent.indexOf('Safari') < 1 ? 1 : 0;
+var isS2 = navigator.userAgent.indexOf('Safari') >= 2 ? 1 : 0;
+
+function hasClass(object, className) {
+ if (!object.className) return false;
+ return (object.className.search('(^|\\s)' + className + '(\\s|$)') != -1);
+}
+
+function hasValue(object, value) {
+ if (!object) return false;
+ return (object.search('(^|\\s)' + value + '(\\s|$)') != -1);
+}
+
+function removeClass(object,className) {
+ if (!object || !hasClass(object,className)) return;
+ object.className = object.className.replace(new RegExp('(^|\\s)'+className+'(\\s|$)'), RegExp.$1+RegExp.$2);
+}
+
+function addClass(object,className) {
+ if (!object || hasClass(object, className)) return;
+ if (object.className) {
+ object.className += ' '+className;
+ } else {
+ object.className = className;
+ }
+}
+
+function changeClass(object,className) {
+ if (!object) return;
+ object.firstChild.className = className;
+}
+
+function GetElementsWithClassName(elementName,className) {
+ var allElements = document.getElementsByTagName(elementName);
+ var elemColl = new Array();
+ for (var i = 0; i< allElements.length; i++) {
+ if (hasClass(allElements[i], className)) {
+ elemColl[elemColl.length] = allElements[i];
+ }
+ }
+ return elemColl;
+}
+
+function isParentOrSelf(element, id) {
+ if (element == null || element.nodeName=='BODY') return false;
+ else if (element.id == id) return true;
+ else return isParentOrSelf(element.parentNode, id);
+}
+
+function nodeValue(node) {
+ var result = "";
+ if (node.nodeType == 1) {
+ var children = node.childNodes;
+ for (var i = 0; i < children.length; ++i) {
+ result += nodeValue(children[i]);
+ }
+ }
+ else if (node.nodeType == 3) {
+ result = node.nodeValue;
+ }
+ return(result);
+}
+
+function slideLabel() {
+ var slideColl = GetElementsWithClassName('*','slide');
+ var list = document.getElementById('jumplist');
+ smax = slideColl.length;
+ for (var n = 0; n < smax; n++) {
+ var obj = slideColl[n];
+ var did = 'slide' + n.toString();
+ obj.setAttribute('id',did);
+ var otext = '';
+ var menu = obj.firstChild;
+ if (!menu) continue; // to cope with empty slides
+ while (menu && menu.nodeType == 3) {
+ menu = menu.nextSibling;
+ }
+ if (!menu) continue; // to cope with slides with only text nodes
+ var menunodes = menu.childNodes;
+ for (var o = 0; o < menunodes.length; o++) {
+ otext += nodeValue(menunodes[o]);
+ }
+ list.options[list.length] = new Option(n + ' : ' + otext, n);
+ }
+}
+
+function currentSlide() {
+ var cs, at, fd, ss;
+ if (document.getElementById) {
+ cs = document.getElementById('currentSlide');
+ } else {
+ cs = document.currentSlide;
+ }
+ fd = fadeModus?"F":"&ndash;";
+ ss = audioSupport?"S":"&ndash;";
+ at = (autoMatic?(playPause?"||":(playLoop?"&gt;0":"&gt;|")):"&ndash;&ndash;");
+ cs.innerHTML = '<div id="plink" nowrap="nowrap">' +
+ '<span id="csFade">[' + fd + ss + ']<\/span>&nbsp;' +
+ '<span id="csHere"><strong>' + snum + '<\/strong><\/span>' +
+ '<span id="csSep">\/<\/span>' +
+ '<span id="csTotal">' + (smax-1) + '<\/span>&nbsp;' +
+ '<span id="csAuto">[' + at + ']<\/span>' +
+ '<\/div>';
+
+ if (snum == 0) {
+ cs.style.visibility = 'hidden';
+ } else {
+ cs.style.visibility = 'visible';
+ }
+}
+
+function go(step) {
+ if (document.getElementById('slideProj').disabled || step == 0) return;
+ jl = document.getElementById('jumplist');
+ cid = 'slide' + snum;
+ var ce = document.getElementById(cid);
+ if (incrementals[snum].length > 0) {
+ for (var i = 0; i < incrementals[snum].length; i++) {
+ removeClass(incrementals[snum][i], 'current');
+ removeClass(incrementals[snum][i], 'incremental');
+ }
+ }
+ if (step != 'j') {
+ snum += step;
+ lmax = smax - 1;
+ if (snum > lmax) snum = lmax;
+ if (snum < 0) snum = 0;
+ }else {
+ snum = parseInt(jl.value);
+ }
+ nid = 'slide' + snum;
+ var ne = document.getElementById(nid);
+ if (!ne) {
+ ne = document.getElementById('slide0');
+ nid = 'slide0';
+ snum = 0;
+ }
+ if (step < 0) {
+ incpos = incrementals[snum].length
+ }else {
+ incpos = 0;
+ }
+ if (incrementals[snum].length > 0 && incpos == 0) {
+ for (var i = 0; i < incrementals[snum].length; i++) {
+ if (hasClass(incrementals[snum][i], 'current')) {
+ incpos = i + 1;
+ }else {
+ addClass(incrementals[snum][i], 'incremental');
+ }
+ }
+ }
+ if (incrementals[snum].length > 0 && incpos > 0) {
+ addClass(incrementals[snum][incpos - 1], 'current');
+ }
+ var guru = document.getElementById('guru');
+ if(guru && snum==0) {
+ guru.style.visibility = 'visible';
+ }else if(guru && snum>0) {
+ guru.style.visibility = 'hidden';
+ }
+ if(tranSitions && s5mode && fadeModus) {
+ if(curSoundID != getSoundID(nid)) {
+ if(curSoundID == bgSoundItem && !sound[getSoundID(nid)]) {
+ }else {fadeoutSound(curSoundID,true); } // audio support
+ }
+ changeOpac(0,nid);
+ changeOpac(100,cid);
+ ce.style.visibility = 'visible';
+ shiftOpacity(cid,fadeDuration);
+ window.setTimeout("changeSlides()",fadeDuration);
+ }else {
+ if(curSoundID != getSoundID(nid)) {
+ if(curSoundID == bgSoundItem && !sound[getSoundID(nid)]) {
+ }else {stopSound(curSoundID); } // audio support
+ }
+ ce.style.visibility = 'hidden';
+ if (isOp) location.hash = nid;
+ ne.style.visibility = 'visible';
+ finishSlides();
+ }
+}
+
+function changeSlides() {
+ if(nid != cid) changeOpac(100,cid);
+ document.getElementById(cid).style.visibility = 'hidden';
+ document.getElementById(nid).style.visibility = 'visible';
+ if (isOp) location.hash = nid;
+ shiftOpacity(nid,fadeDuration);
+ window.setTimeout("finishSlides()",fadeDuration);
+}
+
+function finishSlides() {
+ jl.selectedIndex = snum;
+ currentSlide();
+ loadNote();
+ permaLink();
+ number = undef;
+ if(sound[getSoundID(nid)]) {
+ playSound(nid); // audio support
+ }else if(sound[bgSoundItem] && curSoundID != bgSoundItem) {
+ playSound(bgSoundItem); // audio support
+ }
+}
+
+function goTo(target) {
+ if (target >= smax || target == snum) return;
+ go(target - snum);
+}
+
+function subgo(step) {
+ if (step > 0) {
+ removeClass(incrementals[snum][incpos - 1],'current');
+ removeClass(incrementals[snum][incpos], 'incremental');
+ if(tranSitions && s5mode && fadeModus) {
+ if(!incrementals[snum][incpos].id) {
+ var tmp = new Date(); tid = "inc" + String(tmp.getTime());
+ incrementals[snum][incpos].id = tid;
+ }else {
+ tid = incrementals[snum][incpos].id;
+ }
+ if(typeof(incrementals[snum][incpos].src) != "undefined" || incrementals[snum][incpos].getContext) {
+ changeOpac(0,tid);
+ addClass(incrementals[snum][incpos],'current');
+ shiftOpacity(tid,incrDuration);
+ setTimeout("nextInc()",incrDuration);
+ }else {
+ addClass(incrementals[snum][incpos],'current');
+ nextInc();
+ }
+ }else {
+ addClass(incrementals[snum][incpos],'current');
+ nextInc();
+ }
+ } else {
+ incpos--;
+ removeClass(incrementals[snum][incpos],'current');
+ addClass(incrementals[snum][incpos], 'incremental');
+ addClass(incrementals[snum][incpos - 1],'current');
+ loadNote();
+ }
+}
+
+function nextInc() {
+ incpos++;
+ loadNote();
+}
+
+function toggle() {
+ var slideColl = GetElementsWithClassName('*','slide');
+ var slides = document.getElementById('slideProj');
+ var outline = document.getElementById('outlineStyle');
+ var guru = document.getElementById('guru');
+ if (!slides.disabled) {
+ stopPlay();
+ if(audioSupport && !swfUnloaded) stopAllSounds();
+ slides.disabled = true;
+ outline.disabled = false;
+ s5mode = false;
+ fontSize(1,'em');
+ for (var n = 0; n < smax; n++) {
+ var slide = slideColl[n];
+ slide.style.visibility = 'visible';
+ }
+ if(guru) guru.style.visibility = 'hidden';
+ } else {
+ slides.disabled = false;
+ outline.disabled = true;
+ s5mode = true;
+ fontScale();
+ for (var n = 0; n < smax; n++) {
+ var slide = slideColl[n];
+ slide.style.visibility = 'hidden';
+ }
+ slideColl[snum].style.visibility = 'visible';
+ if(guru && snum==0) guru.style.visibility = 'visible';
+ }
+}
+
+function showHide(action) {
+ var obj = GetElementsWithClassName('*','hideme')[0];
+ switch (action) {
+ case 's':
+ obj.style.visibility = 'visible';
+ break;
+ case 'h':
+ obj.style.visibility = 'hidden';
+ break;
+ case 'k':
+ if (obj.style.visibility != 'visible') {
+ obj.style.visibility = 'visible';
+ } else {
+ obj.style.visibility = 'hidden';
+ }
+ break;
+ }
+}
+
+function keys(key) {
+ if (!key) {
+ key = event;
+ key.which = key.keyCode;
+ }
+ if (helpmode) {
+ dumpHelpReq();
+ return;
+ }
+ if (key.which == 84 && !isOp) {
+ toggle();
+ return;
+ }
+ if (s5mode) {
+ if (autoMatic) {
+ switch (key.which) {
+ case 70: // f/ading on/off
+ switchFade();
+ break;
+ case 83: // s/ound on/off
+ toggleSounds();
+ break;
+ case 67: // c
+ showHide('k');
+ break;
+ case 65: // a/utoplay on/off
+ stopPlay();
+ break;
+ case 76: // l/ooping on/off
+ switchLoop();
+ break;
+ case 80: // p/ause
+ case 32: // spacebar
+ pausePlay();
+ break;
+ }
+ }else {
+ switch (key.which) {
+ case 8: // backspace = HELP
+ createHelpReq();
+ break;
+ case 10: // return
+ case 13: // enter
+ if (window.event && isParentOrSelf(window.event.srcElement, 'controls')) return;
+ if (key.target && isParentOrSelf(key.target, 'controls')) return;
+ if(number != undef) {
+ goTo(number);
+ break;
+ }
+ case 32: // spacebar
+ case 34: // page down
+ case 39: // rightkey
+ case 40: // downkey
+ if(number != undef) {
+ go(number);
+ } else if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ } else {
+ subgo(1);
+ }
+ break;
+ case 33: // page up
+ case 37: // leftkey
+ case 38: // upkey
+ if(number != undef) {
+ go(-1 * number);
+ } else if (!incrementals[snum] || incpos <= 0) {
+ go(-1);
+ } else {
+ subgo(-1);
+ }
+ break;
+ case 65: // a/utoplay
+ startPlay();
+ break;
+ case 72: // h
+ case 36: // home
+ goTo(0);
+ break;
+ case 69: // e
+ case 35: // end
+ goTo(smax-1);
+ break;
+ case 70: // f/ade transitions on/off
+ switchFade();
+ break;
+ case 76: // l/ooping on/off
+ switchLoop();
+ break;
+ case 83: // s/ound support on/off
+ toggleSounds();
+ break;
+ case 27: // escape
+ case 81: // q
+ if(!isOp) byby();
+ break;
+ case 67: // c
+ showHide('k');
+ break;
+ case 78: // n
+ createNotesWindow();
+ break;
+ }
+ if (key.which < 48 || key.which > 57) {
+ number = undef;
+ } else {
+ if (window.event && isParentOrSelf(window.event.srcElement, 'controls')) return;
+ if (key.target && isParentOrSelf(key.target, 'controls')) return;
+ number = (((number != undef) ? number : 0) * 10) + (key.which - 48);
+ }
+ }
+ }
+ return false;
+}
+
+function clicker(e) {
+ number = undef;
+ var target;
+ if (window.event) {
+ target = window.event.srcElement;
+ e = window.event;
+ } else {
+ target = e.target;
+ }
+ if (target.href != null || hasValue(target.rel, 'external') || isParentOrSelf(target, 'controls') || isParentOrSelf(target,'embed') || isParentOrSelf(target,'object')) return true;
+ if (!helpmode) {
+ if (!e.which || e.which == 1) {
+ if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ } else {
+ subgo(1);
+ }
+ }
+ } else {
+ dumpHelpReq();
+ }
+}
+
+function findSlide(hash) {
+ var target = null;
+ var slides = GetElementsWithClassName('*','slide');
+ for (var i = 0; i < slides.length; i++) {
+ var targetSlide = slides[i];
+ if ( (targetSlide.name && targetSlide.name == hash)
+ || (targetSlide.id && targetSlide.id == hash) ) {
+ target = targetSlide;
+ break;
+ }
+ }
+ while(target != null && target.nodeName != 'BODY') {
+ if (hasClass(target, 'slide')) {
+ return parseInt(target.id.slice(5));
+ }
+ target = target.parentNode;
+ }
+ return null;
+}
+
+function slideJump() {
+ if (window.location.hash == null) return;
+ var sregex = /^#slide(\d+)$/;
+ var matches = sregex.exec(window.location.hash);
+ var dest = null;
+ if (matches != null) {
+ dest = parseInt(matches[1]);
+ } else {
+ dest = findSlide(window.location.hash.slice(1));
+ }
+ if (dest != null)
+ go(dest - snum);
+}
+
+function fixLinks() {
+ var thisUri = window.location.href;
+ thisUri = thisUri.slice(0, thisUri.length - window.location.hash.length);
+ var aelements = document.getElementsByTagName('A');
+ for (var i = 0; i < aelements.length; i++) {
+ var a = aelements[i].href;
+ var slideID = a.match('\#slide[0-9]{1,2}');
+ if ((slideID) && (slideID[0].slice(0,1) == '#')) {
+ var dest = findSlide(slideID[0].slice(1));
+ if (dest != null) {
+ if (aelements[i].addEventListener) {
+ aelements[i].addEventListener("click", new Function("e",
+ "if (document.getElementById('slideProj').disabled) return;" +
+ "go("+dest+" - snum); " +
+ "if (e.preventDefault) e.preventDefault();"), true);
+ } else if (aelements[i].attachEvent) {
+ aelements[i].attachEvent("onclick", new Function("",
+ "if (document.getElementById('slideProj').disabled) return;" +
+ "go("+dest+" - snum); " +
+ "event.returnValue = false;"));
+ }
+ }
+ }
+ }
+}
+
+function externalLinks() {
+ if (!document.getElementsByTagName) return;
+ var anchors = document.getElementsByTagName('a');
+ for (var i=0; i<anchors.length; i++) {
+ var anchor = anchors[i];
+ if (anchor.getAttribute('href') && hasValue(anchor.rel, 'external')) {
+ anchor.target = '_blank';
+ addClass(anchor,'external');
+ }
+ }
+}
+
+function permaLink() {
+ document.getElementById('plink').href = window.location.pathname + '#slide' + snum;
+}
+
+function createControls() {
+ var controlsDiv = document.getElementById("controls");
+ if (!controlsDiv) return;
+ var hider = ' onmouseover="showHide(\'s\');" onmouseout="showHide(\'h\');"';
+ var hideDiv, hideList = '';
+ if (controlVis == 'hidden') {
+ hideDiv = hider;
+ } else {
+ hideList = hider;
+ }
+ if(isOp) {
+ var str = '';
+ }else {
+ var str = '<a accesskey="t" id="sheet" title="toggle CSS" href="javascript:toggle();">&plusmn;<\/a>';
+ }
+ if(isIE) {
+ var tmp = "move around&xA0;until the color&xA0;change to red!";
+ }else if(isS2) {
+ var tmp = "move around\r\nuntil the color\r\nchange to red!";
+ }else {
+ var tmp = "move around until color change to red!";
+ }
+ if(isIE) {
+ controlsDiv.innerHTML = str +
+ '<form action="#" id="controlForm"' + hideDiv + '>' +
+ '<div id="navLinks" title="press [backspace] for keyboard help!"><ul>' +
+ '<li id="show-notes"><a href="javascript:createNotesWindow();" accesskey="n" title="show Notes"><\/a><\/li>' +
+ '<li id="toggle"><a href="javascript:toggle();" accesskey="t" title="toggle CSS"><\/a><\/li>' +
+ '<li id="zero"><a href="javascript:goTo(0);" accesskey="h" title="goto Start Slide"><\/a><\/li>' +
+ '<li id="prev"><a href="javascript:go(-1);" accesskey="y" title="previous Slide"><\/a><\/li>' +
+ '<li id="next"><a href="javascript:go(1);" accesskey="x" title="next Slide"><\/a><\/li>' +
+ '<li id="last"><a href="javascript:goTo(smax-1);" accesskey="e" title="goto Last Slide"><\/a><\/li>' +
+ '<li id="lst" style="vertical-align: middle; width: 160px;"><select style="cursor: auto; width: 160px; height: auto;" id="jumplist" title="select named Slide" onchange="go(\'j\');"><\/select><\/li>' +
+ '<li id="auto"><a class="isoff" href="javascript:togglePlay();" accesskey="a" title="Auto Play"><\/a><\/li>' +
+ '<li id="pause"><a class="isoff" href="javascript:pausePlay();" accesskey="p" title="Pause"><\/a><\/li>' +
+ '<li id="loop"><a class="isoff" href="javascript:switchLoop();" accesskey="l" title="Loop"><\/a><\/li>' +
+ '<li id="del" style="vertical-align: middle; width: 52px;"><select style="cursor: auto; width: 52px; height: auto;" id="delaylist" title="select Delay" onchange="setDelay();"><option value="90">90<\/option><option value="75">75<\/option><option value="60">60<\/option><option value="45">45<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="15">15<\/option><option value="10">10<\/option><option value="5">5<\/option><\/select><\/li>' +
+ '<\/ul><\/div><\/form>';
+ }else {
+ controlsDiv.innerHTML = str +
+ '<form action="#" id="controlForm"' + hideDiv + '>' +
+ '<div id="navLinks" title="press [backspace] or double click this area for keyboard help!" ondblclick="createHelpReq();"><ul>' +
+ '<li id="exit"><a href="javascript:byby();" accesskey="q" title="exit Show"><\/a><\/li>' +
+ '<li id="show-notes"><a href="javascript:createNotesWindow();" accesskey="n" title="show Notes"><\/a><\/li>' +
+ '<li id="toggle"><a href="javascript:toggle();" accesskey="t" title="toggle CSS"><\/a><\/li>' +
+ '<li class="vr"><\/li>' +
+ '<li id="zero"><a href="javascript:goTo(0);" accesskey="h" title="goto Start Slide"><\/a><\/li>' +
+ '<li id="prev"><a href="javascript:go(-1);" accesskey="y" title="previous Slide"><\/a><\/li>' +
+ '<li id="next"><a href="javascript:go(1);" accesskey="x" title="next Slide"><\/a><\/li>' +
+ '<li id="last"><a href="javascript:goTo(smax-1);" accesskey="e" title="goto Last Slide"><\/a><\/li>' +
+ '<li id="lst"><a id="list" style="cursor:wait;" title="' + tmp + '"><\/a><select id="jumplist" title="select named Slide" onchange="go(\'j\');"><\/select><\/li>' +
+ '<li id="fadeLinks" class="vr"><\/li>' +
+ '<li id="fade"><a class="isoff" href="javascript:switchFade();" accesskey="f" title="Transions"><\/a><\/li>' +
+ '<li id="audioLinks" class="vr"><\/li>' +
+ '<li id="audio"><a class="isoff" href="javascript:toggleSounds();" accesskey="s" title="Sounds" ><\/a><\/li>' +
+ '<li id="vol"><a id="volume" style="cursor:wait;" title="' + tmp + '"><\/a><select id="volumelist" title="select Volume" onchange="setVolume();"><option value="100">100<\/option><option value="90">90<\/option><option value="80">80<\/option><option value="70">70<\/option><option value="60">60<\/option><option value="50">50<\/option><option value="40">40<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="10">10<\/option><option value="0">0<\/option><\/select><\/li>' +
+ '<li id="autoLinks" class="vr"><\/li>' +
+ '<li id="auto"><a class="isoff" href="javascript:togglePlay();" accesskey="a" title="Auto Play"><\/a><\/li>' +
+ '<li id="pause"><a class="isoff" href="javascript:pausePlay();" accesskey="p" title="Pause"><\/a><\/li>' +
+ '<li id="loop"><a class="isoff" href="javascript:switchLoop();" accesskey="l" title="Loop"><\/a><\/li>' +
+ '<li id="del"><a id="delay" style="cursor:wait;" title="' + tmp + '"><\/a><select id="delaylist" title="select Delay" onchange="setDelay();"><option value="90">90<\/option><option value="75">75<\/option><option value="60">60<\/option><option value="45">45<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="15">15<\/option><option value="10">10<\/option><option value="5">5<\/option><\/select><\/li>' +
+ '<\/ul>' +
+ '<a href="http://s5.netzgesta.de" target="_blank" title="S5 Reloaded"><img style="position: absolute; right: 0; bottom: 0;" src="data:image/png;charset=utf-8;base64,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" width="20" height="32" alt="S5" \/><\/a>' +
+ '<\/div><\/form>';
+ }
+ if (controlVis == 'hidden') {
+ var hidden = document.getElementById('navLinks');
+ } else {
+ var hidden = document.getElementById('jumplist');
+ }
+ addClass(hidden,'hideme');
+}
+
+function fontScale() { // causes layout problems in FireFox that get fixed if browser's Reload is used; same may be true of other Gecko-based browsers
+ if (!s5mode && !isOp) return false;
+ var hScreen = screen.width; var vScreen = screen.height;
+ var vWindow = window.outerHeight; var hWindow = window.outerWidth;
+ if (isOp && s5mode && defaultView=='slideshow' && ((hScreen != hWindow) || (vScreen != vWindow))) {
+ toggle();
+ return false;
+ }
+ if (isOp && !s5mode && ((hScreen != hWindow) || (vScreen != vWindow))) return false;
+ if (isOp && !s5mode && (hScreen == hWindow) && (vScreen == vWindow)) toggle();
+ var vScale = 48; // both yield 16 (the usual browser default) at 1024x768
+ var hScale = 64; // perhaps should auto-calculate based on theme's declared value?
+ if (window.innerHeight) {
+ var vSize = window.innerHeight;
+ var hSize = window.innerWidth;
+ } else if (document.documentElement.clientHeight) {
+ var vSize = document.documentElement.clientHeight;
+ var hSize = document.documentElement.clientWidth;
+ } else if (document.body.clientHeight) {
+ var vSize = document.body.clientHeight;
+ var hSize = document.body.clientWidth;
+ } else {
+ var vSize = 700; // assuming 1024x768, minus chrome and such equals 8:5
+ var hSize = 1024; // these do not account for kiosk mode or Opera Show
+ }
+ var newSize = Math.min(Math.round(vSize/vScale),Math.round(hSize/hScale));
+ extendImgSizes(newSize); // scalable images extension
+ extendCanSizes(newSize); // scalable canvas extension
+ extendObjSizes(newSize); // scalable object extension
+ fontSize(newSize,"px");
+ if(!isS2 || firstTime==0) {
+ generateCanvas(); // dynamic canvas extension
+ }
+ if (isGe) { // hack to counter incremental reflow bugs
+ var obj = document.getElementsByTagName('body')[0];
+ obj.style.visibility = 'hidden';
+ obj.style.display = 'none';
+ obj.style.display = 'block';
+ obj.style.visibility = 'visible';
+ changeOpac(100,'slide' + snum);
+ shiftOpacity('slide' + snum,10);
+ window.setTimeout("fixReflow()",10);
+ }else {
+ setListPos(); // invisible select extension
+ }
+}
+
+function fixReflow() {
+ shiftOpacity('slide' + snum,10);
+ window.setTimeout("finishReflow()",10);
+}
+function finishReflow() {
+ setListPos(); // invisible select extension
+}
+
+function fontSize(val,fmt) {
+ var value = val + fmt;
+ if (!(s5ss = document.getElementById('s5ss'))) {
+ if (!document.createStyleSheet) {
+ document.getElementsByTagName('head')[0].appendChild(s5ss = document.createElement('style'));
+ s5ss.setAttribute('media','screen, projection');
+ s5ss.setAttribute('id','s5ss');
+ } else {
+ document.createStyleSheet();
+ document.s5ss = document.styleSheets[document.styleSheets.length - 1];
+ }
+ }
+ if (!(document.s5ss && document.s5ss.addRule)) {
+ while (s5ss.lastChild) s5ss.removeChild(s5ss.lastChild);
+ s5ss.appendChild(document.createTextNode('html {font-size: ' + value + ' !important;}'));
+ } else {
+ document.s5ss.addRule('html','font-size: ' + value + ' !important;');
+ }
+}
+
+function windowChange() {
+ fontScale();
+}
+
+function notOperaFix() {
+ slideCSS = document.getElementById('slideProj').href;
+ var slides = document.getElementById('slideProj');
+ var outline = document.getElementById('outlineStyle');
+ slides.setAttribute('media','screen');
+ outline.disabled = true;
+ if (isGe) {
+ slides.setAttribute('href','null'); // Gecko fix
+ slides.setAttribute('href',slideCSS); // Gecko fix
+ }
+ if ((isIE && notIE7) && document.styleSheets && document.styleSheets[0]) {
+ document.styleSheets[0].addRule('img', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ document.styleSheets[0].addRule('div', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ document.styleSheets[0].addRule('.slide', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ }
+}
+
+function getIncrementals(obj) {
+ var incrementals = new Array();
+ if (!obj)
+ return incrementals;
+ var children = obj.childNodes;
+ for (var i = 0; i < children.length; i++) {
+ var child = children[i];
+ if (hasClass(child, 'incremental')) {
+ if (child.nodeName == 'OL' || child.nodeName == 'UL') {
+ removeClass(child, 'incremental');
+ for (var j = 0; j < child.childNodes.length; j++) {
+ if (child.childNodes[j].nodeType == 1) {
+ addClass(child.childNodes[j], 'incremental');
+ }
+ }
+ } else {
+ incrementals[incrementals.length] = child;
+ removeClass(child,'incremental');
+ }
+ }
+ if (hasClass(child, 'show-first')) {
+ if (child.nodeName == 'OL' || child.nodeName == 'UL') {
+ removeClass(child, 'show-first');
+ if (child.childNodes[isGe].nodeType == 1) {
+ removeClass(child.childNodes[isGe], 'incremental');
+ }
+ } else {
+ incrementals[incrementals.length] = child;
+ }
+ }
+ incrementals = incrementals.concat(getIncrementals(child));
+ }
+ return incrementals;
+}
+
+function createIncrementals() {
+ var incrementals = new Array();
+ for (var i = 0; i < smax; i++) {
+ incrementals[i] = getIncrementals(document.getElementById('slide'+i));
+ }
+ return incrementals;
+}
+
+function trap(e) {
+ if (!e) {
+ e = event;
+ e.which = e.keyCode;
+ }
+ try {
+ modifierKey = e.ctrlKey || e.altKey || e.metaKey;
+ }
+ catch(e) {
+ modifierKey = false;
+ }
+ return modifierKey || e.which == 0;
+}
+
+// notes extension
+function noteLabel() { // Gives notes id's to match parent slides
+ var notes = GetElementsWithClassName('div','notes');
+ for (var i = 0; i < notes.length; i++) {
+ var note = notes[i];
+ var id = 'note' + note.parentNode.id.substring(5);
+ note.setAttribute('id',id);
+ }
+ resetElapsedSlide();
+ resetRemainingTime();
+ window.setInterval('updateElaspedTime()', 1000);
+}
+
+function createNotesWindow() { // creates a window for our notes
+ if (!s5NotesWindow || s5NotesWindow.closed) { // Create the window if it doesn't exist
+ s5NotesWindowLoaded = false;
+ // Note: Safari has a tendency to ignore window options preferring to default to the settings of the parent window, grr.
+ s5NotesWindow = window.open(s5Path+'ui/s5-notes.html', 's5NotesWindow', 'top=0,left=0');
+ }
+ if (s5NotesWindowLoaded) { // Load the current note if the Note HTML has loaded
+ loadNote();
+ } else { // Keep trying...
+ window.setTimeout('createNotesWindow()', 50);
+ }
+}
+
+function loadNote() {
+// Loads a note into the note window
+ var notes = nextNotes = '<em class="disclaimer">There are no notes for this slide.</em>';
+ if (document.getElementById('note' + snum)) {
+ notes = document.getElementById('note' + snum).innerHTML;
+ }
+ if (document.getElementById('note' + (snum + 1))) {
+ nextNotes = document.getElementById('note' + (snum + 1)).innerHTML;
+ }
+
+ var jl = document.getElementById('jumplist');
+ var slideTitle = jl.options[jl.selectedIndex].text.replace(/^\d+\s+:\s+/, '') + ((jl.selectedIndex) ? ' (' + jl.selectedIndex + '/' + (smax - 1) + ')' : '');
+ if (incrementals[snum].length > 0) {
+ slideTitle += ' <small>[' + incpos + '/' + incrementals[snum].length + ']</small>';
+ }
+ if (jl.selectedIndex < smax - 1) {
+ var nextTitle = jl.options[jl.selectedIndex + 1].text.replace(/^\d+\s+:\s+/, '') + ((jl.selectedIndex + 1) ? ' (' + (jl.selectedIndex + 1) + '/' + (smax - 1) + ')' : '');
+ } else {
+ var nextTitle = '[end of slide show]';
+ }
+
+ if (s5NotesWindow && !s5NotesWindow.closed && s5NotesWindow.document) {
+ s5NotesWindow.document.getElementById('slide').innerHTML = slideTitle;
+ s5NotesWindow.document.getElementById('notes').innerHTML = notes;
+ s5NotesWindow.document.getElementById('next').innerHTML = nextTitle;
+ s5NotesWindow.document.getElementById('nextnotes').innerHTML = nextNotes;
+ }
+ resetElapsedSlide();
+}
+
+function minimizeTimer(id) {
+ var obj = s5NotesWindow.document.getElementById(id);
+ if (hasClass(obj,'collapsed')) {
+ removeClass(obj,'collapsed');
+ } else {
+ addClass(obj,'collapsed');
+ }
+}
+
+function resetElapsedTime() {
+ presentationStart = new Date();
+ slideStart = new Date();
+ updateElaspedTime();
+}
+
+function resetElapsedSlide() {
+ if (snum != previousSlide) {
+ slideStart = new Date();
+ previousSlide = snum;
+ updateElaspedTime();
+ }
+}
+
+function updateElaspedTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var now = new Date();
+ var ep = s5NotesWindow.document.getElementById('elapsed-presentation');
+ var es = s5NotesWindow.document.getElementById('elapsed-slide');
+ ep.innerHTML = formatTime(now.valueOf() - presentationStart.valueOf());
+ es.innerHTML = formatTime(now.valueOf() - slideStart.valueOf());
+}
+
+function resetRemainingTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var startField = s5NotesWindow.document.getElementById('startFrom');
+ startFrom = readTime(startField.value);
+ countdown.remaining = startFrom * 60000; // convert to msecs
+ countdown.start = new Date().valueOf();
+ countdown.end = countdown.start + countdown.remaining;
+ var tl = s5NotesWindow.document.getElementById('timeLeft');
+ var timeLeft = formatTime(countdown.remaining);
+ tl.innerHTML = timeLeft;
+}
+
+function updateRemainingTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var tl = s5NotesWindow.document.getElementById('timeLeft');
+ var now = new Date();
+ if (countdown.state == 'run') {
+ countdown.remaining = countdown.end - now;
+ }
+ tl.style.color = '';
+ tl.style.backgroundColor = '';
+ if (countdown.remaining >= 0) {
+ var timeLeft = formatTime(countdown.remaining);
+ removeClass(tl,'overtime');
+ if (countdown.remaining < 300000) {
+ tl.style.color = 'rgb(' + (255-Math.round(countdown.remaining/2000)) + ',0,0)';
+ tl.style.backgroundColor = 'rgb(255,255,' + (Math.round(countdown.remaining/2000)) + ')';
+ }
+ } else {
+ var timeLeft = '-' + formatTime(-countdown.remaining);
+ addClass(tl,'overtime');
+ }
+ tl.innerHTML = timeLeft;
+}
+
+function toggleRemainingTime() {
+ if (countdown.state == 'pause') countdown.state = 'run'; else countdown.state = 'pause';
+ if (countdown.state == 'pause') {
+ window.clearInterval(countdown.timer);
+ }
+ if (countdown.state == 'run') {
+ countdown.start = new Date().valueOf();
+ countdown.end = countdown.start + countdown.remaining;
+ countdown.timer = window.setInterval('updateRemainingTime()', 1000);
+ }
+}
+
+function alterRemainingTime(amt) {
+ var change = amt * 60000; // convert to msecs
+ countdown.end += change;
+ countdown.remaining += change;
+ updateRemainingTime();
+}
+
+function formatTime(msecs) {
+ var time = new Date(msecs);
+
+ var hrs = time.getUTCHours() + ((time.getUTCDate() -1) * 24); // I doubt anyone will spend more than 24 hours on a presentation or single slide but just in case...
+ hrs = (hrs < 10) ? '0'+hrs : hrs;
+ if (hrs == 'NaN' || isNaN(hrs)) hrs = '--';
+
+ var min = time.getUTCMinutes();
+ min = (min < 10) ? '0'+min : min;
+ if (min == 'NaN' || isNaN(min)) min = '--';
+
+ var sec = time.getUTCSeconds();
+ sec = (sec < 10) ? '0'+sec : sec;
+ if (sec == 'NaN' || isNaN(sec)) sec = '--';
+
+ return hrs + ':' + min + ':' + sec;
+}
+
+function readTime(val) {
+ var sregex = /:/;
+ var matches = sregex.exec(val);
+ if (matches == null) {
+ return val;
+ } else {
+ var times = val.split(':');
+ var hours = parseInt(times[0]);
+ var mins = parseInt(times[1]);
+ var total = (hours * 60) + mins;
+ return total;
+ }
+}
+// notes extension
+
+// startup process
+function createSlideShow() {
+ defaultCheck();
+ if(!isIE) createDetector(); // (degrade IE) scalable images extension
+ if(opac!=0 || isIE) { // &&!isIE (degrade IE)
+ tranSitions = false;
+ fadeModus = false;
+ }
+ if(tranSitions && document.getElementById && document.createElement){
+ createProgress();
+ var nop=document.getElementById('StartupControl');
+ nop.onload = dumpProgress;
+ }else {
+ startup();
+ showAll();
+ setListPos(true); // invisible select extension
+ panelSetup();
+ audioSetup(); // audio extension
+ if(isS2 && firstTime>=1) {
+ generateCanvas(); // dynamic canvas extension
+ } firstTime = 0;
+ }
+}
+
+function defaultCheck() {
+ var allMetas = document.getElementsByTagName('meta');
+ for (var i = 0; i< allMetas.length; i++) {
+ if (allMetas[i].name == 'defaultView') {
+ defaultView = allMetas[i].content;
+ }
+ if (allMetas[i].name == 'controlVis') {
+ controlVis = allMetas[i].content;
+ }
+ if (allMetas[i].name == 'tranSitions') {
+ tranSitions = (allMetas[i].content == "true") ? true : false;
+ fadeModus = (tranSitions == true) ? true : false;
+ }
+ if (allMetas[i].name == 'fadeDuration') {
+ var tmp = parseInt(allMetas[i].content);
+ fadeDuration = Math.max(200,Math.min(tmp,2000));
+ }
+ if (allMetas[i].name == 'incrDuration') {
+ var tmp = parseInt(allMetas[i].content);
+ incrDuration = Math.max(50,Math.min(tmp,500));
+ }
+ if (allMetas[i].name == 'autoMatic') {
+ autoMatic = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'playLoop') {
+ playLoop = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'playDelay') {
+ var tmp = parseInt(allMetas[i].content);
+ playDelay = Math.max(5,Math.min(tmp,90))*1000;
+ playDelay = (fadeModus == true) ? (playDelay+(2*fadeDuration)) : playDelay;
+ }
+ if (allMetas[i].name == 'audioSupport') {
+ audioSupport = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'audioVolume') {
+ var tmp = parseInt(allMetas[i].content);
+ audioVolume = Math.max(0,Math.min(tmp,100));
+ }
+ if (allMetas[i].name == 'audioError') {
+ audioError = (allMetas[i].content == "true") ? true : false;
+ }
+ }
+}
+
+function createProgress() {
+ var obj = document.getElementsByTagName("body")[0].firstChild;
+ var pg = document.createElement('div');
+ pg.id = "StartupProgress";
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=100)";
+ }else {
+ pg.style.opacity = 1.0;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(255, 255, 255)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/progress.gif)";
+ document.getElementsByTagName("body")[0].insertBefore(pg,obj);
+
+ var im = document.createElement('img');
+ im.id = "StartupControl";
+ im.src = s5Path+"ui/graphic_support/blank.gif?" + new Date().valueOf();
+ document.getElementsByTagName("body")[0].appendChild(im);
+}
+
+function startup() {
+ createControls(); // hallvord
+ slideLabel();
+ incrementals = createIncrementals();
+ noteLabel(); // [SI:060104] must follow slideLabel()
+ loadNote();
+ fixLinks();
+ externalLinks();
+ fontScale();
+ if (!isOp) {
+ if(isIE) {
+ document.getElementById('lst').style.backgroundImage = 'none';
+ document.getElementById('del').style.backgroundImage = 'none';
+ }else {
+ document.getElementById('audio').style.backgroundImage = 'none';
+ }
+ notOperaFix();
+ }else if(isOp) {
+ var obj = document.getElementById('exit');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('exit').style.opacity = 0.5;
+ obj = document.getElementById('toggle');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('toggle').style.opacity = 0.5;
+ document.getElementById('jumplist').style.visibility = 'hidden';
+ document.getElementById('list').style.visibility = 'hidden';
+ document.getElementById('lst').style.opacity = 0.5;
+ obj = document.getElementById('audio');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('audio').style.opacity = 0.5;
+ document.getElementById('volumelist').style.visibility = 'hidden';
+ document.getElementById('volume').style.visibility = 'hidden';
+ document.getElementById('vol').style.opacity = 0.5;
+ document.getElementById('delaylist').style.visibility = 'hidden';
+ document.getElementById('delay').style.visibility = 'hidden';
+ document.getElementById('del').style.opacity = 0.5;
+ }
+ slideJump();
+ if (defaultView == 'outline') toggle();
+ document.onkeyup = keys;
+ document.onkeypress = trap;
+ document.onclick = clicker;
+}
+
+function preloadImgages() {
+ var temp = '';
+ var objects = document.getElementsByTagName('img');
+ for (var i=0; i < objects.length; i++) {
+ if(objects[i].src != '') {
+ temp = new Image();
+ temp.src = objects[i].src;
+ }
+ }
+}
+
+function showAll() {
+ var obj1 = GetElementsWithClassName('div','presentation')[0];
+ if(!obj1) var obj1 = GetElementsWithClassName('ol','presentation')[0];
+ var obj2 = GetElementsWithClassName('div','layout')[0];
+ if(!obj1){}else {obj1.style.display = 'block'};
+ if(!obj2){}else {obj2.style.display = 'block'};
+}
+
+function dumpProgress() {
+ document.body.removeChild(document.getElementById('StartupControl'));
+ startup();
+ preloadImgages();
+ showAll();
+ createSoundManagerScript();
+ shiftOpacity('StartupProgress',1000);
+ window.setTimeout("removeProgress()",1000);
+}
+
+function removeProgress() {
+ document.body.removeChild(document.getElementById('StartupProgress'));
+ setListPos(true); // invisible select extension
+ panelSetup();
+ audioSetup(); // audio extension
+ if(isS2 && firstTime>=1) {
+ generateCanvas(); // dynamic canvas extension
+ } firstTime = 0;
+}
+function panelSetup() {
+ if(playPause) {
+ var obj = document.getElementById('pause'); changeClass(obj,'ison');
+ }
+ if(playLoop) {
+ var obj = document.getElementById('loop'); changeClass(obj,'ison');
+ }
+ if(audioSupport && !isIE && !isOp) {
+ var obj = document.getElementById('audio'); changeClass(obj,'ison');
+ }
+ if(fadeModus && !isIE) {
+ var obj = document.getElementById('fade'); changeClass(obj,'ison');
+ }
+ if(autoMatic) {
+ var obj = document.getElementById('auto'); changeClass(obj,'ison');
+ startPlay();
+ }
+ if(audioVolume && !isIE && !isOp) {
+ var idx = 0;
+ if(audioVolume >= 95 && audioVolume <= 100) {idx = 0;}
+ else if(audioVolume >= 85 && audioVolume < 95) {idx = 1;}
+ else if(audioVolume >= 75 && audioVolume < 85) {idx = 2;}
+ else if(audioVolume >= 65 && audioVolume < 75) {idx = 3;}
+ else if(audioVolume >= 55 && audioVolume < 65) {idx = 4;}
+ else if(audioVolume >= 45 && audioVolume < 55) {idx = 5;}
+ else if(audioVolume >= 35 && audioVolume < 45) {idx = 6;}
+ else if(audioVolume >= 25 && audioVolume < 35) {idx = 7;}
+ else if(audioVolume >= 15 && audioVolume < 25) {idx = 8;}
+ else if(audioVolume >= 5 && audioVolume < 15) {idx = 9;}
+ else {idx = 10;}
+ document.getElementById('volumelist').selectedIndex = idx;
+ }
+ if(playDelay && !isOp) {
+ var idx = 0; var vol = parseInt(playDelay/1000);
+ if(vol >= 83 && vol <= 90) {idx = 0;}
+ else if(vol >= 68 && vol < 83) {idx = 1;}
+ else if(vol >= 53 && vol < 68) {idx = 2;}
+ else if(vol >= 38 && vol < 53) {idx = 3;}
+ else if(vol >= 25 && vol < 38) {idx = 4;}
+ else if(vol >= 18 && vol < 25) {idx = 5;}
+ else if(vol >= 13 && vol < 18) {idx = 6;}
+ else if(vol >= 8 && vol < 13) {idx = 7;}
+ else {idx = 8;}
+ document.getElementById('delaylist').selectedIndex = idx;
+ }
+}
+// startup process
+
+// shutdown process
+function byby() {
+ stopPlay();
+ if(tranSitions && fadeModus && s5mode && !isOp) {
+ fadeoutSound(curSoundID,true); // audio support
+ var pg = document.createElement('div');
+ pg.id = "GoodBy";
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=0)";
+ }else {
+ pg.style.opacity = 0.0;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(255, 255, 255)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/finish.gif)";
+ document.getElementsByTagName("body")[0].appendChild(pg);
+ shiftOpacity('GoodBy',1000);
+ window.setTimeout("history.back()",1000);
+ }else {
+ stopSound(curSoundID);
+ history.back();
+ }
+}
+// shutdown process
+
+// scalable images extension
+function createDetector() {
+ var em = document.createElement('div');
+ em.id='EMSizeControl'; em.style.position="absolute"; em.style.left="-999px";
+ em.style.width="1em"; em.style.height="1em"; em.style.opacity=0.0;
+ document.getElementsByTagName("body")[0].appendChild(em);
+ var nop=document.getElementById('EMSizeControl');
+ if(!nop||findPosX(nop)!=-999) {}else {
+ opac=document.getElementById('EMSizeControl').style.opacity;
+ empx=document.getElementById('EMSizeControl').offsetHeight;
+ document.body.removeChild(document.getElementById('EMSizeControl'));
+ var objects = document.getElementsByTagName('img');
+ var j = 0; var i = 0; var k = 0; var d; var obj;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ images[j] = objects[i];
+ ++j;
+ }
+ }
+ var objects = document.getElementsByTagName('canvas'); j = 0;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ canvas[objects[i].id] = objects[i];
+ if(j==0) {
+ if(objects[i].getContext) {
+ canvasSupport = true;
+ }
+ ++j;
+ }
+ }
+ }
+ if(canvasSupport!=true) {
+ for (d in canvas) {
+ try {
+ canvas[d].setAttribute("width",1);
+ canvas[d].setAttribute("height",1);
+ } catch (e) {
+ }
+ }
+ }
+ var objects = document.getElementsByTagName('table');
+ j = 0; k = 0; l = 0; var w; var h; var tmp; var cnt;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^piechart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ piecharts[j] = objects[i];
+ em = piecharts[j].getAttribute("summary");
+ if(em != "") em = "," + em;
+ piecharts[j].setAttribute("summary", w + "," + h + em);
+ ++j;
+ }
+ }
+ }
+ if(objects[i].className.match(/^barchart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ barcharts[k] = objects[i];
+ em = barcharts[k].getAttribute("summary");
+ if(em != "") em = "," + em;
+ barcharts[k].setAttribute("summary", w + "," + h + em);
+ ++k;
+ }
+ }
+ }
+ if(objects[i].className.match(/^linechart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ linecharts[l] = objects[i];
+ em = linecharts[l].getAttribute("summary");
+ if(em != "") em = "," + em;
+ linecharts[l].setAttribute("summary", w + "," + h + em);
+ ++l;
+ }
+ }
+ }
+ }
+ objects = document.getElementsByTagName('object'); j = 0; i = 0;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ medias[j] = objects[i]; ++j;
+ if(!isIE) {
+ if(objects[i].getAttributeNode("classid")) objects[i].removeAttributeNode(objects[i].getAttributeNode("classid"));
+ if(objects[i].getAttributeNode("codebase")) objects[i].removeAttributeNode(objects[i].getAttributeNode("codebase"));
+ }
+ }
+ }
+ }
+}
+function extendImgSizes(f) {
+ if(empx>0) {
+ var q = (f/empx); var w = 0; var h = 0;
+ for(var i=0; i < images.length; i++) {
+ w=images[i].getAttribute("width",0);
+ h=images[i].getAttribute("height",0);
+ if(w>0&&h>0) {
+ images[i].style.width=Math.floor(w*q)+"px";
+ images[i].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+}
+
+function extendCanSizes(f) {
+ if(empx>0 && canvasSupport) {
+ var q = (f/empx); var w = 0; var h = 0; var tmp = ""; var cnt; var obj;
+ for(var i=0; i < piecharts.length; i++) {
+ if(piecharts[i].getAttribute("summary")) {
+ tmp = piecharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = piecharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ for(var i=0; i < barcharts.length; i++) {
+ if(barcharts[i].getAttribute("summary")) {
+ tmp = barcharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = barcharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ for(var i=0; i < linecharts.length; i++) {
+ if(linecharts[i].getAttribute("summary")) {
+ tmp = linecharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = linecharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ }
+}
+function extendObjSizes(f) {
+ if(empx>0) {
+ var q = (f/empx); var w = 0; var h = 0;
+ for(var i=0; i < medias.length; i++) {
+ w=medias[i].getAttribute("width",0);
+ h=medias[i].getAttribute("height",0);
+ if(w>0&&h>0) {
+ medias[i].style.width=Math.floor(w*q)+"px";
+ medias[i].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+}
+function findPosX(obj) {
+ var posLeft = 0;
+ while (obj.offsetParent) {
+ posLeft += obj.offsetLeft;
+ obj = obj.offsetParent;
+ }
+ return posLeft;
+}
+function findPosY(obj) {
+ var posTop = 0;
+ while (obj.offsetParent) {
+ posTop += obj.offsetTop;
+ obj = obj.offsetParent;
+ }
+ return posTop;
+}
+// scalable images extension
+
+// canvas chart extension
+function deg2rad(degrees) {
+ return Math.PI *degrees/180;
+}
+function rad2deg(radians) {
+ return 180.0 *radians/Math.PI;
+}
+function circle_point_x(radians, diameter) {
+ var x = Math.cos(radians)*(diameter/2);
+ return x;
+}
+function circle_point_y(radians, diameter) {
+ var y = Math.sin(radians)*(diameter/2);
+ return y;
+}
+function roundTo(val,dig) {
+ var num = val;
+ if (val > 8191 && val < 10485) {
+ val = val-5000;
+ num = Math.round(val*Math.pow(10,dig))/Math.pow(10,dig);
+ num = num+5000;
+ } else {
+ num = Math.round(val*Math.pow(10,dig))/Math.pow(10,dig);
+ }
+ return num;
+}
+function searchColor(value) {
+ for (var dat in colorNames) {
+ if(dat==value) return colorNames[dat];
+ }
+ return false;
+}
+function scanColor(value) {
+ if(value.match(/^#[0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ var val1 = value.substr(1,1).toLowerCase();
+ var val2 = value.substr(2,1).toLowerCase();
+ var val3 = value.substr(3,1).toLowerCase();
+ value = '#' + val1 + val1 + val2 + val2 + val3 + val3;
+ }
+ if(!value.match(/^#[0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ var tmp = searchColor(value.toLowerCase());
+ if(!tmp) {}else{value = tmp;}
+ }
+ if(!value.match(/^#[0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ value = '#000000';
+ }
+ return value.toLowerCase();
+}
+function hex2rgb(val,trans) {
+ if(val.length==7) {
+ var tp1 = Math.max(0,Math.min(parseInt(val.substr(1,2),16),255));
+ var tp2 = Math.max(0,Math.min(parseInt(val.substr(3,2),16),255));
+ var tp3 = Math.max(0,Math.min(parseInt(val.substr(5,2),16),255));
+ return 'rgba(' + tp1 + ',' + tp2 + ',' + tp3 + ',' + trans + ')';
+ }
+}
+function trim(str) {
+ return (str.replace(/\s+$/,"").replace(/^\s+/,""));
+}
+function roundedRect(ctx,x,y,width,height,radius){
+ ctx.beginPath();
+ ctx.moveTo(x,y+radius);
+ ctx.lineTo(x,y+height-radius);
+ ctx.quadraticCurveTo(x,y+height,x+radius,y+height);
+ ctx.lineTo(x+width-radius,y+height);
+ ctx.quadraticCurveTo(x+width,y+height,x+width,y+height-radius);
+ ctx.lineTo(x+width,y+radius);
+ ctx.quadraticCurveTo(x+width,y,x+width-radius,y);
+ ctx.lineTo(x+radius,y);
+ ctx.quadraticCurveTo(x,y,x,y+radius);
+ ctx.closePath();
+}
+function drawString(ctx, text, fc, tx, ty) {
+ var xp = 0; var c = "";
+ ctx.beginPath();
+ for (var i = 0; i < text.length; i++) {
+ c = text[i];
+ ctx.drawImage(font, signs[c].sx, signs[c].sy, signs[c].sw, signs[c].sh, tx+xp, ty, signs[c].sw*fc, signs[c].sh*fc);
+ xp += (signs[c].sw*fc);
+ }
+ ctx.closePath();
+}
+function strokeString(ctx, txt, col, fh, tx, ty) {
+ var fw = fh*0.666666; var lw = fh*0.125;
+ var ls = lw/2; var cr = lw; var xp = 0;
+ ctx.lineCap = "round"; ctx.lineJoin = "round"
+ ctx.lineWidth = lw; ctx.strokeStyle = col;
+ for (var i = 0; i < txt.length; i++) {
+ strokeSymbol(ctx, txt[i], ls, tx+xp, ty, fw, fh);
+ xp += (txt[i]!="."?fw+cr:(fw/2)+cr);
+ }
+}
+function strokeSymbol(ctx, symbol, fc, cx, cy, cw, ch) {
+ ctx.beginPath();
+ switch (symbol) {
+ case "0":
+ ctx.moveTo(cx+fc,cy+(ch*0.333333));
+ ctx.arc(cx+(cw/2),cy+(cw/2),(cw/2)-fc,deg2rad(180),0, false);
+ ctx.arc(cx+(cw/2),(cy+ch)-(cw/2),(cw/2)-fc,0,deg2rad(180), false);
+ ctx.closePath();
+ break;
+ case "1":
+ ctx.moveTo(cx+(cw*0.1)+fc,cy+ch-fc);
+ ctx.lineTo(cx+cw-fc,cy+ch-fc);
+ ctx.moveTo(cx+(cw*0.666666),cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.666666),cy+fc);
+ ctx.lineTo(cx+(cw*0.25),cy+(ch*0.25));
+ break;
+ case "2":
+ ctx.moveTo(cx+cw-fc,cy+(ch*0.8));
+ ctx.lineTo(cx+cw-fc,cy+ch-fc);
+ ctx.lineTo(cx+fc,cy+ch-fc);
+ ctx.arc(cx+(cw/2),cy+(cw*0.425),(cw*0.425)-fc,deg2rad(45),deg2rad(-180), true);
+ break;
+ case "3":
+ ctx.moveTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.9)-fc,cy+fc);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-90),deg2rad(180), false);
+ break;
+ case "4":
+ ctx.moveTo(cx+(cw*0.75),cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.75),cy+fc);
+ ctx.moveTo(cx+cw-fc,cy+(ch*0.666666));
+ ctx.lineTo(cx+fc,cy+(ch*0.666666));
+ ctx.lineTo(cx+(cw*0.75),cy+fc);
+ ctx.moveTo(cx+cw-fc,cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.5),cy+ch-fc);
+ break;
+ case "5":
+ ctx.moveTo(cx+(cw*0.9)-fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+(ch*0.333333));
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-80),deg2rad(180), false);
+ break;
+ case "6":
+ ctx.moveTo(cx+fc,cy+ch-(cw*0.5)-fc);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-180),deg2rad(180), false);
+ ctx.bezierCurveTo(cx+fc,cy+fc,cx+fc,cy+fc,cx+(cw*0.9)-fc,cy+fc);
+ ctx.moveTo(cx+(cw*0.9)-fc,cy+fc);
+ break;
+ case "7":
+ ctx.moveTo(cx+(cw*0.5),cy+ch-fc);
+ ctx.lineTo(cx+cw-fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+(ch*0.25)-fc);
+ break;
+ case "8":
+ ctx.moveTo(cx+(cw*0.92)-fc,cy+(cw*0.59));
+ ctx.arc(cx+(cw/2),cy+(cw*0.45),(cw*0.45)-fc,deg2rad(25),deg2rad(-205), true);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-135),deg2rad(-45), true);
+ ctx.closePath();
+ ctx.moveTo(cx+(cw*0.79),cy+(ch*0.47));
+ ctx.lineTo(cx+(cw*0.21),cy+(ch*0.47));
+ break;
+ case "9":
+ ctx.moveTo(cx+cw-fc,cy+(cw*0.5));
+ ctx.arc(cx+(cw/2),cy+(cw*0.5),(cw*0.5)-fc,deg2rad(0),deg2rad(360), false);
+ ctx.bezierCurveTo(cx+cw-fc,cy+ch-fc,cx+cw-fc,cy+ch-fc,cx+(cw*0.1)+fc,cy+ch-fc);
+ break;
+ case "%":
+ ctx.moveTo(cx+fc,cy+(ch*0.75));
+ ctx.lineTo(cx+cw-fc,cy+(ch*0.25));
+ ctx.moveTo(cx+(cw*0.505),cy+(cw*0.3));
+ ctx.arc(cx+(cw*0.3),cy+(cw*0.3),(cw*0.3)-fc,deg2rad(0),deg2rad(360), false);
+ ctx.moveTo(cx+(cw*0.905),cy+ch-(cw*0.3));
+ ctx.arc(cx+(cw*0.7),cy+ch-(cw*0.3),(cw*0.3)-fc,deg2rad(0),deg2rad(360), false);
+ break;
+ case ".":
+ ctx.moveTo(cx+(cw*0.25),cy+ch-fc-fc);
+ ctx.arc(cx+(cw*0.25),cy+ch-fc-fc,fc,deg2rad(0),deg2rad(360), false);
+ ctx.closePath();
+ break;
+ default:
+ break;
+ }
+ ctx.stroke();
+}
+function drawBar(ctx,x,y,width,height,color,value,textdiv){
+ var rw = width/2; var rh = rw/2;
+ height = Math.max(height,rw);
+ var dh = Math.max(height-(2*rh),0.1); var S2L;
+ var xx = rw/8; var yy = rh/4;
+ var yo = rh/2; y = y - yy;
+ if(canvas_noshadow <= 0 && canvas_noshade <= 0) {
+ ctx.save();
+ S2L = ctx.createRadialGradient(x+rw+rh,y+height-rw+yy+rh,0,x+rw,y+height-rw+yy,rw);
+ S2L.addColorStop(0, 'rgba(0,0,0,0.5)');
+ S2L.addColorStop(0.7, 'rgba(0,0,0,0.25)');
+ S2L.addColorStop(0.9, 'rgba(0,0,0,0.1)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.fillStyle = S2L;
+ ctx.scale(1,0.5);
+ ctx.translate(xx,y+height+yy);
+ if(isOp){
+ ctx.fillRect(x,y+yy+height-width,width,width);
+ }else {
+ ctx.arc(x+rw,y+yy+height-width,width,0,deg2rad(360), false);
+ ctx.fill();
+ }
+ ctx.restore();
+ }else if(canvas_noshadow <= 0 && canvas_noshade >= 1) {
+ ctx.fillStyle = 'rgba(0,0,0,0.2)';
+ ctx.fillRect(x+yo,y+rh+yo+yo,width,dh);
+ }
+
+ if(canvas_noshade <= 0) {
+ ctx.beginPath();
+ ctx.moveTo(x,y+rh);
+ ctx.lineTo(x,y+rh+dh);
+ ctx.bezierCurveTo(x,y+height,x+width,y+height,x+width,y+rh+dh)
+ ctx.lineTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = color;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x,y+(height/2),x+width,y+(height/2));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.75)');
+ S2L.addColorStop(0.2, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.3, 'rgba(0,0,0,0)');
+ S2L.addColorStop(0.5, 'rgba(0,0,0,0.1)');
+ S2L.addColorStop(0.9, 'rgba(0,0,0,0.35)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.3)');
+ ctx.beginPath();
+ ctx.moveTo(x,y+rh);
+ ctx.lineTo(x,y+rh+dh);
+ ctx.bezierCurveTo(x,y+height,x+width,y+height,x+width,y+rh+dh)
+ ctx.lineTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = S2L;
+ ctx.fill();
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = color;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x+rw-(width*0.15),y-2,x+rw+(width*0.15),y+(2.1*rh));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.9)');
+ S2L.addColorStop(0.5, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.6, 'rgba(0,0,0,0)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.3)');
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = S2L;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x+rw-(width*0.15),y-2,x+rw+(width*0.15),y+(2.1*rh));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.9)');
+ S2L.addColorStop(0.5, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.6, 'rgba(0,0,0,0)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.1)');
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.strokeStyle = S2L;
+ ctx.stroke();
+ }else {
+ ctx.fillStyle = color;
+ ctx.fillRect(x,y+rh+yo,width,dh);
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = 1;
+ ctx.fillStyle = 'rgba(255,255,255,0.5)';
+ var bw = width; var bh = bw/4;
+ var th = roundTo(bh*0.75,0); var tf = bh/80;
+ var c; var w;
+ if(canvas_notext <= 0) {
+ if(canvas_htmltext <= 0) {
+ w = '"' + per + '"';
+ if(w.indexOf(".")!=-1) {
+ c = w.length+0.5;
+ w = parseFloat(c-2)*parseFloat(48*tf);
+ bw = parseFloat(c-1)*parseFloat(48*tf);
+ }else {
+ c = w.length;
+ w = parseFloat(c-1)*parseFloat(48*tf);
+ bw = parseFloat(c)*parseFloat(48*tf);
+ }
+ roundedRect(ctx,x+(width/2)-(bw/2),y,bw,bh,bh/4);
+ ctx.fill();
+ if(canvas_imgtext <= 0) {
+ strokeString(ctx, value + "%","rgba(48,48,48,1)", th, x+(width/2)-(w/2), y+((bh-th)/2));
+ }else {
+ drawString(ctx, value + "%", tf, x+(width/2)-(w/2), y+((bh-th)/2.2));
+ }
+ }else {
+ var bh = bw/3; var th = roundTo(bh*0.75,0);
+ roundedRect(ctx,x,y,bw,bh,bh/4);
+ ctx.fill();
+ var obj = document.createElement('div');
+ obj.style.position = "absolute";
+ obj.style.overflow = "hidden";
+ obj.style.textAlign = "center";
+ obj.style.width = bw + "px";
+ obj.style.left = x + "px";
+ obj.style.top = y+((bh-th)/2.2) + "px";
+ obj.appendChild(document.createTextNode(per + "%"));
+ textdiv.appendChild(obj);
+ }
+
+ }
+}
+function drawLine(ctx,x,y,width,height,dist,array,factor,color,fill){
+ if(fill <= 0){
+ var style = hex2rgb(color,0.5);
+ ctx.lineJoin = "miter";
+ ctx.beginPath();
+ ctx.moveTo(x,y+height);
+ for (var i = 0; i < array.length; i++) {
+ ctx.lineTo(x+(i*dist),y+height-(array[i]*factor));
+ }
+ ctx.lineTo(x+width,y+height);
+ ctx.lineTo(x,y+height);
+ ctx.closePath();
+ ctx.fillStyle = style;
+ ctx.fill();
+ }
+ ctx.lineJoin = "round";
+ ctx.beginPath();
+ ctx.moveTo(x,y+height-(array[0]*factor));
+ for (var i = 0; i < array.length; i++) {
+ ctx.lineTo(x+(i*dist),y+height-(array[i]*factor));
+ }
+ ctx.strokeStyle = color;
+ ctx.stroke();
+}
+function setDataURL(cid) {
+ if(cid.toDataURL) {
+ var obj = document.getElementById(cid.id + "_link");
+ if(obj) {
+ obj.setAttribute("title", "To Data URL");
+ obj.setAttribute("target", "_blank");
+ obj.setAttribute("href", cid.toDataURL());
+ }
+ }
+}
+function get_input(dataobj,canvasobj,linechart) {
+ var table = document.getElementById(dataobj);
+ var canvas = document.getElementById(canvasobj);
+ var row; var clm; var cnt = 0; var val = 0; var nme = ""; var col = "";
+ if(canvas.getContext) {
+ canvas_width = parseInt(canvas.style.width);
+ canvas_height = parseInt(canvas.style.height);
+ if(canvas_width >= 16 && canvas_height >= 16) {
+ var tmp = table.getAttribute("summary");
+ canvas_noshade = 0; canvas_noshadow = 0;
+ canvas_notext = 0; canvas_imgtext = 0;
+ canvas_htmltext = 0; canvas_nofill = 0;
+ if(tmp.search(/noshadow/) != -1) canvas_noshadow = 1;
+ if(tmp.search(/noshade/) != -1) canvas_noshade = 1;
+ if(tmp.search(/nofill/) != -1) canvas_nofill = 1;
+ if(tmp.search(/notext/) != -1) canvas_notext = 1;
+ if(tmp.search(/htmltext/) != -1) canvas_htmltext = 1;
+ if(tmp.search(/imgtext/) != -1) canvas_imgtext = 1;
+ if(table.getAttribute("bgcolor")) {
+ canvas_bgcolor = scanColor(table.getAttribute("bgcolor"));
+ }
+ ChartData = new Array(); colorSlice = new Array();
+ if(table.getElementsByTagName("tr")[0].getElementsByTagName("th")[0]) cnt = 1;
+ for(var r = cnt; r < table.getElementsByTagName("tr").length; r++) {
+ row = table.getElementsByTagName("tr")[r];
+ clm = row.getElementsByTagName("td").length;
+ if(row.getElementsByTagName("td")[0].getAttribute("bgcolor")) {
+ col = scanColor(row.getElementsByTagName("td")[0].getAttribute("bgcolor")); val = 0;
+ nme = trim(row.getElementsByTagName("td")[1].innerHTML.replace(/<[^>]+>/g,""));
+ if(!linechart) {
+ if(clm > 3) {
+ for(var z = 2; z < clm; z++) {
+ val += parseFloat(row.getElementsByTagName("td")[z].innerHTML.replace(/<[^>]+>/g,""));
+ }
+ }else {
+ val = parseFloat(row.getElementsByTagName("td")[2].innerHTML.replace(/<[^>]+>/g,""));
+ }
+ if(!isNaN(val) && val > 0 && nme != '') {
+ colorSlice[r-cnt] = col; ChartData[nme] = Math.abs(val);
+ }else {
+ break;
+ }
+ }else {
+ val = 0; i = 0;
+ if(clm > 3 && nme != '') {
+ ChartData[r-cnt] = new Array();
+ colorSlice[r-cnt] = col;
+ for(var z = 2; z < clm; z++) {
+ val = Math.abs(parseFloat(row.getElementsByTagName("td")[z].innerHTML.replace(/<[^>]+>/g,"")));
+ if(!isNaN(val) && val >= 0) {
+ ChartData[r-cnt][i] = val; i++;
+ }else {
+ ChartData[r-cnt][i] = 0; i++;
+ }
+ }
+ }else {
+ break;
+ }
+ }
+ }else {
+ break;
+ }
+ }
+ }
+ }
+}
+function setPieChart(canvasobj,textobj) {
+ var cd = (Math.min(canvas_width,canvas_height)/110)*100;
+ var cp = cd*0.1; var cr = cd/2;
+ var sr = cr*0.93; var cw = cd+cp; var ch = cw;
+ var cx = (cd/2)+(cp/2); var cy = cx; var ct = 0;
+ for (var data in ChartData) {
+ ct += ChartData[data];
+ }
+ var poc = ct/100;
+ var canvas = document.getElementById(canvasobj);
+ if(canvas_htmltext >= 1) {
+ if(document.getElementById(textobj)) {
+ canvas.parentNode.removeChild(canvas.parentNode.lastChild);
+ }
+ }
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,cw,ch);
+ }else {
+ ctx.clearRect(0,0,cw,ch);
+ }
+ if(canvas_noshadow <= 0) {
+ if(!isOp) {
+ ctx.beginPath();
+ var B2B = ctx.createRadialGradient(cx+(cr*0.1),cy+(cr*0.1),cr,cx+(cr*0.1),cy+(cr*0.1),sr*0.9);
+ B2B.addColorStop(0, 'rgba(0,0,0,0)');
+ B2B.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.arc(cx+(cr*0.1),cy+(cr*0.1),cr,0,deg2rad(360), false);
+ ctx.closePath();
+ ctx.fillStyle = B2B;
+ ctx.fill();
+ }else {
+ var B2B = ctx.createRadialGradient(cx+(cr*0.1),cy+(cr*0.1),0,cx+(cr*0.1),cy+(cr*0.1),cr);
+ B2B.addColorStop(0, 'rgba(0,0,0,0.5)');
+ B2B.addColorStop(0.87, 'rgba(0,0,0,0.5)');
+ B2B.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.fillStyle = B2B;
+ ctx.fillRect(0,0,cw,ch);
+ }
+ }
+ var val = 0; var deg = 0; var idx = 0; var data;
+ for (data in ChartData) {
+ val = ChartData[data]; sdeg = deg;
+ deg += (val/ct)*deg2rad(360); edeg = deg;
+ ctx.beginPath();
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr,sdeg,edeg, false);
+ ctx.lineTo(cx,cy);
+ ctx.closePath();
+ ctx.fillStyle = colorSlice[(idx++)];
+ ctx.fill();
+ }
+ if(canvas_noshade <= 0) {
+ ctx.beginPath();
+ var W2T = ctx.createLinearGradient(cp*2,cp*2,cx,cy);
+ W2T.addColorStop(0, 'rgba(255,255,255,0.8)');
+ W2T.addColorStop(1, 'rgba(255,255,255,0)');
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,sr,deg2rad(135),deg2rad(315), false);
+ ctx.closePath();
+ ctx.fillStyle = W2T;
+ ctx.fill();
+ ctx.beginPath();
+ var T2B = ctx.createLinearGradient(cx,cy,cw-(cp*2),ch-(cp*2));
+ T2B.addColorStop(0, 'rgba(0,0,0,0)');
+ T2B.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,sr,deg2rad(-45),deg2rad(135), false);
+ ctx.closePath();
+ ctx.fillStyle = T2B;
+ ctx.fill();
+ ctx.beginPath();
+ var B2T = ctx.createLinearGradient(cx,cy,cw-(cp*1.9),ch-(cp*1.9));
+ B2T.addColorStop(0, 'rgba(0,0,0,0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.6)');
+ ctx.lineWidth = cr*0.07;
+ ctx.lineCap = "round";
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr*0.965,deg2rad(-45),deg2rad(135), false);
+ ctx.closePath();
+ ctx.strokeStyle = B2T;
+ ctx.stroke();
+ ctx.beginPath();
+ var T2W = ctx.createLinearGradient(cx,cy,(cp*1.9),(cp*1.9));
+ T2W.addColorStop(0, 'rgba(255,255,255,0)');
+ T2W.addColorStop(1, 'rgba(255,255,255,0.6)');
+ ctx.lineWidth = cr*0.07;
+ ctx.lineCap = "round";
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr*0.965,deg2rad(135),deg2rad(315), false);
+ ctx.closePath();
+ ctx.strokeStyle = T2W;
+ ctx.stroke();
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = 1;
+ ctx.fillStyle = 'rgba(255,255,255,0.5)';
+ var mpos = 0; var per = 0; var gx = 0;
+ var gy = 0; var tx = 0; var ty = 0;
+ var obj = ""; var w = 0; var c = 0;
+ var bw = cr*0.45; var bh = cr*0.125;
+ var th = roundTo(bh*0.75,0); var tf = bh/80;
+ if(canvas_notext <= 0) {
+ if(canvas_htmltext >= 1) {
+ bw = (cr*0.365);
+ canvas.parentNode.style.position = "relative";
+ if(!document.getElementById(textobj)) {
+ obj = document.createElement('div');
+ obj.id = textobj;
+ obj.style.color = "rgb(0,0,0)";
+ obj.style.fontFamily = "Arial,sans-serif";
+ obj.style.fontSize = th + "px";
+ obj.style.zIndex = 11;
+ canvas.parentNode.appendChild(obj);
+ }
+ var textdiv = document.getElementById(obj.id);
+ }
+ for (data in ChartData) {
+ val = ChartData[data];
+ sdeg = deg; mpos = deg+(((val/2)/ct)*deg2rad(360));
+ deg += (val/ct)*deg2rad(360); edeg = deg;
+ per = roundTo(val/poc,2);
+ gx = circle_point_x(mpos, cd);
+ gy = circle_point_y(mpos, cd);
+ tx = parseFloat((cx+(2*Math.floor(cx + gx)))/3);
+ ty = (cy+(2*Math.floor(cy + gy)))/3;
+ if(canvas_htmltext <= 0) {
+ w = '"' + per + '"';
+ if(w.indexOf(".")!=-1) {
+ c = w.length+0.5;
+ w = parseFloat(c-2)*parseFloat(48*tf);
+ bw = parseFloat(c-1)*parseFloat(48*tf);
+ }else {
+ c = w.length;
+ w = parseFloat(c-1)*parseFloat(48*tf);
+ bw = parseFloat(c)*parseFloat(48*tf);
+ }
+ roundedRect(ctx,(tx-(bw/2)),ty-(bh/2),bw,bh,bh/4);
+ ctx.fill();
+ if(canvas_imgtext >= 1) {
+ drawString(ctx, per + "%", tf, tx-(w/2), ty-(th/1.8));
+ }else {
+ strokeString(ctx, per + "%","rgba(48,48,48,1)", th, tx-(w/2), ty-(th/2));
+ }
+ }else {
+ roundedRect(ctx,(tx-(bw/2)),ty-(bh/2),bw,bh,bh/4);
+ ctx.fill();
+ obj = document.createElement('div');
+ obj.style.position = "absolute";
+ obj.style.overflow = "hidden";
+ obj.style.textAlign = "center";
+ obj.style.width = bw + "px";
+ obj.style.left = (tx-(bw/2)) + "px";
+ obj.style.top = ty-(th/1.8) + "px";
+ obj.appendChild(document.createTextNode(per + "%"));
+ textdiv.appendChild(obj);
+ }
+ }
+ }
+ }
+}
+function setBarChart(canvasobj,textobj) {
+ var iw = canvas_width*0.9; var ih = canvas_height*0.9;
+ var pw = canvas_width*0.05; var ph = canvas_height*0.05;
+ var cm = 0; var ct = 0;
+ for (var data in ChartData) {
+ cm = Math.max(cm,ChartData[data]);
+ ct += ChartData[data];
+ }
+ var hf = ih/cm; var bs = colorSlice.length;
+ var bw = iw/(bs*1.1); var poc = ct/100;
+ var th = roundTo((bw/3)*0.75,0);
+ var canvas = document.getElementById(canvasobj);
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,canvas_width,canvas_height);
+ }else {
+ ctx.clearRect(0,0,canvas_width,canvas_height);
+ }
+ if(canvas_htmltext >= 1) {
+ if(document.getElementById(textobj)) {
+ canvas.parentNode.removeChild(canvas.parentNode.lastChild);
+ }
+ canvas.parentNode.style.position = "relative";
+ if(!document.getElementById(textobj)) {
+ var obj = document.createElement('div');
+ obj.id = textobj;
+ obj.style.color = "rgb(0,0,0)";
+ obj.style.fontFamily = "Arial,sans-serif";
+ obj.style.fontSize = th + "px";
+ obj.style.zIndex = 11;
+ canvas.parentNode.appendChild(obj);
+ }
+ var textdiv = document.getElementById(obj.id);
+ }
+ var cc = ""; var bh = 0; var idx = 0; var t = 0; per = 0;
+ for (var data in ChartData) {
+ per = roundTo(ChartData[data]/poc,2);
+ bh = ChartData[data]*hf;
+ drawBar(ctx,pw+(t*(bw*1.1)),ph+ih-bh,bw,bh,colorSlice[(idx++)],per,textdiv);
+ t++;
+ }
+ }
+}
+function setLineChart(canvasobj,textobj) {
+ var iw = canvas_width*0.9;
+ var ih = canvas_height*0.9;
+ var pw = canvas_width*0.05;
+ var ph = canvas_height*0.05;
+ var cm = 0;
+ for (var i = 0; i < ChartData.length; i++) {
+ for (var j = 0; j < ChartData[i].length; j++) {
+ cm = Math.max(cm,ChartData[i][j]);
+ }
+ }
+ var hf = (ih*0.95)/cm; var bw = iw;
+ var dw = iw/(ChartData[0].length-1)
+ var dh = ih/(ChartData[0].length-1)
+ var ps = Math.min(pw,ph); lw = ps/4;
+ var B2T;
+ var canvas = document.getElementById(canvasobj);
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,canvas_width,canvas_height);
+ }else {
+ ctx.clearRect(0,0,canvas_width,canvas_height);
+ }
+ if(canvas_noshadow <= 0) {
+ B2T = ctx.createLinearGradient(pw+ps,ph+ih+1,pw+ps+ps,ph+ih+1);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+ps,ph+ih);
+ ctx.lineTo(pw+ps,ph+ih+ps);
+ ctx.lineTo(pw+ps+ps,ph+ih);
+ ctx.closePath();
+ ctx.fill();
+ B2T = ctx.createLinearGradient(pw+ps+ps,ph+ih,pw+ps+ps,ph+ih+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.5)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.0)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+ps+ps,ph+ih);
+ ctx.lineTo(pw+iw,ph+ih);
+ ctx.lineTo(pw+ps+iw,ph+ih+ps);
+ ctx.lineTo(pw+ps,ph+ih+ps);
+ ctx.closePath();
+ ctx.fill();
+ var B2T = ctx.createLinearGradient(pw+iw+1,ph+ps,pw+iw+1,ph+ps+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.35)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+iw,ph+ps);
+ ctx.lineTo(pw+iw,ph+ps+ps);
+ ctx.lineTo(pw+iw+ps,ph+ps);
+ ctx.closePath();
+ ctx.fill();
+ B2T = ctx.createLinearGradient(pw+iw,ph+ps+ps,pw+iw+ps,ph+ps+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.35)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.0)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+iw,ph+ps+ps);
+ ctx.lineTo(pw+iw,ph+ih);
+ ctx.lineTo(pw+iw+ps,ph+ih+ps);
+ ctx.lineTo(pw+iw+ps,ph+ps);
+ ctx.closePath();
+ ctx.fill();
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = lw/2;
+ ctx.strokeStyle = "rgba(255,255,255,0.25)";
+ if(canvas_nofill <= 0) {
+ ctx.fillStyle = "rgba(240,240,240,0.8)";
+ ctx.strokeStyle = "rgba(255,255,255,1)";
+ ctx.fillRect(pw,ph,iw,ih);
+ }
+ for (var i = 0; i < ChartData[0].length-1; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw+(i*dw),ph);
+ ctx.lineTo(pw+(i*dw),ph+ih);
+ ctx.stroke();
+ }
+ for (var i = 1; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw,ph+(i*dh));
+ ctx.lineTo(pw+iw,ph+(i*dh));
+ ctx.stroke();
+ }
+ ctx.lineWidth = lw;
+ var cc = ""; var bh = 0; var idx = 0; var t = 0;
+ for (var i = 0; i < ChartData.length; i++) {
+ for (var j = 0; j < ChartData[i].length; j++) {
+ t = Math.max(t,ChartData[i][j]);
+ }
+ bh = t*hf; cc = colorSlice[(idx++)];
+ drawLine(ctx,pw,ph+ih-bh,bw,bh,dw,ChartData[i],hf,cc,canvas_nofill);
+ }
+ ctx.beginPath();
+ ctx.moveTo(pw,ph);
+ ctx.lineTo(pw,ph+ih);
+ ctx.lineTo(pw+iw+(lw/2),ph+ih);
+ ctx.strokeStyle = "rgba(48,48,48,1)";
+ ctx.stroke();
+ ctx.lineWidth = lw/2;
+ B2T = ctx.createLinearGradient(pw,ph+ih,pw,ph+ih+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,1)');
+ B2T.addColorStop(0.5, 'rgba(0,0,0,1)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.strokeStyle = B2T;
+ for (var i = 0; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw+(i*dw),ph+ih);
+ ctx.lineTo(pw+(i*dw),ph+ih+ps);
+ ctx.stroke();
+ }
+ B2T = ctx.createLinearGradient(pw-ps,ph,pw,ph);
+ B2T.addColorStop(0, 'rgba(0,0,0,0)');
+ B2T.addColorStop(0.5, 'rgba(0,0,0,1)');
+ B2T.addColorStop(1, 'rgba(0,0,0,1)');
+ ctx.strokeStyle = B2T;
+ for (var i = 1; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw-ps,ph+(i*dh));
+ ctx.lineTo(pw,ph+(i*dh));
+ ctx.stroke();
+ }
+ }
+}
+function generateCanvas() {
+ if(canvasSupport) {
+ var canvasID; var tdataID; var textID;
+ var tmp; var dat; var i; var j;
+ for(i=0; i < piecharts.length; i++) {
+ tmp = piecharts[i].id.split("_");
+ tdataID = piecharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID);
+ if(colorSlice.length > 0) {
+ j = 0; dat = ""; for(dat in ChartData) {j++; }
+ if(j > 0 && j == colorSlice.length) {
+ setPieChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ for(i=0; i < barcharts.length; i++) {
+ tmp = barcharts[i].id.split("_");
+ tdataID = barcharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID);
+ if(colorSlice.length > 0) {
+ j = 0; dat = ""; for(dat in ChartData) {j++; }
+ if(j > 0 && j == colorSlice.length) {
+ setBarChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ for(i=0; i < linecharts.length; i++) {
+ tmp = linecharts[i].id.split("_");
+ tdataID = linecharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID,true);
+ if(colorSlice.length > 0) {
+ if(ChartData.length == colorSlice.length) {
+ setLineChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ }
+}
+// canvas chart extension
+
+// invisible select extension
+function setListPos(opt) {
+ if(!isIE) { //(degrade IE)
+ var ref = document.getElementById('list');
+ var x = findPosX(ref); var y = findPosY(ref);
+ var obj = document.getElementById('jumplist');
+ obj.style.position = 'fixed'; obj.style.left = x + 'px'; obj.style.top = y + 'px';
+ var vol = document.getElementById('volumelist');
+ ref = document.getElementById('volume');
+ x = findPosX(ref); if(isOp) {y = window.innerHeight-imgHeight; }else {y = findPosY(ref);}
+ vol.style.position = 'fixed'; vol.style.left = x + 'px'; vol.style.top = y + 'px';
+ var del = document.getElementById('delaylist');
+ ref = document.getElementById('delay');
+ x = findPosX(ref); if(isOp) {y = window.innerHeight-imgHeight; }else {y = findPosY(ref);}
+ del.style.position = 'fixed'; del.style.left = x + 'px'; del.style.top = y + 'px';
+ var pnl = document.getElementById('navLinks');
+ }
+ if(opt) {
+ if(isIE) {
+ //(degrade IE)
+ //pnl.style.filter = "alpha(opacity=80)";
+ }else {
+ obj.style.opacity = 0.0;
+ vol.style.opacity = 0.0;
+ del.style.opacity = 0.0;
+ pnl.style.opacity = 0.8;
+ }
+ }
+}
+// invisible select extension
+
+// transition extension
+function switchFade() {
+ if(tranSitions && s5mode && fadeModus) {
+ fadeModus = false;
+ playDelay = playDelay-(2*fadeDuration);
+ var obj = document.getElementById('fade'); changeClass(obj,'isoff');
+ }else if(tranSitions && s5mode && !fadeModus) {
+ fadeModus = true;
+ playDelay = playDelay+(2*fadeDuration);
+ var obj = document.getElementById('fade'); changeClass(obj,'ison');
+ }
+ currentSlide();
+}
+function opacity(ids, opacStart, opacEnd, millisec) {
+ var speed = Math.round(millisec / 100);
+ var timer = 0;
+ if(opacStart > opacEnd) {
+ for(var i = opacStart; i >= opacEnd; i--) {
+ window.setTimeout("changeOpac(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ } else if(opacStart < opacEnd) {
+ for(var i = opacStart; i <= opacEnd; i++) {
+ window.setTimeout("changeOpac(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ }
+}
+function changeOpac(opacity, ids) {
+ var obj = document.getElementById(ids);
+ if (isIE) {
+ obj.style.filter = "alpha(opacity=" + opacity + ")";
+ } else {
+ obj.style.opacity = (opacity / 100);
+ }
+}
+function shiftOpacity(ids, millisec) {
+ if(document.getElementById(ids).style.opacity != '') {
+ var currentOpac = document.getElementById(ids).style.opacity * 100;
+ } else {
+ var currentOpac = 0;
+ }
+ if(currentOpac == 0) {
+ opacity(ids, currentOpac, 100, millisec);
+ } else if(currentOpac > 0) {
+ opacity(ids, currentOpac, 0, millisec);
+ }
+}
+// transition extension
+
+// autoplay extension
+function autoPlay() {
+ if (s5mode && autoMatic && !playPause) {
+ if ((snum >= (smax-1)) && playLoop) {
+ goTo(0);
+ autoRun = setTimeout('autoPlay();',playDelay);
+ }else if ((snum >= (smax-1)) && !playLoop) {
+ stopPlay();
+ }else {
+ if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ if (incrementals[snum].length >0) {
+ clearTimeout(autoRun); autoRun = null;
+ incrDelay = parseInt(playDelay/(incrementals[snum].length+1));
+ remainDer = parseInt(playDelay-(incrDelay*incrementals[snum].length));
+ autoRun = setTimeout('autoPlayIncr()',incrDelay);
+ }
+ } else {
+ clearTimeout(autoRun); autoRun = null;
+ incrDelay = incrDuration;
+ remainDer = parseInt(playDelay-(incrementals[snum].length*incrDuration));
+ autoRun = setTimeout('autoPlayIncr()',incrDelay);
+ }
+ autoRun = setTimeout('autoPlay();',playDelay);
+ }
+ }
+}
+function autoPlayIncr() {
+ if (incpos < incrementals[snum].length) {
+ subgo(1);
+ autoRun = setTimeout('autoPlayIncr();',incrDelay);
+ }else {
+ autoRun = setTimeout('nop();',remainDer);
+ }
+}
+function nop() {
+ // no operation dummy
+}
+function togglePlay() {
+ if (autoRun && s5mode) {
+ stopPlay();
+ }else if (!autoRun && s5mode) {
+ startPlay();
+ }
+}
+function stopPlay() {
+ if (autoRun && s5mode) {
+ clearTimeout(autoRun); autoRun = null;
+ autoMatic = false; playPause = false; var obj = '';
+ obj = document.getElementById('auto'); changeClass(obj,'isoff');
+ obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ currentSlide();
+ }
+}
+function startPlay() {
+ if (!autoRun && s5mode) {
+ playPause = false; autoMatic = true; var obj = '';
+ obj = document.getElementById('auto'); changeClass(obj,'ison');
+ obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ autoRun = setTimeout('autoPlay();',playDelay);
+ currentSlide();
+ }
+}
+function pausePlay() {
+ if (s5mode && autoMatic) {
+ if (playPause) {
+ playPause = false; autoRun = setTimeout('autoPlay();',playDelay);
+ var obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ }else {
+ if (autoRun){
+ clearTimeout(autoRun); autoRun = null; playPause = true;
+ var obj = document.getElementById('pause'); changeClass(obj,'ison');
+ }
+ }
+ currentSlide();
+ }
+}
+function switchLoop() {
+ if(s5mode) {
+ if (playLoop) {
+ playLoop = false;
+ var obj = document.getElementById('loop'); changeClass(obj,'isoff');
+ }else {
+ playLoop = true;
+ var obj = document.getElementById('loop'); changeClass(obj,'ison');
+ }
+ }
+ currentSlide();
+}
+function setDelay() {
+ var val = document.getElementById('delaylist');
+ if(s5mode) {
+ var delay = Math.max(5,Math.min(parseInt(val.value),300));
+ playDelay = (fadeModus == true) ? ((delay*1000)+(2*fadeDuration)) : (delay*1000);
+ }
+}
+// autoplay extension
+
+// audio extension
+function createSoundManagerScript() {
+ if(typeof soundManager=="undefined") {
+ onerrorSM2();
+ }else {
+ var script=document.createElement('SCRIPT');
+ var tx=document.createTextNode("soundManager.createMovie();");
+ script.appendChild(tx);
+ document.getElementsByTagName("body")[0].appendChild(script);
+ }
+}
+//soundManager.onload = function() {
+function onloadSM2() {
+ if(!isIE) { //(degrade IE)
+ swfUnloaded = false;
+ preloadSounds();
+ }
+}
+//soundManager.onerror = function() {
+function onerrorSM2() {
+ if(typeof soundManager!="undefined") {
+ soundManager.destruct;
+ delete soundManager;
+ }
+ audioSupport = false; swfUnloaded = true;
+ if(audioError && !isIE && !isOp) {
+ var dv = document.createElement('div'); dv.id = "guru";
+ var d2=document.createElement('div'); dv.appendChild(d2);
+ var tx=document.createTextNode('Guru Meditation - SoundManager failed to load/initialize!');
+ d2.appendChild(tx); document.getElementById('slide0').appendChild(dv);
+ }
+}
+function audioSetup() {
+ if(sound[0]) {
+ playSound(0);
+ }else if(sound[bgSoundItem] && !sound[0]) {
+ playSound(bgSoundItem);
+ }
+}
+function fadeoutSound(ids, option) {
+ if(curSoundID >= 0 && !swfUnloaded) {
+ if(isNaN(ids)) {
+ if(ids == "bgSound") {
+ var cnum = parseInt(bgSoundItem);
+ }else {
+ for (var i = 0; i < (sound.length-1); i++) {
+ if(sound[i] && ids == sound[i]["id"]) {
+ var cnum = i;
+ break;
+ }
+ }
+ }
+ }else {
+ var cnum = ids;
+ ids = sound[cnum]["id"];
+ }
+ var vol = getMaxVolume(sound[cnum]["volume"]);
+ var millisec = fadeDuration;
+ var speed = Math.round(millisec / vol);
+ var timer = 0;
+ for(var i = vol; i > 0; i--) {
+ setTimeout("fadeout(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ if(option) setTimeout("stopSound('"+ids+"')",millisec);
+ }
+}
+function fadeout(volume, id) {
+ soundManager.setVolume(id,volume);
+}
+function stopSound(ids) {
+ var sid;
+ if(isNaN(ids)) {
+ sid = ids;
+ }else if(sound[ids]) {
+ sid = sound[ids]["id"];
+ }
+ if(sid!='' && curSoundID >= 0) {
+ soundManager.stop(sid);
+ curSoundID = -1;
+ }
+}
+function toggleSounds() {
+ if(audioSupport && !swfUnloaded) {
+ stopAllSounds();
+ }else if (!audioSupport && !swfUnloaded) {
+ allowSounds();
+ }
+}
+function allowSounds() {
+ if(!swfUnloaded) {
+ audioSupport = true;
+ var obj = document.getElementById('audio'); changeClass(obj,'ison');
+ currentSlide();
+ }
+}
+function stopAllSounds() {
+ if(curSoundID >= 0) {
+ stopSound(curSoundID);
+ }else {
+ soundManager.stopAll();
+ curSoundID = -1;
+ }
+ audioSupport = false;
+ var obj = document.getElementById('audio'); changeClass(obj,'isoff');
+ currentSlide();
+}
+function playSound(id) {
+ if(audioSupport && !swfUnloaded) {
+ var url, sid, vol, lps, cnum;
+ if(isNaN(id)) {
+ sid = id;
+ if(sid == "bgSound") {
+ cnum = parseInt(bgSoundItem);
+ }else {
+ cnum = getSoundID(id);
+ }
+ }else {
+ cnum = parseInt(id);
+ if(sound[cnum]) sid = sound[cnum]["id"];
+ }
+ if(sound[cnum] && sound[cnum]["url"]!='' && sid!=''){
+ url = sound[cnum]["url"];
+ vol = (sound[cnum]["volume"]!='')?getMaxVolume(sound[cnum]["volume"]):getMaxVolume(100);
+ lps = (sound[cnum]["loops"])?true:false;
+ if(lps) {
+ soundManager.play(sid,{volume:vol,onplay:function(){curSoundID=cnum;},onfinish:function(){soundManager.play(sid,{volume:getMaxVolume(sound[cnum]["volume"])});}});
+ }else {
+ soundManager.play(sid,{volume:vol,onplay:function(){curSoundID=cnum;},onfinish:function(){curSoundID=-1;}});
+ }
+ }
+ }
+}
+function getSoundID(str) {
+ for (var i = 0; i < (sound.length-1); i++) {
+ if(sound[i] && str == sound[i]["id"]) {
+ var id = i;
+ break;
+ }
+ }
+ return id;
+}
+function setVolume() {
+ var vol = document.getElementById('volumelist');
+ if(audioSupport && !swfUnloaded) {
+ audioVolume = parseInt(vol.value);
+ if(curSoundID >= 0) {
+ if(sound[curSoundID]) {
+ var sid = sound[curSoundID]["id"];
+ var vid = getMaxVolume(sound[curSoundID]["volume"]);
+ soundManager.setVolume(sid,vid);
+ }
+ }
+ }
+}
+function getMaxVolume(value) {
+ if(audioVolume>0) {var factor = audioVolume/100;}else {var factor = 0;}
+ return Math.max(0,Math.min(parseInt(value*factor),audioVolume));
+}
+function preloadSounds() {
+ var temp = ''; var parm = ''; var t = ''; var cl = '';
+ var objects = document.getElementsByTagName('object');
+ for (var i=0; i < objects.length; i++) {
+ if(objects[i].type.toLowerCase() == 'audio/mp3' && objects[i].data != '') {
+ objects[i].width = 0; objects[i].height = 0;
+ if(objects[i].parentNode.tagName == 'DIV') {
+ cl = objects[i].parentNode.className.toLowerCase();
+ if(cl == 'presentation' || cl == 'slide') {
+ if(cl == 'presentation') {
+ t = parseInt(bgSoundItem);
+ }else {
+ t = parseInt(objects[i].parentNode.id.slice(5, objects[i].parentNode.id.length));
+ }
+ sound[t] = new Object();
+ if(t < bgSoundItem) {
+ sound[t]["id"] = objects[i].parentNode.id;
+ }else {
+ sound[t]["id"] = "bgSound";
+ }
+ sound[t]["url"] = objects[i].data;
+ sound[t]["volume"] = 100; sound[t]["loops"] = false;
+ if(objects[i].archive != '') {
+ parm = objects[i].archive.toLowerCase().split(',');
+ for (var j=0; j < parm.length; j++) {
+ if(parm[j] == 'loop') sound[t]["loops"] = true;
+ if(parm[j].search(/^volume/) != -1) {
+ var tmp = parm[j].split(':');
+ sound[t]["volume"] = parseInt(tmp[1]);
+ }
+ }
+ }
+ soundManager.createSound(sound[t]["id"],sound[t]["url"]);
+ }
+ }
+ }
+ }
+}
+// audio extension
+
+// help extension
+function createHelpReq() {
+ if(!document.getElementById("HelpReq")) {
+ var obj = document.getElementsByTagName("body")[0].firstChild;
+ var pg = document.createElement('div');
+ pg.id = "HelpReq";
+ if (pg.addEventListener) {
+ pg.addEventListener("onclick",dumpHelpReq,false);
+ } else if (pg.attachEvent) {
+ pg.attachEvent("onclick",dumpHelpReq);
+ }
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=90)";
+ } else {
+ pg.style.opacity = 0.9;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(64,64,64)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/help.jpg)";
+ document.getElementsByTagName("body")[0].insertBefore(pg,obj);
+ if(document.getElementById("HelpReq")) {
+ helpmode = true;
+ }
+ }
+}
+function dumpHelpReq() {
+ if(document.getElementById("HelpReq")) {
+ document.body.removeChild(document.getElementById('HelpReq'));
+ helpmode = false;
+ }
+}
+// help extension
+
+//DEBUG
+function ConsoleLog(value) {
+ if(window.console) {
+ window.console.log(value);
+ }
+}
+//DEBUG
+
+document.write('<style type="text/css" media="screen" id="blockStyle">.presentation, .layout {display: none; }</style>');
+
+if(!isIE && !isOp) {
+ document.write('<script type="text/javascript" src="'+s5Path+'ui/audio_support/soundmanager2.js"></script>');
+ if(typeof soundManager!="undefined") {
+ var allMetas = document.getElementsByTagName('meta');
+ for (var i = 0; i< allMetas.length; i++) {
+ if (allMetas[i].name == 'audioDebug') {
+ var audioDebug = (allMetas[i].content == "true") ? true : false;
+ soundManager.defaultOptions.debugMode = audioDebug;
+ }
+ }
+ }
+}
+
+window.onload = createSlideShow;
+window.onresize = function(){setTimeout('windowChange()',5);} \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/toggle_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/toggle_link.png
new file mode 100644
index 000000000..4588e2514
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/toggle_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/toggle_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/toggle_over.png
new file mode 100644
index 000000000..400f613b6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/toggle_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/volume_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/volume_link.png
new file mode 100644
index 000000000..f362acd1f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/volume_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/wrap.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/wrap.css
new file mode 100644
index 000000000..a37315552
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/wrap.css
@@ -0,0 +1,410 @@
+/********************************************************************
+Screen and Print Styles for the Wrap Plugin
+********************************************************************/
+
+/* tables in columns and boxes should span the whole width */
+.wrap_column table,
+.wrap_left table, .wrap_right table, .wrap_center table,
+.wrap_box table,
+.wrap_info table, .wrap_important table, .wrap_alert table, .wrap_tip table, .wrap_help table, .wrap_todo table, .wrap_download table {
+ width: 100%;
+}
+/* emulate a headline */
+.wrap_column em strong,
+.wrap_left em strong, .wrap_right em strong, .wrap_center em strong,
+.wrap_box em strong,
+.wrap_info em strong, .wrap_important em strong, .wrap_alert em strong, .wrap_tip em strong, .wrap_help em strong, .wrap_todo em strong, .wrap_download em strong {
+ font-size: 130%;
+ font-weight: bold;
+ font-style: normal;
+ display: block;
+}
+/* emulate a bigger headline with a bottom border */
+.wrap_column em strong em.u,
+.wrap_left em strong em.u, .wrap_right em strong em.u, .wrap_center em strong em.u,
+.wrap_box em strong em.u,
+.wrap_info em strong em.u, .wrap_important em strong em.u, .wrap_alert em strong em.u, .wrap_tip em strong em.u, .wrap_help em strong em.u, .wrap_todo em strong em.u, .wrap_download em strong em.u {
+ font-size: 115%;
+ border-bottom: 1px solid __border__;
+ font-style: normal;
+ text-decoration: none;
+ display: block;
+}
+/* different bigger headline for safety notes */
+.wrap_danger em strong em.u, .wrap_warning em strong em.u, .wrap_caution em strong em.u, .wrap_notice em strong em.u, .wrap_safety em strong em.u {
+ font-size: 115%;
+ font-style: normal;
+ text-decoration: none;
+ display: block;
+ text-transform: uppercase;
+ border-bottom-width: 0;
+}
+/* change border colour of emulated headlines inside boxes to something more neutral
+ (to match all the different background colours) */
+.wrap_box em strong em.u,
+.wrap_info em strong em.u, .wrap_important em strong em.u, .wrap_alert em strong em.u, .wrap_tip em strong em.u, .wrap_help em strong em.u, .wrap_todo em strong em.u, .wrap_download em strong em.u {
+ border-bottom-color: #999;
+}
+
+
+/* columns
+********************************************************************/
+
+.wrap_left,
+.wrap_column {
+ float: left;
+ margin-right: 1.5em;
+}
+.wrap_right {
+ float: right;
+ margin-left: 1.5em;
+}
+.wrap_center {
+ display: block;
+ margin-left: auto;
+ margin-right: auto;
+}
+/*
+.wrap_column,
+.wrap_left,
+.wrap_right {
+ overflow: hidden;
+}
+*/
+.wrap_container {
+ margin-right: -1.5em;
+}
+
+
+/* alignments
+********************************************************************/
+
+.wrap_leftalign {
+ text-align: left;
+}
+.wrap_centeralign {
+ text-align: center;
+}
+.wrap_rightalign {
+ text-align: right;
+}
+.wrap_justify {
+ text-align: justify;
+}
+
+
+/* box
+********************************************************************/
+
+/* see styles for boxes and notes with icons in style.css */
+
+/*____________ rounded corners ____________*/
+/* (only for modern browsers) */
+
+div.wrap_round {
+ border-radius: 20px;
+ -moz-border-radius: 20px;
+ -webkit-border-radius: 20px;
+ -khtml-border-radius: 20px;
+}
+span.wrap_round {
+ border-radius: 2px;
+ -moz-border-radius: 2px;
+ -webkit-border-radius: 2px;
+ -khtml-border-radius: 2px;
+}
+
+
+/* mark
+********************************************************************/
+
+div.wrap_lo {
+ color: __text_neu__;
+ font-size: 85%;
+}
+div.wrap_em {
+ color: #c00;
+ font-weight: bold;
+}
+
+/* see styles for highlighted text in style.css */
+
+
+/* typography
+********************************************************************/
+
+/*____________ fonts ____________*/
+
+div.wrap_sansserif {
+ font-family: Verdana,Tahoma,Geneva,"DejaVu Sans","Bitstream Vera Sans","Liberation Sans", Arial,Helvetica,FreeSans,"Liberation Sans","Nimbus Sans L", sans-serif;
+}
+div.wrap_serif {
+ font-family: Georgia,Garamond,"Palatino Linotype","Book Antiqua",Palatino,Palladio,"URW Palladio L","Liberation Serif",Didot,Gentium,"Bitstream Charter","Century Schoolbook L", "Times New Roman",Times,"Nimbus Roman No9 L","FreeSerif", serif;
+}
+div.wrap_monospace {
+ font-family: Courier,"Courier New",FreeMono,"Nimbus Mono L","Liberation Mono", "Lucida Console",Monaco,"DejaVu Sans Mono","Bitstream Vera Sans Mono", monospace;
+}
+
+/*____________ font size ____________*/
+
+div.wrap_bigger {
+ font-size: 125%;
+}
+div.wrap_muchbigger {
+ font-size: 200%;
+}
+div.wrap_smaller {
+ font-size: 75%;
+}
+
+/*____________ font colours ____________*/
+
+div.wrap_fgred {
+ color: #900;
+}
+div.wrap_fggreen {
+ color: #090;
+}
+div.wrap_fgblue {
+ color: #009;
+}
+div.wrap_fgcyan {
+ color: #099;
+}
+div.wrap_fgviolet {
+ color: #909;
+}
+div.wrap_fgyellow {
+ color: #990;
+}
+div.wrap_fggrey {
+ color: #666;
+}
+div.wrap_fgblack {
+ color: #000;
+}
+
+/* see styles for background colours and white font colour in style.css */
+
+
+/* miscellaneous
+********************************************************************/
+
+/*____________ indent ____________*/
+
+div.wrap_indent {
+ padding-left: 1.5em;
+}
+
+/*____________ outdent ____________*/
+
+div.wrap_outdent {
+ margin-left: -1.5em;
+}
+
+/*____________ word wrapping in pre ____________*/
+
+divdiv.wrap_prewrap pre {
+ white-space: pre-wrap;
+ word-wrap: break-word;/* for IE < 8 */
+ /* white-space: -moz-pre-wrap; for FF < 3 */
+}
+
+/*____________ spoiler ____________*/
+
+divdiv.wrap_spoiler {
+ margin-bottom: 1.5em;
+}
+/* see rest of spoiler styles in style.css */
+
+/*____________ clear float ____________*/
+
+div.wrap_clear {
+ clear: both;
+ line-height: 0;
+ height: 0;
+ font-size: 1px;
+ visibility: hidden;
+ overflow: hidden;
+}
+
+/*____________ hide ____________*/
+
+div.wrap_hide {
+ display: none;
+}
+
+
+/* STYLE.CSS */
+
+/* box
+********************************************************************/
+
+.wrap_box {
+ background: __background_alt__;
+ color: __text__;
+/*
+ overflow: hidden;
+*/
+}
+div.wrap_box,
+div.wrap_danger, div.wrap_warning, div.wrap_caution, div.wrap_notice, div.wrap_safety {
+ padding: 1em 1em .5em;
+ margin-bottom: 1.5em;
+}
+span.wrap_box,
+span.wrap_danger, span.wrap_warning, span.wrap_caution, span.wrap_notice, span.wrap_safety {
+ padding: 0 .3em;
+}
+
+/*____________ notes with icons ____________*/
+
+/* general styles for all note divs */
+div.wrap_info, div.wrap_important, div.wrap_alert, div.wrap_tip, div.wrap_help, div.wrap_todo, div.wrap_download {
+ padding: 1em 1em .5em 70px;
+ margin-bottom: 1.5em;
+ min-height: 68px;
+ background-position: 10px 50%;
+ background-repeat: no-repeat;
+ color: #000;
+}
+/* special treatment for IE6 */
+* html div.wrap_info, * html div.wrap_important, * html div.wrap_alert, * html div.wrap_tip, * html div.wrap_help, * html div.wrap_todo, * html div.wrap_download {
+ height: 68px;
+}
+/* general styles for all note spans */
+span.wrap_info, span.wrap_important, span.wrap_alert, span.wrap_tip, span.wrap_help, span.wrap_todo, span.wrap_download {
+ padding: 0 2px 0 20px;
+ min-height: 20px;
+ background-position: 2px 50%;
+ background-repeat: no-repeat;
+ color: #000;
+}
+/* special treatment for IE6 */
+* html span.wrap_info, * html span.wrap_important, * html span.wrap_alert, * html span.wrap_tip, * html span.wrap_help, * html span.wrap_todo, * html span.wrap_download {
+ height: 20px;
+}
+
+/*____________ info ____________*/
+.wrap_info { background-color: #d1d7df; }
+div.wrap_info { background-image: url(../../../wrap/images/note/48/info.png); }
+span.wrap_info { background-image: url(../../../wrap/images/note/16/info.png); }
+
+/*____________ important ____________*/
+.wrap_important { background-color: #ffd39f; }
+div.wrap_important { background-image: url(../../../wrap/images/note/48/important.png); }
+span.wrap_important { background-image: url(../../../wrap/images/note/16/important.png); }
+
+/*____________ alert ____________*/
+.wrap_alert { background-color: #ffbcaf; }
+div.wrap_alert { background-image: url(../../../wrap/images/note/48/alert.png); }
+span.wrap_alert { background-image: url(../../../wrap/images/note/16/alert.png); }
+
+/*____________ tip ____________*/
+.wrap_tip { background-color: #fff79f; }
+div.wrap_tip { background-image: url(../../../wrap/images/note/48/tip.png); }
+span.wrap_tip { background-image: url(../../../wrap/images/note/16/tip.png); }
+
+/*____________ help ____________*/
+.wrap_help { background-color: #dcc2ef; }
+div.wrap_help { background-image: url(../../../wrap/images/note/48/help.png); }
+span.wrap_help { background-image: url(../../../wrap/images/note/16/help.png); }
+
+/*____________ todo ____________*/
+.wrap_todo { background-color: #c2efdd; }
+div.wrap_todo { background-image: url(../../../wrap/images/note/48/todo.png); }
+span.wrap_todo { background-image: url(../../../wrap/images/note/16/todo.png); }
+
+/*____________ download ____________*/
+.wrap_download { background-color: #d6efc2; }
+div.wrap_download { background-image: url(../../../wrap/images/note/48/download.png); }
+span.wrap_download { background-image: url(../../../wrap/images/note/16/download.png); }
+
+
+/*____________ safety notes ____________*/
+
+.wrap_danger {
+ background-color: #c00;
+ color: #fff;
+}
+.wrap_warning {
+ background-color: #f60;
+ color: #000;
+}
+.wrap_caution {
+ background-color: #ff0;
+ color: #000;
+}
+.wrap_notice {
+ background-color: #06f;
+ color: #fff;
+}
+.wrap_safety {
+ background-color: #090;
+ color: #fff;
+}
+
+
+/* mark
+********************************************************************/
+
+div.wrap_hi {
+ background-color: #ff9;
+}
+
+/* typography
+********************************************************************/
+
+/*____________ font colours ____________*/
+
+div.wrap_fgwhite {
+ color: #fff;
+}
+
+/*____________ background colours ____________*/
+
+div.wrap_bgred {
+ background-color: #fcc;
+}
+div.wrap_bggreen {
+ background-color: #cfc;
+}
+div.wrap_bgblue {
+ background-color: #ccf;
+}
+div.wrap_bgcyan {
+ background-color: #9ff;
+}
+div.wrap_bgviolet {
+ background-color: #f9f;
+}
+div.wrap_bgyellow {
+ background-color: #ff9;
+}
+div.wrap_bggrey {
+ background-color: #ccc;
+}
+div.wrap_bgwhite {
+ background-color: #fff;
+}
+div.wrap_bgblack {
+ background-color: #000;
+}
+
+
+/* miscellaneous
+********************************************************************/
+
+/*____________ spoiler ____________*/
+
+div.wrap_spoiler {
+ background-color: __background__ !important;
+ color: __background__ !important;
+ border: 1px dotted red;
+}
+
+/*____________ only print ____________*/
+
+div.wrap_onlyprint {
+ display: none;
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/zero_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/zero_link.png
new file mode 100644
index 000000000..5610884d9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/zero_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/zero_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/zero_over.png
new file mode 100644
index 000000000..3a14a577e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/gorilla/zero_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/graphic_support/blank.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/graphic_support/blank.gif
new file mode 100644
index 000000000..75b945d25
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/graphic_support/blank.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/graphic_support/finish.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/graphic_support/finish.gif
new file mode 100644
index 000000000..55e1ff95e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/graphic_support/finish.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/graphic_support/fixed.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/graphic_support/fixed.js
new file mode 100644
index 000000000..118ae7005
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/graphic_support/fixed.js
@@ -0,0 +1 @@
+// fixed.js: fix fixed positioning and fixed backgrounds in IE/Win // version 1.8, 08-Aug-2003 // written by Andrew Clover <and@doxdesk.com>, use freely /*@cc_on @if (@_win32 && @_jscript_version>4) var fixed_positions= new Array(); var fixed_backgrounds= new Array(); var fixed_viewport; // Initialisation. Called when the <body> tag arrives. Set up viewport so the // rest of the script knows we're going, and add a measurer div, used to detect // font size changes and measure image sizes for backgrounds later function fixed_init() { fixed_viewport= (document.compatMode=='CSS1Compat') ? document.documentElement : document.body; var el= document.createElement('div'); el.setAttribute('id', 'fixed-measure'); el.style.position= 'absolute'; el.style.top= '0'; el.style.left= '0'; el.style.overflow= 'hidden'; el.style.visibility= 'hidden'; el.style.fontSize= 'xx-large'; el.style.height= '5em'; el.style.setExpression('width', 'fixed_measureFont()'); document.body.insertBefore(el, document.body.firstChild); } // Binding. Called every time an element is added to the document, check it // for fixed features, if found add to our lists and set initial props function fixed_bind(el) { var needLayout= false; var tag= el.tagName.toLowerCase(); var st= el.style; var cst= el.currentStyle; var anc; // find fixed-position elements if (cst.position=='fixed') { needLayout= true; fixed_positions[fixed_positions.length]= el; // store original positioning as we'll overwrite it st.position= 'absolute'; st.fixedPLeft= cst.left; st.fixedPTop= cst.top; st.fixedPRight= cst.right; st.fixedPBottom= cst.bottom; st.fixedPWidth= fixed_parseLength(cst.width); st.fixedPHeight= fixed_parseLength(cst.height); // find element that will act as containing box, for convenience later st.fixedCB= null; for (anc= el; (anc= anc.parentElement).parentElement;) { if (anc.currentStyle.position!='static') { st.fixedCB= anc; break; } } // detect nested fixed positioning (only ancestor need move) st.fixedNest= false; for (anc= el; anc= anc.parentElement;) { if (anc.style.fixedNest!=null) st.fixedNest= true; break; } } // find fixed-background elements (not body/html which IE already gets right) if (cst.backgroundAttachment=='fixed' && tag!='body' && tag!='html') { needLayout= true; fixed_backgrounds[fixed_backgrounds.length]= el; // get background offset, converting from keyword if necessary st.fixedBLeft= fixed_parseLength(cst.backgroundPositionX); st.fixedBTop= fixed_parseLength(cst.backgroundPositionY); // if it's a non-zero %age, need to know size of image for layout if (st.fixedBLeft[1]=='%' || st.fixedBTop[1]=='%') { st.fixedBWidth= 0; st.fixedBHeight= 0; fixed_measureBack(el); } } if (needLayout) fixed_layout(); } // Layout. On every window or font size change, recalculate positioning // Request re-layout at next free moment var fixed_delaying= false; function fixed_delayout() { if (fixed_delaying) return; fixed_delaying= true; window.setTimeout(fixed_layout, 0); } var fixed_ARBITRARY= 200; function fixed_layout() { fixed_delaying= false; if (!fixed_viewport) return; var i, el, st, j, pr, tmp, A= 'auto'; var cb, cbLeft, cbTop, cbRight, cbBottom, oLeft, oTop, oRight, oBottom; var vpWidth=fixed_viewport.clientWidth, vpHeight=fixed_viewport.clientHeight; // calculate initial position for fixed-position elements [black magic] for (i= fixed_positions.length; i-->0;) { el= fixed_positions[i]; st= el.style; // find positioning of containing block cb= st.fixedCB; if (!cb) cb= fixed_viewport; cbLeft= fixed_pageLeft(cb); cbTop= fixed_pageTop(cb); if (cb!=fixed_viewport) { cbLeft+= cb.clientLeft; cbTop+= cb.clientTop; } cbRight= fixed_viewport.clientWidth-cbLeft-cb.clientWidth; cbBottom= fixed_viewport.clientHeight-cbTop-cb.clientHeight; // if size is in %, must recalculate relative to viewport if (st.fixedPWidth[1]=='%') st.width= Math.round(vpWidth*st.fixedPWidth[0]/100)+'px'; if (st.fixedPHeight[1]=='%') st.height= Math.round(vpHeight*st.fixedPHeight[0]/100)+'px'; // find out offset values at max size, to account for margins st.left= A; st.right= '0'; st.top= A; st.bottom= '0'; oRight= el.offsetLeft+el.offsetWidth; oBottom= el.offsetTop+el.offsetHeight; st.left= '0'; st.right= A; st.top= '0'; st.bottom= A; oLeft= el.offsetLeft; oTop= el.offsetTop; // use this to convert all edges to pixels st.left= A; st.right= st.fixedPRight; st.top= A; st.bottom= st.fixedPBottom; oRight-= el.offsetLeft+el.offsetWidth; oBottom-= el.offsetTop+el.offsetHeight; st.left= st.fixedPLeft; st.top= st.fixedPTop; oLeft= el.offsetLeft-oLeft; oTop= el.offsetTop-oTop; // edge positioning fix if (st.fixedPWidth[1]==A && st.fixedPLeft!=A && st.fixedPRight!=A) { tmp= el.offsetLeft; st.left= A; st.width= fixed_ARBITRARY+'px'; tmp= fixed_ARBITRARY+el.offsetLeft-tmp+cbLeft+cbRight; st.left= st.fixedPLeft; st.width= ((tmp<1)?1:tmp)+'px'; } if (st.fixedPHeight[1]==A && st.fixedPTop!=A && st.fixedPBottom!=A) { tmp= el.offsetTop; st.top= A; st.height= fixed_ARBITRARY+'px'; tmp= fixed_ARBITRARY+el.offsetTop-tmp+cbTop+cbBottom; st.top= st.fixedPTop; st.height= ((tmp<1)?1:tmp)+'px'; } // move all non-auto edges relative to the viewport st.fixedCLeft= (st.fixedPLeft=='auto') ? oLeft : oLeft-cbLeft; st.fixedCTop= (st.fixedPTop=='auto') ? oTop : oTop-cbTop; st.fixedCRight= (st.fixedPRight=='auto') ? oRight : oRight-cbRight; st.fixedCBottom= (st.fixedPBottom=='auto') ? oBottom : oBottom-cbBottom; // remove left-positioning of right-positioned elements if (st.fixedPLeft=='auto' && st.fixedPRight!='auto') st.fixedCLeft= 'auto'; if (st.fixedPTop=='auto' && st.fixedPBottom!='auto') st.fixedCTop= 'auto'; } // calculate initial positioning of fixed backgrounds for (i= fixed_backgrounds.length; i-->0;) { el= fixed_backgrounds[i]; st= el.style; tmp= st.fixedBImage; if (tmp) { if (tmp.readyState!='uninitialized') { st.fixedBWidth= tmp.offsetWidth; st.fixedBHeight= tmp.offsetHeight; st.fixedBImage= window.undefined; } } st.fixedBX= fixed_length(el, st.fixedBLeft, vpWidth-st.fixedBWidth); st.fixedBY= fixed_length(el, st.fixedBTop, vpHeight-st.fixedBHeight); } // now call scroll() to set the positions from the values just calculated fixed_scroll(); } // Scrolling. Offset fixed elements relative to viewport scrollness var fixed_lastX, fixed_lastY; var fixed_PATCHDELAY= 300; var fixed_patching= false; // callback function after a scroll, because incorrect scroll position is // often reported first go! function fixed_patch() { fixed_patching= false; var scrollX= fixed_viewport.scrollLeft, scrollY= fixed_viewport.scrollTop; if (scrollX!=fixed_lastX && scrollY!=fixed_lastY) fixed_scroll(); } function fixed_scroll() { if (!fixed_viewport) return; var i, el, st, viewportX, viewportY; var scrollX= fixed_viewport.scrollLeft, scrollY= fixed_viewport.scrollTop; fixed_lastX= scrollX; fixed_lastY= scrollY; // move non-nested fixed-position elements for (i= fixed_positions.length; i-->0;) { st= fixed_positions[i].style; viewportX= (st.fixedNest) ? 0 : scrollX; viewportY= (st.fixedNest) ? 0 : scrollY; if (st.fixedCLeft!='auto') st.left= (st.fixedCLeft+viewportX)+'px'; if (st.fixedCTop!='auto') st.top= (st.fixedCTop+viewportY)+'px'; viewportX= (st.fixedCB==null || st.fixedCB==fixed_viewport) ? 0 : viewportX; viewportY= (st.fixedCB==null || st.fixedCB==fixed_viewport) ? 0 : viewportY; st.right= (st.fixedCRight-viewportX+1)+'px'; st.right= (st.fixedCRight-viewportX)+'px'; st.bottom= (st.fixedCBottom-viewportY+1)+'px'; st.bottom= (st.fixedCBottom-viewportY)+'px'; } // align fixed backgrounds to viewport for (i= fixed_backgrounds.length; i-->0;) { el= fixed_backgrounds[i]; st= el.style; viewportX= scrollX; viewportY= scrollY; while (el.offsetParent) { viewportX-= el.offsetLeft+el.clientLeft; viewportY-= el.offsetTop +el.clientTop; el= el.offsetParent; } st.backgroundPositionX= (st.fixedBX+viewportX)+'px'; st.backgroundPositionY= (st.fixedBY+viewportY)+'px'; } // call back again in a tic if (!fixed_patching) { fixed_patching= true; window.setTimeout(fixed_patch, fixed_PATCHDELAY); } } // Measurement. Load bg-image into an invisible element on the page, when // loaded write the width/height to an element's style for layout use; detect // when font size changes function fixed_measureBack(el) { var measure= document.getElementById('fixed-measure'); var img= document.createElement('img'); img.setAttribute('src', fixed_parseURL(el.currentStyle.backgroundImage)); measure.appendChild(img); el.style.fixedBImage= img; if (img.readyState=='uninitialized') img.attachEvent('onreadystatechange', fixed_measureBackImage_ready); } function fixed_measureBackImage_ready() { var img= event.srcElement; if (img && img.readyState!='uninitialized') { img.detachEvent('onreadystatechange', fixed_measureBackImage_ready); fixed_layout(); } } var fixed_fontsize= 0; function fixed_measureFont() { var fs= document.getElementById('fixed-measure').offsetHeight; if (fixed_fontsize!=fs && fixed_fontsize!=0) fixed_delayout(); fixed_fontsize= fs; return '5em'; } // Utility. General-purpose functions // parse url() to get value inside function fixed_parseURL(v) { v= v.substring(4, v.length-1); if (v.charAt(0)=='"' && v.charAt(v.length-1)=='"' || v.charAt(0)=="'" && v.charAt(v.length-1)=="'") return v.substring(1, v.length-1); else return v; } // parse length or auto or background-position keyword into number and unit var fixed_numberChars= '+-0123456789.'; var fixed_ZERO= new Array(0, 'px'); var fixed_50PC= new Array(50, '%'); var fixed_100PC= new Array(100, '%'); var fixed_AUTO= new Array(0, 'auto'); function fixed_parseLength(v) { var num, i; if (v=='left' || v=='top') return fixed_ZERO; if (v=='right' || v=='bottom') return fixed_100PC; if (v=='center') return fixed_50PC; if (v=='auto') return fixed_AUTO; i= 0; while (i<v.length && fixed_numberChars.indexOf(v.charAt(i))!=-1) i++; num= parseFloat(v.substring(0, i)); if (num==0) return fixed_ZERO; else return new Array(num, v.substring(i)); } // convert parsed (number, unit) into a number of pixels function fixed_length(el, l, full) { var tmp, x; if (l[1]=='px') return l[0]; if (l[1]=='%') return Math.round(full*l[0]/100); // other units - measure by setting position; this is rather inefficient // but then these units are used for background-position so seldom... tmp= el.currentStyle.left; el.style.left= '0'; x= el.offsetLeft; el.style.left= l[0]+l[1]; x= el.offsetLeft-x; el.style.left= tmp; return x; } // convert stupid IE offsetLeft/Top to page-relative values function fixed_pageLeft(el) { var v= 0; while (el.offsetParent) { v+= el.offsetLeft; el= el.offsetParent; } return v; } function fixed_pageTop(el) { var v= 0; while (el.offsetParent) { v+= el.offsetTop; el= el.offsetParent; } return v; } // Scanning. Check document every so often until it has finished loading. Do // nothing until <body> arrives, then call main init. Pass any new elements // found on each scan to be bound var fixed_SCANDELAY= 500; function fixed_scan() { if (!document.body) return; if (!fixed_viewport) fixed_init(); var el; for (var i= 0; i<document.all.length; i++) { el= document.all[i]; if (!el.fixed_bound) { el.fixed_bound= true; fixed_bind(el); } } } var fixed_scanner; function fixed_stop() { window.clearInterval(fixed_scanner); fixed_scan(); } fixed_scan(); fixed_scanner= window.setInterval(fixed_scan, fixed_SCANDELAY); window.attachEvent('onload', fixed_stop); window.attachEvent('onresize', fixed_delayout); window.attachEvent('onscroll', fixed_scroll); @end @*/ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/graphic_support/help.jpg b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/graphic_support/help.jpg
new file mode 100644
index 000000000..9be4d3369
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/graphic_support/help.jpg
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/graphic_support/iepngfix.htc b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/graphic_support/iepngfix.htc
new file mode 100644
index 000000000..12a8b89f7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/graphic_support/iepngfix.htc
@@ -0,0 +1,42 @@
+<public:component>
+<public:attach event="onpropertychange" onevent="doFix()" />
+
+<script>
+
+// IE5.5+ PNG Alpha Fix v1.0 by Angus Turnbull http://www.twinhelix.com
+// Free usage permitted as long as this notice remains intact.
+
+// This must be a path to a blank image. That's all the configuration you need here.
+var blankImg = 'ui/graphic_support/blank.gif';
+
+var f = 'DXImageTransform.Microsoft.AlphaImageLoader';
+
+function filt(s, m) {
+ if (filters[f]) {
+ filters[f].enabled = s ? true : false;
+ if (s) with (filters[f]) { src = s; sizingMethod = m }
+ } else if (s) style.filter = 'progid:'+f+'(src="'+s+'",sizingMethod="'+m+'")';
+}
+
+function doFix() {
+ if ((parseFloat(navigator.userAgent.match(/MSIE (\S+)/)[1]) < 5.5) ||
+ (event && !/(background|src)/.test(event.propertyName))) return;
+
+ if (tagName == 'IMG') {
+ if ((/\.png$/i).test(src)) {
+ filt(src, 'image'); // was 'scale'
+ src = blankImg;
+ } else if (src.indexOf(blankImg) < 0) filt();
+ } else if (style.backgroundImage) {
+ if (style.backgroundImage.match(/^url[("']+(.*\.png)[)"']+$/i)) {
+ var s = RegExp.$1;
+ style.backgroundImage = '';
+ filt(s, 'crop');
+ } else filt();
+ }
+}
+
+doFix();
+
+</script>
+</public:component> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/graphic_support/numeric.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/graphic_support/numeric.png
new file mode 100644
index 000000000..8f911e778
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/graphic_support/numeric.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/graphic_support/progress.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/graphic_support/progress.gif
new file mode 100644
index 000000000..132368469
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/graphic_support/progress.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/00_head.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/00_head.txt
new file mode 100644
index 000000000..2900ef421
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/00_head.txt
@@ -0,0 +1,8 @@
+<!-- style sheet links -->
+<link rel="stylesheet" href="ui/i18n/slides.css" type="text/css" media="projection" id="slideProj" />
+<link rel="stylesheet" href="ui/default/outline.css" type="text/css" media="screen" id="outlineStyle" />
+<link rel="stylesheet" href="ui/default/print.css" type="text/css" media="print" id="slidePrint" />
+<link rel="stylesheet" href="ui/default/opera.css" type="text/css" media="projection" id="operaFix" />
+
+<!-- S5 JS -->
+<script src="ui/default/slides.js" type="text/javascript"></script>
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/audio_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/audio_link.png
new file mode 100644
index 000000000..daa740c40
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/audio_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/audio_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/audio_on.png
new file mode 100644
index 000000000..cd590aae9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/audio_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/audio_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/audio_over.png
new file mode 100644
index 000000000..cf537a008
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/audio_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/auto_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/auto_link.png
new file mode 100644
index 000000000..1c2ca4817
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/auto_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/auto_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/auto_on.png
new file mode 100644
index 000000000..4b0c923b8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/auto_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/auto_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/auto_over.png
new file mode 100644
index 000000000..dbea88975
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/auto_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/backgrnd.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/backgrnd.png
new file mode 100644
index 000000000..0e48413d3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/backgrnd.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/bg-shade.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/bg-shade.png
new file mode 100644
index 000000000..172c914f6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/bg-shade.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/bg-slide.jpg b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/bg-slide.jpg
new file mode 100644
index 000000000..6be0296db
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/bg-slide.jpg
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/delay_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/delay_link.png
new file mode 100644
index 000000000..c4d99cd57
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/delay_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/exit_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/exit_link.png
new file mode 100644
index 000000000..1bfd38790
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/exit_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/exit_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/exit_over.png
new file mode 100644
index 000000000..0fdd1c605
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/exit_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/fade_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/fade_link.png
new file mode 100644
index 000000000..482e4d53e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/fade_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/fade_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/fade_on.png
new file mode 100644
index 000000000..e12561fb2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/fade_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/fade_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/fade_over.png
new file mode 100644
index 000000000..fb8943c98
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/fade_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/footer.jpg b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/footer.jpg
new file mode 100644
index 000000000..49370dbba
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/footer.jpg
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/framing.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/framing.css
new file mode 100755
index 000000000..14d8509e9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/framing.css
@@ -0,0 +1,23 @@
+/* The following styles size, place, and layer the slide components.
+ Edit these if you want to change the overall slide layout.
+ The commented lines can be uncommented (and modified, if necessary)
+ to help you with the rearrangement process. */
+
+/* target = 1024x768 */
+
+div#header, div#footer, .slide {width: 100%; top: 0; left: 0;}
+div#header {top: 0; height: 3em; z-index: 1;}
+div#footer {top: auto; bottom: 0; height: 2.5em; z-index: 5;}
+.slide {top: 0; width: 92%; padding: 3.5em 4% 4%; z-index: 2; list-style: none;}
+div#controls {left: 50%; bottom: 0; width: 50%; z-index: 100;}
+div#controls form {position: absolute; bottom: 0; right: 0; width: 100%;
+ margin: 0;}
+#currentSlide {position: absolute; width: 10%; left: 45%; bottom: 1em; z-index: 10;}
+html>body #currentSlide {position: fixed;}
+
+/*
+div#header {background: #FCC;}
+div#footer {background: #CCF;}
+div#controls {background: #BBD;}
+div#currentSlide {background: #FFC;}
+*/
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/header.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/header.png
new file mode 100644
index 000000000..929dabba1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/header.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/last_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/last_link.png
new file mode 100644
index 000000000..4f158d35d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/last_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/last_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/last_over.png
new file mode 100644
index 000000000..a7b629995
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/last_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/list_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/list_link.png
new file mode 100644
index 000000000..7e299bb50
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/list_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/loop_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/loop_link.png
new file mode 100644
index 000000000..41e12cb15
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/loop_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/loop_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/loop_on.png
new file mode 100644
index 000000000..2ffcacae1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/loop_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/loop_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/loop_over.png
new file mode 100644
index 000000000..9fe420153
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/loop_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/next_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/next_link.png
new file mode 100644
index 000000000..2b9f44c4d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/next_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/next_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/next_over.png
new file mode 100644
index 000000000..25788124d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/next_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/notes_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/notes_link.png
new file mode 100644
index 000000000..bb4a8ae37
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/notes_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/notes_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/notes_over.png
new file mode 100644
index 000000000..c6bfe69fc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/notes_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/opera.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/opera.css
new file mode 100755
index 000000000..9e9d2a3c5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/opera.css
@@ -0,0 +1,7 @@
+/* DO NOT CHANGE THESE unless you really want to break Opera Show */
+.slide {
+ visibility: visible !important;
+ position: static !important;
+ page-break-before: always;
+}
+#slide0 {page-break-before: avoid;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/outline.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/outline.css
new file mode 100755
index 000000000..62db519ed
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/outline.css
@@ -0,0 +1,15 @@
+/* don't change this unless you want the layout stuff to show up in the outline view! */
+
+.layout div, #footer *, #controlForm * {display: none;}
+#footer, #controls, #controlForm, #navLinks, #toggle {
+ display: block; visibility: visible; margin: 0; padding: 0;}
+#toggle {float: right; padding: 0.5em;}
+html>body #toggle {position: fixed; top: 0; right: 0;}
+
+/* making the outline look pretty-ish */
+
+#slide0 h1, #slide0 h2, #slide0 h3, #slide0 h4 {border: none; margin: 0;}
+#slide0 h1 {padding-top: 1.5em;}
+.slide h1 {margin: 1.5em 0 0; padding-top: 0.25em;
+ border-top: 1px solid #888; border-bottom: 1px solid #AAA;}
+#toggle {border: 1px solid; border-width: 0 0 1px 1px; background: #FFF;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/pause_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/pause_link.png
new file mode 100644
index 000000000..2561cb4a4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/pause_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/pause_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/pause_on.png
new file mode 100644
index 000000000..2fc9c4a4a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/pause_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/pause_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/pause_over.png
new file mode 100644
index 000000000..7a7c80ddc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/pause_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/pretty.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/pretty.css
new file mode 100644
index 000000000..f47a7b5a7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/pretty.css
@@ -0,0 +1,139 @@
+/*
+ Theme: i18n
+ Eric A. Meyer (http://meyerweb.com/)
+ Theme placed under CC by-sa 2.0 license
+*/
+
+body {background: #95A7D4 url(bg-slide.jpg) 100% 100% no-repeat; color: #210; font: 28px Arial, sans-serif;}
+a {text-decoration: none; color: #336; border-bottom: 1px dotted;}
+h1, h2, h3, h4, h5, h6 {font-size: 1em; margin: 0;}
+sup {font-size: 0.75em; font-weight: normal;
+ vertical-align: 0.5em; line-height: 1px;}
+ul {margin-left: 1em; padding-left: 0;}
+li {margin-bottom: 0.66em;}
+li li {margin: 0.33em 0; font-size: smaller;}
+
+#header {background: url(bg-shade.png); border-bottom: 1px solid #333;
+ padding-bottom: 2em;}
+#footer {background: url(bg-shade.png); color: #BBB; border-top: 1px solid #333;}
+#header, #footer {font-size: 0.5em;}
+#footer h1, #footer h2 { padding: 0.5em 0.75em;
+ font-weight: normal; font-style: italic;}
+#footer h1 {left: 0; font-size: 1em; letter-spacing: 1px;}
+#footer h2 {position: absolute; bottom: 0; right: 0;}
+
+
+#currentSlide {font-size: 0.5em;}
+#currentSlide span {font-size: 13px; color: rgb(49%,47%,66%);}
+#currentSlide #csSep {display: none;}
+#currentSlide #csHere {font-weight: bold;}
+#currentSlide #csHere:before {content: "#"; font-weight: normal;}
+#currentSlide #csTotal:before {content: " of ";}
+
+.slide h1 {font-size: 1.66em; line-height: 1; letter-spacing: -1px;
+ margin: 0 -15% 1em 0; padding: 0.5em 15% 0.06125em 0; border-bottom: 0.06125em solid rgb(90,94,120);}
+#slide0 h1 {border: none; font-size: 2.25em; letter-spacing: 0; margin: 3em 0 1.5em;}
+#slide0 h3 {margin: 0.5em 0 0;}
+#slide0 h4 {margin-top: 0; font-size: smaller;}
+
+.slide .current {color: #003; text-shadow: 0 0 0.25em #9AABD7;}
+
+div#controls {position: absolute; left: 0; bottom: 0; width: 100%; text-align: center; font: bold 1em Verdana, Arial, Helvetica, sans-serif;}
+html>body div#controls {background: transparent; position: fixed; padding: 0; top: auto; text-align: center;}
+#controls #sheet {display: none;}
+#controls #controlForm {height: 32px; width: 100%; text-align: center; vertical-align: middle;}
+#controls #navLinks {border-top: 1px solid #999; overflow: hidden; padding: 0; margin: 0; height: 32px; width: 100%; background-color: #808080; text-align: center; vertical-align: middle; white-space: nowrap;}
+#controls #navLinks ul {margin: 0 auto; padding: 0; height: 32px; width: 500px; white-space: nowrap; text-align: center;}
+#controls #navLinks ul li {list-style: none; display: inline; margin: 0; padding: 0; float: left; height: 32px; width: 32px; text-align: center; background-color: transparent;}
+#controls #navLinks ul li.vr {list-style: none; display: inline; margin: 0px 2px; padding: 0; float: left; height: 32px; width: 1px; text-align: center; background-color: #606060;}
+#controls #navLinks ul li a {margin: 0; padding: 0; float: left; height: 32px; width: 32px; background-color: transparent;}
+
+#controls #navLinks ul li#exit {background: url(exit_link.png) no-repeat top left;}
+#controls #navLinks ul li#exit a:hover {background: url(exit_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#toggle {background: url(toggle_link.png) no-repeat top left;}
+#controls #navLinks ul li#toggle a:hover {background: url(toggle_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#show-notes {background: url(notes_link.png) no-repeat top left;}
+#controls #navLinks ul li#show-notes a:hover {background: url(notes_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#zero {background: url(zero_link.png) no-repeat top left;}
+#controls #navLinks ul li#zero a:hover {background: url(zero_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#prev {background: url(prev_link.png) no-repeat top left;}
+#controls #navLinks ul li#prev a:hover {background: url(prev_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#next {background: url(next_link.png) no-repeat top left;}
+#controls #navLinks ul li#next a:hover {background: url(next_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#last {background: url(last_link.png) no-repeat top left;}
+#controls #navLinks ul li#last a:hover {background: url(last_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#lst {background: url(list_link.png) no-repeat top left;}
+
+#controls #navLinks ul li#fade a.isoff {background: url(fade_link.png) no-repeat top left;}
+#controls #navLinks ul li#fade a.ison {background: url(fade_on.png) no-repeat top right;}
+#controls #navLinks ul li#fade a:hover {background: url(fade_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#audio {background: url(audio_link.png) no-repeat top left;}
+#controls #navLinks ul li#audio a.isoff {background: url(audio_link.png) no-repeat top left;}
+#controls #navLinks ul li#audio a.ison {background: url(audio_on.png) no-repeat top right;}
+#controls #navLinks ul li#audio a:hover {background: url(audio_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#vol {background: url(volume_link.png) no-repeat top left;}
+
+#controls #navLinks ul li#auto a.isoff {background: url(auto_link.png) no-repeat top left;}
+#controls #navLinks ul li#auto a.ison {background: url(auto_on.png) no-repeat top right;}
+#controls #navLinks ul li#auto a:hover {background: url(auto_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#pause a.isoff {background: url(pause_link.png) no-repeat top left;}
+#controls #navLinks ul li#pause a.ison {background: url(pause_on.png) no-repeat top right;}
+#controls #navLinks ul li#pause a:hover {background: url(pause_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#loop a.isoff {background: url(loop_link.png) no-repeat top left;}
+#controls #navLinks ul li#loop a.ison {background: url(loop_on.png) no-repeat top right;}
+#controls #navLinks ul li#loop a:hover {background: url(loop_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#del {background: url(delay_link.png) no-repeat top left;}
+
+#jumplist, #volumelist, #delaylist {padding: 0; margin: 0; width: 32px; height: 32px; cursor: n-resize;}
+
+#guru {position: absolute; visibility: visible; left: 0px; top: 0px; padding: 4px; width: 99%; height: auto; text-align: center; background-color: black; z-index: 10;}
+#guru div {border: solid 3px red; padding: 4px; font-family: monospace; font-size: 60%; width: auto; height: auto; color: red; text-align: center;}
+
+/*
+#currentSlide {white-space: nowrap; text-align: center; margin-bottom: -0.5em; font-size: 0.5em; background-color: transparent; color: #999;}
+
+#slide0 {padding-top: 3.5em; font-size: 90%;}
+#slide0 h1 {position: static; margin: 1em 0 0; padding: 0; font: bold 2em Verdana, Arial, Helvetica, sans-serif; font-variant: small-caps; text-shadow: 0.1em 0.1em 0.1em #666; white-space: normal; color: #222; background-color: transparent;}
+#slide0 h2 {font: bold italic 1em Arial, Helvetica, sans-serif; margin: 0.25em;}
+#slide0 h3 {margin-top: 1.5em; font-size: 1.5em;}
+#slide0 h4 {margin-top: 0; font-size: 1em;}
+*/
+
+ul.urls {list-style: none; display: inline; margin: 0;}
+.urls li {display: inline; margin: 0;}
+.notes {display: none;}
+.external {border-bottom: 1px dotted gray;}
+html>body .external {border-bottom: none;}
+.external:after {content: " \274F"; font-size: smaller; color: #999;}
+
+.incremental, .incremental *, .incremental *:after {color: #ccc; visibility: visible;}
+img.incremental, canvas.incremental {visibility: hidden;}
+.slide .current {color: #B02;}
+
+/* diagnostics
+li:after {content: " [" attr(class) "]"; color: #F88;}
+*/
+
+table.piechart, table.barchart, table.linechart { border-spacing: 0.3em 0.15em; }
+table.piechart tr th, table.barchart tr th, table.linechart tr th { white-space: nowrap; }
+table.piechart tr td, table.barchart tr td, table.linechart tr td { vertical-align: top; white-space: nowrap; }
+table.piechart tr td.col, table.barchart tr td.col, table.linechart tr td.col { border-bottom: 1px solid #555; border-right: 1px solid #555; }
+table.fs90 tr td, table.fs90 tr th, div.fs90, pre.fs90, p.fs90 ,ul.fs90 {font-size: 0.9em; }
+table.fs75 tr td, table.fs75 tr th, div.fs75, pre.fs75, p.fs75 ,ul.fs75 {font-size: 0.75em; }
+table.fs66 tr td, table.fs66 tr th, div.fs66, pre.fs66, p.fs66 ,ul.fs66 {font-size: 0.66em; }
+table.fs50 tr td, table.fs50 tr th, div.fs50, pre.fs50, p.fs50 ,ul.fs50 {font-size: 0.5em; }
+
+#soundmanager-debug {position:fixed; top:0px; right:0px; width:30em; height:20em; overflow:auto; border:1px solid red; padding:1em; margin:2em; font-family:"sans serif"; font-size: 12px;color: black; background-color:#f6f6f6; z-index: 100;}
+#soundmanager-debug code {font-size: 11px;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/prev_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/prev_link.png
new file mode 100644
index 000000000..c31d21350
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/prev_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/prev_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/prev_over.png
new file mode 100644
index 000000000..6fef4fa52
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/prev_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/print.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/print.css
new file mode 100755
index 000000000..220083260
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/print.css
@@ -0,0 +1 @@
+/* The following rule is necessary to have all slides appear in print! DO NOT REMOVE IT! */ .slide, ul { page-break-inside: avoid; visibility: visible !important; } h1 { page-break-after: avoid; } html { width:100%; overflow:hidden; } body { font-size: 12pt; background: white; width:100%; } * { color: black; } #slide0 h1 { font-size: 200%; border: none; margin: 0.5em 0 0.25em; } #slide0 h3 { margin: 0; padding: 0; } #slide0 h4 { margin: 0 0 0.5em; padding: 0; } #slide0 { margin-bottom: 3em; } h1 { border-top: 2pt solid gray; border-bottom: 1px dotted silver; padding:0.2em 0 0.3em 0; } .extra { background: transparent !important; } div.extra, pre.extra, .example { font-size: 10pt; color: #333; } ul.extra a { font-weight: bold; } p.example { display: none; } #header { display: none; } #footer h1 { margin: 0; border-bottom: 1px solid; color: gray; font-style: italic; } #footer h2, #controls { display: none; } div.handout { display:block; border-top: 2px dotted #ccc; background:url(pics/back-handout-print.png) top right no-repeat #fff; min-height:6em; padding-right:6em; margin-bottom:1em; } .slide { display:block; background:url(pics/back-slide-print.png) top right no-repeat #fff; min-height:6em; margin-bottom:1em; } table { display:block; /* display:none; */ font-size:90%; overflow:hidden; margin-top:1em; margin-bottom:1em; } pre , code { margin:0; font-size:1pt; overflow:auto; } img { max-width:100% !important; } /* The following rule keeps the layout stuff out of print. Remove at your own risk! */ .layout, .layout * { display: none !important; } \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/s5-core.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/s5-core.css
new file mode 100755
index 000000000..86444e041
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/s5-core.css
@@ -0,0 +1,9 @@
+/* Do not edit or override these styles! The system will likely break if you do. */
+
+div#header, div#footer, div#controls, .slide {position: absolute;}
+html>body div#header, html>body div#footer,
+ html>body div#controls, html>body .slide {position: fixed;}
+.handout {display: none;}
+.layout {display: block;}
+.slide, .hideme, .incremental {visibility: hidden;}
+#slide0 {visibility: visible;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/slides.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/slides.css
new file mode 100755
index 000000000..0786d7dbd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/slides.css
@@ -0,0 +1,3 @@
+@import url(s5-core.css); /* required to make the slide show run at all */
+@import url(framing.css); /* sets basic placement and size of slide components */
+@import url(pretty.css); /* stuff that makes the slides look better than blah */ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/slides.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/slides.js
new file mode 100644
index 000000000..510a04c0e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/slides.js
@@ -0,0 +1,2812 @@
+// S5 1.3beta7 (18-Apr-2007) advanced version by C. Effenberger
+// Please see http://s5.netzgesta.de/ for more information
+// based on S5 v1.2a1 slides.js -- released into the Public Domain
+// Please see http://www.meyerweb.com/eric/tools/s5/credits.html for information
+// about all the wonderful and talented contributors to this code!
+// audio extension: soundmanager2 is NOT Public Domain
+// Please see http://www.schillmania.com/projects/soundmanager2/ for information
+
+var undef;
+var slideCSS = '';
+var snum = 0;
+var smax = 1;
+var incpos = 0;
+var number = undef;
+var firstTime = 1;
+var s5mode = true;
+var helpmode = false;
+var defaultView = 'slideshow'; //outline
+var controlVis = 'visible';
+var s5Path = 'lib/plugins/s5reloaded/';
+
+// scalable images extension
+var empx = 0;
+var images = new Array();
+var canvas = new Array();
+var medias = new Array();
+var piecharts = new Array();
+var barcharts = new Array();
+var linecharts = new Array();
+// scalable images extension
+
+// transition extension
+var tranSitions = false;
+var fadeModus = false;
+var fadeDuration = 500;
+var incrDuration = 250;
+var opac = 1;
+var cid = '';
+var nid = '';
+var tid = '';
+var jl = '';
+// transition extension
+
+// autoplay extension
+var autoMatic = false;
+var playLoop = false;
+var playPause = false;
+var autoRun = false;
+var playDelay = 5000;
+var remainDer = 0;
+var incrDelay = 0;
+// autoplay extension
+
+// audio extension
+var sound = new Array();
+var audioSupport = false;
+var audioVolume = 100;
+var audioError = false;
+var swfUnloaded = true;
+var bgSoundItem = 9999;
+var curSoundID = -1;
+// audio extension
+
+// panel extension
+var imgWidth = 32;
+var imgHeight = 32;
+// panel extension
+
+// canvas chart extension
+var canvasSupport = false;
+var ChartData = new Array();
+var colorSlice = new Array();
+var font = document.createElement("img");
+font.setAttribute("src", s5Path+"ui/graphic_support/numeric.png");
+signs = {
+ '0': {sx: 0, sy: 0, sw: 48, sh: 64},
+ '1': {sx: 48, sy: 0, sw: 48, sh: 64},
+ '2': {sx: 96, sy: 0, sw: 48, sh: 64},
+ '3': {sx: 144, sy: 0, sw: 48, sh: 64},
+ '4': {sx: 192, sy: 0, sw: 48, sh: 64},
+ '5': {sx: 240, sy: 0, sw: 48, sh: 64},
+ '6': {sx: 288, sy: 0, sw: 48, sh: 64},
+ '7': {sx: 336, sy: 0, sw: 48, sh: 64},
+ '8': {sx: 384, sy: 0, sw: 48, sh: 64},
+ '9': {sx: 432, sy: 0, sw: 48, sh: 64},
+ '%': {sx: 480, sy: 0, sw: 48, sh: 64},
+ '.': {sx: 528, sy: 0, sw: 24, sh: 64}
+};
+var colorNames= new Array();
+colorNames["black"]="#000000"; colorNames["maroon"]="#800000";
+colorNames["green"]="#008000"; colorNames["olive"]="#808000";
+colorNames["navy"]="#000080"; colorNames["purple"]="#800080";
+colorNames["teal"]="#008080"; colorNames["gray"]="#808080";
+colorNames["silver"]="#C0C0C0"; colorNames["red"]="#FF0000";
+colorNames["lime"]="#00FF00"; colorNames["yellow"]="#FFFF00";
+colorNames["blue"]="#0000FF"; colorNames["fuchsia"]="#FF00FF";
+colorNames["aqua"]="#00FFFF"; colorNames["white"]="#FFFFFF";
+colorNames["aliceblue"]="#F0F8FF"; colorNames["antiquewhite"]="#FAEBD7";
+colorNames["aquamarine"]="#7FFFD4"; colorNames["azure"]="#F0FFFF";
+colorNames["beige"]="#F5F5DC"; colorNames["blueviolet"]="#8A2BE2";
+colorNames["brown"]="#A52A2A"; colorNames["burlywood"]="#DEB887";
+colorNames["cadetblue"]="#5F9EA0"; colorNames["chartreuse"]="#7FFF00";
+colorNames["chocolate"]="#D2691E"; colorNames["coral"]="#FF7F50";
+colorNames["cornflowerblue"]="#6495ED"; colorNames["cornsilk"]="#FFF8DC";
+colorNames["crimson"]="#DC143C"; colorNames["darkblue"]="#00008B";
+colorNames["darkcyan"]="#008B8B"; colorNames["darkgoldenrod"]="#B8860B";
+colorNames["darkgray"]="#A9A9A9"; colorNames["darkgreen"]="#006400";
+colorNames["darkkhaki"]="#BDB76B"; colorNames["darkmagenta"]="#8B008B";
+colorNames["darkolivegreen"]="#556B2F"; colorNames["darkorange"]="#FF8C00";
+colorNames["darkorchid"]="#9932CC"; colorNames["darkred"]="#8B0000";
+colorNames["darksalmon"]="#E9967A"; colorNames["darkseagreen"]="#8FBC8F";
+colorNames["darkslateblue"]="#483D8B"; colorNames["darkslategray"]="#2F4F4F";
+colorNames["darkturquoise"]="#00CED1"; colorNames["darkviolet"]="#9400D3";
+colorNames["deeppink"]="#FF1493"; colorNames["deepskyblue"]="#00BFFF";
+colorNames["dimgray"]="#696969"; colorNames["dodgerblue"]="#1E90FF";
+colorNames["firebrick"]="#B22222"; colorNames["floralwhite"]="#FFFAF0";
+colorNames["forestgreen"]="#228B22"; colorNames["gainsboro"]="#DCDCDC";
+colorNames["ghostwhite"]="#F8F8FF"; colorNames["gold"]="#FFD700";
+colorNames["goldenrod"]="#DAA520"; colorNames["greenyellow"]="#ADFF2F";
+colorNames["honeydew"]="#F0FFF0"; colorNames["hotpink"]="#FF69B4";
+colorNames["indianred"]="#CD5C5C"; colorNames["indigo"]="#4B0082";
+colorNames["ivory"]="#FFFFF0"; colorNames["khaki"]="#F0E68C";
+colorNames["lavender"]="#E6E6FA"; colorNames["lavenderblush"]="#FFF0F5";
+colorNames["lawngreen"]="#7CFC00"; colorNames["lemonchiffon"]="#FFFACD";
+colorNames["lightblue"]="#ADD8E6"; colorNames["lightcoral"]="#F08080";
+colorNames["lightcyan"]="#E0FFFF"; colorNames["lightgoldenrodyellow"]="#FAFAD2";
+colorNames["lightgreen"]="#90EE90"; colorNames["lightgrey"]="#D3D3D3";
+colorNames["lightpink"]="#FFB6C1"; colorNames["lightsalmon"]="#FFA07A";
+colorNames["lightseagreen"]="#20B2AA"; colorNames["lightskyblue"]="#87CEFA";
+colorNames["lightslategray"]="#778899"; colorNames["lightsteelblue"]="#B0C4DE";
+colorNames["lightyellow"]="#FFFFE0"; colorNames["limegreen"]="#32CD32";
+colorNames["linen"]="#FAF0E6"; colorNames["mediumaquamarine"]="#66CDAA";
+colorNames["mediumblue"]="#0000CD"; colorNames["mediumorchid"]="#BA55D3";
+colorNames["ediumpurple"]="#9370D"; colorNames["mediumseagreen"]="#3CB371";
+colorNames["mediumslateblue"]="#7B68EE"; colorNames["mediumspringgreen"]="#00FA9A";
+colorNames["mediumturquoise"]="#48D1CC"; colorNames["mediumvioletred"]="#C71585";
+colorNames["midnightblue"]="#191970"; colorNames["mintcream"]="#F5FFFA";
+colorNames["mistyrose"]="#FFE4E1"; colorNames["moccasin"]="#FFE4B5";
+colorNames["navajowhite"]="#FFDEAD"; colorNames["oldlace"]="#FDF5E6";
+colorNames["olivedrab"]="#6B8E23"; colorNames["orange"]="#FFA500";
+colorNames["orangered"]="#FF4500"; colorNames["orchid"]="#DA70D6";
+colorNames["palegoldenrod"]="#EEE8AA"; colorNames["palegreen"]="#98FB98";
+colorNames["paleturquoise"]="#AFEEEE"; colorNames["palevioletred"]="#DB7093";
+colorNames["papayawhip"]="#FFEFD5"; colorNames["peachpuff"]="#FFDAB9";
+colorNames["peru"]="#CD853F"; colorNames["pink"]="#FFC0CB";
+colorNames["plum"]="#DDA0DD"; colorNames["powderblue"]="#B0E0E6";
+colorNames["rosybrown"]="#BC8F8F"; colorNames["royalblue"]="#4169E1";
+colorNames["saddlebrown"]="#8B4513"; colorNames["salmon"]="#FA8072";
+colorNames["sandybrown"]="#F4A460"; colorNames["seagreen"]="#2E8B57";
+colorNames["seashell"]="#FFF5EE"; colorNames["sienna"]="#A0522D";
+colorNames["skyblue"]="#87CEEB"; colorNames["slateblue"]="#6A5ACD";
+colorNames["slategray"]="#708090"; colorNames["snow"]="#FFFAFA";
+colorNames["springgreen"]="#00FF7F"; colorNames["steelblue"]="#4682B4";
+colorNames["tan"]="#D2B48C"; colorNames["thistle"]="#D8BFD8";
+colorNames["tomato"]="#FF6347"; colorNames["turquoise"]="#40E0D0";
+colorNames["violet"]="#EE82EE"; colorNames["wheat"]="#F5DEB3";
+colorNames["whitesmoke"]="#F5F5F5"; colorNames["yellowgreen"]="#9ACD32";
+var canvas_bgcolor = "";
+var canvas_width = 200;
+var canvas_height = 200;
+var canvas_noshade = 0;
+var canvas_nofill = 0;
+var canvas_noshadow = 0;
+var canvas_htmltext = 0;
+var canvas_imgtext = 0;
+var canvas_notext = 0;
+// canvas chart extension
+
+var s5NotesWindow;
+var s5NotesWindowLoaded = false;
+var previousSlide = 0;
+var presentationStart = new Date();
+var slideStart = new Date();
+
+var countdown = {
+ timer: 0,
+ state: 'pause',
+ start: new Date(),
+ end: 0,
+ remaining: 0
+};
+
+var isIE = navigator.appName == 'Microsoft Internet Explorer' && navigator.userAgent.indexOf('Opera') < 1 ? 1 : 0;
+if(isIE) var notIE7 = parseInt(navigator.appVersion) < 7 ? 1 : 0;
+var isOp = navigator.userAgent.indexOf('Opera') > -1 ? 1 : 0;
+var isGe = navigator.userAgent.indexOf('Gecko') > -1 && navigator.userAgent.indexOf('Safari') < 1 ? 1 : 0;
+var isS2 = navigator.userAgent.indexOf('Safari') >= 2 ? 1 : 0;
+
+function hasClass(object, className) {
+ if (!object.className) return false;
+ return (object.className.search('(^|\\s)' + className + '(\\s|$)') != -1);
+}
+
+function hasValue(object, value) {
+ if (!object) return false;
+ return (object.search('(^|\\s)' + value + '(\\s|$)') != -1);
+}
+
+function removeClass(object,className) {
+ if (!object || !hasClass(object,className)) return;
+ object.className = object.className.replace(new RegExp('(^|\\s)'+className+'(\\s|$)'), RegExp.$1+RegExp.$2);
+}
+
+function addClass(object,className) {
+ if (!object || hasClass(object, className)) return;
+ if (object.className) {
+ object.className += ' '+className;
+ } else {
+ object.className = className;
+ }
+}
+
+function changeClass(object,className) {
+ if (!object) return;
+ object.firstChild.className = className;
+}
+
+function GetElementsWithClassName(elementName,className) {
+ var allElements = document.getElementsByTagName(elementName);
+ var elemColl = new Array();
+ for (var i = 0; i< allElements.length; i++) {
+ if (hasClass(allElements[i], className)) {
+ elemColl[elemColl.length] = allElements[i];
+ }
+ }
+ return elemColl;
+}
+
+function isParentOrSelf(element, id) {
+ if (element == null || element.nodeName=='BODY') return false;
+ else if (element.id == id) return true;
+ else return isParentOrSelf(element.parentNode, id);
+}
+
+function nodeValue(node) {
+ var result = "";
+ if (node.nodeType == 1) {
+ var children = node.childNodes;
+ for (var i = 0; i < children.length; ++i) {
+ result += nodeValue(children[i]);
+ }
+ }
+ else if (node.nodeType == 3) {
+ result = node.nodeValue;
+ }
+ return(result);
+}
+
+function slideLabel() {
+ var slideColl = GetElementsWithClassName('*','slide');
+ var list = document.getElementById('jumplist');
+ smax = slideColl.length;
+ for (var n = 0; n < smax; n++) {
+ var obj = slideColl[n];
+ var did = 'slide' + n.toString();
+ obj.setAttribute('id',did);
+ var otext = '';
+ var menu = obj.firstChild;
+ if (!menu) continue; // to cope with empty slides
+ while (menu && menu.nodeType == 3) {
+ menu = menu.nextSibling;
+ }
+ if (!menu) continue; // to cope with slides with only text nodes
+ var menunodes = menu.childNodes;
+ for (var o = 0; o < menunodes.length; o++) {
+ otext += nodeValue(menunodes[o]);
+ }
+ list.options[list.length] = new Option(n + ' : ' + otext, n);
+ }
+}
+
+function currentSlide() {
+ var cs, at, fd, ss;
+ if (document.getElementById) {
+ cs = document.getElementById('currentSlide');
+ } else {
+ cs = document.currentSlide;
+ }
+ fd = fadeModus?"F":"&ndash;";
+ ss = audioSupport?"S":"&ndash;";
+ at = (autoMatic?(playPause?"||":(playLoop?"&gt;0":"&gt;|")):"&ndash;&ndash;");
+ cs.innerHTML = '<div id="plink" nowrap="nowrap">' +
+ '<span id="csFade">[' + fd + ss + ']<\/span>&nbsp;' +
+ '<span id="csHere"><strong>' + snum + '<\/strong><\/span>' +
+ '<span id="csSep">\/<\/span>' +
+ '<span id="csTotal">' + (smax-1) + '<\/span>&nbsp;' +
+ '<span id="csAuto">[' + at + ']<\/span>' +
+ '<\/div>';
+
+ if (snum == 0) {
+ cs.style.visibility = 'hidden';
+ } else {
+ cs.style.visibility = 'visible';
+ }
+}
+
+function go(step) {
+ if (document.getElementById('slideProj').disabled || step == 0) return;
+ jl = document.getElementById('jumplist');
+ cid = 'slide' + snum;
+ var ce = document.getElementById(cid);
+ if (incrementals[snum].length > 0) {
+ for (var i = 0; i < incrementals[snum].length; i++) {
+ removeClass(incrementals[snum][i], 'current');
+ removeClass(incrementals[snum][i], 'incremental');
+ }
+ }
+ if (step != 'j') {
+ snum += step;
+ lmax = smax - 1;
+ if (snum > lmax) snum = lmax;
+ if (snum < 0) snum = 0;
+ }else {
+ snum = parseInt(jl.value);
+ }
+ nid = 'slide' + snum;
+ var ne = document.getElementById(nid);
+ if (!ne) {
+ ne = document.getElementById('slide0');
+ nid = 'slide0';
+ snum = 0;
+ }
+ if (step < 0) {
+ incpos = incrementals[snum].length
+ }else {
+ incpos = 0;
+ }
+ if (incrementals[snum].length > 0 && incpos == 0) {
+ for (var i = 0; i < incrementals[snum].length; i++) {
+ if (hasClass(incrementals[snum][i], 'current')) {
+ incpos = i + 1;
+ }else {
+ addClass(incrementals[snum][i], 'incremental');
+ }
+ }
+ }
+ if (incrementals[snum].length > 0 && incpos > 0) {
+ addClass(incrementals[snum][incpos - 1], 'current');
+ }
+ var guru = document.getElementById('guru');
+ if(guru && snum==0) {
+ guru.style.visibility = 'visible';
+ }else if(guru && snum>0) {
+ guru.style.visibility = 'hidden';
+ }
+ if(tranSitions && s5mode && fadeModus) {
+ if(curSoundID != getSoundID(nid)) {
+ if(curSoundID == bgSoundItem && !sound[getSoundID(nid)]) {
+ }else {fadeoutSound(curSoundID,true); } // audio support
+ }
+ changeOpac(0,nid);
+ changeOpac(100,cid);
+ ce.style.visibility = 'visible';
+ shiftOpacity(cid,fadeDuration);
+ window.setTimeout("changeSlides()",fadeDuration);
+ }else {
+ if(curSoundID != getSoundID(nid)) {
+ if(curSoundID == bgSoundItem && !sound[getSoundID(nid)]) {
+ }else {stopSound(curSoundID); } // audio support
+ }
+ ce.style.visibility = 'hidden';
+ if (isOp) location.hash = nid;
+ ne.style.visibility = 'visible';
+ finishSlides();
+ }
+}
+
+function changeSlides() {
+ if(nid != cid) changeOpac(100,cid);
+ document.getElementById(cid).style.visibility = 'hidden';
+ document.getElementById(nid).style.visibility = 'visible';
+ if (isOp) location.hash = nid;
+ shiftOpacity(nid,fadeDuration);
+ window.setTimeout("finishSlides()",fadeDuration);
+}
+
+function finishSlides() {
+ jl.selectedIndex = snum;
+ currentSlide();
+ loadNote();
+ permaLink();
+ number = undef;
+ if(sound[getSoundID(nid)]) {
+ playSound(nid); // audio support
+ }else if(sound[bgSoundItem] && curSoundID != bgSoundItem) {
+ playSound(bgSoundItem); // audio support
+ }
+}
+
+function goTo(target) {
+ if (target >= smax || target == snum) return;
+ go(target - snum);
+}
+
+function subgo(step) {
+ if (step > 0) {
+ removeClass(incrementals[snum][incpos - 1],'current');
+ removeClass(incrementals[snum][incpos], 'incremental');
+ if(tranSitions && s5mode && fadeModus) {
+ if(!incrementals[snum][incpos].id) {
+ var tmp = new Date(); tid = "inc" + String(tmp.getTime());
+ incrementals[snum][incpos].id = tid;
+ }else {
+ tid = incrementals[snum][incpos].id;
+ }
+ if(typeof(incrementals[snum][incpos].src) != "undefined" || incrementals[snum][incpos].getContext) {
+ changeOpac(0,tid);
+ addClass(incrementals[snum][incpos],'current');
+ shiftOpacity(tid,incrDuration);
+ setTimeout("nextInc()",incrDuration);
+ }else {
+ addClass(incrementals[snum][incpos],'current');
+ nextInc();
+ }
+ }else {
+ addClass(incrementals[snum][incpos],'current');
+ nextInc();
+ }
+ } else {
+ incpos--;
+ removeClass(incrementals[snum][incpos],'current');
+ addClass(incrementals[snum][incpos], 'incremental');
+ addClass(incrementals[snum][incpos - 1],'current');
+ loadNote();
+ }
+}
+
+function nextInc() {
+ incpos++;
+ loadNote();
+}
+
+function toggle() {
+ var slideColl = GetElementsWithClassName('*','slide');
+ var slides = document.getElementById('slideProj');
+ var outline = document.getElementById('outlineStyle');
+ var guru = document.getElementById('guru');
+ if (!slides.disabled) {
+ stopPlay();
+ if(audioSupport && !swfUnloaded) stopAllSounds();
+ slides.disabled = true;
+ outline.disabled = false;
+ s5mode = false;
+ fontSize(1,'em');
+ for (var n = 0; n < smax; n++) {
+ var slide = slideColl[n];
+ slide.style.visibility = 'visible';
+ }
+ if(guru) guru.style.visibility = 'hidden';
+ } else {
+ slides.disabled = false;
+ outline.disabled = true;
+ s5mode = true;
+ fontScale();
+ for (var n = 0; n < smax; n++) {
+ var slide = slideColl[n];
+ slide.style.visibility = 'hidden';
+ }
+ slideColl[snum].style.visibility = 'visible';
+ if(guru && snum==0) guru.style.visibility = 'visible';
+ }
+}
+
+function showHide(action) {
+ var obj = GetElementsWithClassName('*','hideme')[0];
+ switch (action) {
+ case 's':
+ obj.style.visibility = 'visible';
+ break;
+ case 'h':
+ obj.style.visibility = 'hidden';
+ break;
+ case 'k':
+ if (obj.style.visibility != 'visible') {
+ obj.style.visibility = 'visible';
+ } else {
+ obj.style.visibility = 'hidden';
+ }
+ break;
+ }
+}
+
+function keys(key) {
+ if (!key) {
+ key = event;
+ key.which = key.keyCode;
+ }
+ if (helpmode) {
+ dumpHelpReq();
+ return;
+ }
+ if (key.which == 84 && !isOp) {
+ toggle();
+ return;
+ }
+ if (s5mode) {
+ if (autoMatic) {
+ switch (key.which) {
+ case 70: // f/ading on/off
+ switchFade();
+ break;
+ case 83: // s/ound on/off
+ toggleSounds();
+ break;
+ case 67: // c
+ showHide('k');
+ break;
+ case 65: // a/utoplay on/off
+ stopPlay();
+ break;
+ case 76: // l/ooping on/off
+ switchLoop();
+ break;
+ case 80: // p/ause
+ case 32: // spacebar
+ pausePlay();
+ break;
+ }
+ }else {
+ switch (key.which) {
+ case 8: // backspace = HELP
+ createHelpReq();
+ break;
+ case 10: // return
+ case 13: // enter
+ if (window.event && isParentOrSelf(window.event.srcElement, 'controls')) return;
+ if (key.target && isParentOrSelf(key.target, 'controls')) return;
+ if(number != undef) {
+ goTo(number);
+ break;
+ }
+ case 32: // spacebar
+ case 34: // page down
+ case 39: // rightkey
+ case 40: // downkey
+ if(number != undef) {
+ go(number);
+ } else if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ } else {
+ subgo(1);
+ }
+ break;
+ case 33: // page up
+ case 37: // leftkey
+ case 38: // upkey
+ if(number != undef) {
+ go(-1 * number);
+ } else if (!incrementals[snum] || incpos <= 0) {
+ go(-1);
+ } else {
+ subgo(-1);
+ }
+ break;
+ case 65: // a/utoplay
+ startPlay();
+ break;
+ case 72: // h
+ case 36: // home
+ goTo(0);
+ break;
+ case 69: // e
+ case 35: // end
+ goTo(smax-1);
+ break;
+ case 70: // f/ade transitions on/off
+ switchFade();
+ break;
+ case 76: // l/ooping on/off
+ switchLoop();
+ break;
+ case 83: // s/ound support on/off
+ toggleSounds();
+ break;
+ case 27: // escape
+ case 81: // q
+ if(!isOp) byby();
+ break;
+ case 67: // c
+ showHide('k');
+ break;
+ case 78: // n
+ createNotesWindow();
+ break;
+ }
+ if (key.which < 48 || key.which > 57) {
+ number = undef;
+ } else {
+ if (window.event && isParentOrSelf(window.event.srcElement, 'controls')) return;
+ if (key.target && isParentOrSelf(key.target, 'controls')) return;
+ number = (((number != undef) ? number : 0) * 10) + (key.which - 48);
+ }
+ }
+ }
+ return false;
+}
+
+function clicker(e) {
+ number = undef;
+ var target;
+ if (window.event) {
+ target = window.event.srcElement;
+ e = window.event;
+ } else {
+ target = e.target;
+ }
+ if (target.href != null || hasValue(target.rel, 'external') || isParentOrSelf(target, 'controls') || isParentOrSelf(target,'embed') || isParentOrSelf(target,'object')) return true;
+ if (!helpmode) {
+ if (!e.which || e.which == 1) {
+ if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ } else {
+ subgo(1);
+ }
+ }
+ } else {
+ dumpHelpReq();
+ }
+}
+
+function findSlide(hash) {
+ var target = null;
+ var slides = GetElementsWithClassName('*','slide');
+ for (var i = 0; i < slides.length; i++) {
+ var targetSlide = slides[i];
+ if ( (targetSlide.name && targetSlide.name == hash)
+ || (targetSlide.id && targetSlide.id == hash) ) {
+ target = targetSlide;
+ break;
+ }
+ }
+ while(target != null && target.nodeName != 'BODY') {
+ if (hasClass(target, 'slide')) {
+ return parseInt(target.id.slice(5));
+ }
+ target = target.parentNode;
+ }
+ return null;
+}
+
+function slideJump() {
+ if (window.location.hash == null) return;
+ var sregex = /^#slide(\d+)$/;
+ var matches = sregex.exec(window.location.hash);
+ var dest = null;
+ if (matches != null) {
+ dest = parseInt(matches[1]);
+ } else {
+ dest = findSlide(window.location.hash.slice(1));
+ }
+ if (dest != null)
+ go(dest - snum);
+}
+
+function fixLinks() {
+ var thisUri = window.location.href;
+ thisUri = thisUri.slice(0, thisUri.length - window.location.hash.length);
+ var aelements = document.getElementsByTagName('A');
+ for (var i = 0; i < aelements.length; i++) {
+ var a = aelements[i].href;
+ var slideID = a.match('\#slide[0-9]{1,2}');
+ if ((slideID) && (slideID[0].slice(0,1) == '#')) {
+ var dest = findSlide(slideID[0].slice(1));
+ if (dest != null) {
+ if (aelements[i].addEventListener) {
+ aelements[i].addEventListener("click", new Function("e",
+ "if (document.getElementById('slideProj').disabled) return;" +
+ "go("+dest+" - snum); " +
+ "if (e.preventDefault) e.preventDefault();"), true);
+ } else if (aelements[i].attachEvent) {
+ aelements[i].attachEvent("onclick", new Function("",
+ "if (document.getElementById('slideProj').disabled) return;" +
+ "go("+dest+" - snum); " +
+ "event.returnValue = false;"));
+ }
+ }
+ }
+ }
+}
+
+function externalLinks() {
+ if (!document.getElementsByTagName) return;
+ var anchors = document.getElementsByTagName('a');
+ for (var i=0; i<anchors.length; i++) {
+ var anchor = anchors[i];
+ if (anchor.getAttribute('href') && hasValue(anchor.rel, 'external')) {
+ anchor.target = '_blank';
+ addClass(anchor,'external');
+ }
+ }
+}
+
+function permaLink() {
+ document.getElementById('plink').href = window.location.pathname + '#slide' + snum;
+}
+
+function createControls() {
+ var controlsDiv = document.getElementById("controls");
+ if (!controlsDiv) return;
+ var hider = ' onmouseover="showHide(\'s\');" onmouseout="showHide(\'h\');"';
+ var hideDiv, hideList = '';
+ if (controlVis == 'hidden') {
+ hideDiv = hider;
+ } else {
+ hideList = hider;
+ }
+ if(isOp) {
+ var str = '';
+ }else {
+ var str = '<a accesskey="t" id="sheet" title="toggle CSS" href="javascript:toggle();">&plusmn;<\/a>';
+ }
+ if(isIE) {
+ var tmp = "move around&xA0;until the color&xA0;change to red!";
+ }else if(isS2) {
+ var tmp = "move around\r\nuntil the color\r\nchange to red!";
+ }else {
+ var tmp = "move around until color change to red!";
+ }
+ if(isIE) {
+ controlsDiv.innerHTML = str +
+ '<form action="#" id="controlForm"' + hideDiv + '>' +
+ '<div id="navLinks" title="press [backspace] for keyboard help!"><ul>' +
+ '<li id="show-notes"><a href="javascript:createNotesWindow();" accesskey="n" title="show Notes"><\/a><\/li>' +
+ '<li id="toggle"><a href="javascript:toggle();" accesskey="t" title="toggle CSS"><\/a><\/li>' +
+ '<li id="zero"><a href="javascript:goTo(0);" accesskey="h" title="goto Start Slide"><\/a><\/li>' +
+ '<li id="prev"><a href="javascript:go(-1);" accesskey="y" title="previous Slide"><\/a><\/li>' +
+ '<li id="next"><a href="javascript:go(1);" accesskey="x" title="next Slide"><\/a><\/li>' +
+ '<li id="last"><a href="javascript:goTo(smax-1);" accesskey="e" title="goto Last Slide"><\/a><\/li>' +
+ '<li id="lst" style="vertical-align: middle; width: 160px;"><select style="cursor: auto; width: 160px; height: auto;" id="jumplist" title="select named Slide" onchange="go(\'j\');"><\/select><\/li>' +
+ '<li id="auto"><a class="isoff" href="javascript:togglePlay();" accesskey="a" title="Auto Play"><\/a><\/li>' +
+ '<li id="pause"><a class="isoff" href="javascript:pausePlay();" accesskey="p" title="Pause"><\/a><\/li>' +
+ '<li id="loop"><a class="isoff" href="javascript:switchLoop();" accesskey="l" title="Loop"><\/a><\/li>' +
+ '<li id="del" style="vertical-align: middle; width: 52px;"><select style="cursor: auto; width: 52px; height: auto;" id="delaylist" title="select Delay" onchange="setDelay();"><option value="90">90<\/option><option value="75">75<\/option><option value="60">60<\/option><option value="45">45<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="15">15<\/option><option value="10">10<\/option><option value="5">5<\/option><\/select><\/li>' +
+ '<\/ul><\/div><\/form>';
+ }else {
+ controlsDiv.innerHTML = str +
+ '<form action="#" id="controlForm"' + hideDiv + '>' +
+ '<div id="navLinks" title="press [backspace] or double click this area for keyboard help!" ondblclick="createHelpReq();"><ul>' +
+ '<li id="exit"><a href="javascript:byby();" accesskey="q" title="exit Show"><\/a><\/li>' +
+ '<li id="show-notes"><a href="javascript:createNotesWindow();" accesskey="n" title="show Notes"><\/a><\/li>' +
+ '<li id="toggle"><a href="javascript:toggle();" accesskey="t" title="toggle CSS"><\/a><\/li>' +
+ '<li class="vr"><\/li>' +
+ '<li id="zero"><a href="javascript:goTo(0);" accesskey="h" title="goto Start Slide"><\/a><\/li>' +
+ '<li id="prev"><a href="javascript:go(-1);" accesskey="y" title="previous Slide"><\/a><\/li>' +
+ '<li id="next"><a href="javascript:go(1);" accesskey="x" title="next Slide"><\/a><\/li>' +
+ '<li id="last"><a href="javascript:goTo(smax-1);" accesskey="e" title="goto Last Slide"><\/a><\/li>' +
+ '<li id="lst"><a id="list" style="cursor:wait;" title="' + tmp + '"><\/a><select id="jumplist" title="select named Slide" onchange="go(\'j\');"><\/select><\/li>' +
+ '<li id="fadeLinks" class="vr"><\/li>' +
+ '<li id="fade"><a class="isoff" href="javascript:switchFade();" accesskey="f" title="Transions"><\/a><\/li>' +
+ '<li id="audioLinks" class="vr"><\/li>' +
+ '<li id="audio"><a class="isoff" href="javascript:toggleSounds();" accesskey="s" title="Sounds" ><\/a><\/li>' +
+ '<li id="vol"><a id="volume" style="cursor:wait;" title="' + tmp + '"><\/a><select id="volumelist" title="select Volume" onchange="setVolume();"><option value="100">100<\/option><option value="90">90<\/option><option value="80">80<\/option><option value="70">70<\/option><option value="60">60<\/option><option value="50">50<\/option><option value="40">40<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="10">10<\/option><option value="0">0<\/option><\/select><\/li>' +
+ '<li id="autoLinks" class="vr"><\/li>' +
+ '<li id="auto"><a class="isoff" href="javascript:togglePlay();" accesskey="a" title="Auto Play"><\/a><\/li>' +
+ '<li id="pause"><a class="isoff" href="javascript:pausePlay();" accesskey="p" title="Pause"><\/a><\/li>' +
+ '<li id="loop"><a class="isoff" href="javascript:switchLoop();" accesskey="l" title="Loop"><\/a><\/li>' +
+ '<li id="del"><a id="delay" style="cursor:wait;" title="' + tmp + '"><\/a><select id="delaylist" title="select Delay" onchange="setDelay();"><option value="90">90<\/option><option value="75">75<\/option><option value="60">60<\/option><option value="45">45<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="15">15<\/option><option value="10">10<\/option><option value="5">5<\/option><\/select><\/li>' +
+ '<\/ul>' +
+ '<a href="http://s5.netzgesta.de" target="_blank" title="S5 Reloaded"><img style="position: absolute; right: 0; bottom: 0;" src="data:image/png;charset=utf-8;base64,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" width="20" height="32" alt="S5" \/><\/a>' +
+ '<\/div><\/form>';
+ }
+ if (controlVis == 'hidden') {
+ var hidden = document.getElementById('navLinks');
+ } else {
+ var hidden = document.getElementById('jumplist');
+ }
+ addClass(hidden,'hideme');
+}
+
+function fontScale() { // causes layout problems in FireFox that get fixed if browser's Reload is used; same may be true of other Gecko-based browsers
+ if (!s5mode && !isOp) return false;
+ var hScreen = screen.width; var vScreen = screen.height;
+ var vWindow = window.outerHeight; var hWindow = window.outerWidth;
+ if (isOp && s5mode && defaultView=='slideshow' && ((hScreen != hWindow) || (vScreen != vWindow))) {
+ toggle();
+ return false;
+ }
+ if (isOp && !s5mode && ((hScreen != hWindow) || (vScreen != vWindow))) return false;
+ if (isOp && !s5mode && (hScreen == hWindow) && (vScreen == vWindow)) toggle();
+ var vScale = 48; // both yield 16 (the usual browser default) at 1024x768
+ var hScale = 64; // perhaps should auto-calculate based on theme's declared value?
+ if (window.innerHeight) {
+ var vSize = window.innerHeight;
+ var hSize = window.innerWidth;
+ } else if (document.documentElement.clientHeight) {
+ var vSize = document.documentElement.clientHeight;
+ var hSize = document.documentElement.clientWidth;
+ } else if (document.body.clientHeight) {
+ var vSize = document.body.clientHeight;
+ var hSize = document.body.clientWidth;
+ } else {
+ var vSize = 700; // assuming 1024x768, minus chrome and such equals 8:5
+ var hSize = 1024; // these do not account for kiosk mode or Opera Show
+ }
+ var newSize = Math.min(Math.round(vSize/vScale),Math.round(hSize/hScale));
+ extendImgSizes(newSize); // scalable images extension
+ extendCanSizes(newSize); // scalable canvas extension
+ extendObjSizes(newSize); // scalable object extension
+ fontSize(newSize,"px");
+ if(!isS2 || firstTime==0) {
+ generateCanvas(); // dynamic canvas extension
+ }
+ if (isGe) { // hack to counter incremental reflow bugs
+ var obj = document.getElementsByTagName('body')[0];
+ obj.style.visibility = 'hidden';
+ obj.style.display = 'none';
+ obj.style.display = 'block';
+ obj.style.visibility = 'visible';
+ changeOpac(100,'slide' + snum);
+ shiftOpacity('slide' + snum,10);
+ window.setTimeout("fixReflow()",10);
+ }else {
+ setListPos(); // invisible select extension
+ }
+}
+
+function fixReflow() {
+ shiftOpacity('slide' + snum,10);
+ window.setTimeout("finishReflow()",10);
+}
+function finishReflow() {
+ setListPos(); // invisible select extension
+}
+
+function fontSize(val,fmt) {
+ var value = val + fmt;
+ if (!(s5ss = document.getElementById('s5ss'))) {
+ if (!document.createStyleSheet) {
+ document.getElementsByTagName('head')[0].appendChild(s5ss = document.createElement('style'));
+ s5ss.setAttribute('media','screen, projection');
+ s5ss.setAttribute('id','s5ss');
+ } else {
+ document.createStyleSheet();
+ document.s5ss = document.styleSheets[document.styleSheets.length - 1];
+ }
+ }
+ if (!(document.s5ss && document.s5ss.addRule)) {
+ while (s5ss.lastChild) s5ss.removeChild(s5ss.lastChild);
+ s5ss.appendChild(document.createTextNode('html {font-size: ' + value + ' !important;}'));
+ } else {
+ document.s5ss.addRule('html','font-size: ' + value + ' !important;');
+ }
+}
+
+function windowChange() {
+ fontScale();
+}
+
+function notOperaFix() {
+ slideCSS = document.getElementById('slideProj').href;
+ var slides = document.getElementById('slideProj');
+ var outline = document.getElementById('outlineStyle');
+ slides.setAttribute('media','screen');
+ outline.disabled = true;
+ if (isGe) {
+ slides.setAttribute('href','null'); // Gecko fix
+ slides.setAttribute('href',slideCSS); // Gecko fix
+ }
+ if ((isIE && notIE7) && document.styleSheets && document.styleSheets[0]) {
+ document.styleSheets[0].addRule('img', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ document.styleSheets[0].addRule('div', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ document.styleSheets[0].addRule('.slide', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ }
+}
+
+function getIncrementals(obj) {
+ var incrementals = new Array();
+ if (!obj)
+ return incrementals;
+ var children = obj.childNodes;
+ for (var i = 0; i < children.length; i++) {
+ var child = children[i];
+ if (hasClass(child, 'incremental')) {
+ if (child.nodeName == 'OL' || child.nodeName == 'UL') {
+ removeClass(child, 'incremental');
+ for (var j = 0; j < child.childNodes.length; j++) {
+ if (child.childNodes[j].nodeType == 1) {
+ addClass(child.childNodes[j], 'incremental');
+ }
+ }
+ } else {
+ incrementals[incrementals.length] = child;
+ removeClass(child,'incremental');
+ }
+ }
+ if (hasClass(child, 'show-first')) {
+ if (child.nodeName == 'OL' || child.nodeName == 'UL') {
+ removeClass(child, 'show-first');
+ if (child.childNodes[isGe].nodeType == 1) {
+ removeClass(child.childNodes[isGe], 'incremental');
+ }
+ } else {
+ incrementals[incrementals.length] = child;
+ }
+ }
+ incrementals = incrementals.concat(getIncrementals(child));
+ }
+ return incrementals;
+}
+
+function createIncrementals() {
+ var incrementals = new Array();
+ for (var i = 0; i < smax; i++) {
+ incrementals[i] = getIncrementals(document.getElementById('slide'+i));
+ }
+ return incrementals;
+}
+
+function trap(e) {
+ if (!e) {
+ e = event;
+ e.which = e.keyCode;
+ }
+ try {
+ modifierKey = e.ctrlKey || e.altKey || e.metaKey;
+ }
+ catch(e) {
+ modifierKey = false;
+ }
+ return modifierKey || e.which == 0;
+}
+
+// notes extension
+function noteLabel() { // Gives notes id's to match parent slides
+ var notes = GetElementsWithClassName('div','notes');
+ for (var i = 0; i < notes.length; i++) {
+ var note = notes[i];
+ var id = 'note' + note.parentNode.id.substring(5);
+ note.setAttribute('id',id);
+ }
+ resetElapsedSlide();
+ resetRemainingTime();
+ window.setInterval('updateElaspedTime()', 1000);
+}
+
+function createNotesWindow() { // creates a window for our notes
+ if (!s5NotesWindow || s5NotesWindow.closed) { // Create the window if it doesn't exist
+ s5NotesWindowLoaded = false;
+ // Note: Safari has a tendency to ignore window options preferring to default to the settings of the parent window, grr.
+ s5NotesWindow = window.open(s5Path+'ui/s5-notes.html', 's5NotesWindow', 'top=0,left=0');
+ }
+ if (s5NotesWindowLoaded) { // Load the current note if the Note HTML has loaded
+ loadNote();
+ } else { // Keep trying...
+ window.setTimeout('createNotesWindow()', 50);
+ }
+}
+
+function loadNote() {
+// Loads a note into the note window
+ var notes = nextNotes = '<em class="disclaimer">There are no notes for this slide.</em>';
+ if (document.getElementById('note' + snum)) {
+ notes = document.getElementById('note' + snum).innerHTML;
+ }
+ if (document.getElementById('note' + (snum + 1))) {
+ nextNotes = document.getElementById('note' + (snum + 1)).innerHTML;
+ }
+
+ var jl = document.getElementById('jumplist');
+ var slideTitle = jl.options[jl.selectedIndex].text.replace(/^\d+\s+:\s+/, '') + ((jl.selectedIndex) ? ' (' + jl.selectedIndex + '/' + (smax - 1) + ')' : '');
+ if (incrementals[snum].length > 0) {
+ slideTitle += ' <small>[' + incpos + '/' + incrementals[snum].length + ']</small>';
+ }
+ if (jl.selectedIndex < smax - 1) {
+ var nextTitle = jl.options[jl.selectedIndex + 1].text.replace(/^\d+\s+:\s+/, '') + ((jl.selectedIndex + 1) ? ' (' + (jl.selectedIndex + 1) + '/' + (smax - 1) + ')' : '');
+ } else {
+ var nextTitle = '[end of slide show]';
+ }
+
+ if (s5NotesWindow && !s5NotesWindow.closed && s5NotesWindow.document) {
+ s5NotesWindow.document.getElementById('slide').innerHTML = slideTitle;
+ s5NotesWindow.document.getElementById('notes').innerHTML = notes;
+ s5NotesWindow.document.getElementById('next').innerHTML = nextTitle;
+ s5NotesWindow.document.getElementById('nextnotes').innerHTML = nextNotes;
+ }
+ resetElapsedSlide();
+}
+
+function minimizeTimer(id) {
+ var obj = s5NotesWindow.document.getElementById(id);
+ if (hasClass(obj,'collapsed')) {
+ removeClass(obj,'collapsed');
+ } else {
+ addClass(obj,'collapsed');
+ }
+}
+
+function resetElapsedTime() {
+ presentationStart = new Date();
+ slideStart = new Date();
+ updateElaspedTime();
+}
+
+function resetElapsedSlide() {
+ if (snum != previousSlide) {
+ slideStart = new Date();
+ previousSlide = snum;
+ updateElaspedTime();
+ }
+}
+
+function updateElaspedTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var now = new Date();
+ var ep = s5NotesWindow.document.getElementById('elapsed-presentation');
+ var es = s5NotesWindow.document.getElementById('elapsed-slide');
+ ep.innerHTML = formatTime(now.valueOf() - presentationStart.valueOf());
+ es.innerHTML = formatTime(now.valueOf() - slideStart.valueOf());
+}
+
+function resetRemainingTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var startField = s5NotesWindow.document.getElementById('startFrom');
+ startFrom = readTime(startField.value);
+ countdown.remaining = startFrom * 60000; // convert to msecs
+ countdown.start = new Date().valueOf();
+ countdown.end = countdown.start + countdown.remaining;
+ var tl = s5NotesWindow.document.getElementById('timeLeft');
+ var timeLeft = formatTime(countdown.remaining);
+ tl.innerHTML = timeLeft;
+}
+
+function updateRemainingTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var tl = s5NotesWindow.document.getElementById('timeLeft');
+ var now = new Date();
+ if (countdown.state == 'run') {
+ countdown.remaining = countdown.end - now;
+ }
+ tl.style.color = '';
+ tl.style.backgroundColor = '';
+ if (countdown.remaining >= 0) {
+ var timeLeft = formatTime(countdown.remaining);
+ removeClass(tl,'overtime');
+ if (countdown.remaining < 300000) {
+ tl.style.color = 'rgb(' + (255-Math.round(countdown.remaining/2000)) + ',0,0)';
+ tl.style.backgroundColor = 'rgb(255,255,' + (Math.round(countdown.remaining/2000)) + ')';
+ }
+ } else {
+ var timeLeft = '-' + formatTime(-countdown.remaining);
+ addClass(tl,'overtime');
+ }
+ tl.innerHTML = timeLeft;
+}
+
+function toggleRemainingTime() {
+ if (countdown.state == 'pause') countdown.state = 'run'; else countdown.state = 'pause';
+ if (countdown.state == 'pause') {
+ window.clearInterval(countdown.timer);
+ }
+ if (countdown.state == 'run') {
+ countdown.start = new Date().valueOf();
+ countdown.end = countdown.start + countdown.remaining;
+ countdown.timer = window.setInterval('updateRemainingTime()', 1000);
+ }
+}
+
+function alterRemainingTime(amt) {
+ var change = amt * 60000; // convert to msecs
+ countdown.end += change;
+ countdown.remaining += change;
+ updateRemainingTime();
+}
+
+function formatTime(msecs) {
+ var time = new Date(msecs);
+
+ var hrs = time.getUTCHours() + ((time.getUTCDate() -1) * 24); // I doubt anyone will spend more than 24 hours on a presentation or single slide but just in case...
+ hrs = (hrs < 10) ? '0'+hrs : hrs;
+ if (hrs == 'NaN' || isNaN(hrs)) hrs = '--';
+
+ var min = time.getUTCMinutes();
+ min = (min < 10) ? '0'+min : min;
+ if (min == 'NaN' || isNaN(min)) min = '--';
+
+ var sec = time.getUTCSeconds();
+ sec = (sec < 10) ? '0'+sec : sec;
+ if (sec == 'NaN' || isNaN(sec)) sec = '--';
+
+ return hrs + ':' + min + ':' + sec;
+}
+
+function readTime(val) {
+ var sregex = /:/;
+ var matches = sregex.exec(val);
+ if (matches == null) {
+ return val;
+ } else {
+ var times = val.split(':');
+ var hours = parseInt(times[0]);
+ var mins = parseInt(times[1]);
+ var total = (hours * 60) + mins;
+ return total;
+ }
+}
+// notes extension
+
+// startup process
+function createSlideShow() {
+ defaultCheck();
+ if(!isIE) createDetector(); // (degrade IE) scalable images extension
+ if(opac!=0 || isIE) { // &&!isIE (degrade IE)
+ tranSitions = false;
+ fadeModus = false;
+ }
+ if(tranSitions && document.getElementById && document.createElement){
+ createProgress();
+ var nop=document.getElementById('StartupControl');
+ nop.onload = dumpProgress;
+ }else {
+ startup();
+ showAll();
+ setListPos(true); // invisible select extension
+ panelSetup();
+ audioSetup(); // audio extension
+ if(isS2 && firstTime>=1) {
+ generateCanvas(); // dynamic canvas extension
+ } firstTime = 0;
+ }
+}
+
+function defaultCheck() {
+ var allMetas = document.getElementsByTagName('meta');
+ for (var i = 0; i< allMetas.length; i++) {
+ if (allMetas[i].name == 'defaultView') {
+ defaultView = allMetas[i].content;
+ }
+ if (allMetas[i].name == 'controlVis') {
+ controlVis = allMetas[i].content;
+ }
+ if (allMetas[i].name == 'tranSitions') {
+ tranSitions = (allMetas[i].content == "true") ? true : false;
+ fadeModus = (tranSitions == true) ? true : false;
+ }
+ if (allMetas[i].name == 'fadeDuration') {
+ var tmp = parseInt(allMetas[i].content);
+ fadeDuration = Math.max(200,Math.min(tmp,2000));
+ }
+ if (allMetas[i].name == 'incrDuration') {
+ var tmp = parseInt(allMetas[i].content);
+ incrDuration = Math.max(50,Math.min(tmp,500));
+ }
+ if (allMetas[i].name == 'autoMatic') {
+ autoMatic = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'playLoop') {
+ playLoop = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'playDelay') {
+ var tmp = parseInt(allMetas[i].content);
+ playDelay = Math.max(5,Math.min(tmp,90))*1000;
+ playDelay = (fadeModus == true) ? (playDelay+(2*fadeDuration)) : playDelay;
+ }
+ if (allMetas[i].name == 'audioSupport') {
+ audioSupport = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'audioVolume') {
+ var tmp = parseInt(allMetas[i].content);
+ audioVolume = Math.max(0,Math.min(tmp,100));
+ }
+ if (allMetas[i].name == 'audioError') {
+ audioError = (allMetas[i].content == "true") ? true : false;
+ }
+ }
+}
+
+function createProgress() {
+ var obj = document.getElementsByTagName("body")[0].firstChild;
+ var pg = document.createElement('div');
+ pg.id = "StartupProgress";
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=100)";
+ }else {
+ pg.style.opacity = 1.0;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(255, 255, 255)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/progress.gif)";
+ document.getElementsByTagName("body")[0].insertBefore(pg,obj);
+
+ var im = document.createElement('img');
+ im.id = "StartupControl";
+ im.src = s5Path+"ui/graphic_support/blank.gif?" + new Date().valueOf();
+ document.getElementsByTagName("body")[0].appendChild(im);
+}
+
+function startup() {
+ createControls(); // hallvord
+ slideLabel();
+ incrementals = createIncrementals();
+ noteLabel(); // [SI:060104] must follow slideLabel()
+ loadNote();
+ fixLinks();
+ externalLinks();
+ fontScale();
+ if (!isOp) {
+ if(isIE) {
+ document.getElementById('lst').style.backgroundImage = 'none';
+ document.getElementById('del').style.backgroundImage = 'none';
+ }else {
+ document.getElementById('audio').style.backgroundImage = 'none';
+ }
+ notOperaFix();
+ }else if(isOp) {
+ var obj = document.getElementById('exit');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('exit').style.opacity = 0.5;
+ obj = document.getElementById('toggle');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('toggle').style.opacity = 0.5;
+ document.getElementById('jumplist').style.visibility = 'hidden';
+ document.getElementById('list').style.visibility = 'hidden';
+ document.getElementById('lst').style.opacity = 0.5;
+ obj = document.getElementById('audio');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('audio').style.opacity = 0.5;
+ document.getElementById('volumelist').style.visibility = 'hidden';
+ document.getElementById('volume').style.visibility = 'hidden';
+ document.getElementById('vol').style.opacity = 0.5;
+ document.getElementById('delaylist').style.visibility = 'hidden';
+ document.getElementById('delay').style.visibility = 'hidden';
+ document.getElementById('del').style.opacity = 0.5;
+ }
+ slideJump();
+ if (defaultView == 'outline') toggle();
+ document.onkeyup = keys;
+ document.onkeypress = trap;
+ document.onclick = clicker;
+}
+
+function preloadImgages() {
+ var temp = '';
+ var objects = document.getElementsByTagName('img');
+ for (var i=0; i < objects.length; i++) {
+ if(objects[i].src != '') {
+ temp = new Image();
+ temp.src = objects[i].src;
+ }
+ }
+}
+
+function showAll() {
+ var obj1 = GetElementsWithClassName('div','presentation')[0];
+ if(!obj1) var obj1 = GetElementsWithClassName('ol','presentation')[0];
+ var obj2 = GetElementsWithClassName('div','layout')[0];
+ if(!obj1){}else {obj1.style.display = 'block'};
+ if(!obj2){}else {obj2.style.display = 'block'};
+}
+
+function dumpProgress() {
+ document.body.removeChild(document.getElementById('StartupControl'));
+ startup();
+ preloadImgages();
+ showAll();
+ createSoundManagerScript();
+ shiftOpacity('StartupProgress',1000);
+ window.setTimeout("removeProgress()",1000);
+}
+
+function removeProgress() {
+ document.body.removeChild(document.getElementById('StartupProgress'));
+ setListPos(true); // invisible select extension
+ panelSetup();
+ audioSetup(); // audio extension
+ if(isS2 && firstTime>=1) {
+ generateCanvas(); // dynamic canvas extension
+ } firstTime = 0;
+}
+function panelSetup() {
+ if(playPause) {
+ var obj = document.getElementById('pause'); changeClass(obj,'ison');
+ }
+ if(playLoop) {
+ var obj = document.getElementById('loop'); changeClass(obj,'ison');
+ }
+ if(audioSupport && !isIE && !isOp) {
+ var obj = document.getElementById('audio'); changeClass(obj,'ison');
+ }
+ if(fadeModus && !isIE) {
+ var obj = document.getElementById('fade'); changeClass(obj,'ison');
+ }
+ if(autoMatic) {
+ var obj = document.getElementById('auto'); changeClass(obj,'ison');
+ startPlay();
+ }
+ if(audioVolume && !isIE && !isOp) {
+ var idx = 0;
+ if(audioVolume >= 95 && audioVolume <= 100) {idx = 0;}
+ else if(audioVolume >= 85 && audioVolume < 95) {idx = 1;}
+ else if(audioVolume >= 75 && audioVolume < 85) {idx = 2;}
+ else if(audioVolume >= 65 && audioVolume < 75) {idx = 3;}
+ else if(audioVolume >= 55 && audioVolume < 65) {idx = 4;}
+ else if(audioVolume >= 45 && audioVolume < 55) {idx = 5;}
+ else if(audioVolume >= 35 && audioVolume < 45) {idx = 6;}
+ else if(audioVolume >= 25 && audioVolume < 35) {idx = 7;}
+ else if(audioVolume >= 15 && audioVolume < 25) {idx = 8;}
+ else if(audioVolume >= 5 && audioVolume < 15) {idx = 9;}
+ else {idx = 10;}
+ document.getElementById('volumelist').selectedIndex = idx;
+ }
+ if(playDelay && !isOp) {
+ var idx = 0; var vol = parseInt(playDelay/1000);
+ if(vol >= 83 && vol <= 90) {idx = 0;}
+ else if(vol >= 68 && vol < 83) {idx = 1;}
+ else if(vol >= 53 && vol < 68) {idx = 2;}
+ else if(vol >= 38 && vol < 53) {idx = 3;}
+ else if(vol >= 25 && vol < 38) {idx = 4;}
+ else if(vol >= 18 && vol < 25) {idx = 5;}
+ else if(vol >= 13 && vol < 18) {idx = 6;}
+ else if(vol >= 8 && vol < 13) {idx = 7;}
+ else {idx = 8;}
+ document.getElementById('delaylist').selectedIndex = idx;
+ }
+}
+// startup process
+
+// shutdown process
+function byby() {
+ stopPlay();
+ if(tranSitions && fadeModus && s5mode && !isOp) {
+ fadeoutSound(curSoundID,true); // audio support
+ var pg = document.createElement('div');
+ pg.id = "GoodBy";
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=0)";
+ }else {
+ pg.style.opacity = 0.0;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(255, 255, 255)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/finish.gif)";
+ document.getElementsByTagName("body")[0].appendChild(pg);
+ shiftOpacity('GoodBy',1000);
+ window.setTimeout("history.back()",1000);
+ }else {
+ stopSound(curSoundID);
+ history.back();
+ }
+}
+// shutdown process
+
+// scalable images extension
+function createDetector() {
+ var em = document.createElement('div');
+ em.id='EMSizeControl'; em.style.position="absolute"; em.style.left="-999px";
+ em.style.width="1em"; em.style.height="1em"; em.style.opacity=0.0;
+ document.getElementsByTagName("body")[0].appendChild(em);
+ var nop=document.getElementById('EMSizeControl');
+ if(!nop||findPosX(nop)!=-999) {}else {
+ opac=document.getElementById('EMSizeControl').style.opacity;
+ empx=document.getElementById('EMSizeControl').offsetHeight;
+ document.body.removeChild(document.getElementById('EMSizeControl'));
+ var objects = document.getElementsByTagName('img');
+ var j = 0; var i = 0; var k = 0; var d; var obj;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ images[j] = objects[i];
+ ++j;
+ }
+ }
+ var objects = document.getElementsByTagName('canvas'); j = 0;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ canvas[objects[i].id] = objects[i];
+ if(j==0) {
+ if(objects[i].getContext) {
+ canvasSupport = true;
+ }
+ ++j;
+ }
+ }
+ }
+ if(canvasSupport!=true) {
+ for (d in canvas) {
+ try {
+ canvas[d].setAttribute("width",1);
+ canvas[d].setAttribute("height",1);
+ } catch (e) {
+ }
+ }
+ }
+ var objects = document.getElementsByTagName('table');
+ j = 0; k = 0; l = 0; var w; var h; var tmp; var cnt;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^piechart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ piecharts[j] = objects[i];
+ em = piecharts[j].getAttribute("summary");
+ if(em != "") em = "," + em;
+ piecharts[j].setAttribute("summary", w + "," + h + em);
+ ++j;
+ }
+ }
+ }
+ if(objects[i].className.match(/^barchart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ barcharts[k] = objects[i];
+ em = barcharts[k].getAttribute("summary");
+ if(em != "") em = "," + em;
+ barcharts[k].setAttribute("summary", w + "," + h + em);
+ ++k;
+ }
+ }
+ }
+ if(objects[i].className.match(/^linechart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ linecharts[l] = objects[i];
+ em = linecharts[l].getAttribute("summary");
+ if(em != "") em = "," + em;
+ linecharts[l].setAttribute("summary", w + "," + h + em);
+ ++l;
+ }
+ }
+ }
+ }
+ objects = document.getElementsByTagName('object'); j = 0; i = 0;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ medias[j] = objects[i]; ++j;
+ if(!isIE) {
+ if(objects[i].getAttributeNode("classid")) objects[i].removeAttributeNode(objects[i].getAttributeNode("classid"));
+ if(objects[i].getAttributeNode("codebase")) objects[i].removeAttributeNode(objects[i].getAttributeNode("codebase"));
+ }
+ }
+ }
+ }
+}
+function extendImgSizes(f) {
+ if(empx>0) {
+ var q = (f/empx); var w = 0; var h = 0;
+ for(var i=0; i < images.length; i++) {
+ w=images[i].getAttribute("width",0);
+ h=images[i].getAttribute("height",0);
+ if(w>0&&h>0) {
+ images[i].style.width=Math.floor(w*q)+"px";
+ images[i].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+}
+
+function extendCanSizes(f) {
+ if(empx>0 && canvasSupport) {
+ var q = (f/empx); var w = 0; var h = 0; var tmp = ""; var cnt; var obj;
+ for(var i=0; i < piecharts.length; i++) {
+ if(piecharts[i].getAttribute("summary")) {
+ tmp = piecharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = piecharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ for(var i=0; i < barcharts.length; i++) {
+ if(barcharts[i].getAttribute("summary")) {
+ tmp = barcharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = barcharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ for(var i=0; i < linecharts.length; i++) {
+ if(linecharts[i].getAttribute("summary")) {
+ tmp = linecharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = linecharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ }
+}
+function extendObjSizes(f) {
+ if(empx>0) {
+ var q = (f/empx); var w = 0; var h = 0;
+ for(var i=0; i < medias.length; i++) {
+ w=medias[i].getAttribute("width",0);
+ h=medias[i].getAttribute("height",0);
+ if(w>0&&h>0) {
+ medias[i].style.width=Math.floor(w*q)+"px";
+ medias[i].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+}
+function findPosX(obj) {
+ var posLeft = 0;
+ while (obj.offsetParent) {
+ posLeft += obj.offsetLeft;
+ obj = obj.offsetParent;
+ }
+ return posLeft;
+}
+function findPosY(obj) {
+ var posTop = 0;
+ while (obj.offsetParent) {
+ posTop += obj.offsetTop;
+ obj = obj.offsetParent;
+ }
+ return posTop;
+}
+// scalable images extension
+
+// canvas chart extension
+function deg2rad(degrees) {
+ return Math.PI *degrees/180;
+}
+function rad2deg(radians) {
+ return 180.0 *radians/Math.PI;
+}
+function circle_point_x(radians, diameter) {
+ var x = Math.cos(radians)*(diameter/2);
+ return x;
+}
+function circle_point_y(radians, diameter) {
+ var y = Math.sin(radians)*(diameter/2);
+ return y;
+}
+function roundTo(val,dig) {
+ var num = val;
+ if (val > 8191 && val < 10485) {
+ val = val-5000;
+ num = Math.round(val*Math.pow(10,dig))/Math.pow(10,dig);
+ num = num+5000;
+ } else {
+ num = Math.round(val*Math.pow(10,dig))/Math.pow(10,dig);
+ }
+ return num;
+}
+function searchColor(value) {
+ for (var dat in colorNames) {
+ if(dat==value) return colorNames[dat];
+ }
+ return false;
+}
+function scanColor(value) {
+ if(value.match(/^#[0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ var val1 = value.substr(1,1).toLowerCase();
+ var val2 = value.substr(2,1).toLowerCase();
+ var val3 = value.substr(3,1).toLowerCase();
+ value = '#' + val1 + val1 + val2 + val2 + val3 + val3;
+ }
+ if(!value.match(/^#[0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ var tmp = searchColor(value.toLowerCase());
+ if(!tmp) {}else{value = tmp;}
+ }
+ if(!value.match(/^#[0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ value = '#000000';
+ }
+ return value.toLowerCase();
+}
+function hex2rgb(val,trans) {
+ if(val.length==7) {
+ var tp1 = Math.max(0,Math.min(parseInt(val.substr(1,2),16),255));
+ var tp2 = Math.max(0,Math.min(parseInt(val.substr(3,2),16),255));
+ var tp3 = Math.max(0,Math.min(parseInt(val.substr(5,2),16),255));
+ return 'rgba(' + tp1 + ',' + tp2 + ',' + tp3 + ',' + trans + ')';
+ }
+}
+function trim(str) {
+ return (str.replace(/\s+$/,"").replace(/^\s+/,""));
+}
+function roundedRect(ctx,x,y,width,height,radius){
+ ctx.beginPath();
+ ctx.moveTo(x,y+radius);
+ ctx.lineTo(x,y+height-radius);
+ ctx.quadraticCurveTo(x,y+height,x+radius,y+height);
+ ctx.lineTo(x+width-radius,y+height);
+ ctx.quadraticCurveTo(x+width,y+height,x+width,y+height-radius);
+ ctx.lineTo(x+width,y+radius);
+ ctx.quadraticCurveTo(x+width,y,x+width-radius,y);
+ ctx.lineTo(x+radius,y);
+ ctx.quadraticCurveTo(x,y,x,y+radius);
+ ctx.closePath();
+}
+function drawString(ctx, text, fc, tx, ty) {
+ var xp = 0; var c = "";
+ ctx.beginPath();
+ for (var i = 0; i < text.length; i++) {
+ c = text[i];
+ ctx.drawImage(font, signs[c].sx, signs[c].sy, signs[c].sw, signs[c].sh, tx+xp, ty, signs[c].sw*fc, signs[c].sh*fc);
+ xp += (signs[c].sw*fc);
+ }
+ ctx.closePath();
+}
+function strokeString(ctx, txt, col, fh, tx, ty) {
+ var fw = fh*0.666666; var lw = fh*0.125;
+ var ls = lw/2; var cr = lw; var xp = 0;
+ ctx.lineCap = "round"; ctx.lineJoin = "round"
+ ctx.lineWidth = lw; ctx.strokeStyle = col;
+ for (var i = 0; i < txt.length; i++) {
+ strokeSymbol(ctx, txt[i], ls, tx+xp, ty, fw, fh);
+ xp += (txt[i]!="."?fw+cr:(fw/2)+cr);
+ }
+}
+function strokeSymbol(ctx, symbol, fc, cx, cy, cw, ch) {
+ ctx.beginPath();
+ switch (symbol) {
+ case "0":
+ ctx.moveTo(cx+fc,cy+(ch*0.333333));
+ ctx.arc(cx+(cw/2),cy+(cw/2),(cw/2)-fc,deg2rad(180),0, false);
+ ctx.arc(cx+(cw/2),(cy+ch)-(cw/2),(cw/2)-fc,0,deg2rad(180), false);
+ ctx.closePath();
+ break;
+ case "1":
+ ctx.moveTo(cx+(cw*0.1)+fc,cy+ch-fc);
+ ctx.lineTo(cx+cw-fc,cy+ch-fc);
+ ctx.moveTo(cx+(cw*0.666666),cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.666666),cy+fc);
+ ctx.lineTo(cx+(cw*0.25),cy+(ch*0.25));
+ break;
+ case "2":
+ ctx.moveTo(cx+cw-fc,cy+(ch*0.8));
+ ctx.lineTo(cx+cw-fc,cy+ch-fc);
+ ctx.lineTo(cx+fc,cy+ch-fc);
+ ctx.arc(cx+(cw/2),cy+(cw*0.425),(cw*0.425)-fc,deg2rad(45),deg2rad(-180), true);
+ break;
+ case "3":
+ ctx.moveTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.9)-fc,cy+fc);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-90),deg2rad(180), false);
+ break;
+ case "4":
+ ctx.moveTo(cx+(cw*0.75),cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.75),cy+fc);
+ ctx.moveTo(cx+cw-fc,cy+(ch*0.666666));
+ ctx.lineTo(cx+fc,cy+(ch*0.666666));
+ ctx.lineTo(cx+(cw*0.75),cy+fc);
+ ctx.moveTo(cx+cw-fc,cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.5),cy+ch-fc);
+ break;
+ case "5":
+ ctx.moveTo(cx+(cw*0.9)-fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+(ch*0.333333));
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-80),deg2rad(180), false);
+ break;
+ case "6":
+ ctx.moveTo(cx+fc,cy+ch-(cw*0.5)-fc);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-180),deg2rad(180), false);
+ ctx.bezierCurveTo(cx+fc,cy+fc,cx+fc,cy+fc,cx+(cw*0.9)-fc,cy+fc);
+ ctx.moveTo(cx+(cw*0.9)-fc,cy+fc);
+ break;
+ case "7":
+ ctx.moveTo(cx+(cw*0.5),cy+ch-fc);
+ ctx.lineTo(cx+cw-fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+(ch*0.25)-fc);
+ break;
+ case "8":
+ ctx.moveTo(cx+(cw*0.92)-fc,cy+(cw*0.59));
+ ctx.arc(cx+(cw/2),cy+(cw*0.45),(cw*0.45)-fc,deg2rad(25),deg2rad(-205), true);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-135),deg2rad(-45), true);
+ ctx.closePath();
+ ctx.moveTo(cx+(cw*0.79),cy+(ch*0.47));
+ ctx.lineTo(cx+(cw*0.21),cy+(ch*0.47));
+ break;
+ case "9":
+ ctx.moveTo(cx+cw-fc,cy+(cw*0.5));
+ ctx.arc(cx+(cw/2),cy+(cw*0.5),(cw*0.5)-fc,deg2rad(0),deg2rad(360), false);
+ ctx.bezierCurveTo(cx+cw-fc,cy+ch-fc,cx+cw-fc,cy+ch-fc,cx+(cw*0.1)+fc,cy+ch-fc);
+ break;
+ case "%":
+ ctx.moveTo(cx+fc,cy+(ch*0.75));
+ ctx.lineTo(cx+cw-fc,cy+(ch*0.25));
+ ctx.moveTo(cx+(cw*0.505),cy+(cw*0.3));
+ ctx.arc(cx+(cw*0.3),cy+(cw*0.3),(cw*0.3)-fc,deg2rad(0),deg2rad(360), false);
+ ctx.moveTo(cx+(cw*0.905),cy+ch-(cw*0.3));
+ ctx.arc(cx+(cw*0.7),cy+ch-(cw*0.3),(cw*0.3)-fc,deg2rad(0),deg2rad(360), false);
+ break;
+ case ".":
+ ctx.moveTo(cx+(cw*0.25),cy+ch-fc-fc);
+ ctx.arc(cx+(cw*0.25),cy+ch-fc-fc,fc,deg2rad(0),deg2rad(360), false);
+ ctx.closePath();
+ break;
+ default:
+ break;
+ }
+ ctx.stroke();
+}
+function drawBar(ctx,x,y,width,height,color,value,textdiv){
+ var rw = width/2; var rh = rw/2;
+ height = Math.max(height,rw);
+ var dh = Math.max(height-(2*rh),0.1); var S2L;
+ var xx = rw/8; var yy = rh/4;
+ var yo = rh/2; y = y - yy;
+ if(canvas_noshadow <= 0 && canvas_noshade <= 0) {
+ ctx.save();
+ S2L = ctx.createRadialGradient(x+rw+rh,y+height-rw+yy+rh,0,x+rw,y+height-rw+yy,rw);
+ S2L.addColorStop(0, 'rgba(0,0,0,0.5)');
+ S2L.addColorStop(0.7, 'rgba(0,0,0,0.25)');
+ S2L.addColorStop(0.9, 'rgba(0,0,0,0.1)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.fillStyle = S2L;
+ ctx.scale(1,0.5);
+ ctx.translate(xx,y+height+yy);
+ if(isOp){
+ ctx.fillRect(x,y+yy+height-width,width,width);
+ }else {
+ ctx.arc(x+rw,y+yy+height-width,width,0,deg2rad(360), false);
+ ctx.fill();
+ }
+ ctx.restore();
+ }else if(canvas_noshadow <= 0 && canvas_noshade >= 1) {
+ ctx.fillStyle = 'rgba(0,0,0,0.2)';
+ ctx.fillRect(x+yo,y+rh+yo+yo,width,dh);
+ }
+
+ if(canvas_noshade <= 0) {
+ ctx.beginPath();
+ ctx.moveTo(x,y+rh);
+ ctx.lineTo(x,y+rh+dh);
+ ctx.bezierCurveTo(x,y+height,x+width,y+height,x+width,y+rh+dh)
+ ctx.lineTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = color;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x,y+(height/2),x+width,y+(height/2));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.75)');
+ S2L.addColorStop(0.2, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.3, 'rgba(0,0,0,0)');
+ S2L.addColorStop(0.5, 'rgba(0,0,0,0.1)');
+ S2L.addColorStop(0.9, 'rgba(0,0,0,0.35)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.3)');
+ ctx.beginPath();
+ ctx.moveTo(x,y+rh);
+ ctx.lineTo(x,y+rh+dh);
+ ctx.bezierCurveTo(x,y+height,x+width,y+height,x+width,y+rh+dh)
+ ctx.lineTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = S2L;
+ ctx.fill();
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = color;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x+rw-(width*0.15),y-2,x+rw+(width*0.15),y+(2.1*rh));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.9)');
+ S2L.addColorStop(0.5, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.6, 'rgba(0,0,0,0)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.3)');
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = S2L;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x+rw-(width*0.15),y-2,x+rw+(width*0.15),y+(2.1*rh));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.9)');
+ S2L.addColorStop(0.5, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.6, 'rgba(0,0,0,0)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.1)');
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.strokeStyle = S2L;
+ ctx.stroke();
+ }else {
+ ctx.fillStyle = color;
+ ctx.fillRect(x,y+rh+yo,width,dh);
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = 1;
+ ctx.fillStyle = 'rgba(255,255,255,0.5)';
+ var bw = width; var bh = bw/4;
+ var th = roundTo(bh*0.75,0); var tf = bh/80;
+ var c; var w;
+ if(canvas_notext <= 0) {
+ if(canvas_htmltext <= 0) {
+ w = '"' + per + '"';
+ if(w.indexOf(".")!=-1) {
+ c = w.length+0.5;
+ w = parseFloat(c-2)*parseFloat(48*tf);
+ bw = parseFloat(c-1)*parseFloat(48*tf);
+ }else {
+ c = w.length;
+ w = parseFloat(c-1)*parseFloat(48*tf);
+ bw = parseFloat(c)*parseFloat(48*tf);
+ }
+ roundedRect(ctx,x+(width/2)-(bw/2),y,bw,bh,bh/4);
+ ctx.fill();
+ if(canvas_imgtext <= 0) {
+ strokeString(ctx, value + "%","rgba(48,48,48,1)", th, x+(width/2)-(w/2), y+((bh-th)/2));
+ }else {
+ drawString(ctx, value + "%", tf, x+(width/2)-(w/2), y+((bh-th)/2.2));
+ }
+ }else {
+ var bh = bw/3; var th = roundTo(bh*0.75,0);
+ roundedRect(ctx,x,y,bw,bh,bh/4);
+ ctx.fill();
+ var obj = document.createElement('div');
+ obj.style.position = "absolute";
+ obj.style.overflow = "hidden";
+ obj.style.textAlign = "center";
+ obj.style.width = bw + "px";
+ obj.style.left = x + "px";
+ obj.style.top = y+((bh-th)/2.2) + "px";
+ obj.appendChild(document.createTextNode(per + "%"));
+ textdiv.appendChild(obj);
+ }
+
+ }
+}
+function drawLine(ctx,x,y,width,height,dist,array,factor,color,fill){
+ if(fill <= 0){
+ var style = hex2rgb(color,0.5);
+ ctx.lineJoin = "miter";
+ ctx.beginPath();
+ ctx.moveTo(x,y+height);
+ for (var i = 0; i < array.length; i++) {
+ ctx.lineTo(x+(i*dist),y+height-(array[i]*factor));
+ }
+ ctx.lineTo(x+width,y+height);
+ ctx.lineTo(x,y+height);
+ ctx.closePath();
+ ctx.fillStyle = style;
+ ctx.fill();
+ }
+ ctx.lineJoin = "round";
+ ctx.beginPath();
+ ctx.moveTo(x,y+height-(array[0]*factor));
+ for (var i = 0; i < array.length; i++) {
+ ctx.lineTo(x+(i*dist),y+height-(array[i]*factor));
+ }
+ ctx.strokeStyle = color;
+ ctx.stroke();
+}
+function setDataURL(cid) {
+ if(cid.toDataURL) {
+ var obj = document.getElementById(cid.id + "_link");
+ if(obj) {
+ obj.setAttribute("title", "To Data URL");
+ obj.setAttribute("target", "_blank");
+ obj.setAttribute("href", cid.toDataURL());
+ }
+ }
+}
+function get_input(dataobj,canvasobj,linechart) {
+ var table = document.getElementById(dataobj);
+ var canvas = document.getElementById(canvasobj);
+ var row; var clm; var cnt = 0; var val = 0; var nme = ""; var col = "";
+ if(canvas.getContext) {
+ canvas_width = parseInt(canvas.style.width);
+ canvas_height = parseInt(canvas.style.height);
+ if(canvas_width >= 16 && canvas_height >= 16) {
+ var tmp = table.getAttribute("summary");
+ canvas_noshade = 0; canvas_noshadow = 0;
+ canvas_notext = 0; canvas_imgtext = 0;
+ canvas_htmltext = 0; canvas_nofill = 0;
+ if(tmp.search(/noshadow/) != -1) canvas_noshadow = 1;
+ if(tmp.search(/noshade/) != -1) canvas_noshade = 1;
+ if(tmp.search(/nofill/) != -1) canvas_nofill = 1;
+ if(tmp.search(/notext/) != -1) canvas_notext = 1;
+ if(tmp.search(/htmltext/) != -1) canvas_htmltext = 1;
+ if(tmp.search(/imgtext/) != -1) canvas_imgtext = 1;
+ if(table.getAttribute("bgcolor")) {
+ canvas_bgcolor = scanColor(table.getAttribute("bgcolor"));
+ }
+ ChartData = new Array(); colorSlice = new Array();
+ if(table.getElementsByTagName("tr")[0].getElementsByTagName("th")[0]) cnt = 1;
+ for(var r = cnt; r < table.getElementsByTagName("tr").length; r++) {
+ row = table.getElementsByTagName("tr")[r];
+ clm = row.getElementsByTagName("td").length;
+ if(row.getElementsByTagName("td")[0].getAttribute("bgcolor")) {
+ col = scanColor(row.getElementsByTagName("td")[0].getAttribute("bgcolor")); val = 0;
+ nme = trim(row.getElementsByTagName("td")[1].innerHTML.replace(/<[^>]+>/g,""));
+ if(!linechart) {
+ if(clm > 3) {
+ for(var z = 2; z < clm; z++) {
+ val += parseFloat(row.getElementsByTagName("td")[z].innerHTML.replace(/<[^>]+>/g,""));
+ }
+ }else {
+ val = parseFloat(row.getElementsByTagName("td")[2].innerHTML.replace(/<[^>]+>/g,""));
+ }
+ if(!isNaN(val) && val > 0 && nme != '') {
+ colorSlice[r-cnt] = col; ChartData[nme] = Math.abs(val);
+ }else {
+ break;
+ }
+ }else {
+ val = 0; i = 0;
+ if(clm > 3 && nme != '') {
+ ChartData[r-cnt] = new Array();
+ colorSlice[r-cnt] = col;
+ for(var z = 2; z < clm; z++) {
+ val = Math.abs(parseFloat(row.getElementsByTagName("td")[z].innerHTML.replace(/<[^>]+>/g,"")));
+ if(!isNaN(val) && val >= 0) {
+ ChartData[r-cnt][i] = val; i++;
+ }else {
+ ChartData[r-cnt][i] = 0; i++;
+ }
+ }
+ }else {
+ break;
+ }
+ }
+ }else {
+ break;
+ }
+ }
+ }
+ }
+}
+function setPieChart(canvasobj,textobj) {
+ var cd = (Math.min(canvas_width,canvas_height)/110)*100;
+ var cp = cd*0.1; var cr = cd/2;
+ var sr = cr*0.93; var cw = cd+cp; var ch = cw;
+ var cx = (cd/2)+(cp/2); var cy = cx; var ct = 0;
+ for (var data in ChartData) {
+ ct += ChartData[data];
+ }
+ var poc = ct/100;
+ var canvas = document.getElementById(canvasobj);
+ if(canvas_htmltext >= 1) {
+ if(document.getElementById(textobj)) {
+ canvas.parentNode.removeChild(canvas.parentNode.lastChild);
+ }
+ }
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,cw,ch);
+ }else {
+ ctx.clearRect(0,0,cw,ch);
+ }
+ if(canvas_noshadow <= 0) {
+ if(!isOp) {
+ ctx.beginPath();
+ var B2B = ctx.createRadialGradient(cx+(cr*0.1),cy+(cr*0.1),cr,cx+(cr*0.1),cy+(cr*0.1),sr*0.9);
+ B2B.addColorStop(0, 'rgba(0,0,0,0)');
+ B2B.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.arc(cx+(cr*0.1),cy+(cr*0.1),cr,0,deg2rad(360), false);
+ ctx.closePath();
+ ctx.fillStyle = B2B;
+ ctx.fill();
+ }else {
+ var B2B = ctx.createRadialGradient(cx+(cr*0.1),cy+(cr*0.1),0,cx+(cr*0.1),cy+(cr*0.1),cr);
+ B2B.addColorStop(0, 'rgba(0,0,0,0.5)');
+ B2B.addColorStop(0.87, 'rgba(0,0,0,0.5)');
+ B2B.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.fillStyle = B2B;
+ ctx.fillRect(0,0,cw,ch);
+ }
+ }
+ var val = 0; var deg = 0; var idx = 0; var data;
+ for (data in ChartData) {
+ val = ChartData[data]; sdeg = deg;
+ deg += (val/ct)*deg2rad(360); edeg = deg;
+ ctx.beginPath();
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr,sdeg,edeg, false);
+ ctx.lineTo(cx,cy);
+ ctx.closePath();
+ ctx.fillStyle = colorSlice[(idx++)];
+ ctx.fill();
+ }
+ if(canvas_noshade <= 0) {
+ ctx.beginPath();
+ var W2T = ctx.createLinearGradient(cp*2,cp*2,cx,cy);
+ W2T.addColorStop(0, 'rgba(255,255,255,0.8)');
+ W2T.addColorStop(1, 'rgba(255,255,255,0)');
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,sr,deg2rad(135),deg2rad(315), false);
+ ctx.closePath();
+ ctx.fillStyle = W2T;
+ ctx.fill();
+ ctx.beginPath();
+ var T2B = ctx.createLinearGradient(cx,cy,cw-(cp*2),ch-(cp*2));
+ T2B.addColorStop(0, 'rgba(0,0,0,0)');
+ T2B.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,sr,deg2rad(-45),deg2rad(135), false);
+ ctx.closePath();
+ ctx.fillStyle = T2B;
+ ctx.fill();
+ ctx.beginPath();
+ var B2T = ctx.createLinearGradient(cx,cy,cw-(cp*1.9),ch-(cp*1.9));
+ B2T.addColorStop(0, 'rgba(0,0,0,0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.6)');
+ ctx.lineWidth = cr*0.07;
+ ctx.lineCap = "round";
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr*0.965,deg2rad(-45),deg2rad(135), false);
+ ctx.closePath();
+ ctx.strokeStyle = B2T;
+ ctx.stroke();
+ ctx.beginPath();
+ var T2W = ctx.createLinearGradient(cx,cy,(cp*1.9),(cp*1.9));
+ T2W.addColorStop(0, 'rgba(255,255,255,0)');
+ T2W.addColorStop(1, 'rgba(255,255,255,0.6)');
+ ctx.lineWidth = cr*0.07;
+ ctx.lineCap = "round";
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr*0.965,deg2rad(135),deg2rad(315), false);
+ ctx.closePath();
+ ctx.strokeStyle = T2W;
+ ctx.stroke();
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = 1;
+ ctx.fillStyle = 'rgba(255,255,255,0.5)';
+ var mpos = 0; var per = 0; var gx = 0;
+ var gy = 0; var tx = 0; var ty = 0;
+ var obj = ""; var w = 0; var c = 0;
+ var bw = cr*0.45; var bh = cr*0.125;
+ var th = roundTo(bh*0.75,0); var tf = bh/80;
+ if(canvas_notext <= 0) {
+ if(canvas_htmltext >= 1) {
+ bw = (cr*0.365);
+ canvas.parentNode.style.position = "relative";
+ if(!document.getElementById(textobj)) {
+ obj = document.createElement('div');
+ obj.id = textobj;
+ obj.style.color = "rgb(0,0,0)";
+ obj.style.fontFamily = "Arial,sans-serif";
+ obj.style.fontSize = th + "px";
+ obj.style.zIndex = 11;
+ canvas.parentNode.appendChild(obj);
+ }
+ var textdiv = document.getElementById(obj.id);
+ }
+ for (data in ChartData) {
+ val = ChartData[data];
+ sdeg = deg; mpos = deg+(((val/2)/ct)*deg2rad(360));
+ deg += (val/ct)*deg2rad(360); edeg = deg;
+ per = roundTo(val/poc,2);
+ gx = circle_point_x(mpos, cd);
+ gy = circle_point_y(mpos, cd);
+ tx = parseFloat((cx+(2*Math.floor(cx + gx)))/3);
+ ty = (cy+(2*Math.floor(cy + gy)))/3;
+ if(canvas_htmltext <= 0) {
+ w = '"' + per + '"';
+ if(w.indexOf(".")!=-1) {
+ c = w.length+0.5;
+ w = parseFloat(c-2)*parseFloat(48*tf);
+ bw = parseFloat(c-1)*parseFloat(48*tf);
+ }else {
+ c = w.length;
+ w = parseFloat(c-1)*parseFloat(48*tf);
+ bw = parseFloat(c)*parseFloat(48*tf);
+ }
+ roundedRect(ctx,(tx-(bw/2)),ty-(bh/2),bw,bh,bh/4);
+ ctx.fill();
+ if(canvas_imgtext >= 1) {
+ drawString(ctx, per + "%", tf, tx-(w/2), ty-(th/1.8));
+ }else {
+ strokeString(ctx, per + "%","rgba(48,48,48,1)", th, tx-(w/2), ty-(th/2));
+ }
+ }else {
+ roundedRect(ctx,(tx-(bw/2)),ty-(bh/2),bw,bh,bh/4);
+ ctx.fill();
+ obj = document.createElement('div');
+ obj.style.position = "absolute";
+ obj.style.overflow = "hidden";
+ obj.style.textAlign = "center";
+ obj.style.width = bw + "px";
+ obj.style.left = (tx-(bw/2)) + "px";
+ obj.style.top = ty-(th/1.8) + "px";
+ obj.appendChild(document.createTextNode(per + "%"));
+ textdiv.appendChild(obj);
+ }
+ }
+ }
+ }
+}
+function setBarChart(canvasobj,textobj) {
+ var iw = canvas_width*0.9; var ih = canvas_height*0.9;
+ var pw = canvas_width*0.05; var ph = canvas_height*0.05;
+ var cm = 0; var ct = 0;
+ for (var data in ChartData) {
+ cm = Math.max(cm,ChartData[data]);
+ ct += ChartData[data];
+ }
+ var hf = ih/cm; var bs = colorSlice.length;
+ var bw = iw/(bs*1.1); var poc = ct/100;
+ var th = roundTo((bw/3)*0.75,0);
+ var canvas = document.getElementById(canvasobj);
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,canvas_width,canvas_height);
+ }else {
+ ctx.clearRect(0,0,canvas_width,canvas_height);
+ }
+ if(canvas_htmltext >= 1) {
+ if(document.getElementById(textobj)) {
+ canvas.parentNode.removeChild(canvas.parentNode.lastChild);
+ }
+ canvas.parentNode.style.position = "relative";
+ if(!document.getElementById(textobj)) {
+ var obj = document.createElement('div');
+ obj.id = textobj;
+ obj.style.color = "rgb(0,0,0)";
+ obj.style.fontFamily = "Arial,sans-serif";
+ obj.style.fontSize = th + "px";
+ obj.style.zIndex = 11;
+ canvas.parentNode.appendChild(obj);
+ }
+ var textdiv = document.getElementById(obj.id);
+ }
+ var cc = ""; var bh = 0; var idx = 0; var t = 0; per = 0;
+ for (var data in ChartData) {
+ per = roundTo(ChartData[data]/poc,2);
+ bh = ChartData[data]*hf;
+ drawBar(ctx,pw+(t*(bw*1.1)),ph+ih-bh,bw,bh,colorSlice[(idx++)],per,textdiv);
+ t++;
+ }
+ }
+}
+function setLineChart(canvasobj,textobj) {
+ var iw = canvas_width*0.9;
+ var ih = canvas_height*0.9;
+ var pw = canvas_width*0.05;
+ var ph = canvas_height*0.05;
+ var cm = 0;
+ for (var i = 0; i < ChartData.length; i++) {
+ for (var j = 0; j < ChartData[i].length; j++) {
+ cm = Math.max(cm,ChartData[i][j]);
+ }
+ }
+ var hf = (ih*0.95)/cm; var bw = iw;
+ var dw = iw/(ChartData[0].length-1)
+ var dh = ih/(ChartData[0].length-1)
+ var ps = Math.min(pw,ph); lw = ps/4;
+ var B2T;
+ var canvas = document.getElementById(canvasobj);
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,canvas_width,canvas_height);
+ }else {
+ ctx.clearRect(0,0,canvas_width,canvas_height);
+ }
+ if(canvas_noshadow <= 0) {
+ B2T = ctx.createLinearGradient(pw+ps,ph+ih+1,pw+ps+ps,ph+ih+1);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+ps,ph+ih);
+ ctx.lineTo(pw+ps,ph+ih+ps);
+ ctx.lineTo(pw+ps+ps,ph+ih);
+ ctx.closePath();
+ ctx.fill();
+ B2T = ctx.createLinearGradient(pw+ps+ps,ph+ih,pw+ps+ps,ph+ih+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.5)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.0)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+ps+ps,ph+ih);
+ ctx.lineTo(pw+iw,ph+ih);
+ ctx.lineTo(pw+ps+iw,ph+ih+ps);
+ ctx.lineTo(pw+ps,ph+ih+ps);
+ ctx.closePath();
+ ctx.fill();
+ var B2T = ctx.createLinearGradient(pw+iw+1,ph+ps,pw+iw+1,ph+ps+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.35)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+iw,ph+ps);
+ ctx.lineTo(pw+iw,ph+ps+ps);
+ ctx.lineTo(pw+iw+ps,ph+ps);
+ ctx.closePath();
+ ctx.fill();
+ B2T = ctx.createLinearGradient(pw+iw,ph+ps+ps,pw+iw+ps,ph+ps+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.35)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.0)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+iw,ph+ps+ps);
+ ctx.lineTo(pw+iw,ph+ih);
+ ctx.lineTo(pw+iw+ps,ph+ih+ps);
+ ctx.lineTo(pw+iw+ps,ph+ps);
+ ctx.closePath();
+ ctx.fill();
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = lw/2;
+ ctx.strokeStyle = "rgba(255,255,255,0.25)";
+ if(canvas_nofill <= 0) {
+ ctx.fillStyle = "rgba(240,240,240,0.8)";
+ ctx.strokeStyle = "rgba(255,255,255,1)";
+ ctx.fillRect(pw,ph,iw,ih);
+ }
+ for (var i = 0; i < ChartData[0].length-1; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw+(i*dw),ph);
+ ctx.lineTo(pw+(i*dw),ph+ih);
+ ctx.stroke();
+ }
+ for (var i = 1; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw,ph+(i*dh));
+ ctx.lineTo(pw+iw,ph+(i*dh));
+ ctx.stroke();
+ }
+ ctx.lineWidth = lw;
+ var cc = ""; var bh = 0; var idx = 0; var t = 0;
+ for (var i = 0; i < ChartData.length; i++) {
+ for (var j = 0; j < ChartData[i].length; j++) {
+ t = Math.max(t,ChartData[i][j]);
+ }
+ bh = t*hf; cc = colorSlice[(idx++)];
+ drawLine(ctx,pw,ph+ih-bh,bw,bh,dw,ChartData[i],hf,cc,canvas_nofill);
+ }
+ ctx.beginPath();
+ ctx.moveTo(pw,ph);
+ ctx.lineTo(pw,ph+ih);
+ ctx.lineTo(pw+iw+(lw/2),ph+ih);
+ ctx.strokeStyle = "rgba(48,48,48,1)";
+ ctx.stroke();
+ ctx.lineWidth = lw/2;
+ B2T = ctx.createLinearGradient(pw,ph+ih,pw,ph+ih+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,1)');
+ B2T.addColorStop(0.5, 'rgba(0,0,0,1)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.strokeStyle = B2T;
+ for (var i = 0; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw+(i*dw),ph+ih);
+ ctx.lineTo(pw+(i*dw),ph+ih+ps);
+ ctx.stroke();
+ }
+ B2T = ctx.createLinearGradient(pw-ps,ph,pw,ph);
+ B2T.addColorStop(0, 'rgba(0,0,0,0)');
+ B2T.addColorStop(0.5, 'rgba(0,0,0,1)');
+ B2T.addColorStop(1, 'rgba(0,0,0,1)');
+ ctx.strokeStyle = B2T;
+ for (var i = 1; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw-ps,ph+(i*dh));
+ ctx.lineTo(pw,ph+(i*dh));
+ ctx.stroke();
+ }
+ }
+}
+function generateCanvas() {
+ if(canvasSupport) {
+ var canvasID; var tdataID; var textID;
+ var tmp; var dat; var i; var j;
+ for(i=0; i < piecharts.length; i++) {
+ tmp = piecharts[i].id.split("_");
+ tdataID = piecharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID);
+ if(colorSlice.length > 0) {
+ j = 0; dat = ""; for(dat in ChartData) {j++; }
+ if(j > 0 && j == colorSlice.length) {
+ setPieChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ for(i=0; i < barcharts.length; i++) {
+ tmp = barcharts[i].id.split("_");
+ tdataID = barcharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID);
+ if(colorSlice.length > 0) {
+ j = 0; dat = ""; for(dat in ChartData) {j++; }
+ if(j > 0 && j == colorSlice.length) {
+ setBarChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ for(i=0; i < linecharts.length; i++) {
+ tmp = linecharts[i].id.split("_");
+ tdataID = linecharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID,true);
+ if(colorSlice.length > 0) {
+ if(ChartData.length == colorSlice.length) {
+ setLineChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ }
+}
+// canvas chart extension
+
+// invisible select extension
+function setListPos(opt) {
+ if(!isIE) { //(degrade IE)
+ var ref = document.getElementById('list');
+ var x = findPosX(ref); var y = findPosY(ref);
+ var obj = document.getElementById('jumplist');
+ obj.style.position = 'fixed'; obj.style.left = x + 'px'; obj.style.top = y + 'px';
+ var vol = document.getElementById('volumelist');
+ ref = document.getElementById('volume');
+ x = findPosX(ref); if(isOp) {y = window.innerHeight-imgHeight; }else {y = findPosY(ref);}
+ vol.style.position = 'fixed'; vol.style.left = x + 'px'; vol.style.top = y + 'px';
+ var del = document.getElementById('delaylist');
+ ref = document.getElementById('delay');
+ x = findPosX(ref); if(isOp) {y = window.innerHeight-imgHeight; }else {y = findPosY(ref);}
+ del.style.position = 'fixed'; del.style.left = x + 'px'; del.style.top = y + 'px';
+ var pnl = document.getElementById('navLinks');
+ }
+ if(opt) {
+ if(isIE) {
+ //(degrade IE)
+ //pnl.style.filter = "alpha(opacity=80)";
+ }else {
+ obj.style.opacity = 0.0;
+ vol.style.opacity = 0.0;
+ del.style.opacity = 0.0;
+ pnl.style.opacity = 0.8;
+ }
+ }
+}
+// invisible select extension
+
+// transition extension
+function switchFade() {
+ if(tranSitions && s5mode && fadeModus) {
+ fadeModus = false;
+ playDelay = playDelay-(2*fadeDuration);
+ var obj = document.getElementById('fade'); changeClass(obj,'isoff');
+ }else if(tranSitions && s5mode && !fadeModus) {
+ fadeModus = true;
+ playDelay = playDelay+(2*fadeDuration);
+ var obj = document.getElementById('fade'); changeClass(obj,'ison');
+ }
+ currentSlide();
+}
+function opacity(ids, opacStart, opacEnd, millisec) {
+ var speed = Math.round(millisec / 100);
+ var timer = 0;
+ if(opacStart > opacEnd) {
+ for(var i = opacStart; i >= opacEnd; i--) {
+ window.setTimeout("changeOpac(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ } else if(opacStart < opacEnd) {
+ for(var i = opacStart; i <= opacEnd; i++) {
+ window.setTimeout("changeOpac(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ }
+}
+function changeOpac(opacity, ids) {
+ var obj = document.getElementById(ids);
+ if (isIE) {
+ obj.style.filter = "alpha(opacity=" + opacity + ")";
+ } else {
+ obj.style.opacity = (opacity / 100);
+ }
+}
+function shiftOpacity(ids, millisec) {
+ if(document.getElementById(ids).style.opacity != '') {
+ var currentOpac = document.getElementById(ids).style.opacity * 100;
+ } else {
+ var currentOpac = 0;
+ }
+ if(currentOpac == 0) {
+ opacity(ids, currentOpac, 100, millisec);
+ } else if(currentOpac > 0) {
+ opacity(ids, currentOpac, 0, millisec);
+ }
+}
+// transition extension
+
+// autoplay extension
+function autoPlay() {
+ if (s5mode && autoMatic && !playPause) {
+ if ((snum >= (smax-1)) && playLoop) {
+ goTo(0);
+ autoRun = setTimeout('autoPlay();',playDelay);
+ }else if ((snum >= (smax-1)) && !playLoop) {
+ stopPlay();
+ }else {
+ if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ if (incrementals[snum].length >0) {
+ clearTimeout(autoRun); autoRun = null;
+ incrDelay = parseInt(playDelay/(incrementals[snum].length+1));
+ remainDer = parseInt(playDelay-(incrDelay*incrementals[snum].length));
+ autoRun = setTimeout('autoPlayIncr()',incrDelay);
+ }
+ } else {
+ clearTimeout(autoRun); autoRun = null;
+ incrDelay = incrDuration;
+ remainDer = parseInt(playDelay-(incrementals[snum].length*incrDuration));
+ autoRun = setTimeout('autoPlayIncr()',incrDelay);
+ }
+ autoRun = setTimeout('autoPlay();',playDelay);
+ }
+ }
+}
+function autoPlayIncr() {
+ if (incpos < incrementals[snum].length) {
+ subgo(1);
+ autoRun = setTimeout('autoPlayIncr();',incrDelay);
+ }else {
+ autoRun = setTimeout('nop();',remainDer);
+ }
+}
+function nop() {
+ // no operation dummy
+}
+function togglePlay() {
+ if (autoRun && s5mode) {
+ stopPlay();
+ }else if (!autoRun && s5mode) {
+ startPlay();
+ }
+}
+function stopPlay() {
+ if (autoRun && s5mode) {
+ clearTimeout(autoRun); autoRun = null;
+ autoMatic = false; playPause = false; var obj = '';
+ obj = document.getElementById('auto'); changeClass(obj,'isoff');
+ obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ currentSlide();
+ }
+}
+function startPlay() {
+ if (!autoRun && s5mode) {
+ playPause = false; autoMatic = true; var obj = '';
+ obj = document.getElementById('auto'); changeClass(obj,'ison');
+ obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ autoRun = setTimeout('autoPlay();',playDelay);
+ currentSlide();
+ }
+}
+function pausePlay() {
+ if (s5mode && autoMatic) {
+ if (playPause) {
+ playPause = false; autoRun = setTimeout('autoPlay();',playDelay);
+ var obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ }else {
+ if (autoRun){
+ clearTimeout(autoRun); autoRun = null; playPause = true;
+ var obj = document.getElementById('pause'); changeClass(obj,'ison');
+ }
+ }
+ currentSlide();
+ }
+}
+function switchLoop() {
+ if(s5mode) {
+ if (playLoop) {
+ playLoop = false;
+ var obj = document.getElementById('loop'); changeClass(obj,'isoff');
+ }else {
+ playLoop = true;
+ var obj = document.getElementById('loop'); changeClass(obj,'ison');
+ }
+ }
+ currentSlide();
+}
+function setDelay() {
+ var val = document.getElementById('delaylist');
+ if(s5mode) {
+ var delay = Math.max(5,Math.min(parseInt(val.value),300));
+ playDelay = (fadeModus == true) ? ((delay*1000)+(2*fadeDuration)) : (delay*1000);
+ }
+}
+// autoplay extension
+
+// audio extension
+function createSoundManagerScript() {
+ if(typeof soundManager=="undefined") {
+ onerrorSM2();
+ }else {
+ var script=document.createElement('SCRIPT');
+ var tx=document.createTextNode("soundManager.createMovie();");
+ script.appendChild(tx);
+ document.getElementsByTagName("body")[0].appendChild(script);
+ }
+}
+//soundManager.onload = function() {
+function onloadSM2() {
+ if(!isIE) { //(degrade IE)
+ swfUnloaded = false;
+ preloadSounds();
+ }
+}
+//soundManager.onerror = function() {
+function onerrorSM2() {
+ if(typeof soundManager!="undefined") {
+ soundManager.destruct;
+ delete soundManager;
+ }
+ audioSupport = false; swfUnloaded = true;
+ if(audioError && !isIE && !isOp) {
+ var dv = document.createElement('div'); dv.id = "guru";
+ var d2=document.createElement('div'); dv.appendChild(d2);
+ var tx=document.createTextNode('Guru Meditation - SoundManager failed to load/initialize!');
+ d2.appendChild(tx); document.getElementById('slide0').appendChild(dv);
+ }
+}
+function audioSetup() {
+ if(sound[0]) {
+ playSound(0);
+ }else if(sound[bgSoundItem] && !sound[0]) {
+ playSound(bgSoundItem);
+ }
+}
+function fadeoutSound(ids, option) {
+ if(curSoundID >= 0 && !swfUnloaded) {
+ if(isNaN(ids)) {
+ if(ids == "bgSound") {
+ var cnum = parseInt(bgSoundItem);
+ }else {
+ for (var i = 0; i < (sound.length-1); i++) {
+ if(sound[i] && ids == sound[i]["id"]) {
+ var cnum = i;
+ break;
+ }
+ }
+ }
+ }else {
+ var cnum = ids;
+ ids = sound[cnum]["id"];
+ }
+ var vol = getMaxVolume(sound[cnum]["volume"]);
+ var millisec = fadeDuration;
+ var speed = Math.round(millisec / vol);
+ var timer = 0;
+ for(var i = vol; i > 0; i--) {
+ setTimeout("fadeout(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ if(option) setTimeout("stopSound('"+ids+"')",millisec);
+ }
+}
+function fadeout(volume, id) {
+ soundManager.setVolume(id,volume);
+}
+function stopSound(ids) {
+ var sid;
+ if(isNaN(ids)) {
+ sid = ids;
+ }else if(sound[ids]) {
+ sid = sound[ids]["id"];
+ }
+ if(sid!='' && curSoundID >= 0) {
+ soundManager.stop(sid);
+ curSoundID = -1;
+ }
+}
+function toggleSounds() {
+ if(audioSupport && !swfUnloaded) {
+ stopAllSounds();
+ }else if (!audioSupport && !swfUnloaded) {
+ allowSounds();
+ }
+}
+function allowSounds() {
+ if(!swfUnloaded) {
+ audioSupport = true;
+ var obj = document.getElementById('audio'); changeClass(obj,'ison');
+ currentSlide();
+ }
+}
+function stopAllSounds() {
+ if(curSoundID >= 0) {
+ stopSound(curSoundID);
+ }else {
+ soundManager.stopAll();
+ curSoundID = -1;
+ }
+ audioSupport = false;
+ var obj = document.getElementById('audio'); changeClass(obj,'isoff');
+ currentSlide();
+}
+function playSound(id) {
+ if(audioSupport && !swfUnloaded) {
+ var url, sid, vol, lps, cnum;
+ if(isNaN(id)) {
+ sid = id;
+ if(sid == "bgSound") {
+ cnum = parseInt(bgSoundItem);
+ }else {
+ cnum = getSoundID(id);
+ }
+ }else {
+ cnum = parseInt(id);
+ if(sound[cnum]) sid = sound[cnum]["id"];
+ }
+ if(sound[cnum] && sound[cnum]["url"]!='' && sid!=''){
+ url = sound[cnum]["url"];
+ vol = (sound[cnum]["volume"]!='')?getMaxVolume(sound[cnum]["volume"]):getMaxVolume(100);
+ lps = (sound[cnum]["loops"])?true:false;
+ if(lps) {
+ soundManager.play(sid,{volume:vol,onplay:function(){curSoundID=cnum;},onfinish:function(){soundManager.play(sid,{volume:getMaxVolume(sound[cnum]["volume"])});}});
+ }else {
+ soundManager.play(sid,{volume:vol,onplay:function(){curSoundID=cnum;},onfinish:function(){curSoundID=-1;}});
+ }
+ }
+ }
+}
+function getSoundID(str) {
+ for (var i = 0; i < (sound.length-1); i++) {
+ if(sound[i] && str == sound[i]["id"]) {
+ var id = i;
+ break;
+ }
+ }
+ return id;
+}
+function setVolume() {
+ var vol = document.getElementById('volumelist');
+ if(audioSupport && !swfUnloaded) {
+ audioVolume = parseInt(vol.value);
+ if(curSoundID >= 0) {
+ if(sound[curSoundID]) {
+ var sid = sound[curSoundID]["id"];
+ var vid = getMaxVolume(sound[curSoundID]["volume"]);
+ soundManager.setVolume(sid,vid);
+ }
+ }
+ }
+}
+function getMaxVolume(value) {
+ if(audioVolume>0) {var factor = audioVolume/100;}else {var factor = 0;}
+ return Math.max(0,Math.min(parseInt(value*factor),audioVolume));
+}
+function preloadSounds() {
+ var temp = ''; var parm = ''; var t = ''; var cl = '';
+ var objects = document.getElementsByTagName('object');
+ for (var i=0; i < objects.length; i++) {
+ if(objects[i].type.toLowerCase() == 'audio/mp3' && objects[i].data != '') {
+ objects[i].width = 0; objects[i].height = 0;
+ if(objects[i].parentNode.tagName == 'DIV') {
+ cl = objects[i].parentNode.className.toLowerCase();
+ if(cl == 'presentation' || cl == 'slide') {
+ if(cl == 'presentation') {
+ t = parseInt(bgSoundItem);
+ }else {
+ t = parseInt(objects[i].parentNode.id.slice(5, objects[i].parentNode.id.length));
+ }
+ sound[t] = new Object();
+ if(t < bgSoundItem) {
+ sound[t]["id"] = objects[i].parentNode.id;
+ }else {
+ sound[t]["id"] = "bgSound";
+ }
+ sound[t]["url"] = objects[i].data;
+ sound[t]["volume"] = 100; sound[t]["loops"] = false;
+ if(objects[i].archive != '') {
+ parm = objects[i].archive.toLowerCase().split(',');
+ for (var j=0; j < parm.length; j++) {
+ if(parm[j] == 'loop') sound[t]["loops"] = true;
+ if(parm[j].search(/^volume/) != -1) {
+ var tmp = parm[j].split(':');
+ sound[t]["volume"] = parseInt(tmp[1]);
+ }
+ }
+ }
+ soundManager.createSound(sound[t]["id"],sound[t]["url"]);
+ }
+ }
+ }
+ }
+}
+// audio extension
+
+// help extension
+function createHelpReq() {
+ if(!document.getElementById("HelpReq")) {
+ var obj = document.getElementsByTagName("body")[0].firstChild;
+ var pg = document.createElement('div');
+ pg.id = "HelpReq";
+ if (pg.addEventListener) {
+ pg.addEventListener("onclick",dumpHelpReq,false);
+ } else if (pg.attachEvent) {
+ pg.attachEvent("onclick",dumpHelpReq);
+ }
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=90)";
+ } else {
+ pg.style.opacity = 0.9;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(64,64,64)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/help.jpg)";
+ document.getElementsByTagName("body")[0].insertBefore(pg,obj);
+ if(document.getElementById("HelpReq")) {
+ helpmode = true;
+ }
+ }
+}
+function dumpHelpReq() {
+ if(document.getElementById("HelpReq")) {
+ document.body.removeChild(document.getElementById('HelpReq'));
+ helpmode = false;
+ }
+}
+// help extension
+
+//DEBUG
+function ConsoleLog(value) {
+ if(window.console) {
+ window.console.log(value);
+ }
+}
+//DEBUG
+
+document.write('<style type="text/css" media="screen" id="blockStyle">.presentation, .layout {display: none; }</style>');
+
+if(!isIE && !isOp) {
+ document.write('<script type="text/javascript" src="'+s5Path+'ui/audio_support/soundmanager2.js"></script>');
+ if(typeof soundManager!="undefined") {
+ var allMetas = document.getElementsByTagName('meta');
+ for (var i = 0; i< allMetas.length; i++) {
+ if (allMetas[i].name == 'audioDebug') {
+ var audioDebug = (allMetas[i].content == "true") ? true : false;
+ soundManager.defaultOptions.debugMode = audioDebug;
+ }
+ }
+ }
+}
+
+window.onload = createSlideShow;
+window.onresize = function(){setTimeout('windowChange()',5);} \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/toggle_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/toggle_link.png
new file mode 100644
index 000000000..4588e2514
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/toggle_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/toggle_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/toggle_over.png
new file mode 100644
index 000000000..400f613b6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/toggle_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/volume_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/volume_link.png
new file mode 100644
index 000000000..f362acd1f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/volume_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/wrap.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/wrap.css
new file mode 100644
index 000000000..a37315552
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/wrap.css
@@ -0,0 +1,410 @@
+/********************************************************************
+Screen and Print Styles for the Wrap Plugin
+********************************************************************/
+
+/* tables in columns and boxes should span the whole width */
+.wrap_column table,
+.wrap_left table, .wrap_right table, .wrap_center table,
+.wrap_box table,
+.wrap_info table, .wrap_important table, .wrap_alert table, .wrap_tip table, .wrap_help table, .wrap_todo table, .wrap_download table {
+ width: 100%;
+}
+/* emulate a headline */
+.wrap_column em strong,
+.wrap_left em strong, .wrap_right em strong, .wrap_center em strong,
+.wrap_box em strong,
+.wrap_info em strong, .wrap_important em strong, .wrap_alert em strong, .wrap_tip em strong, .wrap_help em strong, .wrap_todo em strong, .wrap_download em strong {
+ font-size: 130%;
+ font-weight: bold;
+ font-style: normal;
+ display: block;
+}
+/* emulate a bigger headline with a bottom border */
+.wrap_column em strong em.u,
+.wrap_left em strong em.u, .wrap_right em strong em.u, .wrap_center em strong em.u,
+.wrap_box em strong em.u,
+.wrap_info em strong em.u, .wrap_important em strong em.u, .wrap_alert em strong em.u, .wrap_tip em strong em.u, .wrap_help em strong em.u, .wrap_todo em strong em.u, .wrap_download em strong em.u {
+ font-size: 115%;
+ border-bottom: 1px solid __border__;
+ font-style: normal;
+ text-decoration: none;
+ display: block;
+}
+/* different bigger headline for safety notes */
+.wrap_danger em strong em.u, .wrap_warning em strong em.u, .wrap_caution em strong em.u, .wrap_notice em strong em.u, .wrap_safety em strong em.u {
+ font-size: 115%;
+ font-style: normal;
+ text-decoration: none;
+ display: block;
+ text-transform: uppercase;
+ border-bottom-width: 0;
+}
+/* change border colour of emulated headlines inside boxes to something more neutral
+ (to match all the different background colours) */
+.wrap_box em strong em.u,
+.wrap_info em strong em.u, .wrap_important em strong em.u, .wrap_alert em strong em.u, .wrap_tip em strong em.u, .wrap_help em strong em.u, .wrap_todo em strong em.u, .wrap_download em strong em.u {
+ border-bottom-color: #999;
+}
+
+
+/* columns
+********************************************************************/
+
+.wrap_left,
+.wrap_column {
+ float: left;
+ margin-right: 1.5em;
+}
+.wrap_right {
+ float: right;
+ margin-left: 1.5em;
+}
+.wrap_center {
+ display: block;
+ margin-left: auto;
+ margin-right: auto;
+}
+/*
+.wrap_column,
+.wrap_left,
+.wrap_right {
+ overflow: hidden;
+}
+*/
+.wrap_container {
+ margin-right: -1.5em;
+}
+
+
+/* alignments
+********************************************************************/
+
+.wrap_leftalign {
+ text-align: left;
+}
+.wrap_centeralign {
+ text-align: center;
+}
+.wrap_rightalign {
+ text-align: right;
+}
+.wrap_justify {
+ text-align: justify;
+}
+
+
+/* box
+********************************************************************/
+
+/* see styles for boxes and notes with icons in style.css */
+
+/*____________ rounded corners ____________*/
+/* (only for modern browsers) */
+
+div.wrap_round {
+ border-radius: 20px;
+ -moz-border-radius: 20px;
+ -webkit-border-radius: 20px;
+ -khtml-border-radius: 20px;
+}
+span.wrap_round {
+ border-radius: 2px;
+ -moz-border-radius: 2px;
+ -webkit-border-radius: 2px;
+ -khtml-border-radius: 2px;
+}
+
+
+/* mark
+********************************************************************/
+
+div.wrap_lo {
+ color: __text_neu__;
+ font-size: 85%;
+}
+div.wrap_em {
+ color: #c00;
+ font-weight: bold;
+}
+
+/* see styles for highlighted text in style.css */
+
+
+/* typography
+********************************************************************/
+
+/*____________ fonts ____________*/
+
+div.wrap_sansserif {
+ font-family: Verdana,Tahoma,Geneva,"DejaVu Sans","Bitstream Vera Sans","Liberation Sans", Arial,Helvetica,FreeSans,"Liberation Sans","Nimbus Sans L", sans-serif;
+}
+div.wrap_serif {
+ font-family: Georgia,Garamond,"Palatino Linotype","Book Antiqua",Palatino,Palladio,"URW Palladio L","Liberation Serif",Didot,Gentium,"Bitstream Charter","Century Schoolbook L", "Times New Roman",Times,"Nimbus Roman No9 L","FreeSerif", serif;
+}
+div.wrap_monospace {
+ font-family: Courier,"Courier New",FreeMono,"Nimbus Mono L","Liberation Mono", "Lucida Console",Monaco,"DejaVu Sans Mono","Bitstream Vera Sans Mono", monospace;
+}
+
+/*____________ font size ____________*/
+
+div.wrap_bigger {
+ font-size: 125%;
+}
+div.wrap_muchbigger {
+ font-size: 200%;
+}
+div.wrap_smaller {
+ font-size: 75%;
+}
+
+/*____________ font colours ____________*/
+
+div.wrap_fgred {
+ color: #900;
+}
+div.wrap_fggreen {
+ color: #090;
+}
+div.wrap_fgblue {
+ color: #009;
+}
+div.wrap_fgcyan {
+ color: #099;
+}
+div.wrap_fgviolet {
+ color: #909;
+}
+div.wrap_fgyellow {
+ color: #990;
+}
+div.wrap_fggrey {
+ color: #666;
+}
+div.wrap_fgblack {
+ color: #000;
+}
+
+/* see styles for background colours and white font colour in style.css */
+
+
+/* miscellaneous
+********************************************************************/
+
+/*____________ indent ____________*/
+
+div.wrap_indent {
+ padding-left: 1.5em;
+}
+
+/*____________ outdent ____________*/
+
+div.wrap_outdent {
+ margin-left: -1.5em;
+}
+
+/*____________ word wrapping in pre ____________*/
+
+divdiv.wrap_prewrap pre {
+ white-space: pre-wrap;
+ word-wrap: break-word;/* for IE < 8 */
+ /* white-space: -moz-pre-wrap; for FF < 3 */
+}
+
+/*____________ spoiler ____________*/
+
+divdiv.wrap_spoiler {
+ margin-bottom: 1.5em;
+}
+/* see rest of spoiler styles in style.css */
+
+/*____________ clear float ____________*/
+
+div.wrap_clear {
+ clear: both;
+ line-height: 0;
+ height: 0;
+ font-size: 1px;
+ visibility: hidden;
+ overflow: hidden;
+}
+
+/*____________ hide ____________*/
+
+div.wrap_hide {
+ display: none;
+}
+
+
+/* STYLE.CSS */
+
+/* box
+********************************************************************/
+
+.wrap_box {
+ background: __background_alt__;
+ color: __text__;
+/*
+ overflow: hidden;
+*/
+}
+div.wrap_box,
+div.wrap_danger, div.wrap_warning, div.wrap_caution, div.wrap_notice, div.wrap_safety {
+ padding: 1em 1em .5em;
+ margin-bottom: 1.5em;
+}
+span.wrap_box,
+span.wrap_danger, span.wrap_warning, span.wrap_caution, span.wrap_notice, span.wrap_safety {
+ padding: 0 .3em;
+}
+
+/*____________ notes with icons ____________*/
+
+/* general styles for all note divs */
+div.wrap_info, div.wrap_important, div.wrap_alert, div.wrap_tip, div.wrap_help, div.wrap_todo, div.wrap_download {
+ padding: 1em 1em .5em 70px;
+ margin-bottom: 1.5em;
+ min-height: 68px;
+ background-position: 10px 50%;
+ background-repeat: no-repeat;
+ color: #000;
+}
+/* special treatment for IE6 */
+* html div.wrap_info, * html div.wrap_important, * html div.wrap_alert, * html div.wrap_tip, * html div.wrap_help, * html div.wrap_todo, * html div.wrap_download {
+ height: 68px;
+}
+/* general styles for all note spans */
+span.wrap_info, span.wrap_important, span.wrap_alert, span.wrap_tip, span.wrap_help, span.wrap_todo, span.wrap_download {
+ padding: 0 2px 0 20px;
+ min-height: 20px;
+ background-position: 2px 50%;
+ background-repeat: no-repeat;
+ color: #000;
+}
+/* special treatment for IE6 */
+* html span.wrap_info, * html span.wrap_important, * html span.wrap_alert, * html span.wrap_tip, * html span.wrap_help, * html span.wrap_todo, * html span.wrap_download {
+ height: 20px;
+}
+
+/*____________ info ____________*/
+.wrap_info { background-color: #d1d7df; }
+div.wrap_info { background-image: url(../../../wrap/images/note/48/info.png); }
+span.wrap_info { background-image: url(../../../wrap/images/note/16/info.png); }
+
+/*____________ important ____________*/
+.wrap_important { background-color: #ffd39f; }
+div.wrap_important { background-image: url(../../../wrap/images/note/48/important.png); }
+span.wrap_important { background-image: url(../../../wrap/images/note/16/important.png); }
+
+/*____________ alert ____________*/
+.wrap_alert { background-color: #ffbcaf; }
+div.wrap_alert { background-image: url(../../../wrap/images/note/48/alert.png); }
+span.wrap_alert { background-image: url(../../../wrap/images/note/16/alert.png); }
+
+/*____________ tip ____________*/
+.wrap_tip { background-color: #fff79f; }
+div.wrap_tip { background-image: url(../../../wrap/images/note/48/tip.png); }
+span.wrap_tip { background-image: url(../../../wrap/images/note/16/tip.png); }
+
+/*____________ help ____________*/
+.wrap_help { background-color: #dcc2ef; }
+div.wrap_help { background-image: url(../../../wrap/images/note/48/help.png); }
+span.wrap_help { background-image: url(../../../wrap/images/note/16/help.png); }
+
+/*____________ todo ____________*/
+.wrap_todo { background-color: #c2efdd; }
+div.wrap_todo { background-image: url(../../../wrap/images/note/48/todo.png); }
+span.wrap_todo { background-image: url(../../../wrap/images/note/16/todo.png); }
+
+/*____________ download ____________*/
+.wrap_download { background-color: #d6efc2; }
+div.wrap_download { background-image: url(../../../wrap/images/note/48/download.png); }
+span.wrap_download { background-image: url(../../../wrap/images/note/16/download.png); }
+
+
+/*____________ safety notes ____________*/
+
+.wrap_danger {
+ background-color: #c00;
+ color: #fff;
+}
+.wrap_warning {
+ background-color: #f60;
+ color: #000;
+}
+.wrap_caution {
+ background-color: #ff0;
+ color: #000;
+}
+.wrap_notice {
+ background-color: #06f;
+ color: #fff;
+}
+.wrap_safety {
+ background-color: #090;
+ color: #fff;
+}
+
+
+/* mark
+********************************************************************/
+
+div.wrap_hi {
+ background-color: #ff9;
+}
+
+/* typography
+********************************************************************/
+
+/*____________ font colours ____________*/
+
+div.wrap_fgwhite {
+ color: #fff;
+}
+
+/*____________ background colours ____________*/
+
+div.wrap_bgred {
+ background-color: #fcc;
+}
+div.wrap_bggreen {
+ background-color: #cfc;
+}
+div.wrap_bgblue {
+ background-color: #ccf;
+}
+div.wrap_bgcyan {
+ background-color: #9ff;
+}
+div.wrap_bgviolet {
+ background-color: #f9f;
+}
+div.wrap_bgyellow {
+ background-color: #ff9;
+}
+div.wrap_bggrey {
+ background-color: #ccc;
+}
+div.wrap_bgwhite {
+ background-color: #fff;
+}
+div.wrap_bgblack {
+ background-color: #000;
+}
+
+
+/* miscellaneous
+********************************************************************/
+
+/*____________ spoiler ____________*/
+
+div.wrap_spoiler {
+ background-color: __background__ !important;
+ color: __background__ !important;
+ border: 1px dotted red;
+}
+
+/*____________ only print ____________*/
+
+div.wrap_onlyprint {
+ display: none;
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/zero_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/zero_link.png
new file mode 100644
index 000000000..5610884d9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/zero_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/zero_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/zero_over.png
new file mode 100644
index 000000000..3a14a577e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/i18n/zero_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/LEEME.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/LEEME.txt
new file mode 100755
index 000000000..c4f982126
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/LEEME.txt
@@ -0,0 +1,31 @@
+MANDARINA
+
+Tema para S5, creado originalmente por jEsuSdA 8)
+http://www.jesusda.com
+
+M谩s temas en: http://www.jesusda.com/projects/presentaciones_s5
+
+
+
+Para customizar este tema modifica el archivo CSS:
+
+s5-ultraviolet/ui/default/pretty.css
+
+
+
+Los archivos gr谩ficos referentes al tema est谩n en la carpeta:
+
+/ui/default/pics
+
+
+Puedes personalizar el favicon copiando el tuyo en la carpeta:
+
+/ui/default/pics
+
+con el nombre favicon.ico
+
+
+
+Para cualquier sugerencia e idea, por favor, contacta conmigo a trav茅s de mi web. ;)
+
+--jEsuSdA 8) \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/audio_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/audio_link.png
new file mode 100644
index 000000000..daa740c40
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/audio_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/audio_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/audio_on.png
new file mode 100644
index 000000000..cd590aae9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/audio_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/audio_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/audio_over.png
new file mode 100644
index 000000000..cf537a008
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/audio_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/auto_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/auto_link.png
new file mode 100644
index 000000000..1c2ca4817
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/auto_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/auto_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/auto_on.png
new file mode 100644
index 000000000..4b0c923b8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/auto_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/auto_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/auto_over.png
new file mode 100644
index 000000000..dbea88975
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/auto_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/backgrnd.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/backgrnd.png
new file mode 100644
index 000000000..0e48413d3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/backgrnd.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/blank.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/blank.gif
new file mode 100755
index 000000000..75b945d25
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/blank.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/delay_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/delay_link.png
new file mode 100644
index 000000000..c4d99cd57
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/delay_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/exit_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/exit_link.png
new file mode 100644
index 000000000..1bfd38790
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/exit_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/exit_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/exit_over.png
new file mode 100644
index 000000000..0fdd1c605
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/exit_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/fade_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/fade_link.png
new file mode 100644
index 000000000..482e4d53e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/fade_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/fade_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/fade_on.png
new file mode 100644
index 000000000..e12561fb2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/fade_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/fade_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/fade_over.png
new file mode 100644
index 000000000..fb8943c98
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/fade_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/footer.jpg b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/footer.jpg
new file mode 100644
index 000000000..49370dbba
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/footer.jpg
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/framing.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/framing.css
new file mode 100755
index 000000000..14d8509e9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/framing.css
@@ -0,0 +1,23 @@
+/* The following styles size, place, and layer the slide components.
+ Edit these if you want to change the overall slide layout.
+ The commented lines can be uncommented (and modified, if necessary)
+ to help you with the rearrangement process. */
+
+/* target = 1024x768 */
+
+div#header, div#footer, .slide {width: 100%; top: 0; left: 0;}
+div#header {top: 0; height: 3em; z-index: 1;}
+div#footer {top: auto; bottom: 0; height: 2.5em; z-index: 5;}
+.slide {top: 0; width: 92%; padding: 3.5em 4% 4%; z-index: 2; list-style: none;}
+div#controls {left: 50%; bottom: 0; width: 50%; z-index: 100;}
+div#controls form {position: absolute; bottom: 0; right: 0; width: 100%;
+ margin: 0;}
+#currentSlide {position: absolute; width: 10%; left: 45%; bottom: 1em; z-index: 10;}
+html>body #currentSlide {position: fixed;}
+
+/*
+div#header {background: #FCC;}
+div#footer {background: #CCF;}
+div#controls {background: #BBD;}
+div#currentSlide {background: #FFC;}
+*/
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/header.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/header.png
new file mode 100644
index 000000000..929dabba1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/header.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/iepngfix.htc b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/iepngfix.htc
new file mode 100755
index 000000000..bba2db756
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/iepngfix.htc
@@ -0,0 +1,42 @@
+<public:component>
+<public:attach event="onpropertychange" onevent="doFix()" />
+
+<script>
+
+// IE5.5+ PNG Alpha Fix v1.0 by Angus Turnbull http://www.twinhelix.com
+// Free usage permitted as long as this notice remains intact.
+
+// This must be a path to a blank image. That's all the configuration you need here.
+var blankImg = 'ui/default/blank.gif';
+
+var f = 'DXImageTransform.Microsoft.AlphaImageLoader';
+
+function filt(s, m) {
+ if (filters[f]) {
+ filters[f].enabled = s ? true : false;
+ if (s) with (filters[f]) { src = s; sizingMethod = m }
+ } else if (s) style.filter = 'progid:'+f+'(src="'+s+'",sizingMethod="'+m+'")';
+}
+
+function doFix() {
+ if ((parseFloat(navigator.userAgent.match(/MSIE (\S+)/)[1]) < 5.5) ||
+ (event && !/(background|src)/.test(event.propertyName))) return;
+
+ if (tagName == 'IMG') {
+ if ((/\.png$/i).test(src)) {
+ filt(src, 'image'); // was 'scale'
+ src = blankImg;
+ } else if (src.indexOf(blankImg) < 0) filt();
+ } else if (style.backgroundImage) {
+ if (style.backgroundImage.match(/^url[("']+(.*\.png)[)"']+$/i)) {
+ var s = RegExp.$1;
+ style.backgroundImage = '';
+ filt(s, 'crop');
+ } else filt();
+ }
+}
+
+doFix();
+
+</script>
+</public:component> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/index.html b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/index.html
new file mode 100755
index 000000000..39d8f46ad
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/index.html
@@ -0,0 +1,100 @@
+<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Strict//EN"
+ "http://www.w3.org/TR/xhtml1/DTD/xhtml1-strict.dtd">
+
+<html xmlns="http://www.w3.org/1999/xhtml" xml:lang="es" lang="es-es" >
+
+
+<head>
+
+ <title>[T铆tulo de la presentaci贸n aqu铆]</title>
+ <!-- metadata -->
+ <meta http-equiv="content-type" content="text/html; charset=utf-8" />
+ <meta http-equiv="content-language" content="es" />
+
+ <!--titulo y datos de publicaci贸n de la presentaci贸n-->
+
+ <meta name="description" content="[T铆tulo de la presentaci贸n aqu铆]" />
+
+ <meta name="keywords" content="[keywords aqu铆]" />
+ <meta name="presdate" content="[Aqu铆 la fecha de publicaci贸n en formato aaaammdd]" />
+
+ <meta name="author" lang="es" content="[Nombre del autor de la presentaci贸n aqu铆]" />
+ <meta name="company" content="[Organizaci贸n del autor de la presentaci贸n aqu铆]" />
+
+ <link rel="shortcut icon" href="ui/default/pics/favicon.ico" />
+
+ <meta name="generator" content="S5" />
+ <meta name="progid" content="quanta+" />
+ <meta name="version" content="S5 路 jEsuSdA 路 1.1" />
+ <!--Versi贸n adaptada del estandard S5 1.1 por jEsuSdA-->
+
+ <meta name="robots" content="all" />
+
+ <!-- configuration parameters -->
+ <meta name="defaultView" content="slideshow" />
+ <meta name="controlVis" content="hidden" />
+
+ <!-- style sheet links -->
+ <link rel="stylesheet" href="ui/default/slides.css" type="text/css" media="projection" id="slideProj" />
+ <link rel="stylesheet" href="ui/default/outline.css" type="text/css" media="screen" id="outlineStyle" />
+ <link rel="stylesheet" href="ui/default/print.css" type="text/css" media="print" id="slidePrint" />
+ <link rel="stylesheet" href="ui/default/opera.css" type="text/css" media="projection" id="operaFix" />
+
+ <!-- S5 JS -->
+ <script src="ui/default/slides.js" type="text/javascript"></script>
+
+</head>
+
+<body>
+
+ <div class="layout">
+ <div id="controls"><!-- NO EDITAR --></div>
+ <div id="currentSlide"><!-- NO EDITAR --></div>
+
+ <div id="header">
+ <div id="logo"><!-- NO EDITAR --></div>
+ </div>
+
+ </div>
+
+ <div id="footer">
+ <h1>[Lugar/Fecha de la presentaci贸n aqu铆]</h1>
+ <h2>[T铆tulo de la presentaci贸n aqu铆]</h2>
+ </div>
+
+
+
+
+<div class="presentation">
+
+ <div class="slide">
+ <h1>[T铆tulo de la presentaci贸n aqu铆]</h1>
+ <h3>[Nombre del ponente aqu铆]</h3>
+ <h4>[Datos extra aqu铆]</h4>
+ </div>
+
+
+ <div class="slide">
+ <h1>[T铆tulo de la diapositiva]</h1>
+
+ <ul>
+ <li>[Punto uno]</li>
+ <li>[Punto dos]</li>
+ <li>[Punto tres]</li>
+ <li>[Punto cuatro]</li>
+ <li>[Punto cinco]</li>
+ </ul>
+
+ <div class="handout">
+
+ [A帽ade aqu铆 cualquier nota o informaci贸n que desees mostrar en la versi贸n impresa, pero no en la versi贸n en pantalla]
+
+ </div>
+
+ </div>
+ <!--fin slide-->
+
+</div>
+
+</body>
+</html>
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/last_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/last_link.png
new file mode 100644
index 000000000..4f158d35d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/last_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/last_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/last_over.png
new file mode 100644
index 000000000..a7b629995
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/last_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/list_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/list_link.png
new file mode 100644
index 000000000..7e299bb50
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/list_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/loop_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/loop_link.png
new file mode 100644
index 000000000..41e12cb15
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/loop_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/loop_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/loop_on.png
new file mode 100644
index 000000000..2ffcacae1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/loop_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/loop_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/loop_over.png
new file mode 100644
index 000000000..9fe420153
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/loop_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/next_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/next_link.png
new file mode 100644
index 000000000..2b9f44c4d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/next_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/next_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/next_over.png
new file mode 100644
index 000000000..25788124d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/next_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/notes_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/notes_link.png
new file mode 100644
index 000000000..bb4a8ae37
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/notes_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/notes_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/notes_over.png
new file mode 100644
index 000000000..c6bfe69fc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/notes_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/opera.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/opera.css
new file mode 100755
index 000000000..9e9d2a3c5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/opera.css
@@ -0,0 +1,7 @@
+/* DO NOT CHANGE THESE unless you really want to break Opera Show */
+.slide {
+ visibility: visible !important;
+ position: static !important;
+ page-break-before: always;
+}
+#slide0 {page-break-before: avoid;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/outline.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/outline.css
new file mode 100755
index 000000000..62db519ed
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/outline.css
@@ -0,0 +1,15 @@
+/* don't change this unless you want the layout stuff to show up in the outline view! */
+
+.layout div, #footer *, #controlForm * {display: none;}
+#footer, #controls, #controlForm, #navLinks, #toggle {
+ display: block; visibility: visible; margin: 0; padding: 0;}
+#toggle {float: right; padding: 0.5em;}
+html>body #toggle {position: fixed; top: 0; right: 0;}
+
+/* making the outline look pretty-ish */
+
+#slide0 h1, #slide0 h2, #slide0 h3, #slide0 h4 {border: none; margin: 0;}
+#slide0 h1 {padding-top: 1.5em;}
+.slide h1 {margin: 1.5em 0 0; padding-top: 0.25em;
+ border-top: 1px solid #888; border-bottom: 1px solid #AAA;}
+#toggle {border: 1px solid; border-width: 0 0 1px 1px; background: #FFF;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pause_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pause_link.png
new file mode 100644
index 000000000..2561cb4a4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pause_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pause_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pause_on.png
new file mode 100644
index 000000000..2fc9c4a4a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pause_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pause_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pause_over.png
new file mode 100644
index 000000000..7a7c80ddc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pause_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/back-handout-print.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/back-handout-print.png
new file mode 100755
index 000000000..6f68dc67e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/back-handout-print.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/back-slide-print.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/back-slide-print.png
new file mode 100755
index 000000000..05b3dc503
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/back-slide-print.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/back_console.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/back_console.png
new file mode 100755
index 000000000..8704104dc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/back_console.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/background-foot.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/background-foot.png
new file mode 100755
index 000000000..c5d1ffc64
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/background-foot.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/background-head.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/background-head.png
new file mode 100755
index 000000000..56dfae9e7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/background-head.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/background-head.xcf.7z b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/background-head.xcf.7z
new file mode 100755
index 000000000..da49c8361
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/background-head.xcf.7z
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/background-main.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/background-main.png
new file mode 100755
index 000000000..835c5edfc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/background-main.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/background-main2.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/background-main2.png
new file mode 100755
index 000000000..1f9702ada
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/background-main2.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/favicon.ico b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/favicon.ico
new file mode 100755
index 000000000..075faddc7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/favicon.ico
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/logo.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/logo.png
new file mode 100755
index 000000000..1db9ca08f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/logo.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/table-background.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/table-background.png
new file mode 100755
index 000000000..89a7e4fa2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/table-background.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/table-header.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/table-header.png
new file mode 100755
index 000000000..d759f1576
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pics/table-header.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pretty.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pretty.css
new file mode 100755
index 000000000..36bfc0a29
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/pretty.css
@@ -0,0 +1,584 @@
+/* Following are the presentation styles -- edit away! */
+
+body
+{
+ background: #fbcd15 url(pics/background-main.png) top left no-repeat;
+ color: #000; font-size: 2em;
+ font-family: Trebuchet MS, Arial, Helvetica, sans-serif;
+/* font-family: Arial, Helvetica, sans-serif; */
+
+}
+
+:link, :visited
+{
+ text-decoration: none;
+ color: #00C;
+}
+
+
+
+h1, h2, h3, h4
+{
+ font-size: 100%;
+ margin: 0;
+ padding: 0;
+ font-weight: inherit;
+}
+
+h1 abbr
+{
+ font-variant: small-caps;
+}
+
+ul, pre
+{
+ margin: 0;
+ line-height: 1em;
+}
+
+html, body
+{
+ margin: 0;
+ padding: 0;
+}
+
+blockquote, q
+{
+ font-style: italic;
+}
+
+blockquote
+{
+ padding: 0 2em 0.5em;
+ margin: 0 1.5em 0.5em;
+ text-align: center;
+ font-size: 1em;
+}
+
+blockquote p
+{
+ margin: 0;
+}
+
+blockquote i
+{
+ font-style: normal;
+}
+
+blockquote b
+{
+ display: block;
+ margin-top: 0.5em;
+ font-weight: normal;
+ font-size: smaller;
+ font-style: normal;
+}
+
+blockquote b i
+{
+ font-style: italic;
+}
+
+kbd
+{
+ font-weight: bold;
+ font-size: 1em;
+}
+
+sup
+{
+ font-size: smaller;
+ line-height: 1px;
+}
+
+
+ul.urls
+{
+ list-style: none;
+ display: inline;
+ margin: 0;
+}
+
+.urls li
+{
+ display: inline;
+ margin: 0;
+}
+
+.notes
+{
+ display: none;
+}
+
+.external
+{
+ border-bottom: 1px dotted gray;
+}
+
+html>body .external
+{
+ border-bottom: none;
+}
+
+.external:after
+{
+ content: " \274F";
+ font-size: smaller;
+ color: #fff;
+}
+
+.incremental, .incremental *, .incremental *:after
+{
+ color: #fff;
+ visibility: visible;
+}
+
+img.incremental
+{
+ visibility: hidden;
+}
+
+.slide .current
+{
+ color: #fff;
+}
+
+
+/* ============== */
+/* === SLIDES === */
+/* ============== */
+
+.slide code
+{
+ padding: 2px 0.25em;
+ font-weight: bold;
+}
+
+.slide code.bad, code del
+{
+ color: red;
+}
+
+.slide code.old
+{
+ color: silver;
+}
+
+.slide pre
+{
+
+ color: #fff;
+ display:block;
+ font-weight:bold;
+ font-family: Arial, Sans-Serif !important;
+ font-size:41.5%;
+ line-height:1.5em;
+ background:#2e3436 url(pics/back_console.png) right bottom no-repeat ;
+ border:3px solid #f19c12;
+ padding:1em 1em 1em 1em;
+
+
+}
+
+.slide pre code
+{
+ font-family: Arial, Sans-Serif !important;
+ display:block;
+ margin:0;
+ padding:0;
+}
+
+
+
+.slide ul
+{
+ margin-left: 5%;
+ margin-right: 7%;
+ list-style: disc;
+}
+
+.slide li
+{
+ margin-top: 0.75em;
+ margin-right: 0;
+}
+
+.slide ul ul
+{
+ line-height: 1;
+}
+
+.slide ul ul li
+{
+ margin: .2em;
+ font-size: 85%;
+ list-style: square;
+}
+
+.slide img.leader
+{
+ display: block;
+ margin: 0 auto;
+}
+
+
+/* ========================= */
+/* === HEADER AND FOOTER === */
+/* ========================= */
+
+div#logo
+{
+ position:absolute;
+ top:0%;
+ right:0%;
+ background:url(pics/logo.png) 50% 50% no-repeat;
+ height:200%;
+ width:150px;
+}
+
+div#header, div#footer
+{
+ background: #a80231;
+ color: #FFF;
+}
+
+
+div#header
+{
+ background:#f19c12 url(pics/background-head.png) bottom left repeat-x;
+ line-height: 1px;
+}
+
+div#footer
+{
+ background:#f19c12 url(pics/background-foot.png) top left repeat-x;
+ font-size: 0.5em;
+ font-weight: bold;
+ padding: 1em 0;
+}
+
+
+
+
+
+#footer h1, #footer h2
+{
+ display: block;
+ padding: 0 1em;
+}
+
+#footer h2
+{
+ font-style: italic;
+}
+
+
+
+/* ============== */
+/* === CLASES === */
+/* ============== */
+
+div.long
+{
+ font-size: 0.75em;
+}
+
+.slide h1
+{
+ position: absolute;
+ top: 0.7em;
+ left: 87px;
+ z-index: 1;
+ margin: 0;
+ padding: 0.3em 0 0 50px;
+ white-space: nowrap;
+ font-weight: bold;
+ font-size: 150%/1em;
+
+ text-transform: capitalize;
+ color: #fff;
+ background: transparent;
+}
+
+.slide h3
+{
+ font-size: 130%;
+}
+
+/* ================ */
+/* === CONTROLS === */
+/* ================ */
+
+
+#currentSlide
+{
+ text-align: center;
+ font-size: 0.6em;
+ font-weight:bold;
+ color: #fff;
+}
+
+#slide0
+{
+ padding-top: 3.5em;
+ font-size: 90%;
+}
+
+#slide0 h1
+{
+ position: static;
+ margin: 1em 0 0;
+ padding: 0;
+/* font: bold 2em Helvetica, sans-serif; */
+ font-weight: bold;
+ font-size:2em;
+ white-space: normal;
+ color: #000;
+ background: transparent;
+}
+
+#slide0 h2
+{
+ font-weight: bold;
+ font-style: italic;
+ font-size: 1em;
+ margin: 0.25em;
+}
+
+#slide0 h3
+{
+ margin-top: 1.5em;
+ font-size: 1.5em;
+}
+
+#slide0 h4
+{
+ margin-top: 0;
+ font-size: 1em;
+}
+
+/* ================ */
+/* === JESUSDA === */
+/* ================ */
+
+.first-pic
+{
+
+ float:right;
+ margin-top:-2em;
+}
+
+/* ================ */
+
+.align-center
+{
+ text-align:center;
+}
+/* ================ */
+
+.float-left , .left-column
+{
+ float:left !important;
+ width:49% !important;
+}
+
+.float-right , .right-column
+{
+ float:right !important;
+ width:49% !important;
+}
+/* ================ */
+.fullscreen
+{
+ z-index:1000 !important;
+ position:fixed !important;
+ top:0;
+ left:0;
+ width:100% !important;
+ height:100% !important;
+
+ background: #fff url(pics/background-main.png) top left no-repeat;
+
+ text-align:center;
+ margin-left:-1.65em;
+
+}
+
+.fullscreen h1
+{
+ display:none;
+}
+
+.rotulo
+{
+ font-size:800%;
+ text-align:center;
+ margin-top:-0.05em;
+
+}
+
+.rotulo2
+{
+ font-size:200%;
+ text-align:center;
+ font-weight:bolder;
+ margin-top:-0.05em;
+
+}
+
+.align-center img
+{
+ margin:auto;
+ text-align:center;
+ margin:0;
+}
+
+/* ========================= */
+/* === TABLAS === */
+/* ========================= */
+
+table
+{
+ background:url(pics/table-background.png) bottom left repeat-x #fff;
+ margin-right:1em;
+ font-size:70%;
+ border:3px solid #f19c12 !important;
+ border-spacing: 0;
+ text-align:center;
+}
+
+table td
+{
+ padding:0.5em;
+ font-size:90%;
+ border:1px solid #d2d7cf !important;
+ border-spacing: 0;
+ text-align:center;
+}
+
+th
+{
+ background:url(pics/table-header.png) bottom left repeat-x #f19c12;
+ color:#fff;
+}
+
+th a
+{
+ color:#fff !important;
+}
+
+
+
+table caption
+{
+ margin-bottom:1em;
+ margin-top:1em;
+ margin-left:-7em;
+
+
+}
+
+
+display-none
+{
+ display:none;
+}
+
+div#controls {position: absolute; left: 0; bottom: 0; width: 100%; text-align: center; font: bold 1em Verdana, Arial, Helvetica, sans-serif;}
+html>body div#controls {background: transparent; position: fixed; padding: 0; top: auto; text-align: center;}
+#controls #sheet {display: none;}
+#controls #controlForm {height: 32px; width: 100%; text-align: center; vertical-align: middle;}
+#controls #navLinks {border-top: 1px solid #999; overflow: hidden; padding: 0; margin: 0; height: 32px; width: 100%; background-color: #808080; text-align: center; vertical-align: middle; white-space: nowrap;}
+#controls #navLinks ul {margin: 0 auto; padding: 0; height: 32px; width: 500px; white-space: nowrap; text-align: center;}
+#controls #navLinks ul li {list-style: none; display: inline; margin: 0; padding: 0; float: left; height: 32px; width: 32px; text-align: center; background-color: transparent;}
+#controls #navLinks ul li.vr {list-style: none; display: inline; margin: 0px 2px; padding: 0; float: left; height: 32px; width: 1px; text-align: center; background-color: #606060;}
+#controls #navLinks ul li a {margin: 0; padding: 0; float: left; height: 32px; width: 32px; background-color: transparent;}
+
+#controls #navLinks ul li#exit {background: url(exit_link.png) no-repeat top left;}
+#controls #navLinks ul li#exit a:hover {background: url(exit_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#toggle {background: url(toggle_link.png) no-repeat top left;}
+#controls #navLinks ul li#toggle a:hover {background: url(toggle_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#show-notes {background: url(notes_link.png) no-repeat top left;}
+#controls #navLinks ul li#show-notes a:hover {background: url(notes_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#zero {background: url(zero_link.png) no-repeat top left;}
+#controls #navLinks ul li#zero a:hover {background: url(zero_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#prev {background: url(prev_link.png) no-repeat top left;}
+#controls #navLinks ul li#prev a:hover {background: url(prev_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#next {background: url(next_link.png) no-repeat top left;}
+#controls #navLinks ul li#next a:hover {background: url(next_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#last {background: url(last_link.png) no-repeat top left;}
+#controls #navLinks ul li#last a:hover {background: url(last_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#lst {background: url(list_link.png) no-repeat top left;}
+
+#controls #navLinks ul li#fade a.isoff {background: url(fade_link.png) no-repeat top left;}
+#controls #navLinks ul li#fade a.ison {background: url(fade_on.png) no-repeat top right;}
+#controls #navLinks ul li#fade a:hover {background: url(fade_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#audio {background: url(audio_link.png) no-repeat top left;}
+#controls #navLinks ul li#audio a.isoff {background: url(audio_link.png) no-repeat top left;}
+#controls #navLinks ul li#audio a.ison {background: url(audio_on.png) no-repeat top right;}
+#controls #navLinks ul li#audio a:hover {background: url(audio_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#vol {background: url(volume_link.png) no-repeat top left;}
+
+#controls #navLinks ul li#auto a.isoff {background: url(auto_link.png) no-repeat top left;}
+#controls #navLinks ul li#auto a.ison {background: url(auto_on.png) no-repeat top right;}
+#controls #navLinks ul li#auto a:hover {background: url(auto_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#pause a.isoff {background: url(pause_link.png) no-repeat top left;}
+#controls #navLinks ul li#pause a.ison {background: url(pause_on.png) no-repeat top right;}
+#controls #navLinks ul li#pause a:hover {background: url(pause_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#loop a.isoff {background: url(loop_link.png) no-repeat top left;}
+#controls #navLinks ul li#loop a.ison {background: url(loop_on.png) no-repeat top right;}
+#controls #navLinks ul li#loop a:hover {background: url(loop_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#del {background: url(delay_link.png) no-repeat top left;}
+
+#jumplist, #volumelist, #delaylist {padding: 0; margin: 0; width: 32px; height: 32px; cursor: n-resize;}
+
+#guru {position: absolute; visibility: visible; left: 0px; top: 0px; padding: 4px; width: 99%; height: auto; text-align: center; background-color: black; z-index: 10;}
+#guru div {border: solid 3px red; padding: 4px; font-family: monospace; font-size: 60%; width: auto; height: auto; color: red; text-align: center;}
+
+/*
+#currentSlide {white-space: nowrap; text-align: center; margin-bottom: -0.5em; font-size: 0.5em; background-color: transparent; color: #999;}
+
+#slide0 {padding-top: 3.5em; font-size: 90%;}
+#slide0 h1 {position: static; margin: 1em 0 0; padding: 0; font: bold 2em Verdana, Arial, Helvetica, sans-serif; font-variant: small-caps; text-shadow: 0.1em 0.1em 0.1em #666; white-space: normal; color: #222; background-color: transparent;}
+#slide0 h2 {font: bold italic 1em Arial, Helvetica, sans-serif; margin: 0.25em;}
+#slide0 h3 {margin-top: 1.5em; font-size: 1.5em;}
+#slide0 h4 {margin-top: 0; font-size: 1em;}
+*/
+
+ul.urls {list-style: none; display: inline; margin: 0;}
+.urls li {display: inline; margin: 0;}
+.external {border-bottom: 1px dotted gray;}
+html>body .external {border-bottom: none;}
+.external:after {content: " \274F"; font-size: smaller; color: #999;}
+
+.incremental, .incremental *, .incremental *:after {color: #ccc; visibility: visible;}
+img.incremental, canvas.incremental {visibility: hidden;}
+.slide .current {color: #B02;}
+
+/* diagnostics
+li:after {content: " [" attr(class) "]"; color: #F88;}
+*/
+
+table.piechart, table.barchart, table.linechart { border-spacing: 0.3em 0.15em; }
+table.piechart tr th, table.barchart tr th, table.linechart tr th { white-space: nowrap; }
+table.piechart tr td, table.barchart tr td, table.linechart tr td { vertical-align: top; white-space: nowrap; }
+table.piechart tr td.col, table.barchart tr td.col, table.linechart tr td.col { border-bottom: 1px solid #555; border-right: 1px solid #555; }
+table.fs90 tr td, table.fs90 tr th, div.fs90, pre.fs90, p.fs90 ,ul.fs90 {font-size: 0.9em; }
+table.fs75 tr td, table.fs75 tr th, div.fs75, pre.fs75, p.fs75 ,ul.fs75 {font-size: 0.75em; }
+table.fs66 tr td, table.fs66 tr th, div.fs66, pre.fs66, p.fs66 ,ul.fs66 {font-size: 0.66em; }
+table.fs50 tr td, table.fs50 tr th, div.fs50, pre.fs50, p.fs50 ,ul.fs50 {font-size: 0.5em; }
+
+#soundmanager-debug {position:fixed; top:0px; right:0px; width:30em; height:20em; overflow:auto; border:1px solid red; padding:1em; margin:2em; font-family:"sans serif"; font-size: 12px;color: black; background-color:#f6f6f6; z-index: 100;}
+#soundmanager-debug code {font-size: 11px;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/prev_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/prev_link.png
new file mode 100644
index 000000000..c31d21350
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/prev_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/prev_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/prev_over.png
new file mode 100644
index 000000000..6fef4fa52
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/prev_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/print.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/print.css
new file mode 100755
index 000000000..220083260
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/print.css
@@ -0,0 +1 @@
+/* The following rule is necessary to have all slides appear in print! DO NOT REMOVE IT! */ .slide, ul { page-break-inside: avoid; visibility: visible !important; } h1 { page-break-after: avoid; } html { width:100%; overflow:hidden; } body { font-size: 12pt; background: white; width:100%; } * { color: black; } #slide0 h1 { font-size: 200%; border: none; margin: 0.5em 0 0.25em; } #slide0 h3 { margin: 0; padding: 0; } #slide0 h4 { margin: 0 0 0.5em; padding: 0; } #slide0 { margin-bottom: 3em; } h1 { border-top: 2pt solid gray; border-bottom: 1px dotted silver; padding:0.2em 0 0.3em 0; } .extra { background: transparent !important; } div.extra, pre.extra, .example { font-size: 10pt; color: #333; } ul.extra a { font-weight: bold; } p.example { display: none; } #header { display: none; } #footer h1 { margin: 0; border-bottom: 1px solid; color: gray; font-style: italic; } #footer h2, #controls { display: none; } div.handout { display:block; border-top: 2px dotted #ccc; background:url(pics/back-handout-print.png) top right no-repeat #fff; min-height:6em; padding-right:6em; margin-bottom:1em; } .slide { display:block; background:url(pics/back-slide-print.png) top right no-repeat #fff; min-height:6em; margin-bottom:1em; } table { display:block; /* display:none; */ font-size:90%; overflow:hidden; margin-top:1em; margin-bottom:1em; } pre , code { margin:0; font-size:1pt; overflow:auto; } img { max-width:100% !important; } /* The following rule keeps the layout stuff out of print. Remove at your own risk! */ .layout, .layout * { display: none !important; } \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/s5-core.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/s5-core.css
new file mode 100755
index 000000000..86444e041
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/s5-core.css
@@ -0,0 +1,9 @@
+/* Do not edit or override these styles! The system will likely break if you do. */
+
+div#header, div#footer, div#controls, .slide {position: absolute;}
+html>body div#header, html>body div#footer,
+ html>body div#controls, html>body .slide {position: fixed;}
+.handout {display: none;}
+.layout {display: block;}
+.slide, .hideme, .incremental {visibility: hidden;}
+#slide0 {visibility: visible;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/slides.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/slides.css
new file mode 100755
index 000000000..0786d7dbd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/slides.css
@@ -0,0 +1,3 @@
+@import url(s5-core.css); /* required to make the slide show run at all */
+@import url(framing.css); /* sets basic placement and size of slide components */
+@import url(pretty.css); /* stuff that makes the slides look better than blah */ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/slides.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/slides.js
new file mode 100644
index 000000000..510a04c0e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/slides.js
@@ -0,0 +1,2812 @@
+// S5 1.3beta7 (18-Apr-2007) advanced version by C. Effenberger
+// Please see http://s5.netzgesta.de/ for more information
+// based on S5 v1.2a1 slides.js -- released into the Public Domain
+// Please see http://www.meyerweb.com/eric/tools/s5/credits.html for information
+// about all the wonderful and talented contributors to this code!
+// audio extension: soundmanager2 is NOT Public Domain
+// Please see http://www.schillmania.com/projects/soundmanager2/ for information
+
+var undef;
+var slideCSS = '';
+var snum = 0;
+var smax = 1;
+var incpos = 0;
+var number = undef;
+var firstTime = 1;
+var s5mode = true;
+var helpmode = false;
+var defaultView = 'slideshow'; //outline
+var controlVis = 'visible';
+var s5Path = 'lib/plugins/s5reloaded/';
+
+// scalable images extension
+var empx = 0;
+var images = new Array();
+var canvas = new Array();
+var medias = new Array();
+var piecharts = new Array();
+var barcharts = new Array();
+var linecharts = new Array();
+// scalable images extension
+
+// transition extension
+var tranSitions = false;
+var fadeModus = false;
+var fadeDuration = 500;
+var incrDuration = 250;
+var opac = 1;
+var cid = '';
+var nid = '';
+var tid = '';
+var jl = '';
+// transition extension
+
+// autoplay extension
+var autoMatic = false;
+var playLoop = false;
+var playPause = false;
+var autoRun = false;
+var playDelay = 5000;
+var remainDer = 0;
+var incrDelay = 0;
+// autoplay extension
+
+// audio extension
+var sound = new Array();
+var audioSupport = false;
+var audioVolume = 100;
+var audioError = false;
+var swfUnloaded = true;
+var bgSoundItem = 9999;
+var curSoundID = -1;
+// audio extension
+
+// panel extension
+var imgWidth = 32;
+var imgHeight = 32;
+// panel extension
+
+// canvas chart extension
+var canvasSupport = false;
+var ChartData = new Array();
+var colorSlice = new Array();
+var font = document.createElement("img");
+font.setAttribute("src", s5Path+"ui/graphic_support/numeric.png");
+signs = {
+ '0': {sx: 0, sy: 0, sw: 48, sh: 64},
+ '1': {sx: 48, sy: 0, sw: 48, sh: 64},
+ '2': {sx: 96, sy: 0, sw: 48, sh: 64},
+ '3': {sx: 144, sy: 0, sw: 48, sh: 64},
+ '4': {sx: 192, sy: 0, sw: 48, sh: 64},
+ '5': {sx: 240, sy: 0, sw: 48, sh: 64},
+ '6': {sx: 288, sy: 0, sw: 48, sh: 64},
+ '7': {sx: 336, sy: 0, sw: 48, sh: 64},
+ '8': {sx: 384, sy: 0, sw: 48, sh: 64},
+ '9': {sx: 432, sy: 0, sw: 48, sh: 64},
+ '%': {sx: 480, sy: 0, sw: 48, sh: 64},
+ '.': {sx: 528, sy: 0, sw: 24, sh: 64}
+};
+var colorNames= new Array();
+colorNames["black"]="#000000"; colorNames["maroon"]="#800000";
+colorNames["green"]="#008000"; colorNames["olive"]="#808000";
+colorNames["navy"]="#000080"; colorNames["purple"]="#800080";
+colorNames["teal"]="#008080"; colorNames["gray"]="#808080";
+colorNames["silver"]="#C0C0C0"; colorNames["red"]="#FF0000";
+colorNames["lime"]="#00FF00"; colorNames["yellow"]="#FFFF00";
+colorNames["blue"]="#0000FF"; colorNames["fuchsia"]="#FF00FF";
+colorNames["aqua"]="#00FFFF"; colorNames["white"]="#FFFFFF";
+colorNames["aliceblue"]="#F0F8FF"; colorNames["antiquewhite"]="#FAEBD7";
+colorNames["aquamarine"]="#7FFFD4"; colorNames["azure"]="#F0FFFF";
+colorNames["beige"]="#F5F5DC"; colorNames["blueviolet"]="#8A2BE2";
+colorNames["brown"]="#A52A2A"; colorNames["burlywood"]="#DEB887";
+colorNames["cadetblue"]="#5F9EA0"; colorNames["chartreuse"]="#7FFF00";
+colorNames["chocolate"]="#D2691E"; colorNames["coral"]="#FF7F50";
+colorNames["cornflowerblue"]="#6495ED"; colorNames["cornsilk"]="#FFF8DC";
+colorNames["crimson"]="#DC143C"; colorNames["darkblue"]="#00008B";
+colorNames["darkcyan"]="#008B8B"; colorNames["darkgoldenrod"]="#B8860B";
+colorNames["darkgray"]="#A9A9A9"; colorNames["darkgreen"]="#006400";
+colorNames["darkkhaki"]="#BDB76B"; colorNames["darkmagenta"]="#8B008B";
+colorNames["darkolivegreen"]="#556B2F"; colorNames["darkorange"]="#FF8C00";
+colorNames["darkorchid"]="#9932CC"; colorNames["darkred"]="#8B0000";
+colorNames["darksalmon"]="#E9967A"; colorNames["darkseagreen"]="#8FBC8F";
+colorNames["darkslateblue"]="#483D8B"; colorNames["darkslategray"]="#2F4F4F";
+colorNames["darkturquoise"]="#00CED1"; colorNames["darkviolet"]="#9400D3";
+colorNames["deeppink"]="#FF1493"; colorNames["deepskyblue"]="#00BFFF";
+colorNames["dimgray"]="#696969"; colorNames["dodgerblue"]="#1E90FF";
+colorNames["firebrick"]="#B22222"; colorNames["floralwhite"]="#FFFAF0";
+colorNames["forestgreen"]="#228B22"; colorNames["gainsboro"]="#DCDCDC";
+colorNames["ghostwhite"]="#F8F8FF"; colorNames["gold"]="#FFD700";
+colorNames["goldenrod"]="#DAA520"; colorNames["greenyellow"]="#ADFF2F";
+colorNames["honeydew"]="#F0FFF0"; colorNames["hotpink"]="#FF69B4";
+colorNames["indianred"]="#CD5C5C"; colorNames["indigo"]="#4B0082";
+colorNames["ivory"]="#FFFFF0"; colorNames["khaki"]="#F0E68C";
+colorNames["lavender"]="#E6E6FA"; colorNames["lavenderblush"]="#FFF0F5";
+colorNames["lawngreen"]="#7CFC00"; colorNames["lemonchiffon"]="#FFFACD";
+colorNames["lightblue"]="#ADD8E6"; colorNames["lightcoral"]="#F08080";
+colorNames["lightcyan"]="#E0FFFF"; colorNames["lightgoldenrodyellow"]="#FAFAD2";
+colorNames["lightgreen"]="#90EE90"; colorNames["lightgrey"]="#D3D3D3";
+colorNames["lightpink"]="#FFB6C1"; colorNames["lightsalmon"]="#FFA07A";
+colorNames["lightseagreen"]="#20B2AA"; colorNames["lightskyblue"]="#87CEFA";
+colorNames["lightslategray"]="#778899"; colorNames["lightsteelblue"]="#B0C4DE";
+colorNames["lightyellow"]="#FFFFE0"; colorNames["limegreen"]="#32CD32";
+colorNames["linen"]="#FAF0E6"; colorNames["mediumaquamarine"]="#66CDAA";
+colorNames["mediumblue"]="#0000CD"; colorNames["mediumorchid"]="#BA55D3";
+colorNames["ediumpurple"]="#9370D"; colorNames["mediumseagreen"]="#3CB371";
+colorNames["mediumslateblue"]="#7B68EE"; colorNames["mediumspringgreen"]="#00FA9A";
+colorNames["mediumturquoise"]="#48D1CC"; colorNames["mediumvioletred"]="#C71585";
+colorNames["midnightblue"]="#191970"; colorNames["mintcream"]="#F5FFFA";
+colorNames["mistyrose"]="#FFE4E1"; colorNames["moccasin"]="#FFE4B5";
+colorNames["navajowhite"]="#FFDEAD"; colorNames["oldlace"]="#FDF5E6";
+colorNames["olivedrab"]="#6B8E23"; colorNames["orange"]="#FFA500";
+colorNames["orangered"]="#FF4500"; colorNames["orchid"]="#DA70D6";
+colorNames["palegoldenrod"]="#EEE8AA"; colorNames["palegreen"]="#98FB98";
+colorNames["paleturquoise"]="#AFEEEE"; colorNames["palevioletred"]="#DB7093";
+colorNames["papayawhip"]="#FFEFD5"; colorNames["peachpuff"]="#FFDAB9";
+colorNames["peru"]="#CD853F"; colorNames["pink"]="#FFC0CB";
+colorNames["plum"]="#DDA0DD"; colorNames["powderblue"]="#B0E0E6";
+colorNames["rosybrown"]="#BC8F8F"; colorNames["royalblue"]="#4169E1";
+colorNames["saddlebrown"]="#8B4513"; colorNames["salmon"]="#FA8072";
+colorNames["sandybrown"]="#F4A460"; colorNames["seagreen"]="#2E8B57";
+colorNames["seashell"]="#FFF5EE"; colorNames["sienna"]="#A0522D";
+colorNames["skyblue"]="#87CEEB"; colorNames["slateblue"]="#6A5ACD";
+colorNames["slategray"]="#708090"; colorNames["snow"]="#FFFAFA";
+colorNames["springgreen"]="#00FF7F"; colorNames["steelblue"]="#4682B4";
+colorNames["tan"]="#D2B48C"; colorNames["thistle"]="#D8BFD8";
+colorNames["tomato"]="#FF6347"; colorNames["turquoise"]="#40E0D0";
+colorNames["violet"]="#EE82EE"; colorNames["wheat"]="#F5DEB3";
+colorNames["whitesmoke"]="#F5F5F5"; colorNames["yellowgreen"]="#9ACD32";
+var canvas_bgcolor = "";
+var canvas_width = 200;
+var canvas_height = 200;
+var canvas_noshade = 0;
+var canvas_nofill = 0;
+var canvas_noshadow = 0;
+var canvas_htmltext = 0;
+var canvas_imgtext = 0;
+var canvas_notext = 0;
+// canvas chart extension
+
+var s5NotesWindow;
+var s5NotesWindowLoaded = false;
+var previousSlide = 0;
+var presentationStart = new Date();
+var slideStart = new Date();
+
+var countdown = {
+ timer: 0,
+ state: 'pause',
+ start: new Date(),
+ end: 0,
+ remaining: 0
+};
+
+var isIE = navigator.appName == 'Microsoft Internet Explorer' && navigator.userAgent.indexOf('Opera') < 1 ? 1 : 0;
+if(isIE) var notIE7 = parseInt(navigator.appVersion) < 7 ? 1 : 0;
+var isOp = navigator.userAgent.indexOf('Opera') > -1 ? 1 : 0;
+var isGe = navigator.userAgent.indexOf('Gecko') > -1 && navigator.userAgent.indexOf('Safari') < 1 ? 1 : 0;
+var isS2 = navigator.userAgent.indexOf('Safari') >= 2 ? 1 : 0;
+
+function hasClass(object, className) {
+ if (!object.className) return false;
+ return (object.className.search('(^|\\s)' + className + '(\\s|$)') != -1);
+}
+
+function hasValue(object, value) {
+ if (!object) return false;
+ return (object.search('(^|\\s)' + value + '(\\s|$)') != -1);
+}
+
+function removeClass(object,className) {
+ if (!object || !hasClass(object,className)) return;
+ object.className = object.className.replace(new RegExp('(^|\\s)'+className+'(\\s|$)'), RegExp.$1+RegExp.$2);
+}
+
+function addClass(object,className) {
+ if (!object || hasClass(object, className)) return;
+ if (object.className) {
+ object.className += ' '+className;
+ } else {
+ object.className = className;
+ }
+}
+
+function changeClass(object,className) {
+ if (!object) return;
+ object.firstChild.className = className;
+}
+
+function GetElementsWithClassName(elementName,className) {
+ var allElements = document.getElementsByTagName(elementName);
+ var elemColl = new Array();
+ for (var i = 0; i< allElements.length; i++) {
+ if (hasClass(allElements[i], className)) {
+ elemColl[elemColl.length] = allElements[i];
+ }
+ }
+ return elemColl;
+}
+
+function isParentOrSelf(element, id) {
+ if (element == null || element.nodeName=='BODY') return false;
+ else if (element.id == id) return true;
+ else return isParentOrSelf(element.parentNode, id);
+}
+
+function nodeValue(node) {
+ var result = "";
+ if (node.nodeType == 1) {
+ var children = node.childNodes;
+ for (var i = 0; i < children.length; ++i) {
+ result += nodeValue(children[i]);
+ }
+ }
+ else if (node.nodeType == 3) {
+ result = node.nodeValue;
+ }
+ return(result);
+}
+
+function slideLabel() {
+ var slideColl = GetElementsWithClassName('*','slide');
+ var list = document.getElementById('jumplist');
+ smax = slideColl.length;
+ for (var n = 0; n < smax; n++) {
+ var obj = slideColl[n];
+ var did = 'slide' + n.toString();
+ obj.setAttribute('id',did);
+ var otext = '';
+ var menu = obj.firstChild;
+ if (!menu) continue; // to cope with empty slides
+ while (menu && menu.nodeType == 3) {
+ menu = menu.nextSibling;
+ }
+ if (!menu) continue; // to cope with slides with only text nodes
+ var menunodes = menu.childNodes;
+ for (var o = 0; o < menunodes.length; o++) {
+ otext += nodeValue(menunodes[o]);
+ }
+ list.options[list.length] = new Option(n + ' : ' + otext, n);
+ }
+}
+
+function currentSlide() {
+ var cs, at, fd, ss;
+ if (document.getElementById) {
+ cs = document.getElementById('currentSlide');
+ } else {
+ cs = document.currentSlide;
+ }
+ fd = fadeModus?"F":"&ndash;";
+ ss = audioSupport?"S":"&ndash;";
+ at = (autoMatic?(playPause?"||":(playLoop?"&gt;0":"&gt;|")):"&ndash;&ndash;");
+ cs.innerHTML = '<div id="plink" nowrap="nowrap">' +
+ '<span id="csFade">[' + fd + ss + ']<\/span>&nbsp;' +
+ '<span id="csHere"><strong>' + snum + '<\/strong><\/span>' +
+ '<span id="csSep">\/<\/span>' +
+ '<span id="csTotal">' + (smax-1) + '<\/span>&nbsp;' +
+ '<span id="csAuto">[' + at + ']<\/span>' +
+ '<\/div>';
+
+ if (snum == 0) {
+ cs.style.visibility = 'hidden';
+ } else {
+ cs.style.visibility = 'visible';
+ }
+}
+
+function go(step) {
+ if (document.getElementById('slideProj').disabled || step == 0) return;
+ jl = document.getElementById('jumplist');
+ cid = 'slide' + snum;
+ var ce = document.getElementById(cid);
+ if (incrementals[snum].length > 0) {
+ for (var i = 0; i < incrementals[snum].length; i++) {
+ removeClass(incrementals[snum][i], 'current');
+ removeClass(incrementals[snum][i], 'incremental');
+ }
+ }
+ if (step != 'j') {
+ snum += step;
+ lmax = smax - 1;
+ if (snum > lmax) snum = lmax;
+ if (snum < 0) snum = 0;
+ }else {
+ snum = parseInt(jl.value);
+ }
+ nid = 'slide' + snum;
+ var ne = document.getElementById(nid);
+ if (!ne) {
+ ne = document.getElementById('slide0');
+ nid = 'slide0';
+ snum = 0;
+ }
+ if (step < 0) {
+ incpos = incrementals[snum].length
+ }else {
+ incpos = 0;
+ }
+ if (incrementals[snum].length > 0 && incpos == 0) {
+ for (var i = 0; i < incrementals[snum].length; i++) {
+ if (hasClass(incrementals[snum][i], 'current')) {
+ incpos = i + 1;
+ }else {
+ addClass(incrementals[snum][i], 'incremental');
+ }
+ }
+ }
+ if (incrementals[snum].length > 0 && incpos > 0) {
+ addClass(incrementals[snum][incpos - 1], 'current');
+ }
+ var guru = document.getElementById('guru');
+ if(guru && snum==0) {
+ guru.style.visibility = 'visible';
+ }else if(guru && snum>0) {
+ guru.style.visibility = 'hidden';
+ }
+ if(tranSitions && s5mode && fadeModus) {
+ if(curSoundID != getSoundID(nid)) {
+ if(curSoundID == bgSoundItem && !sound[getSoundID(nid)]) {
+ }else {fadeoutSound(curSoundID,true); } // audio support
+ }
+ changeOpac(0,nid);
+ changeOpac(100,cid);
+ ce.style.visibility = 'visible';
+ shiftOpacity(cid,fadeDuration);
+ window.setTimeout("changeSlides()",fadeDuration);
+ }else {
+ if(curSoundID != getSoundID(nid)) {
+ if(curSoundID == bgSoundItem && !sound[getSoundID(nid)]) {
+ }else {stopSound(curSoundID); } // audio support
+ }
+ ce.style.visibility = 'hidden';
+ if (isOp) location.hash = nid;
+ ne.style.visibility = 'visible';
+ finishSlides();
+ }
+}
+
+function changeSlides() {
+ if(nid != cid) changeOpac(100,cid);
+ document.getElementById(cid).style.visibility = 'hidden';
+ document.getElementById(nid).style.visibility = 'visible';
+ if (isOp) location.hash = nid;
+ shiftOpacity(nid,fadeDuration);
+ window.setTimeout("finishSlides()",fadeDuration);
+}
+
+function finishSlides() {
+ jl.selectedIndex = snum;
+ currentSlide();
+ loadNote();
+ permaLink();
+ number = undef;
+ if(sound[getSoundID(nid)]) {
+ playSound(nid); // audio support
+ }else if(sound[bgSoundItem] && curSoundID != bgSoundItem) {
+ playSound(bgSoundItem); // audio support
+ }
+}
+
+function goTo(target) {
+ if (target >= smax || target == snum) return;
+ go(target - snum);
+}
+
+function subgo(step) {
+ if (step > 0) {
+ removeClass(incrementals[snum][incpos - 1],'current');
+ removeClass(incrementals[snum][incpos], 'incremental');
+ if(tranSitions && s5mode && fadeModus) {
+ if(!incrementals[snum][incpos].id) {
+ var tmp = new Date(); tid = "inc" + String(tmp.getTime());
+ incrementals[snum][incpos].id = tid;
+ }else {
+ tid = incrementals[snum][incpos].id;
+ }
+ if(typeof(incrementals[snum][incpos].src) != "undefined" || incrementals[snum][incpos].getContext) {
+ changeOpac(0,tid);
+ addClass(incrementals[snum][incpos],'current');
+ shiftOpacity(tid,incrDuration);
+ setTimeout("nextInc()",incrDuration);
+ }else {
+ addClass(incrementals[snum][incpos],'current');
+ nextInc();
+ }
+ }else {
+ addClass(incrementals[snum][incpos],'current');
+ nextInc();
+ }
+ } else {
+ incpos--;
+ removeClass(incrementals[snum][incpos],'current');
+ addClass(incrementals[snum][incpos], 'incremental');
+ addClass(incrementals[snum][incpos - 1],'current');
+ loadNote();
+ }
+}
+
+function nextInc() {
+ incpos++;
+ loadNote();
+}
+
+function toggle() {
+ var slideColl = GetElementsWithClassName('*','slide');
+ var slides = document.getElementById('slideProj');
+ var outline = document.getElementById('outlineStyle');
+ var guru = document.getElementById('guru');
+ if (!slides.disabled) {
+ stopPlay();
+ if(audioSupport && !swfUnloaded) stopAllSounds();
+ slides.disabled = true;
+ outline.disabled = false;
+ s5mode = false;
+ fontSize(1,'em');
+ for (var n = 0; n < smax; n++) {
+ var slide = slideColl[n];
+ slide.style.visibility = 'visible';
+ }
+ if(guru) guru.style.visibility = 'hidden';
+ } else {
+ slides.disabled = false;
+ outline.disabled = true;
+ s5mode = true;
+ fontScale();
+ for (var n = 0; n < smax; n++) {
+ var slide = slideColl[n];
+ slide.style.visibility = 'hidden';
+ }
+ slideColl[snum].style.visibility = 'visible';
+ if(guru && snum==0) guru.style.visibility = 'visible';
+ }
+}
+
+function showHide(action) {
+ var obj = GetElementsWithClassName('*','hideme')[0];
+ switch (action) {
+ case 's':
+ obj.style.visibility = 'visible';
+ break;
+ case 'h':
+ obj.style.visibility = 'hidden';
+ break;
+ case 'k':
+ if (obj.style.visibility != 'visible') {
+ obj.style.visibility = 'visible';
+ } else {
+ obj.style.visibility = 'hidden';
+ }
+ break;
+ }
+}
+
+function keys(key) {
+ if (!key) {
+ key = event;
+ key.which = key.keyCode;
+ }
+ if (helpmode) {
+ dumpHelpReq();
+ return;
+ }
+ if (key.which == 84 && !isOp) {
+ toggle();
+ return;
+ }
+ if (s5mode) {
+ if (autoMatic) {
+ switch (key.which) {
+ case 70: // f/ading on/off
+ switchFade();
+ break;
+ case 83: // s/ound on/off
+ toggleSounds();
+ break;
+ case 67: // c
+ showHide('k');
+ break;
+ case 65: // a/utoplay on/off
+ stopPlay();
+ break;
+ case 76: // l/ooping on/off
+ switchLoop();
+ break;
+ case 80: // p/ause
+ case 32: // spacebar
+ pausePlay();
+ break;
+ }
+ }else {
+ switch (key.which) {
+ case 8: // backspace = HELP
+ createHelpReq();
+ break;
+ case 10: // return
+ case 13: // enter
+ if (window.event && isParentOrSelf(window.event.srcElement, 'controls')) return;
+ if (key.target && isParentOrSelf(key.target, 'controls')) return;
+ if(number != undef) {
+ goTo(number);
+ break;
+ }
+ case 32: // spacebar
+ case 34: // page down
+ case 39: // rightkey
+ case 40: // downkey
+ if(number != undef) {
+ go(number);
+ } else if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ } else {
+ subgo(1);
+ }
+ break;
+ case 33: // page up
+ case 37: // leftkey
+ case 38: // upkey
+ if(number != undef) {
+ go(-1 * number);
+ } else if (!incrementals[snum] || incpos <= 0) {
+ go(-1);
+ } else {
+ subgo(-1);
+ }
+ break;
+ case 65: // a/utoplay
+ startPlay();
+ break;
+ case 72: // h
+ case 36: // home
+ goTo(0);
+ break;
+ case 69: // e
+ case 35: // end
+ goTo(smax-1);
+ break;
+ case 70: // f/ade transitions on/off
+ switchFade();
+ break;
+ case 76: // l/ooping on/off
+ switchLoop();
+ break;
+ case 83: // s/ound support on/off
+ toggleSounds();
+ break;
+ case 27: // escape
+ case 81: // q
+ if(!isOp) byby();
+ break;
+ case 67: // c
+ showHide('k');
+ break;
+ case 78: // n
+ createNotesWindow();
+ break;
+ }
+ if (key.which < 48 || key.which > 57) {
+ number = undef;
+ } else {
+ if (window.event && isParentOrSelf(window.event.srcElement, 'controls')) return;
+ if (key.target && isParentOrSelf(key.target, 'controls')) return;
+ number = (((number != undef) ? number : 0) * 10) + (key.which - 48);
+ }
+ }
+ }
+ return false;
+}
+
+function clicker(e) {
+ number = undef;
+ var target;
+ if (window.event) {
+ target = window.event.srcElement;
+ e = window.event;
+ } else {
+ target = e.target;
+ }
+ if (target.href != null || hasValue(target.rel, 'external') || isParentOrSelf(target, 'controls') || isParentOrSelf(target,'embed') || isParentOrSelf(target,'object')) return true;
+ if (!helpmode) {
+ if (!e.which || e.which == 1) {
+ if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ } else {
+ subgo(1);
+ }
+ }
+ } else {
+ dumpHelpReq();
+ }
+}
+
+function findSlide(hash) {
+ var target = null;
+ var slides = GetElementsWithClassName('*','slide');
+ for (var i = 0; i < slides.length; i++) {
+ var targetSlide = slides[i];
+ if ( (targetSlide.name && targetSlide.name == hash)
+ || (targetSlide.id && targetSlide.id == hash) ) {
+ target = targetSlide;
+ break;
+ }
+ }
+ while(target != null && target.nodeName != 'BODY') {
+ if (hasClass(target, 'slide')) {
+ return parseInt(target.id.slice(5));
+ }
+ target = target.parentNode;
+ }
+ return null;
+}
+
+function slideJump() {
+ if (window.location.hash == null) return;
+ var sregex = /^#slide(\d+)$/;
+ var matches = sregex.exec(window.location.hash);
+ var dest = null;
+ if (matches != null) {
+ dest = parseInt(matches[1]);
+ } else {
+ dest = findSlide(window.location.hash.slice(1));
+ }
+ if (dest != null)
+ go(dest - snum);
+}
+
+function fixLinks() {
+ var thisUri = window.location.href;
+ thisUri = thisUri.slice(0, thisUri.length - window.location.hash.length);
+ var aelements = document.getElementsByTagName('A');
+ for (var i = 0; i < aelements.length; i++) {
+ var a = aelements[i].href;
+ var slideID = a.match('\#slide[0-9]{1,2}');
+ if ((slideID) && (slideID[0].slice(0,1) == '#')) {
+ var dest = findSlide(slideID[0].slice(1));
+ if (dest != null) {
+ if (aelements[i].addEventListener) {
+ aelements[i].addEventListener("click", new Function("e",
+ "if (document.getElementById('slideProj').disabled) return;" +
+ "go("+dest+" - snum); " +
+ "if (e.preventDefault) e.preventDefault();"), true);
+ } else if (aelements[i].attachEvent) {
+ aelements[i].attachEvent("onclick", new Function("",
+ "if (document.getElementById('slideProj').disabled) return;" +
+ "go("+dest+" - snum); " +
+ "event.returnValue = false;"));
+ }
+ }
+ }
+ }
+}
+
+function externalLinks() {
+ if (!document.getElementsByTagName) return;
+ var anchors = document.getElementsByTagName('a');
+ for (var i=0; i<anchors.length; i++) {
+ var anchor = anchors[i];
+ if (anchor.getAttribute('href') && hasValue(anchor.rel, 'external')) {
+ anchor.target = '_blank';
+ addClass(anchor,'external');
+ }
+ }
+}
+
+function permaLink() {
+ document.getElementById('plink').href = window.location.pathname + '#slide' + snum;
+}
+
+function createControls() {
+ var controlsDiv = document.getElementById("controls");
+ if (!controlsDiv) return;
+ var hider = ' onmouseover="showHide(\'s\');" onmouseout="showHide(\'h\');"';
+ var hideDiv, hideList = '';
+ if (controlVis == 'hidden') {
+ hideDiv = hider;
+ } else {
+ hideList = hider;
+ }
+ if(isOp) {
+ var str = '';
+ }else {
+ var str = '<a accesskey="t" id="sheet" title="toggle CSS" href="javascript:toggle();">&plusmn;<\/a>';
+ }
+ if(isIE) {
+ var tmp = "move around&xA0;until the color&xA0;change to red!";
+ }else if(isS2) {
+ var tmp = "move around\r\nuntil the color\r\nchange to red!";
+ }else {
+ var tmp = "move around until color change to red!";
+ }
+ if(isIE) {
+ controlsDiv.innerHTML = str +
+ '<form action="#" id="controlForm"' + hideDiv + '>' +
+ '<div id="navLinks" title="press [backspace] for keyboard help!"><ul>' +
+ '<li id="show-notes"><a href="javascript:createNotesWindow();" accesskey="n" title="show Notes"><\/a><\/li>' +
+ '<li id="toggle"><a href="javascript:toggle();" accesskey="t" title="toggle CSS"><\/a><\/li>' +
+ '<li id="zero"><a href="javascript:goTo(0);" accesskey="h" title="goto Start Slide"><\/a><\/li>' +
+ '<li id="prev"><a href="javascript:go(-1);" accesskey="y" title="previous Slide"><\/a><\/li>' +
+ '<li id="next"><a href="javascript:go(1);" accesskey="x" title="next Slide"><\/a><\/li>' +
+ '<li id="last"><a href="javascript:goTo(smax-1);" accesskey="e" title="goto Last Slide"><\/a><\/li>' +
+ '<li id="lst" style="vertical-align: middle; width: 160px;"><select style="cursor: auto; width: 160px; height: auto;" id="jumplist" title="select named Slide" onchange="go(\'j\');"><\/select><\/li>' +
+ '<li id="auto"><a class="isoff" href="javascript:togglePlay();" accesskey="a" title="Auto Play"><\/a><\/li>' +
+ '<li id="pause"><a class="isoff" href="javascript:pausePlay();" accesskey="p" title="Pause"><\/a><\/li>' +
+ '<li id="loop"><a class="isoff" href="javascript:switchLoop();" accesskey="l" title="Loop"><\/a><\/li>' +
+ '<li id="del" style="vertical-align: middle; width: 52px;"><select style="cursor: auto; width: 52px; height: auto;" id="delaylist" title="select Delay" onchange="setDelay();"><option value="90">90<\/option><option value="75">75<\/option><option value="60">60<\/option><option value="45">45<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="15">15<\/option><option value="10">10<\/option><option value="5">5<\/option><\/select><\/li>' +
+ '<\/ul><\/div><\/form>';
+ }else {
+ controlsDiv.innerHTML = str +
+ '<form action="#" id="controlForm"' + hideDiv + '>' +
+ '<div id="navLinks" title="press [backspace] or double click this area for keyboard help!" ondblclick="createHelpReq();"><ul>' +
+ '<li id="exit"><a href="javascript:byby();" accesskey="q" title="exit Show"><\/a><\/li>' +
+ '<li id="show-notes"><a href="javascript:createNotesWindow();" accesskey="n" title="show Notes"><\/a><\/li>' +
+ '<li id="toggle"><a href="javascript:toggle();" accesskey="t" title="toggle CSS"><\/a><\/li>' +
+ '<li class="vr"><\/li>' +
+ '<li id="zero"><a href="javascript:goTo(0);" accesskey="h" title="goto Start Slide"><\/a><\/li>' +
+ '<li id="prev"><a href="javascript:go(-1);" accesskey="y" title="previous Slide"><\/a><\/li>' +
+ '<li id="next"><a href="javascript:go(1);" accesskey="x" title="next Slide"><\/a><\/li>' +
+ '<li id="last"><a href="javascript:goTo(smax-1);" accesskey="e" title="goto Last Slide"><\/a><\/li>' +
+ '<li id="lst"><a id="list" style="cursor:wait;" title="' + tmp + '"><\/a><select id="jumplist" title="select named Slide" onchange="go(\'j\');"><\/select><\/li>' +
+ '<li id="fadeLinks" class="vr"><\/li>' +
+ '<li id="fade"><a class="isoff" href="javascript:switchFade();" accesskey="f" title="Transions"><\/a><\/li>' +
+ '<li id="audioLinks" class="vr"><\/li>' +
+ '<li id="audio"><a class="isoff" href="javascript:toggleSounds();" accesskey="s" title="Sounds" ><\/a><\/li>' +
+ '<li id="vol"><a id="volume" style="cursor:wait;" title="' + tmp + '"><\/a><select id="volumelist" title="select Volume" onchange="setVolume();"><option value="100">100<\/option><option value="90">90<\/option><option value="80">80<\/option><option value="70">70<\/option><option value="60">60<\/option><option value="50">50<\/option><option value="40">40<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="10">10<\/option><option value="0">0<\/option><\/select><\/li>' +
+ '<li id="autoLinks" class="vr"><\/li>' +
+ '<li id="auto"><a class="isoff" href="javascript:togglePlay();" accesskey="a" title="Auto Play"><\/a><\/li>' +
+ '<li id="pause"><a class="isoff" href="javascript:pausePlay();" accesskey="p" title="Pause"><\/a><\/li>' +
+ '<li id="loop"><a class="isoff" href="javascript:switchLoop();" accesskey="l" title="Loop"><\/a><\/li>' +
+ '<li id="del"><a id="delay" style="cursor:wait;" title="' + tmp + '"><\/a><select id="delaylist" title="select Delay" onchange="setDelay();"><option value="90">90<\/option><option value="75">75<\/option><option value="60">60<\/option><option value="45">45<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="15">15<\/option><option value="10">10<\/option><option value="5">5<\/option><\/select><\/li>' +
+ '<\/ul>' +
+ '<a href="http://s5.netzgesta.de" target="_blank" title="S5 Reloaded"><img style="position: absolute; right: 0; bottom: 0;" src="data:image/png;charset=utf-8;base64,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" width="20" height="32" alt="S5" \/><\/a>' +
+ '<\/div><\/form>';
+ }
+ if (controlVis == 'hidden') {
+ var hidden = document.getElementById('navLinks');
+ } else {
+ var hidden = document.getElementById('jumplist');
+ }
+ addClass(hidden,'hideme');
+}
+
+function fontScale() { // causes layout problems in FireFox that get fixed if browser's Reload is used; same may be true of other Gecko-based browsers
+ if (!s5mode && !isOp) return false;
+ var hScreen = screen.width; var vScreen = screen.height;
+ var vWindow = window.outerHeight; var hWindow = window.outerWidth;
+ if (isOp && s5mode && defaultView=='slideshow' && ((hScreen != hWindow) || (vScreen != vWindow))) {
+ toggle();
+ return false;
+ }
+ if (isOp && !s5mode && ((hScreen != hWindow) || (vScreen != vWindow))) return false;
+ if (isOp && !s5mode && (hScreen == hWindow) && (vScreen == vWindow)) toggle();
+ var vScale = 48; // both yield 16 (the usual browser default) at 1024x768
+ var hScale = 64; // perhaps should auto-calculate based on theme's declared value?
+ if (window.innerHeight) {
+ var vSize = window.innerHeight;
+ var hSize = window.innerWidth;
+ } else if (document.documentElement.clientHeight) {
+ var vSize = document.documentElement.clientHeight;
+ var hSize = document.documentElement.clientWidth;
+ } else if (document.body.clientHeight) {
+ var vSize = document.body.clientHeight;
+ var hSize = document.body.clientWidth;
+ } else {
+ var vSize = 700; // assuming 1024x768, minus chrome and such equals 8:5
+ var hSize = 1024; // these do not account for kiosk mode or Opera Show
+ }
+ var newSize = Math.min(Math.round(vSize/vScale),Math.round(hSize/hScale));
+ extendImgSizes(newSize); // scalable images extension
+ extendCanSizes(newSize); // scalable canvas extension
+ extendObjSizes(newSize); // scalable object extension
+ fontSize(newSize,"px");
+ if(!isS2 || firstTime==0) {
+ generateCanvas(); // dynamic canvas extension
+ }
+ if (isGe) { // hack to counter incremental reflow bugs
+ var obj = document.getElementsByTagName('body')[0];
+ obj.style.visibility = 'hidden';
+ obj.style.display = 'none';
+ obj.style.display = 'block';
+ obj.style.visibility = 'visible';
+ changeOpac(100,'slide' + snum);
+ shiftOpacity('slide' + snum,10);
+ window.setTimeout("fixReflow()",10);
+ }else {
+ setListPos(); // invisible select extension
+ }
+}
+
+function fixReflow() {
+ shiftOpacity('slide' + snum,10);
+ window.setTimeout("finishReflow()",10);
+}
+function finishReflow() {
+ setListPos(); // invisible select extension
+}
+
+function fontSize(val,fmt) {
+ var value = val + fmt;
+ if (!(s5ss = document.getElementById('s5ss'))) {
+ if (!document.createStyleSheet) {
+ document.getElementsByTagName('head')[0].appendChild(s5ss = document.createElement('style'));
+ s5ss.setAttribute('media','screen, projection');
+ s5ss.setAttribute('id','s5ss');
+ } else {
+ document.createStyleSheet();
+ document.s5ss = document.styleSheets[document.styleSheets.length - 1];
+ }
+ }
+ if (!(document.s5ss && document.s5ss.addRule)) {
+ while (s5ss.lastChild) s5ss.removeChild(s5ss.lastChild);
+ s5ss.appendChild(document.createTextNode('html {font-size: ' + value + ' !important;}'));
+ } else {
+ document.s5ss.addRule('html','font-size: ' + value + ' !important;');
+ }
+}
+
+function windowChange() {
+ fontScale();
+}
+
+function notOperaFix() {
+ slideCSS = document.getElementById('slideProj').href;
+ var slides = document.getElementById('slideProj');
+ var outline = document.getElementById('outlineStyle');
+ slides.setAttribute('media','screen');
+ outline.disabled = true;
+ if (isGe) {
+ slides.setAttribute('href','null'); // Gecko fix
+ slides.setAttribute('href',slideCSS); // Gecko fix
+ }
+ if ((isIE && notIE7) && document.styleSheets && document.styleSheets[0]) {
+ document.styleSheets[0].addRule('img', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ document.styleSheets[0].addRule('div', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ document.styleSheets[0].addRule('.slide', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ }
+}
+
+function getIncrementals(obj) {
+ var incrementals = new Array();
+ if (!obj)
+ return incrementals;
+ var children = obj.childNodes;
+ for (var i = 0; i < children.length; i++) {
+ var child = children[i];
+ if (hasClass(child, 'incremental')) {
+ if (child.nodeName == 'OL' || child.nodeName == 'UL') {
+ removeClass(child, 'incremental');
+ for (var j = 0; j < child.childNodes.length; j++) {
+ if (child.childNodes[j].nodeType == 1) {
+ addClass(child.childNodes[j], 'incremental');
+ }
+ }
+ } else {
+ incrementals[incrementals.length] = child;
+ removeClass(child,'incremental');
+ }
+ }
+ if (hasClass(child, 'show-first')) {
+ if (child.nodeName == 'OL' || child.nodeName == 'UL') {
+ removeClass(child, 'show-first');
+ if (child.childNodes[isGe].nodeType == 1) {
+ removeClass(child.childNodes[isGe], 'incremental');
+ }
+ } else {
+ incrementals[incrementals.length] = child;
+ }
+ }
+ incrementals = incrementals.concat(getIncrementals(child));
+ }
+ return incrementals;
+}
+
+function createIncrementals() {
+ var incrementals = new Array();
+ for (var i = 0; i < smax; i++) {
+ incrementals[i] = getIncrementals(document.getElementById('slide'+i));
+ }
+ return incrementals;
+}
+
+function trap(e) {
+ if (!e) {
+ e = event;
+ e.which = e.keyCode;
+ }
+ try {
+ modifierKey = e.ctrlKey || e.altKey || e.metaKey;
+ }
+ catch(e) {
+ modifierKey = false;
+ }
+ return modifierKey || e.which == 0;
+}
+
+// notes extension
+function noteLabel() { // Gives notes id's to match parent slides
+ var notes = GetElementsWithClassName('div','notes');
+ for (var i = 0; i < notes.length; i++) {
+ var note = notes[i];
+ var id = 'note' + note.parentNode.id.substring(5);
+ note.setAttribute('id',id);
+ }
+ resetElapsedSlide();
+ resetRemainingTime();
+ window.setInterval('updateElaspedTime()', 1000);
+}
+
+function createNotesWindow() { // creates a window for our notes
+ if (!s5NotesWindow || s5NotesWindow.closed) { // Create the window if it doesn't exist
+ s5NotesWindowLoaded = false;
+ // Note: Safari has a tendency to ignore window options preferring to default to the settings of the parent window, grr.
+ s5NotesWindow = window.open(s5Path+'ui/s5-notes.html', 's5NotesWindow', 'top=0,left=0');
+ }
+ if (s5NotesWindowLoaded) { // Load the current note if the Note HTML has loaded
+ loadNote();
+ } else { // Keep trying...
+ window.setTimeout('createNotesWindow()', 50);
+ }
+}
+
+function loadNote() {
+// Loads a note into the note window
+ var notes = nextNotes = '<em class="disclaimer">There are no notes for this slide.</em>';
+ if (document.getElementById('note' + snum)) {
+ notes = document.getElementById('note' + snum).innerHTML;
+ }
+ if (document.getElementById('note' + (snum + 1))) {
+ nextNotes = document.getElementById('note' + (snum + 1)).innerHTML;
+ }
+
+ var jl = document.getElementById('jumplist');
+ var slideTitle = jl.options[jl.selectedIndex].text.replace(/^\d+\s+:\s+/, '') + ((jl.selectedIndex) ? ' (' + jl.selectedIndex + '/' + (smax - 1) + ')' : '');
+ if (incrementals[snum].length > 0) {
+ slideTitle += ' <small>[' + incpos + '/' + incrementals[snum].length + ']</small>';
+ }
+ if (jl.selectedIndex < smax - 1) {
+ var nextTitle = jl.options[jl.selectedIndex + 1].text.replace(/^\d+\s+:\s+/, '') + ((jl.selectedIndex + 1) ? ' (' + (jl.selectedIndex + 1) + '/' + (smax - 1) + ')' : '');
+ } else {
+ var nextTitle = '[end of slide show]';
+ }
+
+ if (s5NotesWindow && !s5NotesWindow.closed && s5NotesWindow.document) {
+ s5NotesWindow.document.getElementById('slide').innerHTML = slideTitle;
+ s5NotesWindow.document.getElementById('notes').innerHTML = notes;
+ s5NotesWindow.document.getElementById('next').innerHTML = nextTitle;
+ s5NotesWindow.document.getElementById('nextnotes').innerHTML = nextNotes;
+ }
+ resetElapsedSlide();
+}
+
+function minimizeTimer(id) {
+ var obj = s5NotesWindow.document.getElementById(id);
+ if (hasClass(obj,'collapsed')) {
+ removeClass(obj,'collapsed');
+ } else {
+ addClass(obj,'collapsed');
+ }
+}
+
+function resetElapsedTime() {
+ presentationStart = new Date();
+ slideStart = new Date();
+ updateElaspedTime();
+}
+
+function resetElapsedSlide() {
+ if (snum != previousSlide) {
+ slideStart = new Date();
+ previousSlide = snum;
+ updateElaspedTime();
+ }
+}
+
+function updateElaspedTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var now = new Date();
+ var ep = s5NotesWindow.document.getElementById('elapsed-presentation');
+ var es = s5NotesWindow.document.getElementById('elapsed-slide');
+ ep.innerHTML = formatTime(now.valueOf() - presentationStart.valueOf());
+ es.innerHTML = formatTime(now.valueOf() - slideStart.valueOf());
+}
+
+function resetRemainingTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var startField = s5NotesWindow.document.getElementById('startFrom');
+ startFrom = readTime(startField.value);
+ countdown.remaining = startFrom * 60000; // convert to msecs
+ countdown.start = new Date().valueOf();
+ countdown.end = countdown.start + countdown.remaining;
+ var tl = s5NotesWindow.document.getElementById('timeLeft');
+ var timeLeft = formatTime(countdown.remaining);
+ tl.innerHTML = timeLeft;
+}
+
+function updateRemainingTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var tl = s5NotesWindow.document.getElementById('timeLeft');
+ var now = new Date();
+ if (countdown.state == 'run') {
+ countdown.remaining = countdown.end - now;
+ }
+ tl.style.color = '';
+ tl.style.backgroundColor = '';
+ if (countdown.remaining >= 0) {
+ var timeLeft = formatTime(countdown.remaining);
+ removeClass(tl,'overtime');
+ if (countdown.remaining < 300000) {
+ tl.style.color = 'rgb(' + (255-Math.round(countdown.remaining/2000)) + ',0,0)';
+ tl.style.backgroundColor = 'rgb(255,255,' + (Math.round(countdown.remaining/2000)) + ')';
+ }
+ } else {
+ var timeLeft = '-' + formatTime(-countdown.remaining);
+ addClass(tl,'overtime');
+ }
+ tl.innerHTML = timeLeft;
+}
+
+function toggleRemainingTime() {
+ if (countdown.state == 'pause') countdown.state = 'run'; else countdown.state = 'pause';
+ if (countdown.state == 'pause') {
+ window.clearInterval(countdown.timer);
+ }
+ if (countdown.state == 'run') {
+ countdown.start = new Date().valueOf();
+ countdown.end = countdown.start + countdown.remaining;
+ countdown.timer = window.setInterval('updateRemainingTime()', 1000);
+ }
+}
+
+function alterRemainingTime(amt) {
+ var change = amt * 60000; // convert to msecs
+ countdown.end += change;
+ countdown.remaining += change;
+ updateRemainingTime();
+}
+
+function formatTime(msecs) {
+ var time = new Date(msecs);
+
+ var hrs = time.getUTCHours() + ((time.getUTCDate() -1) * 24); // I doubt anyone will spend more than 24 hours on a presentation or single slide but just in case...
+ hrs = (hrs < 10) ? '0'+hrs : hrs;
+ if (hrs == 'NaN' || isNaN(hrs)) hrs = '--';
+
+ var min = time.getUTCMinutes();
+ min = (min < 10) ? '0'+min : min;
+ if (min == 'NaN' || isNaN(min)) min = '--';
+
+ var sec = time.getUTCSeconds();
+ sec = (sec < 10) ? '0'+sec : sec;
+ if (sec == 'NaN' || isNaN(sec)) sec = '--';
+
+ return hrs + ':' + min + ':' + sec;
+}
+
+function readTime(val) {
+ var sregex = /:/;
+ var matches = sregex.exec(val);
+ if (matches == null) {
+ return val;
+ } else {
+ var times = val.split(':');
+ var hours = parseInt(times[0]);
+ var mins = parseInt(times[1]);
+ var total = (hours * 60) + mins;
+ return total;
+ }
+}
+// notes extension
+
+// startup process
+function createSlideShow() {
+ defaultCheck();
+ if(!isIE) createDetector(); // (degrade IE) scalable images extension
+ if(opac!=0 || isIE) { // &&!isIE (degrade IE)
+ tranSitions = false;
+ fadeModus = false;
+ }
+ if(tranSitions && document.getElementById && document.createElement){
+ createProgress();
+ var nop=document.getElementById('StartupControl');
+ nop.onload = dumpProgress;
+ }else {
+ startup();
+ showAll();
+ setListPos(true); // invisible select extension
+ panelSetup();
+ audioSetup(); // audio extension
+ if(isS2 && firstTime>=1) {
+ generateCanvas(); // dynamic canvas extension
+ } firstTime = 0;
+ }
+}
+
+function defaultCheck() {
+ var allMetas = document.getElementsByTagName('meta');
+ for (var i = 0; i< allMetas.length; i++) {
+ if (allMetas[i].name == 'defaultView') {
+ defaultView = allMetas[i].content;
+ }
+ if (allMetas[i].name == 'controlVis') {
+ controlVis = allMetas[i].content;
+ }
+ if (allMetas[i].name == 'tranSitions') {
+ tranSitions = (allMetas[i].content == "true") ? true : false;
+ fadeModus = (tranSitions == true) ? true : false;
+ }
+ if (allMetas[i].name == 'fadeDuration') {
+ var tmp = parseInt(allMetas[i].content);
+ fadeDuration = Math.max(200,Math.min(tmp,2000));
+ }
+ if (allMetas[i].name == 'incrDuration') {
+ var tmp = parseInt(allMetas[i].content);
+ incrDuration = Math.max(50,Math.min(tmp,500));
+ }
+ if (allMetas[i].name == 'autoMatic') {
+ autoMatic = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'playLoop') {
+ playLoop = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'playDelay') {
+ var tmp = parseInt(allMetas[i].content);
+ playDelay = Math.max(5,Math.min(tmp,90))*1000;
+ playDelay = (fadeModus == true) ? (playDelay+(2*fadeDuration)) : playDelay;
+ }
+ if (allMetas[i].name == 'audioSupport') {
+ audioSupport = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'audioVolume') {
+ var tmp = parseInt(allMetas[i].content);
+ audioVolume = Math.max(0,Math.min(tmp,100));
+ }
+ if (allMetas[i].name == 'audioError') {
+ audioError = (allMetas[i].content == "true") ? true : false;
+ }
+ }
+}
+
+function createProgress() {
+ var obj = document.getElementsByTagName("body")[0].firstChild;
+ var pg = document.createElement('div');
+ pg.id = "StartupProgress";
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=100)";
+ }else {
+ pg.style.opacity = 1.0;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(255, 255, 255)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/progress.gif)";
+ document.getElementsByTagName("body")[0].insertBefore(pg,obj);
+
+ var im = document.createElement('img');
+ im.id = "StartupControl";
+ im.src = s5Path+"ui/graphic_support/blank.gif?" + new Date().valueOf();
+ document.getElementsByTagName("body")[0].appendChild(im);
+}
+
+function startup() {
+ createControls(); // hallvord
+ slideLabel();
+ incrementals = createIncrementals();
+ noteLabel(); // [SI:060104] must follow slideLabel()
+ loadNote();
+ fixLinks();
+ externalLinks();
+ fontScale();
+ if (!isOp) {
+ if(isIE) {
+ document.getElementById('lst').style.backgroundImage = 'none';
+ document.getElementById('del').style.backgroundImage = 'none';
+ }else {
+ document.getElementById('audio').style.backgroundImage = 'none';
+ }
+ notOperaFix();
+ }else if(isOp) {
+ var obj = document.getElementById('exit');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('exit').style.opacity = 0.5;
+ obj = document.getElementById('toggle');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('toggle').style.opacity = 0.5;
+ document.getElementById('jumplist').style.visibility = 'hidden';
+ document.getElementById('list').style.visibility = 'hidden';
+ document.getElementById('lst').style.opacity = 0.5;
+ obj = document.getElementById('audio');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('audio').style.opacity = 0.5;
+ document.getElementById('volumelist').style.visibility = 'hidden';
+ document.getElementById('volume').style.visibility = 'hidden';
+ document.getElementById('vol').style.opacity = 0.5;
+ document.getElementById('delaylist').style.visibility = 'hidden';
+ document.getElementById('delay').style.visibility = 'hidden';
+ document.getElementById('del').style.opacity = 0.5;
+ }
+ slideJump();
+ if (defaultView == 'outline') toggle();
+ document.onkeyup = keys;
+ document.onkeypress = trap;
+ document.onclick = clicker;
+}
+
+function preloadImgages() {
+ var temp = '';
+ var objects = document.getElementsByTagName('img');
+ for (var i=0; i < objects.length; i++) {
+ if(objects[i].src != '') {
+ temp = new Image();
+ temp.src = objects[i].src;
+ }
+ }
+}
+
+function showAll() {
+ var obj1 = GetElementsWithClassName('div','presentation')[0];
+ if(!obj1) var obj1 = GetElementsWithClassName('ol','presentation')[0];
+ var obj2 = GetElementsWithClassName('div','layout')[0];
+ if(!obj1){}else {obj1.style.display = 'block'};
+ if(!obj2){}else {obj2.style.display = 'block'};
+}
+
+function dumpProgress() {
+ document.body.removeChild(document.getElementById('StartupControl'));
+ startup();
+ preloadImgages();
+ showAll();
+ createSoundManagerScript();
+ shiftOpacity('StartupProgress',1000);
+ window.setTimeout("removeProgress()",1000);
+}
+
+function removeProgress() {
+ document.body.removeChild(document.getElementById('StartupProgress'));
+ setListPos(true); // invisible select extension
+ panelSetup();
+ audioSetup(); // audio extension
+ if(isS2 && firstTime>=1) {
+ generateCanvas(); // dynamic canvas extension
+ } firstTime = 0;
+}
+function panelSetup() {
+ if(playPause) {
+ var obj = document.getElementById('pause'); changeClass(obj,'ison');
+ }
+ if(playLoop) {
+ var obj = document.getElementById('loop'); changeClass(obj,'ison');
+ }
+ if(audioSupport && !isIE && !isOp) {
+ var obj = document.getElementById('audio'); changeClass(obj,'ison');
+ }
+ if(fadeModus && !isIE) {
+ var obj = document.getElementById('fade'); changeClass(obj,'ison');
+ }
+ if(autoMatic) {
+ var obj = document.getElementById('auto'); changeClass(obj,'ison');
+ startPlay();
+ }
+ if(audioVolume && !isIE && !isOp) {
+ var idx = 0;
+ if(audioVolume >= 95 && audioVolume <= 100) {idx = 0;}
+ else if(audioVolume >= 85 && audioVolume < 95) {idx = 1;}
+ else if(audioVolume >= 75 && audioVolume < 85) {idx = 2;}
+ else if(audioVolume >= 65 && audioVolume < 75) {idx = 3;}
+ else if(audioVolume >= 55 && audioVolume < 65) {idx = 4;}
+ else if(audioVolume >= 45 && audioVolume < 55) {idx = 5;}
+ else if(audioVolume >= 35 && audioVolume < 45) {idx = 6;}
+ else if(audioVolume >= 25 && audioVolume < 35) {idx = 7;}
+ else if(audioVolume >= 15 && audioVolume < 25) {idx = 8;}
+ else if(audioVolume >= 5 && audioVolume < 15) {idx = 9;}
+ else {idx = 10;}
+ document.getElementById('volumelist').selectedIndex = idx;
+ }
+ if(playDelay && !isOp) {
+ var idx = 0; var vol = parseInt(playDelay/1000);
+ if(vol >= 83 && vol <= 90) {idx = 0;}
+ else if(vol >= 68 && vol < 83) {idx = 1;}
+ else if(vol >= 53 && vol < 68) {idx = 2;}
+ else if(vol >= 38 && vol < 53) {idx = 3;}
+ else if(vol >= 25 && vol < 38) {idx = 4;}
+ else if(vol >= 18 && vol < 25) {idx = 5;}
+ else if(vol >= 13 && vol < 18) {idx = 6;}
+ else if(vol >= 8 && vol < 13) {idx = 7;}
+ else {idx = 8;}
+ document.getElementById('delaylist').selectedIndex = idx;
+ }
+}
+// startup process
+
+// shutdown process
+function byby() {
+ stopPlay();
+ if(tranSitions && fadeModus && s5mode && !isOp) {
+ fadeoutSound(curSoundID,true); // audio support
+ var pg = document.createElement('div');
+ pg.id = "GoodBy";
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=0)";
+ }else {
+ pg.style.opacity = 0.0;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(255, 255, 255)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/finish.gif)";
+ document.getElementsByTagName("body")[0].appendChild(pg);
+ shiftOpacity('GoodBy',1000);
+ window.setTimeout("history.back()",1000);
+ }else {
+ stopSound(curSoundID);
+ history.back();
+ }
+}
+// shutdown process
+
+// scalable images extension
+function createDetector() {
+ var em = document.createElement('div');
+ em.id='EMSizeControl'; em.style.position="absolute"; em.style.left="-999px";
+ em.style.width="1em"; em.style.height="1em"; em.style.opacity=0.0;
+ document.getElementsByTagName("body")[0].appendChild(em);
+ var nop=document.getElementById('EMSizeControl');
+ if(!nop||findPosX(nop)!=-999) {}else {
+ opac=document.getElementById('EMSizeControl').style.opacity;
+ empx=document.getElementById('EMSizeControl').offsetHeight;
+ document.body.removeChild(document.getElementById('EMSizeControl'));
+ var objects = document.getElementsByTagName('img');
+ var j = 0; var i = 0; var k = 0; var d; var obj;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ images[j] = objects[i];
+ ++j;
+ }
+ }
+ var objects = document.getElementsByTagName('canvas'); j = 0;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ canvas[objects[i].id] = objects[i];
+ if(j==0) {
+ if(objects[i].getContext) {
+ canvasSupport = true;
+ }
+ ++j;
+ }
+ }
+ }
+ if(canvasSupport!=true) {
+ for (d in canvas) {
+ try {
+ canvas[d].setAttribute("width",1);
+ canvas[d].setAttribute("height",1);
+ } catch (e) {
+ }
+ }
+ }
+ var objects = document.getElementsByTagName('table');
+ j = 0; k = 0; l = 0; var w; var h; var tmp; var cnt;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^piechart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ piecharts[j] = objects[i];
+ em = piecharts[j].getAttribute("summary");
+ if(em != "") em = "," + em;
+ piecharts[j].setAttribute("summary", w + "," + h + em);
+ ++j;
+ }
+ }
+ }
+ if(objects[i].className.match(/^barchart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ barcharts[k] = objects[i];
+ em = barcharts[k].getAttribute("summary");
+ if(em != "") em = "," + em;
+ barcharts[k].setAttribute("summary", w + "," + h + em);
+ ++k;
+ }
+ }
+ }
+ if(objects[i].className.match(/^linechart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ linecharts[l] = objects[i];
+ em = linecharts[l].getAttribute("summary");
+ if(em != "") em = "," + em;
+ linecharts[l].setAttribute("summary", w + "," + h + em);
+ ++l;
+ }
+ }
+ }
+ }
+ objects = document.getElementsByTagName('object'); j = 0; i = 0;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ medias[j] = objects[i]; ++j;
+ if(!isIE) {
+ if(objects[i].getAttributeNode("classid")) objects[i].removeAttributeNode(objects[i].getAttributeNode("classid"));
+ if(objects[i].getAttributeNode("codebase")) objects[i].removeAttributeNode(objects[i].getAttributeNode("codebase"));
+ }
+ }
+ }
+ }
+}
+function extendImgSizes(f) {
+ if(empx>0) {
+ var q = (f/empx); var w = 0; var h = 0;
+ for(var i=0; i < images.length; i++) {
+ w=images[i].getAttribute("width",0);
+ h=images[i].getAttribute("height",0);
+ if(w>0&&h>0) {
+ images[i].style.width=Math.floor(w*q)+"px";
+ images[i].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+}
+
+function extendCanSizes(f) {
+ if(empx>0 && canvasSupport) {
+ var q = (f/empx); var w = 0; var h = 0; var tmp = ""; var cnt; var obj;
+ for(var i=0; i < piecharts.length; i++) {
+ if(piecharts[i].getAttribute("summary")) {
+ tmp = piecharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = piecharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ for(var i=0; i < barcharts.length; i++) {
+ if(barcharts[i].getAttribute("summary")) {
+ tmp = barcharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = barcharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ for(var i=0; i < linecharts.length; i++) {
+ if(linecharts[i].getAttribute("summary")) {
+ tmp = linecharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = linecharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ }
+}
+function extendObjSizes(f) {
+ if(empx>0) {
+ var q = (f/empx); var w = 0; var h = 0;
+ for(var i=0; i < medias.length; i++) {
+ w=medias[i].getAttribute("width",0);
+ h=medias[i].getAttribute("height",0);
+ if(w>0&&h>0) {
+ medias[i].style.width=Math.floor(w*q)+"px";
+ medias[i].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+}
+function findPosX(obj) {
+ var posLeft = 0;
+ while (obj.offsetParent) {
+ posLeft += obj.offsetLeft;
+ obj = obj.offsetParent;
+ }
+ return posLeft;
+}
+function findPosY(obj) {
+ var posTop = 0;
+ while (obj.offsetParent) {
+ posTop += obj.offsetTop;
+ obj = obj.offsetParent;
+ }
+ return posTop;
+}
+// scalable images extension
+
+// canvas chart extension
+function deg2rad(degrees) {
+ return Math.PI *degrees/180;
+}
+function rad2deg(radians) {
+ return 180.0 *radians/Math.PI;
+}
+function circle_point_x(radians, diameter) {
+ var x = Math.cos(radians)*(diameter/2);
+ return x;
+}
+function circle_point_y(radians, diameter) {
+ var y = Math.sin(radians)*(diameter/2);
+ return y;
+}
+function roundTo(val,dig) {
+ var num = val;
+ if (val > 8191 && val < 10485) {
+ val = val-5000;
+ num = Math.round(val*Math.pow(10,dig))/Math.pow(10,dig);
+ num = num+5000;
+ } else {
+ num = Math.round(val*Math.pow(10,dig))/Math.pow(10,dig);
+ }
+ return num;
+}
+function searchColor(value) {
+ for (var dat in colorNames) {
+ if(dat==value) return colorNames[dat];
+ }
+ return false;
+}
+function scanColor(value) {
+ if(value.match(/^#[0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ var val1 = value.substr(1,1).toLowerCase();
+ var val2 = value.substr(2,1).toLowerCase();
+ var val3 = value.substr(3,1).toLowerCase();
+ value = '#' + val1 + val1 + val2 + val2 + val3 + val3;
+ }
+ if(!value.match(/^#[0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ var tmp = searchColor(value.toLowerCase());
+ if(!tmp) {}else{value = tmp;}
+ }
+ if(!value.match(/^#[0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ value = '#000000';
+ }
+ return value.toLowerCase();
+}
+function hex2rgb(val,trans) {
+ if(val.length==7) {
+ var tp1 = Math.max(0,Math.min(parseInt(val.substr(1,2),16),255));
+ var tp2 = Math.max(0,Math.min(parseInt(val.substr(3,2),16),255));
+ var tp3 = Math.max(0,Math.min(parseInt(val.substr(5,2),16),255));
+ return 'rgba(' + tp1 + ',' + tp2 + ',' + tp3 + ',' + trans + ')';
+ }
+}
+function trim(str) {
+ return (str.replace(/\s+$/,"").replace(/^\s+/,""));
+}
+function roundedRect(ctx,x,y,width,height,radius){
+ ctx.beginPath();
+ ctx.moveTo(x,y+radius);
+ ctx.lineTo(x,y+height-radius);
+ ctx.quadraticCurveTo(x,y+height,x+radius,y+height);
+ ctx.lineTo(x+width-radius,y+height);
+ ctx.quadraticCurveTo(x+width,y+height,x+width,y+height-radius);
+ ctx.lineTo(x+width,y+radius);
+ ctx.quadraticCurveTo(x+width,y,x+width-radius,y);
+ ctx.lineTo(x+radius,y);
+ ctx.quadraticCurveTo(x,y,x,y+radius);
+ ctx.closePath();
+}
+function drawString(ctx, text, fc, tx, ty) {
+ var xp = 0; var c = "";
+ ctx.beginPath();
+ for (var i = 0; i < text.length; i++) {
+ c = text[i];
+ ctx.drawImage(font, signs[c].sx, signs[c].sy, signs[c].sw, signs[c].sh, tx+xp, ty, signs[c].sw*fc, signs[c].sh*fc);
+ xp += (signs[c].sw*fc);
+ }
+ ctx.closePath();
+}
+function strokeString(ctx, txt, col, fh, tx, ty) {
+ var fw = fh*0.666666; var lw = fh*0.125;
+ var ls = lw/2; var cr = lw; var xp = 0;
+ ctx.lineCap = "round"; ctx.lineJoin = "round"
+ ctx.lineWidth = lw; ctx.strokeStyle = col;
+ for (var i = 0; i < txt.length; i++) {
+ strokeSymbol(ctx, txt[i], ls, tx+xp, ty, fw, fh);
+ xp += (txt[i]!="."?fw+cr:(fw/2)+cr);
+ }
+}
+function strokeSymbol(ctx, symbol, fc, cx, cy, cw, ch) {
+ ctx.beginPath();
+ switch (symbol) {
+ case "0":
+ ctx.moveTo(cx+fc,cy+(ch*0.333333));
+ ctx.arc(cx+(cw/2),cy+(cw/2),(cw/2)-fc,deg2rad(180),0, false);
+ ctx.arc(cx+(cw/2),(cy+ch)-(cw/2),(cw/2)-fc,0,deg2rad(180), false);
+ ctx.closePath();
+ break;
+ case "1":
+ ctx.moveTo(cx+(cw*0.1)+fc,cy+ch-fc);
+ ctx.lineTo(cx+cw-fc,cy+ch-fc);
+ ctx.moveTo(cx+(cw*0.666666),cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.666666),cy+fc);
+ ctx.lineTo(cx+(cw*0.25),cy+(ch*0.25));
+ break;
+ case "2":
+ ctx.moveTo(cx+cw-fc,cy+(ch*0.8));
+ ctx.lineTo(cx+cw-fc,cy+ch-fc);
+ ctx.lineTo(cx+fc,cy+ch-fc);
+ ctx.arc(cx+(cw/2),cy+(cw*0.425),(cw*0.425)-fc,deg2rad(45),deg2rad(-180), true);
+ break;
+ case "3":
+ ctx.moveTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.9)-fc,cy+fc);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-90),deg2rad(180), false);
+ break;
+ case "4":
+ ctx.moveTo(cx+(cw*0.75),cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.75),cy+fc);
+ ctx.moveTo(cx+cw-fc,cy+(ch*0.666666));
+ ctx.lineTo(cx+fc,cy+(ch*0.666666));
+ ctx.lineTo(cx+(cw*0.75),cy+fc);
+ ctx.moveTo(cx+cw-fc,cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.5),cy+ch-fc);
+ break;
+ case "5":
+ ctx.moveTo(cx+(cw*0.9)-fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+(ch*0.333333));
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-80),deg2rad(180), false);
+ break;
+ case "6":
+ ctx.moveTo(cx+fc,cy+ch-(cw*0.5)-fc);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-180),deg2rad(180), false);
+ ctx.bezierCurveTo(cx+fc,cy+fc,cx+fc,cy+fc,cx+(cw*0.9)-fc,cy+fc);
+ ctx.moveTo(cx+(cw*0.9)-fc,cy+fc);
+ break;
+ case "7":
+ ctx.moveTo(cx+(cw*0.5),cy+ch-fc);
+ ctx.lineTo(cx+cw-fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+(ch*0.25)-fc);
+ break;
+ case "8":
+ ctx.moveTo(cx+(cw*0.92)-fc,cy+(cw*0.59));
+ ctx.arc(cx+(cw/2),cy+(cw*0.45),(cw*0.45)-fc,deg2rad(25),deg2rad(-205), true);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-135),deg2rad(-45), true);
+ ctx.closePath();
+ ctx.moveTo(cx+(cw*0.79),cy+(ch*0.47));
+ ctx.lineTo(cx+(cw*0.21),cy+(ch*0.47));
+ break;
+ case "9":
+ ctx.moveTo(cx+cw-fc,cy+(cw*0.5));
+ ctx.arc(cx+(cw/2),cy+(cw*0.5),(cw*0.5)-fc,deg2rad(0),deg2rad(360), false);
+ ctx.bezierCurveTo(cx+cw-fc,cy+ch-fc,cx+cw-fc,cy+ch-fc,cx+(cw*0.1)+fc,cy+ch-fc);
+ break;
+ case "%":
+ ctx.moveTo(cx+fc,cy+(ch*0.75));
+ ctx.lineTo(cx+cw-fc,cy+(ch*0.25));
+ ctx.moveTo(cx+(cw*0.505),cy+(cw*0.3));
+ ctx.arc(cx+(cw*0.3),cy+(cw*0.3),(cw*0.3)-fc,deg2rad(0),deg2rad(360), false);
+ ctx.moveTo(cx+(cw*0.905),cy+ch-(cw*0.3));
+ ctx.arc(cx+(cw*0.7),cy+ch-(cw*0.3),(cw*0.3)-fc,deg2rad(0),deg2rad(360), false);
+ break;
+ case ".":
+ ctx.moveTo(cx+(cw*0.25),cy+ch-fc-fc);
+ ctx.arc(cx+(cw*0.25),cy+ch-fc-fc,fc,deg2rad(0),deg2rad(360), false);
+ ctx.closePath();
+ break;
+ default:
+ break;
+ }
+ ctx.stroke();
+}
+function drawBar(ctx,x,y,width,height,color,value,textdiv){
+ var rw = width/2; var rh = rw/2;
+ height = Math.max(height,rw);
+ var dh = Math.max(height-(2*rh),0.1); var S2L;
+ var xx = rw/8; var yy = rh/4;
+ var yo = rh/2; y = y - yy;
+ if(canvas_noshadow <= 0 && canvas_noshade <= 0) {
+ ctx.save();
+ S2L = ctx.createRadialGradient(x+rw+rh,y+height-rw+yy+rh,0,x+rw,y+height-rw+yy,rw);
+ S2L.addColorStop(0, 'rgba(0,0,0,0.5)');
+ S2L.addColorStop(0.7, 'rgba(0,0,0,0.25)');
+ S2L.addColorStop(0.9, 'rgba(0,0,0,0.1)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.fillStyle = S2L;
+ ctx.scale(1,0.5);
+ ctx.translate(xx,y+height+yy);
+ if(isOp){
+ ctx.fillRect(x,y+yy+height-width,width,width);
+ }else {
+ ctx.arc(x+rw,y+yy+height-width,width,0,deg2rad(360), false);
+ ctx.fill();
+ }
+ ctx.restore();
+ }else if(canvas_noshadow <= 0 && canvas_noshade >= 1) {
+ ctx.fillStyle = 'rgba(0,0,0,0.2)';
+ ctx.fillRect(x+yo,y+rh+yo+yo,width,dh);
+ }
+
+ if(canvas_noshade <= 0) {
+ ctx.beginPath();
+ ctx.moveTo(x,y+rh);
+ ctx.lineTo(x,y+rh+dh);
+ ctx.bezierCurveTo(x,y+height,x+width,y+height,x+width,y+rh+dh)
+ ctx.lineTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = color;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x,y+(height/2),x+width,y+(height/2));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.75)');
+ S2L.addColorStop(0.2, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.3, 'rgba(0,0,0,0)');
+ S2L.addColorStop(0.5, 'rgba(0,0,0,0.1)');
+ S2L.addColorStop(0.9, 'rgba(0,0,0,0.35)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.3)');
+ ctx.beginPath();
+ ctx.moveTo(x,y+rh);
+ ctx.lineTo(x,y+rh+dh);
+ ctx.bezierCurveTo(x,y+height,x+width,y+height,x+width,y+rh+dh)
+ ctx.lineTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = S2L;
+ ctx.fill();
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = color;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x+rw-(width*0.15),y-2,x+rw+(width*0.15),y+(2.1*rh));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.9)');
+ S2L.addColorStop(0.5, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.6, 'rgba(0,0,0,0)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.3)');
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = S2L;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x+rw-(width*0.15),y-2,x+rw+(width*0.15),y+(2.1*rh));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.9)');
+ S2L.addColorStop(0.5, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.6, 'rgba(0,0,0,0)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.1)');
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.strokeStyle = S2L;
+ ctx.stroke();
+ }else {
+ ctx.fillStyle = color;
+ ctx.fillRect(x,y+rh+yo,width,dh);
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = 1;
+ ctx.fillStyle = 'rgba(255,255,255,0.5)';
+ var bw = width; var bh = bw/4;
+ var th = roundTo(bh*0.75,0); var tf = bh/80;
+ var c; var w;
+ if(canvas_notext <= 0) {
+ if(canvas_htmltext <= 0) {
+ w = '"' + per + '"';
+ if(w.indexOf(".")!=-1) {
+ c = w.length+0.5;
+ w = parseFloat(c-2)*parseFloat(48*tf);
+ bw = parseFloat(c-1)*parseFloat(48*tf);
+ }else {
+ c = w.length;
+ w = parseFloat(c-1)*parseFloat(48*tf);
+ bw = parseFloat(c)*parseFloat(48*tf);
+ }
+ roundedRect(ctx,x+(width/2)-(bw/2),y,bw,bh,bh/4);
+ ctx.fill();
+ if(canvas_imgtext <= 0) {
+ strokeString(ctx, value + "%","rgba(48,48,48,1)", th, x+(width/2)-(w/2), y+((bh-th)/2));
+ }else {
+ drawString(ctx, value + "%", tf, x+(width/2)-(w/2), y+((bh-th)/2.2));
+ }
+ }else {
+ var bh = bw/3; var th = roundTo(bh*0.75,0);
+ roundedRect(ctx,x,y,bw,bh,bh/4);
+ ctx.fill();
+ var obj = document.createElement('div');
+ obj.style.position = "absolute";
+ obj.style.overflow = "hidden";
+ obj.style.textAlign = "center";
+ obj.style.width = bw + "px";
+ obj.style.left = x + "px";
+ obj.style.top = y+((bh-th)/2.2) + "px";
+ obj.appendChild(document.createTextNode(per + "%"));
+ textdiv.appendChild(obj);
+ }
+
+ }
+}
+function drawLine(ctx,x,y,width,height,dist,array,factor,color,fill){
+ if(fill <= 0){
+ var style = hex2rgb(color,0.5);
+ ctx.lineJoin = "miter";
+ ctx.beginPath();
+ ctx.moveTo(x,y+height);
+ for (var i = 0; i < array.length; i++) {
+ ctx.lineTo(x+(i*dist),y+height-(array[i]*factor));
+ }
+ ctx.lineTo(x+width,y+height);
+ ctx.lineTo(x,y+height);
+ ctx.closePath();
+ ctx.fillStyle = style;
+ ctx.fill();
+ }
+ ctx.lineJoin = "round";
+ ctx.beginPath();
+ ctx.moveTo(x,y+height-(array[0]*factor));
+ for (var i = 0; i < array.length; i++) {
+ ctx.lineTo(x+(i*dist),y+height-(array[i]*factor));
+ }
+ ctx.strokeStyle = color;
+ ctx.stroke();
+}
+function setDataURL(cid) {
+ if(cid.toDataURL) {
+ var obj = document.getElementById(cid.id + "_link");
+ if(obj) {
+ obj.setAttribute("title", "To Data URL");
+ obj.setAttribute("target", "_blank");
+ obj.setAttribute("href", cid.toDataURL());
+ }
+ }
+}
+function get_input(dataobj,canvasobj,linechart) {
+ var table = document.getElementById(dataobj);
+ var canvas = document.getElementById(canvasobj);
+ var row; var clm; var cnt = 0; var val = 0; var nme = ""; var col = "";
+ if(canvas.getContext) {
+ canvas_width = parseInt(canvas.style.width);
+ canvas_height = parseInt(canvas.style.height);
+ if(canvas_width >= 16 && canvas_height >= 16) {
+ var tmp = table.getAttribute("summary");
+ canvas_noshade = 0; canvas_noshadow = 0;
+ canvas_notext = 0; canvas_imgtext = 0;
+ canvas_htmltext = 0; canvas_nofill = 0;
+ if(tmp.search(/noshadow/) != -1) canvas_noshadow = 1;
+ if(tmp.search(/noshade/) != -1) canvas_noshade = 1;
+ if(tmp.search(/nofill/) != -1) canvas_nofill = 1;
+ if(tmp.search(/notext/) != -1) canvas_notext = 1;
+ if(tmp.search(/htmltext/) != -1) canvas_htmltext = 1;
+ if(tmp.search(/imgtext/) != -1) canvas_imgtext = 1;
+ if(table.getAttribute("bgcolor")) {
+ canvas_bgcolor = scanColor(table.getAttribute("bgcolor"));
+ }
+ ChartData = new Array(); colorSlice = new Array();
+ if(table.getElementsByTagName("tr")[0].getElementsByTagName("th")[0]) cnt = 1;
+ for(var r = cnt; r < table.getElementsByTagName("tr").length; r++) {
+ row = table.getElementsByTagName("tr")[r];
+ clm = row.getElementsByTagName("td").length;
+ if(row.getElementsByTagName("td")[0].getAttribute("bgcolor")) {
+ col = scanColor(row.getElementsByTagName("td")[0].getAttribute("bgcolor")); val = 0;
+ nme = trim(row.getElementsByTagName("td")[1].innerHTML.replace(/<[^>]+>/g,""));
+ if(!linechart) {
+ if(clm > 3) {
+ for(var z = 2; z < clm; z++) {
+ val += parseFloat(row.getElementsByTagName("td")[z].innerHTML.replace(/<[^>]+>/g,""));
+ }
+ }else {
+ val = parseFloat(row.getElementsByTagName("td")[2].innerHTML.replace(/<[^>]+>/g,""));
+ }
+ if(!isNaN(val) && val > 0 && nme != '') {
+ colorSlice[r-cnt] = col; ChartData[nme] = Math.abs(val);
+ }else {
+ break;
+ }
+ }else {
+ val = 0; i = 0;
+ if(clm > 3 && nme != '') {
+ ChartData[r-cnt] = new Array();
+ colorSlice[r-cnt] = col;
+ for(var z = 2; z < clm; z++) {
+ val = Math.abs(parseFloat(row.getElementsByTagName("td")[z].innerHTML.replace(/<[^>]+>/g,"")));
+ if(!isNaN(val) && val >= 0) {
+ ChartData[r-cnt][i] = val; i++;
+ }else {
+ ChartData[r-cnt][i] = 0; i++;
+ }
+ }
+ }else {
+ break;
+ }
+ }
+ }else {
+ break;
+ }
+ }
+ }
+ }
+}
+function setPieChart(canvasobj,textobj) {
+ var cd = (Math.min(canvas_width,canvas_height)/110)*100;
+ var cp = cd*0.1; var cr = cd/2;
+ var sr = cr*0.93; var cw = cd+cp; var ch = cw;
+ var cx = (cd/2)+(cp/2); var cy = cx; var ct = 0;
+ for (var data in ChartData) {
+ ct += ChartData[data];
+ }
+ var poc = ct/100;
+ var canvas = document.getElementById(canvasobj);
+ if(canvas_htmltext >= 1) {
+ if(document.getElementById(textobj)) {
+ canvas.parentNode.removeChild(canvas.parentNode.lastChild);
+ }
+ }
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,cw,ch);
+ }else {
+ ctx.clearRect(0,0,cw,ch);
+ }
+ if(canvas_noshadow <= 0) {
+ if(!isOp) {
+ ctx.beginPath();
+ var B2B = ctx.createRadialGradient(cx+(cr*0.1),cy+(cr*0.1),cr,cx+(cr*0.1),cy+(cr*0.1),sr*0.9);
+ B2B.addColorStop(0, 'rgba(0,0,0,0)');
+ B2B.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.arc(cx+(cr*0.1),cy+(cr*0.1),cr,0,deg2rad(360), false);
+ ctx.closePath();
+ ctx.fillStyle = B2B;
+ ctx.fill();
+ }else {
+ var B2B = ctx.createRadialGradient(cx+(cr*0.1),cy+(cr*0.1),0,cx+(cr*0.1),cy+(cr*0.1),cr);
+ B2B.addColorStop(0, 'rgba(0,0,0,0.5)');
+ B2B.addColorStop(0.87, 'rgba(0,0,0,0.5)');
+ B2B.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.fillStyle = B2B;
+ ctx.fillRect(0,0,cw,ch);
+ }
+ }
+ var val = 0; var deg = 0; var idx = 0; var data;
+ for (data in ChartData) {
+ val = ChartData[data]; sdeg = deg;
+ deg += (val/ct)*deg2rad(360); edeg = deg;
+ ctx.beginPath();
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr,sdeg,edeg, false);
+ ctx.lineTo(cx,cy);
+ ctx.closePath();
+ ctx.fillStyle = colorSlice[(idx++)];
+ ctx.fill();
+ }
+ if(canvas_noshade <= 0) {
+ ctx.beginPath();
+ var W2T = ctx.createLinearGradient(cp*2,cp*2,cx,cy);
+ W2T.addColorStop(0, 'rgba(255,255,255,0.8)');
+ W2T.addColorStop(1, 'rgba(255,255,255,0)');
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,sr,deg2rad(135),deg2rad(315), false);
+ ctx.closePath();
+ ctx.fillStyle = W2T;
+ ctx.fill();
+ ctx.beginPath();
+ var T2B = ctx.createLinearGradient(cx,cy,cw-(cp*2),ch-(cp*2));
+ T2B.addColorStop(0, 'rgba(0,0,0,0)');
+ T2B.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,sr,deg2rad(-45),deg2rad(135), false);
+ ctx.closePath();
+ ctx.fillStyle = T2B;
+ ctx.fill();
+ ctx.beginPath();
+ var B2T = ctx.createLinearGradient(cx,cy,cw-(cp*1.9),ch-(cp*1.9));
+ B2T.addColorStop(0, 'rgba(0,0,0,0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.6)');
+ ctx.lineWidth = cr*0.07;
+ ctx.lineCap = "round";
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr*0.965,deg2rad(-45),deg2rad(135), false);
+ ctx.closePath();
+ ctx.strokeStyle = B2T;
+ ctx.stroke();
+ ctx.beginPath();
+ var T2W = ctx.createLinearGradient(cx,cy,(cp*1.9),(cp*1.9));
+ T2W.addColorStop(0, 'rgba(255,255,255,0)');
+ T2W.addColorStop(1, 'rgba(255,255,255,0.6)');
+ ctx.lineWidth = cr*0.07;
+ ctx.lineCap = "round";
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr*0.965,deg2rad(135),deg2rad(315), false);
+ ctx.closePath();
+ ctx.strokeStyle = T2W;
+ ctx.stroke();
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = 1;
+ ctx.fillStyle = 'rgba(255,255,255,0.5)';
+ var mpos = 0; var per = 0; var gx = 0;
+ var gy = 0; var tx = 0; var ty = 0;
+ var obj = ""; var w = 0; var c = 0;
+ var bw = cr*0.45; var bh = cr*0.125;
+ var th = roundTo(bh*0.75,0); var tf = bh/80;
+ if(canvas_notext <= 0) {
+ if(canvas_htmltext >= 1) {
+ bw = (cr*0.365);
+ canvas.parentNode.style.position = "relative";
+ if(!document.getElementById(textobj)) {
+ obj = document.createElement('div');
+ obj.id = textobj;
+ obj.style.color = "rgb(0,0,0)";
+ obj.style.fontFamily = "Arial,sans-serif";
+ obj.style.fontSize = th + "px";
+ obj.style.zIndex = 11;
+ canvas.parentNode.appendChild(obj);
+ }
+ var textdiv = document.getElementById(obj.id);
+ }
+ for (data in ChartData) {
+ val = ChartData[data];
+ sdeg = deg; mpos = deg+(((val/2)/ct)*deg2rad(360));
+ deg += (val/ct)*deg2rad(360); edeg = deg;
+ per = roundTo(val/poc,2);
+ gx = circle_point_x(mpos, cd);
+ gy = circle_point_y(mpos, cd);
+ tx = parseFloat((cx+(2*Math.floor(cx + gx)))/3);
+ ty = (cy+(2*Math.floor(cy + gy)))/3;
+ if(canvas_htmltext <= 0) {
+ w = '"' + per + '"';
+ if(w.indexOf(".")!=-1) {
+ c = w.length+0.5;
+ w = parseFloat(c-2)*parseFloat(48*tf);
+ bw = parseFloat(c-1)*parseFloat(48*tf);
+ }else {
+ c = w.length;
+ w = parseFloat(c-1)*parseFloat(48*tf);
+ bw = parseFloat(c)*parseFloat(48*tf);
+ }
+ roundedRect(ctx,(tx-(bw/2)),ty-(bh/2),bw,bh,bh/4);
+ ctx.fill();
+ if(canvas_imgtext >= 1) {
+ drawString(ctx, per + "%", tf, tx-(w/2), ty-(th/1.8));
+ }else {
+ strokeString(ctx, per + "%","rgba(48,48,48,1)", th, tx-(w/2), ty-(th/2));
+ }
+ }else {
+ roundedRect(ctx,(tx-(bw/2)),ty-(bh/2),bw,bh,bh/4);
+ ctx.fill();
+ obj = document.createElement('div');
+ obj.style.position = "absolute";
+ obj.style.overflow = "hidden";
+ obj.style.textAlign = "center";
+ obj.style.width = bw + "px";
+ obj.style.left = (tx-(bw/2)) + "px";
+ obj.style.top = ty-(th/1.8) + "px";
+ obj.appendChild(document.createTextNode(per + "%"));
+ textdiv.appendChild(obj);
+ }
+ }
+ }
+ }
+}
+function setBarChart(canvasobj,textobj) {
+ var iw = canvas_width*0.9; var ih = canvas_height*0.9;
+ var pw = canvas_width*0.05; var ph = canvas_height*0.05;
+ var cm = 0; var ct = 0;
+ for (var data in ChartData) {
+ cm = Math.max(cm,ChartData[data]);
+ ct += ChartData[data];
+ }
+ var hf = ih/cm; var bs = colorSlice.length;
+ var bw = iw/(bs*1.1); var poc = ct/100;
+ var th = roundTo((bw/3)*0.75,0);
+ var canvas = document.getElementById(canvasobj);
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,canvas_width,canvas_height);
+ }else {
+ ctx.clearRect(0,0,canvas_width,canvas_height);
+ }
+ if(canvas_htmltext >= 1) {
+ if(document.getElementById(textobj)) {
+ canvas.parentNode.removeChild(canvas.parentNode.lastChild);
+ }
+ canvas.parentNode.style.position = "relative";
+ if(!document.getElementById(textobj)) {
+ var obj = document.createElement('div');
+ obj.id = textobj;
+ obj.style.color = "rgb(0,0,0)";
+ obj.style.fontFamily = "Arial,sans-serif";
+ obj.style.fontSize = th + "px";
+ obj.style.zIndex = 11;
+ canvas.parentNode.appendChild(obj);
+ }
+ var textdiv = document.getElementById(obj.id);
+ }
+ var cc = ""; var bh = 0; var idx = 0; var t = 0; per = 0;
+ for (var data in ChartData) {
+ per = roundTo(ChartData[data]/poc,2);
+ bh = ChartData[data]*hf;
+ drawBar(ctx,pw+(t*(bw*1.1)),ph+ih-bh,bw,bh,colorSlice[(idx++)],per,textdiv);
+ t++;
+ }
+ }
+}
+function setLineChart(canvasobj,textobj) {
+ var iw = canvas_width*0.9;
+ var ih = canvas_height*0.9;
+ var pw = canvas_width*0.05;
+ var ph = canvas_height*0.05;
+ var cm = 0;
+ for (var i = 0; i < ChartData.length; i++) {
+ for (var j = 0; j < ChartData[i].length; j++) {
+ cm = Math.max(cm,ChartData[i][j]);
+ }
+ }
+ var hf = (ih*0.95)/cm; var bw = iw;
+ var dw = iw/(ChartData[0].length-1)
+ var dh = ih/(ChartData[0].length-1)
+ var ps = Math.min(pw,ph); lw = ps/4;
+ var B2T;
+ var canvas = document.getElementById(canvasobj);
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,canvas_width,canvas_height);
+ }else {
+ ctx.clearRect(0,0,canvas_width,canvas_height);
+ }
+ if(canvas_noshadow <= 0) {
+ B2T = ctx.createLinearGradient(pw+ps,ph+ih+1,pw+ps+ps,ph+ih+1);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+ps,ph+ih);
+ ctx.lineTo(pw+ps,ph+ih+ps);
+ ctx.lineTo(pw+ps+ps,ph+ih);
+ ctx.closePath();
+ ctx.fill();
+ B2T = ctx.createLinearGradient(pw+ps+ps,ph+ih,pw+ps+ps,ph+ih+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.5)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.0)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+ps+ps,ph+ih);
+ ctx.lineTo(pw+iw,ph+ih);
+ ctx.lineTo(pw+ps+iw,ph+ih+ps);
+ ctx.lineTo(pw+ps,ph+ih+ps);
+ ctx.closePath();
+ ctx.fill();
+ var B2T = ctx.createLinearGradient(pw+iw+1,ph+ps,pw+iw+1,ph+ps+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.35)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+iw,ph+ps);
+ ctx.lineTo(pw+iw,ph+ps+ps);
+ ctx.lineTo(pw+iw+ps,ph+ps);
+ ctx.closePath();
+ ctx.fill();
+ B2T = ctx.createLinearGradient(pw+iw,ph+ps+ps,pw+iw+ps,ph+ps+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.35)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.0)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+iw,ph+ps+ps);
+ ctx.lineTo(pw+iw,ph+ih);
+ ctx.lineTo(pw+iw+ps,ph+ih+ps);
+ ctx.lineTo(pw+iw+ps,ph+ps);
+ ctx.closePath();
+ ctx.fill();
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = lw/2;
+ ctx.strokeStyle = "rgba(255,255,255,0.25)";
+ if(canvas_nofill <= 0) {
+ ctx.fillStyle = "rgba(240,240,240,0.8)";
+ ctx.strokeStyle = "rgba(255,255,255,1)";
+ ctx.fillRect(pw,ph,iw,ih);
+ }
+ for (var i = 0; i < ChartData[0].length-1; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw+(i*dw),ph);
+ ctx.lineTo(pw+(i*dw),ph+ih);
+ ctx.stroke();
+ }
+ for (var i = 1; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw,ph+(i*dh));
+ ctx.lineTo(pw+iw,ph+(i*dh));
+ ctx.stroke();
+ }
+ ctx.lineWidth = lw;
+ var cc = ""; var bh = 0; var idx = 0; var t = 0;
+ for (var i = 0; i < ChartData.length; i++) {
+ for (var j = 0; j < ChartData[i].length; j++) {
+ t = Math.max(t,ChartData[i][j]);
+ }
+ bh = t*hf; cc = colorSlice[(idx++)];
+ drawLine(ctx,pw,ph+ih-bh,bw,bh,dw,ChartData[i],hf,cc,canvas_nofill);
+ }
+ ctx.beginPath();
+ ctx.moveTo(pw,ph);
+ ctx.lineTo(pw,ph+ih);
+ ctx.lineTo(pw+iw+(lw/2),ph+ih);
+ ctx.strokeStyle = "rgba(48,48,48,1)";
+ ctx.stroke();
+ ctx.lineWidth = lw/2;
+ B2T = ctx.createLinearGradient(pw,ph+ih,pw,ph+ih+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,1)');
+ B2T.addColorStop(0.5, 'rgba(0,0,0,1)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.strokeStyle = B2T;
+ for (var i = 0; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw+(i*dw),ph+ih);
+ ctx.lineTo(pw+(i*dw),ph+ih+ps);
+ ctx.stroke();
+ }
+ B2T = ctx.createLinearGradient(pw-ps,ph,pw,ph);
+ B2T.addColorStop(0, 'rgba(0,0,0,0)');
+ B2T.addColorStop(0.5, 'rgba(0,0,0,1)');
+ B2T.addColorStop(1, 'rgba(0,0,0,1)');
+ ctx.strokeStyle = B2T;
+ for (var i = 1; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw-ps,ph+(i*dh));
+ ctx.lineTo(pw,ph+(i*dh));
+ ctx.stroke();
+ }
+ }
+}
+function generateCanvas() {
+ if(canvasSupport) {
+ var canvasID; var tdataID; var textID;
+ var tmp; var dat; var i; var j;
+ for(i=0; i < piecharts.length; i++) {
+ tmp = piecharts[i].id.split("_");
+ tdataID = piecharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID);
+ if(colorSlice.length > 0) {
+ j = 0; dat = ""; for(dat in ChartData) {j++; }
+ if(j > 0 && j == colorSlice.length) {
+ setPieChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ for(i=0; i < barcharts.length; i++) {
+ tmp = barcharts[i].id.split("_");
+ tdataID = barcharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID);
+ if(colorSlice.length > 0) {
+ j = 0; dat = ""; for(dat in ChartData) {j++; }
+ if(j > 0 && j == colorSlice.length) {
+ setBarChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ for(i=0; i < linecharts.length; i++) {
+ tmp = linecharts[i].id.split("_");
+ tdataID = linecharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID,true);
+ if(colorSlice.length > 0) {
+ if(ChartData.length == colorSlice.length) {
+ setLineChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ }
+}
+// canvas chart extension
+
+// invisible select extension
+function setListPos(opt) {
+ if(!isIE) { //(degrade IE)
+ var ref = document.getElementById('list');
+ var x = findPosX(ref); var y = findPosY(ref);
+ var obj = document.getElementById('jumplist');
+ obj.style.position = 'fixed'; obj.style.left = x + 'px'; obj.style.top = y + 'px';
+ var vol = document.getElementById('volumelist');
+ ref = document.getElementById('volume');
+ x = findPosX(ref); if(isOp) {y = window.innerHeight-imgHeight; }else {y = findPosY(ref);}
+ vol.style.position = 'fixed'; vol.style.left = x + 'px'; vol.style.top = y + 'px';
+ var del = document.getElementById('delaylist');
+ ref = document.getElementById('delay');
+ x = findPosX(ref); if(isOp) {y = window.innerHeight-imgHeight; }else {y = findPosY(ref);}
+ del.style.position = 'fixed'; del.style.left = x + 'px'; del.style.top = y + 'px';
+ var pnl = document.getElementById('navLinks');
+ }
+ if(opt) {
+ if(isIE) {
+ //(degrade IE)
+ //pnl.style.filter = "alpha(opacity=80)";
+ }else {
+ obj.style.opacity = 0.0;
+ vol.style.opacity = 0.0;
+ del.style.opacity = 0.0;
+ pnl.style.opacity = 0.8;
+ }
+ }
+}
+// invisible select extension
+
+// transition extension
+function switchFade() {
+ if(tranSitions && s5mode && fadeModus) {
+ fadeModus = false;
+ playDelay = playDelay-(2*fadeDuration);
+ var obj = document.getElementById('fade'); changeClass(obj,'isoff');
+ }else if(tranSitions && s5mode && !fadeModus) {
+ fadeModus = true;
+ playDelay = playDelay+(2*fadeDuration);
+ var obj = document.getElementById('fade'); changeClass(obj,'ison');
+ }
+ currentSlide();
+}
+function opacity(ids, opacStart, opacEnd, millisec) {
+ var speed = Math.round(millisec / 100);
+ var timer = 0;
+ if(opacStart > opacEnd) {
+ for(var i = opacStart; i >= opacEnd; i--) {
+ window.setTimeout("changeOpac(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ } else if(opacStart < opacEnd) {
+ for(var i = opacStart; i <= opacEnd; i++) {
+ window.setTimeout("changeOpac(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ }
+}
+function changeOpac(opacity, ids) {
+ var obj = document.getElementById(ids);
+ if (isIE) {
+ obj.style.filter = "alpha(opacity=" + opacity + ")";
+ } else {
+ obj.style.opacity = (opacity / 100);
+ }
+}
+function shiftOpacity(ids, millisec) {
+ if(document.getElementById(ids).style.opacity != '') {
+ var currentOpac = document.getElementById(ids).style.opacity * 100;
+ } else {
+ var currentOpac = 0;
+ }
+ if(currentOpac == 0) {
+ opacity(ids, currentOpac, 100, millisec);
+ } else if(currentOpac > 0) {
+ opacity(ids, currentOpac, 0, millisec);
+ }
+}
+// transition extension
+
+// autoplay extension
+function autoPlay() {
+ if (s5mode && autoMatic && !playPause) {
+ if ((snum >= (smax-1)) && playLoop) {
+ goTo(0);
+ autoRun = setTimeout('autoPlay();',playDelay);
+ }else if ((snum >= (smax-1)) && !playLoop) {
+ stopPlay();
+ }else {
+ if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ if (incrementals[snum].length >0) {
+ clearTimeout(autoRun); autoRun = null;
+ incrDelay = parseInt(playDelay/(incrementals[snum].length+1));
+ remainDer = parseInt(playDelay-(incrDelay*incrementals[snum].length));
+ autoRun = setTimeout('autoPlayIncr()',incrDelay);
+ }
+ } else {
+ clearTimeout(autoRun); autoRun = null;
+ incrDelay = incrDuration;
+ remainDer = parseInt(playDelay-(incrementals[snum].length*incrDuration));
+ autoRun = setTimeout('autoPlayIncr()',incrDelay);
+ }
+ autoRun = setTimeout('autoPlay();',playDelay);
+ }
+ }
+}
+function autoPlayIncr() {
+ if (incpos < incrementals[snum].length) {
+ subgo(1);
+ autoRun = setTimeout('autoPlayIncr();',incrDelay);
+ }else {
+ autoRun = setTimeout('nop();',remainDer);
+ }
+}
+function nop() {
+ // no operation dummy
+}
+function togglePlay() {
+ if (autoRun && s5mode) {
+ stopPlay();
+ }else if (!autoRun && s5mode) {
+ startPlay();
+ }
+}
+function stopPlay() {
+ if (autoRun && s5mode) {
+ clearTimeout(autoRun); autoRun = null;
+ autoMatic = false; playPause = false; var obj = '';
+ obj = document.getElementById('auto'); changeClass(obj,'isoff');
+ obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ currentSlide();
+ }
+}
+function startPlay() {
+ if (!autoRun && s5mode) {
+ playPause = false; autoMatic = true; var obj = '';
+ obj = document.getElementById('auto'); changeClass(obj,'ison');
+ obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ autoRun = setTimeout('autoPlay();',playDelay);
+ currentSlide();
+ }
+}
+function pausePlay() {
+ if (s5mode && autoMatic) {
+ if (playPause) {
+ playPause = false; autoRun = setTimeout('autoPlay();',playDelay);
+ var obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ }else {
+ if (autoRun){
+ clearTimeout(autoRun); autoRun = null; playPause = true;
+ var obj = document.getElementById('pause'); changeClass(obj,'ison');
+ }
+ }
+ currentSlide();
+ }
+}
+function switchLoop() {
+ if(s5mode) {
+ if (playLoop) {
+ playLoop = false;
+ var obj = document.getElementById('loop'); changeClass(obj,'isoff');
+ }else {
+ playLoop = true;
+ var obj = document.getElementById('loop'); changeClass(obj,'ison');
+ }
+ }
+ currentSlide();
+}
+function setDelay() {
+ var val = document.getElementById('delaylist');
+ if(s5mode) {
+ var delay = Math.max(5,Math.min(parseInt(val.value),300));
+ playDelay = (fadeModus == true) ? ((delay*1000)+(2*fadeDuration)) : (delay*1000);
+ }
+}
+// autoplay extension
+
+// audio extension
+function createSoundManagerScript() {
+ if(typeof soundManager=="undefined") {
+ onerrorSM2();
+ }else {
+ var script=document.createElement('SCRIPT');
+ var tx=document.createTextNode("soundManager.createMovie();");
+ script.appendChild(tx);
+ document.getElementsByTagName("body")[0].appendChild(script);
+ }
+}
+//soundManager.onload = function() {
+function onloadSM2() {
+ if(!isIE) { //(degrade IE)
+ swfUnloaded = false;
+ preloadSounds();
+ }
+}
+//soundManager.onerror = function() {
+function onerrorSM2() {
+ if(typeof soundManager!="undefined") {
+ soundManager.destruct;
+ delete soundManager;
+ }
+ audioSupport = false; swfUnloaded = true;
+ if(audioError && !isIE && !isOp) {
+ var dv = document.createElement('div'); dv.id = "guru";
+ var d2=document.createElement('div'); dv.appendChild(d2);
+ var tx=document.createTextNode('Guru Meditation - SoundManager failed to load/initialize!');
+ d2.appendChild(tx); document.getElementById('slide0').appendChild(dv);
+ }
+}
+function audioSetup() {
+ if(sound[0]) {
+ playSound(0);
+ }else if(sound[bgSoundItem] && !sound[0]) {
+ playSound(bgSoundItem);
+ }
+}
+function fadeoutSound(ids, option) {
+ if(curSoundID >= 0 && !swfUnloaded) {
+ if(isNaN(ids)) {
+ if(ids == "bgSound") {
+ var cnum = parseInt(bgSoundItem);
+ }else {
+ for (var i = 0; i < (sound.length-1); i++) {
+ if(sound[i] && ids == sound[i]["id"]) {
+ var cnum = i;
+ break;
+ }
+ }
+ }
+ }else {
+ var cnum = ids;
+ ids = sound[cnum]["id"];
+ }
+ var vol = getMaxVolume(sound[cnum]["volume"]);
+ var millisec = fadeDuration;
+ var speed = Math.round(millisec / vol);
+ var timer = 0;
+ for(var i = vol; i > 0; i--) {
+ setTimeout("fadeout(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ if(option) setTimeout("stopSound('"+ids+"')",millisec);
+ }
+}
+function fadeout(volume, id) {
+ soundManager.setVolume(id,volume);
+}
+function stopSound(ids) {
+ var sid;
+ if(isNaN(ids)) {
+ sid = ids;
+ }else if(sound[ids]) {
+ sid = sound[ids]["id"];
+ }
+ if(sid!='' && curSoundID >= 0) {
+ soundManager.stop(sid);
+ curSoundID = -1;
+ }
+}
+function toggleSounds() {
+ if(audioSupport && !swfUnloaded) {
+ stopAllSounds();
+ }else if (!audioSupport && !swfUnloaded) {
+ allowSounds();
+ }
+}
+function allowSounds() {
+ if(!swfUnloaded) {
+ audioSupport = true;
+ var obj = document.getElementById('audio'); changeClass(obj,'ison');
+ currentSlide();
+ }
+}
+function stopAllSounds() {
+ if(curSoundID >= 0) {
+ stopSound(curSoundID);
+ }else {
+ soundManager.stopAll();
+ curSoundID = -1;
+ }
+ audioSupport = false;
+ var obj = document.getElementById('audio'); changeClass(obj,'isoff');
+ currentSlide();
+}
+function playSound(id) {
+ if(audioSupport && !swfUnloaded) {
+ var url, sid, vol, lps, cnum;
+ if(isNaN(id)) {
+ sid = id;
+ if(sid == "bgSound") {
+ cnum = parseInt(bgSoundItem);
+ }else {
+ cnum = getSoundID(id);
+ }
+ }else {
+ cnum = parseInt(id);
+ if(sound[cnum]) sid = sound[cnum]["id"];
+ }
+ if(sound[cnum] && sound[cnum]["url"]!='' && sid!=''){
+ url = sound[cnum]["url"];
+ vol = (sound[cnum]["volume"]!='')?getMaxVolume(sound[cnum]["volume"]):getMaxVolume(100);
+ lps = (sound[cnum]["loops"])?true:false;
+ if(lps) {
+ soundManager.play(sid,{volume:vol,onplay:function(){curSoundID=cnum;},onfinish:function(){soundManager.play(sid,{volume:getMaxVolume(sound[cnum]["volume"])});}});
+ }else {
+ soundManager.play(sid,{volume:vol,onplay:function(){curSoundID=cnum;},onfinish:function(){curSoundID=-1;}});
+ }
+ }
+ }
+}
+function getSoundID(str) {
+ for (var i = 0; i < (sound.length-1); i++) {
+ if(sound[i] && str == sound[i]["id"]) {
+ var id = i;
+ break;
+ }
+ }
+ return id;
+}
+function setVolume() {
+ var vol = document.getElementById('volumelist');
+ if(audioSupport && !swfUnloaded) {
+ audioVolume = parseInt(vol.value);
+ if(curSoundID >= 0) {
+ if(sound[curSoundID]) {
+ var sid = sound[curSoundID]["id"];
+ var vid = getMaxVolume(sound[curSoundID]["volume"]);
+ soundManager.setVolume(sid,vid);
+ }
+ }
+ }
+}
+function getMaxVolume(value) {
+ if(audioVolume>0) {var factor = audioVolume/100;}else {var factor = 0;}
+ return Math.max(0,Math.min(parseInt(value*factor),audioVolume));
+}
+function preloadSounds() {
+ var temp = ''; var parm = ''; var t = ''; var cl = '';
+ var objects = document.getElementsByTagName('object');
+ for (var i=0; i < objects.length; i++) {
+ if(objects[i].type.toLowerCase() == 'audio/mp3' && objects[i].data != '') {
+ objects[i].width = 0; objects[i].height = 0;
+ if(objects[i].parentNode.tagName == 'DIV') {
+ cl = objects[i].parentNode.className.toLowerCase();
+ if(cl == 'presentation' || cl == 'slide') {
+ if(cl == 'presentation') {
+ t = parseInt(bgSoundItem);
+ }else {
+ t = parseInt(objects[i].parentNode.id.slice(5, objects[i].parentNode.id.length));
+ }
+ sound[t] = new Object();
+ if(t < bgSoundItem) {
+ sound[t]["id"] = objects[i].parentNode.id;
+ }else {
+ sound[t]["id"] = "bgSound";
+ }
+ sound[t]["url"] = objects[i].data;
+ sound[t]["volume"] = 100; sound[t]["loops"] = false;
+ if(objects[i].archive != '') {
+ parm = objects[i].archive.toLowerCase().split(',');
+ for (var j=0; j < parm.length; j++) {
+ if(parm[j] == 'loop') sound[t]["loops"] = true;
+ if(parm[j].search(/^volume/) != -1) {
+ var tmp = parm[j].split(':');
+ sound[t]["volume"] = parseInt(tmp[1]);
+ }
+ }
+ }
+ soundManager.createSound(sound[t]["id"],sound[t]["url"]);
+ }
+ }
+ }
+ }
+}
+// audio extension
+
+// help extension
+function createHelpReq() {
+ if(!document.getElementById("HelpReq")) {
+ var obj = document.getElementsByTagName("body")[0].firstChild;
+ var pg = document.createElement('div');
+ pg.id = "HelpReq";
+ if (pg.addEventListener) {
+ pg.addEventListener("onclick",dumpHelpReq,false);
+ } else if (pg.attachEvent) {
+ pg.attachEvent("onclick",dumpHelpReq);
+ }
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=90)";
+ } else {
+ pg.style.opacity = 0.9;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(64,64,64)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/help.jpg)";
+ document.getElementsByTagName("body")[0].insertBefore(pg,obj);
+ if(document.getElementById("HelpReq")) {
+ helpmode = true;
+ }
+ }
+}
+function dumpHelpReq() {
+ if(document.getElementById("HelpReq")) {
+ document.body.removeChild(document.getElementById('HelpReq'));
+ helpmode = false;
+ }
+}
+// help extension
+
+//DEBUG
+function ConsoleLog(value) {
+ if(window.console) {
+ window.console.log(value);
+ }
+}
+//DEBUG
+
+document.write('<style type="text/css" media="screen" id="blockStyle">.presentation, .layout {display: none; }</style>');
+
+if(!isIE && !isOp) {
+ document.write('<script type="text/javascript" src="'+s5Path+'ui/audio_support/soundmanager2.js"></script>');
+ if(typeof soundManager!="undefined") {
+ var allMetas = document.getElementsByTagName('meta');
+ for (var i = 0; i< allMetas.length; i++) {
+ if (allMetas[i].name == 'audioDebug') {
+ var audioDebug = (allMetas[i].content == "true") ? true : false;
+ soundManager.defaultOptions.debugMode = audioDebug;
+ }
+ }
+ }
+}
+
+window.onload = createSlideShow;
+window.onresize = function(){setTimeout('windowChange()',5);} \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/toggle_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/toggle_link.png
new file mode 100644
index 000000000..4588e2514
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/toggle_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/toggle_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/toggle_over.png
new file mode 100644
index 000000000..400f613b6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/toggle_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/volume_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/volume_link.png
new file mode 100644
index 000000000..f362acd1f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/volume_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/wrap.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/wrap.css
new file mode 100644
index 000000000..a37315552
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/wrap.css
@@ -0,0 +1,410 @@
+/********************************************************************
+Screen and Print Styles for the Wrap Plugin
+********************************************************************/
+
+/* tables in columns and boxes should span the whole width */
+.wrap_column table,
+.wrap_left table, .wrap_right table, .wrap_center table,
+.wrap_box table,
+.wrap_info table, .wrap_important table, .wrap_alert table, .wrap_tip table, .wrap_help table, .wrap_todo table, .wrap_download table {
+ width: 100%;
+}
+/* emulate a headline */
+.wrap_column em strong,
+.wrap_left em strong, .wrap_right em strong, .wrap_center em strong,
+.wrap_box em strong,
+.wrap_info em strong, .wrap_important em strong, .wrap_alert em strong, .wrap_tip em strong, .wrap_help em strong, .wrap_todo em strong, .wrap_download em strong {
+ font-size: 130%;
+ font-weight: bold;
+ font-style: normal;
+ display: block;
+}
+/* emulate a bigger headline with a bottom border */
+.wrap_column em strong em.u,
+.wrap_left em strong em.u, .wrap_right em strong em.u, .wrap_center em strong em.u,
+.wrap_box em strong em.u,
+.wrap_info em strong em.u, .wrap_important em strong em.u, .wrap_alert em strong em.u, .wrap_tip em strong em.u, .wrap_help em strong em.u, .wrap_todo em strong em.u, .wrap_download em strong em.u {
+ font-size: 115%;
+ border-bottom: 1px solid __border__;
+ font-style: normal;
+ text-decoration: none;
+ display: block;
+}
+/* different bigger headline for safety notes */
+.wrap_danger em strong em.u, .wrap_warning em strong em.u, .wrap_caution em strong em.u, .wrap_notice em strong em.u, .wrap_safety em strong em.u {
+ font-size: 115%;
+ font-style: normal;
+ text-decoration: none;
+ display: block;
+ text-transform: uppercase;
+ border-bottom-width: 0;
+}
+/* change border colour of emulated headlines inside boxes to something more neutral
+ (to match all the different background colours) */
+.wrap_box em strong em.u,
+.wrap_info em strong em.u, .wrap_important em strong em.u, .wrap_alert em strong em.u, .wrap_tip em strong em.u, .wrap_help em strong em.u, .wrap_todo em strong em.u, .wrap_download em strong em.u {
+ border-bottom-color: #999;
+}
+
+
+/* columns
+********************************************************************/
+
+.wrap_left,
+.wrap_column {
+ float: left;
+ margin-right: 1.5em;
+}
+.wrap_right {
+ float: right;
+ margin-left: 1.5em;
+}
+.wrap_center {
+ display: block;
+ margin-left: auto;
+ margin-right: auto;
+}
+/*
+.wrap_column,
+.wrap_left,
+.wrap_right {
+ overflow: hidden;
+}
+*/
+.wrap_container {
+ margin-right: -1.5em;
+}
+
+
+/* alignments
+********************************************************************/
+
+.wrap_leftalign {
+ text-align: left;
+}
+.wrap_centeralign {
+ text-align: center;
+}
+.wrap_rightalign {
+ text-align: right;
+}
+.wrap_justify {
+ text-align: justify;
+}
+
+
+/* box
+********************************************************************/
+
+/* see styles for boxes and notes with icons in style.css */
+
+/*____________ rounded corners ____________*/
+/* (only for modern browsers) */
+
+div.wrap_round {
+ border-radius: 20px;
+ -moz-border-radius: 20px;
+ -webkit-border-radius: 20px;
+ -khtml-border-radius: 20px;
+}
+span.wrap_round {
+ border-radius: 2px;
+ -moz-border-radius: 2px;
+ -webkit-border-radius: 2px;
+ -khtml-border-radius: 2px;
+}
+
+
+/* mark
+********************************************************************/
+
+div.wrap_lo {
+ color: __text_neu__;
+ font-size: 85%;
+}
+div.wrap_em {
+ color: #c00;
+ font-weight: bold;
+}
+
+/* see styles for highlighted text in style.css */
+
+
+/* typography
+********************************************************************/
+
+/*____________ fonts ____________*/
+
+div.wrap_sansserif {
+ font-family: Verdana,Tahoma,Geneva,"DejaVu Sans","Bitstream Vera Sans","Liberation Sans", Arial,Helvetica,FreeSans,"Liberation Sans","Nimbus Sans L", sans-serif;
+}
+div.wrap_serif {
+ font-family: Georgia,Garamond,"Palatino Linotype","Book Antiqua",Palatino,Palladio,"URW Palladio L","Liberation Serif",Didot,Gentium,"Bitstream Charter","Century Schoolbook L", "Times New Roman",Times,"Nimbus Roman No9 L","FreeSerif", serif;
+}
+div.wrap_monospace {
+ font-family: Courier,"Courier New",FreeMono,"Nimbus Mono L","Liberation Mono", "Lucida Console",Monaco,"DejaVu Sans Mono","Bitstream Vera Sans Mono", monospace;
+}
+
+/*____________ font size ____________*/
+
+div.wrap_bigger {
+ font-size: 125%;
+}
+div.wrap_muchbigger {
+ font-size: 200%;
+}
+div.wrap_smaller {
+ font-size: 75%;
+}
+
+/*____________ font colours ____________*/
+
+div.wrap_fgred {
+ color: #900;
+}
+div.wrap_fggreen {
+ color: #090;
+}
+div.wrap_fgblue {
+ color: #009;
+}
+div.wrap_fgcyan {
+ color: #099;
+}
+div.wrap_fgviolet {
+ color: #909;
+}
+div.wrap_fgyellow {
+ color: #990;
+}
+div.wrap_fggrey {
+ color: #666;
+}
+div.wrap_fgblack {
+ color: #000;
+}
+
+/* see styles for background colours and white font colour in style.css */
+
+
+/* miscellaneous
+********************************************************************/
+
+/*____________ indent ____________*/
+
+div.wrap_indent {
+ padding-left: 1.5em;
+}
+
+/*____________ outdent ____________*/
+
+div.wrap_outdent {
+ margin-left: -1.5em;
+}
+
+/*____________ word wrapping in pre ____________*/
+
+divdiv.wrap_prewrap pre {
+ white-space: pre-wrap;
+ word-wrap: break-word;/* for IE < 8 */
+ /* white-space: -moz-pre-wrap; for FF < 3 */
+}
+
+/*____________ spoiler ____________*/
+
+divdiv.wrap_spoiler {
+ margin-bottom: 1.5em;
+}
+/* see rest of spoiler styles in style.css */
+
+/*____________ clear float ____________*/
+
+div.wrap_clear {
+ clear: both;
+ line-height: 0;
+ height: 0;
+ font-size: 1px;
+ visibility: hidden;
+ overflow: hidden;
+}
+
+/*____________ hide ____________*/
+
+div.wrap_hide {
+ display: none;
+}
+
+
+/* STYLE.CSS */
+
+/* box
+********************************************************************/
+
+.wrap_box {
+ background: __background_alt__;
+ color: __text__;
+/*
+ overflow: hidden;
+*/
+}
+div.wrap_box,
+div.wrap_danger, div.wrap_warning, div.wrap_caution, div.wrap_notice, div.wrap_safety {
+ padding: 1em 1em .5em;
+ margin-bottom: 1.5em;
+}
+span.wrap_box,
+span.wrap_danger, span.wrap_warning, span.wrap_caution, span.wrap_notice, span.wrap_safety {
+ padding: 0 .3em;
+}
+
+/*____________ notes with icons ____________*/
+
+/* general styles for all note divs */
+div.wrap_info, div.wrap_important, div.wrap_alert, div.wrap_tip, div.wrap_help, div.wrap_todo, div.wrap_download {
+ padding: 1em 1em .5em 70px;
+ margin-bottom: 1.5em;
+ min-height: 68px;
+ background-position: 10px 50%;
+ background-repeat: no-repeat;
+ color: #000;
+}
+/* special treatment for IE6 */
+* html div.wrap_info, * html div.wrap_important, * html div.wrap_alert, * html div.wrap_tip, * html div.wrap_help, * html div.wrap_todo, * html div.wrap_download {
+ height: 68px;
+}
+/* general styles for all note spans */
+span.wrap_info, span.wrap_important, span.wrap_alert, span.wrap_tip, span.wrap_help, span.wrap_todo, span.wrap_download {
+ padding: 0 2px 0 20px;
+ min-height: 20px;
+ background-position: 2px 50%;
+ background-repeat: no-repeat;
+ color: #000;
+}
+/* special treatment for IE6 */
+* html span.wrap_info, * html span.wrap_important, * html span.wrap_alert, * html span.wrap_tip, * html span.wrap_help, * html span.wrap_todo, * html span.wrap_download {
+ height: 20px;
+}
+
+/*____________ info ____________*/
+.wrap_info { background-color: #d1d7df; }
+div.wrap_info { background-image: url(../../../wrap/images/note/48/info.png); }
+span.wrap_info { background-image: url(../../../wrap/images/note/16/info.png); }
+
+/*____________ important ____________*/
+.wrap_important { background-color: #ffd39f; }
+div.wrap_important { background-image: url(../../../wrap/images/note/48/important.png); }
+span.wrap_important { background-image: url(../../../wrap/images/note/16/important.png); }
+
+/*____________ alert ____________*/
+.wrap_alert { background-color: #ffbcaf; }
+div.wrap_alert { background-image: url(../../../wrap/images/note/48/alert.png); }
+span.wrap_alert { background-image: url(../../../wrap/images/note/16/alert.png); }
+
+/*____________ tip ____________*/
+.wrap_tip { background-color: #fff79f; }
+div.wrap_tip { background-image: url(../../../wrap/images/note/48/tip.png); }
+span.wrap_tip { background-image: url(../../../wrap/images/note/16/tip.png); }
+
+/*____________ help ____________*/
+.wrap_help { background-color: #dcc2ef; }
+div.wrap_help { background-image: url(../../../wrap/images/note/48/help.png); }
+span.wrap_help { background-image: url(../../../wrap/images/note/16/help.png); }
+
+/*____________ todo ____________*/
+.wrap_todo { background-color: #c2efdd; }
+div.wrap_todo { background-image: url(../../../wrap/images/note/48/todo.png); }
+span.wrap_todo { background-image: url(../../../wrap/images/note/16/todo.png); }
+
+/*____________ download ____________*/
+.wrap_download { background-color: #d6efc2; }
+div.wrap_download { background-image: url(../../../wrap/images/note/48/download.png); }
+span.wrap_download { background-image: url(../../../wrap/images/note/16/download.png); }
+
+
+/*____________ safety notes ____________*/
+
+.wrap_danger {
+ background-color: #c00;
+ color: #fff;
+}
+.wrap_warning {
+ background-color: #f60;
+ color: #000;
+}
+.wrap_caution {
+ background-color: #ff0;
+ color: #000;
+}
+.wrap_notice {
+ background-color: #06f;
+ color: #fff;
+}
+.wrap_safety {
+ background-color: #090;
+ color: #fff;
+}
+
+
+/* mark
+********************************************************************/
+
+div.wrap_hi {
+ background-color: #ff9;
+}
+
+/* typography
+********************************************************************/
+
+/*____________ font colours ____________*/
+
+div.wrap_fgwhite {
+ color: #fff;
+}
+
+/*____________ background colours ____________*/
+
+div.wrap_bgred {
+ background-color: #fcc;
+}
+div.wrap_bggreen {
+ background-color: #cfc;
+}
+div.wrap_bgblue {
+ background-color: #ccf;
+}
+div.wrap_bgcyan {
+ background-color: #9ff;
+}
+div.wrap_bgviolet {
+ background-color: #f9f;
+}
+div.wrap_bgyellow {
+ background-color: #ff9;
+}
+div.wrap_bggrey {
+ background-color: #ccc;
+}
+div.wrap_bgwhite {
+ background-color: #fff;
+}
+div.wrap_bgblack {
+ background-color: #000;
+}
+
+
+/* miscellaneous
+********************************************************************/
+
+/*____________ spoiler ____________*/
+
+div.wrap_spoiler {
+ background-color: __background__ !important;
+ color: __background__ !important;
+ border: 1px dotted red;
+}
+
+/*____________ only print ____________*/
+
+div.wrap_onlyprint {
+ display: none;
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/zero_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/zero_link.png
new file mode 100644
index 000000000..5610884d9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/zero_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/zero_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/zero_over.png
new file mode 100644
index 000000000..3a14a577e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/mandarina/zero_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/audio_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/audio_link.png
new file mode 100644
index 000000000..daa740c40
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/audio_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/audio_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/audio_on.png
new file mode 100644
index 000000000..cd590aae9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/audio_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/audio_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/audio_over.png
new file mode 100644
index 000000000..cf537a008
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/audio_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/auto_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/auto_link.png
new file mode 100644
index 000000000..1c2ca4817
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/auto_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/auto_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/auto_on.png
new file mode 100644
index 000000000..4b0c923b8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/auto_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/auto_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/auto_over.png
new file mode 100644
index 000000000..dbea88975
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/auto_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/backgrnd.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/backgrnd.png
new file mode 100644
index 000000000..0e48413d3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/backgrnd.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/delay_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/delay_link.png
new file mode 100644
index 000000000..c4d99cd57
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/delay_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/exit_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/exit_link.png
new file mode 100644
index 000000000..1bfd38790
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/exit_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/exit_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/exit_over.png
new file mode 100644
index 000000000..0fdd1c605
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/exit_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/fade_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/fade_link.png
new file mode 100644
index 000000000..482e4d53e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/fade_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/fade_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/fade_on.png
new file mode 100644
index 000000000..e12561fb2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/fade_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/fade_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/fade_over.png
new file mode 100644
index 000000000..fb8943c98
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/fade_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/footer.jpg b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/footer.jpg
new file mode 100644
index 000000000..49370dbba
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/footer.jpg
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/framing.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/framing.css
new file mode 100755
index 000000000..14d8509e9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/framing.css
@@ -0,0 +1,23 @@
+/* The following styles size, place, and layer the slide components.
+ Edit these if you want to change the overall slide layout.
+ The commented lines can be uncommented (and modified, if necessary)
+ to help you with the rearrangement process. */
+
+/* target = 1024x768 */
+
+div#header, div#footer, .slide {width: 100%; top: 0; left: 0;}
+div#header {top: 0; height: 3em; z-index: 1;}
+div#footer {top: auto; bottom: 0; height: 2.5em; z-index: 5;}
+.slide {top: 0; width: 92%; padding: 3.5em 4% 4%; z-index: 2; list-style: none;}
+div#controls {left: 50%; bottom: 0; width: 50%; z-index: 100;}
+div#controls form {position: absolute; bottom: 0; right: 0; width: 100%;
+ margin: 0;}
+#currentSlide {position: absolute; width: 10%; left: 45%; bottom: 1em; z-index: 10;}
+html>body #currentSlide {position: fixed;}
+
+/*
+div#header {background: #FCC;}
+div#footer {background: #CCF;}
+div#controls {background: #BBD;}
+div#currentSlide {background: #FFC;}
+*/
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/header.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/header.png
new file mode 100644
index 000000000..929dabba1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/header.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/last_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/last_link.png
new file mode 100644
index 000000000..4f158d35d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/last_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/last_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/last_over.png
new file mode 100644
index 000000000..a7b629995
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/last_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/list_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/list_link.png
new file mode 100644
index 000000000..7e299bb50
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/list_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/loop_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/loop_link.png
new file mode 100644
index 000000000..41e12cb15
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/loop_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/loop_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/loop_on.png
new file mode 100644
index 000000000..2ffcacae1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/loop_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/loop_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/loop_over.png
new file mode 100644
index 000000000..9fe420153
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/loop_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/next_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/next_link.png
new file mode 100644
index 000000000..2b9f44c4d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/next_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/next_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/next_over.png
new file mode 100644
index 000000000..25788124d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/next_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/notes_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/notes_link.png
new file mode 100644
index 000000000..bb4a8ae37
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/notes_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/notes_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/notes_over.png
new file mode 100644
index 000000000..c6bfe69fc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/notes_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/opera.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/opera.css
new file mode 100755
index 000000000..9e9d2a3c5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/opera.css
@@ -0,0 +1,7 @@
+/* DO NOT CHANGE THESE unless you really want to break Opera Show */
+.slide {
+ visibility: visible !important;
+ position: static !important;
+ page-break-before: always;
+}
+#slide0 {page-break-before: avoid;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/outline.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/outline.css
new file mode 100755
index 000000000..62db519ed
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/outline.css
@@ -0,0 +1,15 @@
+/* don't change this unless you want the layout stuff to show up in the outline view! */
+
+.layout div, #footer *, #controlForm * {display: none;}
+#footer, #controls, #controlForm, #navLinks, #toggle {
+ display: block; visibility: visible; margin: 0; padding: 0;}
+#toggle {float: right; padding: 0.5em;}
+html>body #toggle {position: fixed; top: 0; right: 0;}
+
+/* making the outline look pretty-ish */
+
+#slide0 h1, #slide0 h2, #slide0 h3, #slide0 h4 {border: none; margin: 0;}
+#slide0 h1 {padding-top: 1.5em;}
+.slide h1 {margin: 1.5em 0 0; padding-top: 0.25em;
+ border-top: 1px solid #888; border-bottom: 1px solid #AAA;}
+#toggle {border: 1px solid; border-width: 0 0 1px 1px; background: #FFF;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/pause_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/pause_link.png
new file mode 100644
index 000000000..2561cb4a4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/pause_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/pause_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/pause_on.png
new file mode 100644
index 000000000..2fc9c4a4a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/pause_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/pause_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/pause_over.png
new file mode 100644
index 000000000..7a7c80ddc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/pause_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/pixelbg.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/pixelbg.gif
new file mode 100644
index 000000000..a57ccb106
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/pixelbg.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/pixelheader.jpg b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/pixelheader.jpg
new file mode 100644
index 000000000..3c0f13b1e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/pixelheader.jpg
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/pixelslide0bg.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/pixelslide0bg.gif
new file mode 100644
index 000000000..fffb08a26
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/pixelslide0bg.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/pretty.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/pretty.css
new file mode 100644
index 000000000..1b2107b3f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/pretty.css
@@ -0,0 +1,166 @@
+/* Pixel Theme 2004 by Martin Hense ::: www.lounge7.de */
+
+/* Following are the presentation styles -- edit away!
+ Note that the 'body' font size may have to be changed if the resolution is
+ different than expected. */
+
+body {background: transparent url(pixelbg.gif) repeat-y; color: #08093F; font-size: 1.8em;}
+:link, :visited {text-decoration: none;}
+#controls :active {color: #88A !important;}
+#controls :focus {outline: 1px dotted #227;}
+h1, h2, h3, h4 {font-size: 100%; margin: 0; padding: 0; font-weight: inherit;}
+ul, pre {margin: 0; line-height: 1em;}
+html, body {margin: 0; padding: 0;}
+
+blockquote, q {font-style: italic;}
+blockquote {padding: 0 2em 0.5em; margin: 0 1.5em 0.5em; text-align: center; font-size: 1em;}
+blockquote p {margin: 0;}
+blockquote i {font-style: normal;}
+blockquote b {display: block; margin-top: 0.5em; font-weight: normal; font-size: smaller; font-style: normal;}
+blockquote b i {font-style: italic;}
+
+kbd {font-weight: bold; font-size: 1em;}
+sup {font-size: smaller; line-height: 1px;}
+
+code {padding: 2px 0.25em; font-weight: bold; color: #533;}
+code.bad, code del {color: red;}
+code.old {color: silver;}
+pre {padding: 0; margin: 0.25em 0 0.5em 0.5em; color: #533; font-size: 90%;}
+pre code {display: block;}
+ul {margin-right: 7%; margin-left: 50px; list-style: square;}
+li {margin-top: 0.75em; margin-right: 0;}
+ul ul {line-height: 1;}
+ul ul li {margin: .2em; font-size: 85%; list-style: square;}
+img.leader {display: block; margin: 0 auto;}
+
+div#header, div#footer {background: #005; color: #646587;
+ font-family: Verdana, Helvetica, sans-serif;}
+div#header {background: transparent url(pixelheader.jpg) 0 0 no-repeat; height: 75px;}
+div#footer {font-size: 0.5em; font-weight: bold; padding: 1em 0; border-top: 1px solid #08093F; background: #fff;}
+#footer h1, #footer h2 {display: block; padding: 0 1em;}
+#footer h2 {font-style: italic;}
+
+div.long {font-size: 0.75em;}
+.slide {font-family: Verdana, Helvetica, Arial, sans-serif;}
+.slide h1 {position: absolute; z-index: 1;
+ margin: 0; padding: 0.3em 0 0 50px; white-space: nowrap;
+ font: bold 150%/1em Helvetica, sans-serif; text-transform: capitalize;
+ top: 1.4em; left: 2%;
+ color: #08093F; background: transparent;}
+.slide h3 {font-size: 130%;}
+h1 abbr {font-variant: small-caps;}
+
+
+#currentSlide {text-align: center; font-size: 0.5em; color: #646587;
+ font-family: Verdana, Helvetica, sans-serif; font-weight: bold;}
+
+#slide0 {padding-top: 3.5em; font-size: 90%;}
+#slide0 h1 {position: static; white-space: normal;
+ margin: 0; padding: 60px 60px 0 150px; text-align: right;
+ font: bold 2em Helvetica, sans-serif; white-space: normal; height: 281px;
+ color: #fff; background: transparent url(pixelslide0bg.gif) no-repeat;}
+#slide0 h3 {margin-top: 0.5em; font-size: 1.5em;}
+#slide0 h4 {margin-top: 0; font-size: 1em;}
+
+ul.urls {list-style: none; display: inline; margin: 0;}
+.urls li {display: inline; margin: 0;}
+.notes {display: none;}
+
+div#controls {position: absolute; left: 0; bottom: 0; width: 100%; text-align: center; font: bold 1em Verdana, Arial, Helvetica, sans-serif;}
+html>body div#controls {background: transparent; position: fixed; padding: 0; top: auto; text-align: center;}
+#controls #sheet {display: none;}
+#controls #controlForm {height: 32px; width: 100%; text-align: center; vertical-align: middle;}
+#controls #navLinks {border-top: 1px solid #999; overflow: hidden; padding: 0; margin: 0; height: 32px; width: 100%; background-color: #808080; text-align: center; vertical-align: middle; white-space: nowrap;}
+#controls #navLinks ul {margin: 0 auto; padding: 0; height: 32px; width: 500px; white-space: nowrap; text-align: center;}
+#controls #navLinks ul li {list-style: none; display: inline; margin: 0; padding: 0; float: left; height: 32px; width: 32px; text-align: center; background-color: transparent;}
+#controls #navLinks ul li.vr {list-style: none; display: inline; margin: 0px 2px; padding: 0; float: left; height: 32px; width: 1px; text-align: center; background-color: #606060;}
+#controls #navLinks ul li a {margin: 0; padding: 0; float: left; height: 32px; width: 32px; background-color: transparent;}
+
+#controls #navLinks ul li#exit {background: url(exit_link.png) no-repeat top left;}
+#controls #navLinks ul li#exit a:hover {background: url(exit_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#toggle {background: url(toggle_link.png) no-repeat top left;}
+#controls #navLinks ul li#toggle a:hover {background: url(toggle_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#show-notes {background: url(notes_link.png) no-repeat top left;}
+#controls #navLinks ul li#show-notes a:hover {background: url(notes_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#zero {background: url(zero_link.png) no-repeat top left;}
+#controls #navLinks ul li#zero a:hover {background: url(zero_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#prev {background: url(prev_link.png) no-repeat top left;}
+#controls #navLinks ul li#prev a:hover {background: url(prev_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#next {background: url(next_link.png) no-repeat top left;}
+#controls #navLinks ul li#next a:hover {background: url(next_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#last {background: url(last_link.png) no-repeat top left;}
+#controls #navLinks ul li#last a:hover {background: url(last_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#lst {background: url(list_link.png) no-repeat top left;}
+
+#controls #navLinks ul li#fade a.isoff {background: url(fade_link.png) no-repeat top left;}
+#controls #navLinks ul li#fade a.ison {background: url(fade_on.png) no-repeat top right;}
+#controls #navLinks ul li#fade a:hover {background: url(fade_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#audio {background: url(audio_link.png) no-repeat top left;}
+#controls #navLinks ul li#audio a.isoff {background: url(audio_link.png) no-repeat top left;}
+#controls #navLinks ul li#audio a.ison {background: url(audio_on.png) no-repeat top right;}
+#controls #navLinks ul li#audio a:hover {background: url(audio_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#vol {background: url(volume_link.png) no-repeat top left;}
+
+#controls #navLinks ul li#auto a.isoff {background: url(auto_link.png) no-repeat top left;}
+#controls #navLinks ul li#auto a.ison {background: url(auto_on.png) no-repeat top right;}
+#controls #navLinks ul li#auto a:hover {background: url(auto_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#pause a.isoff {background: url(pause_link.png) no-repeat top left;}
+#controls #navLinks ul li#pause a.ison {background: url(pause_on.png) no-repeat top right;}
+#controls #navLinks ul li#pause a:hover {background: url(pause_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#loop a.isoff {background: url(loop_link.png) no-repeat top left;}
+#controls #navLinks ul li#loop a.ison {background: url(loop_on.png) no-repeat top right;}
+#controls #navLinks ul li#loop a:hover {background: url(loop_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#del {background: url(delay_link.png) no-repeat top left;}
+
+#jumplist, #volumelist, #delaylist {padding: 0; margin: 0; width: 32px; height: 32px; cursor: n-resize;}
+
+#guru {position: absolute; visibility: visible; left: 0px; top: 0px; padding: 4px; width: 99%; height: auto; text-align: center; background-color: black; z-index: 10;}
+#guru div {border: solid 3px red; padding: 4px; font-family: monospace; font-size: 60%; width: auto; height: auto; color: red; text-align: center;}
+
+/*
+#currentSlide {white-space: nowrap; text-align: center; margin-bottom: -0.5em; font-size: 0.5em; background-color: transparent; color: #999;}
+
+#slide0 {padding-top: 3.5em; font-size: 90%;}
+#slide0 h1 {position: static; margin: 1em 0 0; padding: 0; font: bold 2em Verdana, Arial, Helvetica, sans-serif; font-variant: small-caps; text-shadow: 0.1em 0.1em 0.1em #666; white-space: normal; color: #222; background-color: transparent;}
+#slide0 h2 {font: bold italic 1em Arial, Helvetica, sans-serif; margin: 0.25em;}
+#slide0 h3 {margin-top: 1.5em; font-size: 1.5em;}
+#slide0 h4 {margin-top: 0; font-size: 1em;}
+*/
+
+ul.urls {list-style: none; display: inline; margin: 0;}
+.urls li {display: inline; margin: 0;}
+.external {border-bottom: 1px dotted gray;}
+html>body .external {border-bottom: none;}
+.external:after {content: " \274F"; font-size: smaller; color: #999;}
+
+.incremental, .incremental *, .incremental *:after {color: #ccc; visibility: visible;}
+img.incremental, canvas.incremental {visibility: hidden;}
+.slide .current {color: #B02;}
+
+/* diagnostics
+li:after {content: " [" attr(class) "]"; color: #F88;}
+*/
+
+table.piechart, table.barchart, table.linechart { border-spacing: 0.3em 0.15em; }
+table.piechart tr th, table.barchart tr th, table.linechart tr th { white-space: nowrap; }
+table.piechart tr td, table.barchart tr td, table.linechart tr td { vertical-align: top; white-space: nowrap; }
+table.piechart tr td.col, table.barchart tr td.col, table.linechart tr td.col { border-bottom: 1px solid #555; border-right: 1px solid #555; }
+table.fs90 tr td, table.fs90 tr th, div.fs90, pre.fs90, p.fs90 ,ul.fs90 {font-size: 0.9em; }
+table.fs75 tr td, table.fs75 tr th, div.fs75, pre.fs75, p.fs75 ,ul.fs75 {font-size: 0.75em; }
+table.fs66 tr td, table.fs66 tr th, div.fs66, pre.fs66, p.fs66 ,ul.fs66 {font-size: 0.66em; }
+table.fs50 tr td, table.fs50 tr th, div.fs50, pre.fs50, p.fs50 ,ul.fs50 {font-size: 0.5em; }
+
+#soundmanager-debug {position:fixed; top:0px; right:0px; width:30em; height:20em; overflow:auto; border:1px solid red; padding:1em; margin:2em; font-family:"sans serif"; font-size: 12px;color: black; background-color:#f6f6f6; z-index: 100;}
+#soundmanager-debug code {font-size: 11px;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/prev_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/prev_link.png
new file mode 100644
index 000000000..c31d21350
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/prev_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/prev_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/prev_over.png
new file mode 100644
index 000000000..6fef4fa52
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/prev_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/print.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/print.css
new file mode 100755
index 000000000..220083260
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/print.css
@@ -0,0 +1 @@
+/* The following rule is necessary to have all slides appear in print! DO NOT REMOVE IT! */ .slide, ul { page-break-inside: avoid; visibility: visible !important; } h1 { page-break-after: avoid; } html { width:100%; overflow:hidden; } body { font-size: 12pt; background: white; width:100%; } * { color: black; } #slide0 h1 { font-size: 200%; border: none; margin: 0.5em 0 0.25em; } #slide0 h3 { margin: 0; padding: 0; } #slide0 h4 { margin: 0 0 0.5em; padding: 0; } #slide0 { margin-bottom: 3em; } h1 { border-top: 2pt solid gray; border-bottom: 1px dotted silver; padding:0.2em 0 0.3em 0; } .extra { background: transparent !important; } div.extra, pre.extra, .example { font-size: 10pt; color: #333; } ul.extra a { font-weight: bold; } p.example { display: none; } #header { display: none; } #footer h1 { margin: 0; border-bottom: 1px solid; color: gray; font-style: italic; } #footer h2, #controls { display: none; } div.handout { display:block; border-top: 2px dotted #ccc; background:url(pics/back-handout-print.png) top right no-repeat #fff; min-height:6em; padding-right:6em; margin-bottom:1em; } .slide { display:block; background:url(pics/back-slide-print.png) top right no-repeat #fff; min-height:6em; margin-bottom:1em; } table { display:block; /* display:none; */ font-size:90%; overflow:hidden; margin-top:1em; margin-bottom:1em; } pre , code { margin:0; font-size:1pt; overflow:auto; } img { max-width:100% !important; } /* The following rule keeps the layout stuff out of print. Remove at your own risk! */ .layout, .layout * { display: none !important; } \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/s5-core.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/s5-core.css
new file mode 100755
index 000000000..86444e041
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/s5-core.css
@@ -0,0 +1,9 @@
+/* Do not edit or override these styles! The system will likely break if you do. */
+
+div#header, div#footer, div#controls, .slide {position: absolute;}
+html>body div#header, html>body div#footer,
+ html>body div#controls, html>body .slide {position: fixed;}
+.handout {display: none;}
+.layout {display: block;}
+.slide, .hideme, .incremental {visibility: hidden;}
+#slide0 {visibility: visible;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/slides.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/slides.css
new file mode 100755
index 000000000..0786d7dbd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/slides.css
@@ -0,0 +1,3 @@
+@import url(s5-core.css); /* required to make the slide show run at all */
+@import url(framing.css); /* sets basic placement and size of slide components */
+@import url(pretty.css); /* stuff that makes the slides look better than blah */ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/slides.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/slides.js
new file mode 100644
index 000000000..510a04c0e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/slides.js
@@ -0,0 +1,2812 @@
+// S5 1.3beta7 (18-Apr-2007) advanced version by C. Effenberger
+// Please see http://s5.netzgesta.de/ for more information
+// based on S5 v1.2a1 slides.js -- released into the Public Domain
+// Please see http://www.meyerweb.com/eric/tools/s5/credits.html for information
+// about all the wonderful and talented contributors to this code!
+// audio extension: soundmanager2 is NOT Public Domain
+// Please see http://www.schillmania.com/projects/soundmanager2/ for information
+
+var undef;
+var slideCSS = '';
+var snum = 0;
+var smax = 1;
+var incpos = 0;
+var number = undef;
+var firstTime = 1;
+var s5mode = true;
+var helpmode = false;
+var defaultView = 'slideshow'; //outline
+var controlVis = 'visible';
+var s5Path = 'lib/plugins/s5reloaded/';
+
+// scalable images extension
+var empx = 0;
+var images = new Array();
+var canvas = new Array();
+var medias = new Array();
+var piecharts = new Array();
+var barcharts = new Array();
+var linecharts = new Array();
+// scalable images extension
+
+// transition extension
+var tranSitions = false;
+var fadeModus = false;
+var fadeDuration = 500;
+var incrDuration = 250;
+var opac = 1;
+var cid = '';
+var nid = '';
+var tid = '';
+var jl = '';
+// transition extension
+
+// autoplay extension
+var autoMatic = false;
+var playLoop = false;
+var playPause = false;
+var autoRun = false;
+var playDelay = 5000;
+var remainDer = 0;
+var incrDelay = 0;
+// autoplay extension
+
+// audio extension
+var sound = new Array();
+var audioSupport = false;
+var audioVolume = 100;
+var audioError = false;
+var swfUnloaded = true;
+var bgSoundItem = 9999;
+var curSoundID = -1;
+// audio extension
+
+// panel extension
+var imgWidth = 32;
+var imgHeight = 32;
+// panel extension
+
+// canvas chart extension
+var canvasSupport = false;
+var ChartData = new Array();
+var colorSlice = new Array();
+var font = document.createElement("img");
+font.setAttribute("src", s5Path+"ui/graphic_support/numeric.png");
+signs = {
+ '0': {sx: 0, sy: 0, sw: 48, sh: 64},
+ '1': {sx: 48, sy: 0, sw: 48, sh: 64},
+ '2': {sx: 96, sy: 0, sw: 48, sh: 64},
+ '3': {sx: 144, sy: 0, sw: 48, sh: 64},
+ '4': {sx: 192, sy: 0, sw: 48, sh: 64},
+ '5': {sx: 240, sy: 0, sw: 48, sh: 64},
+ '6': {sx: 288, sy: 0, sw: 48, sh: 64},
+ '7': {sx: 336, sy: 0, sw: 48, sh: 64},
+ '8': {sx: 384, sy: 0, sw: 48, sh: 64},
+ '9': {sx: 432, sy: 0, sw: 48, sh: 64},
+ '%': {sx: 480, sy: 0, sw: 48, sh: 64},
+ '.': {sx: 528, sy: 0, sw: 24, sh: 64}
+};
+var colorNames= new Array();
+colorNames["black"]="#000000"; colorNames["maroon"]="#800000";
+colorNames["green"]="#008000"; colorNames["olive"]="#808000";
+colorNames["navy"]="#000080"; colorNames["purple"]="#800080";
+colorNames["teal"]="#008080"; colorNames["gray"]="#808080";
+colorNames["silver"]="#C0C0C0"; colorNames["red"]="#FF0000";
+colorNames["lime"]="#00FF00"; colorNames["yellow"]="#FFFF00";
+colorNames["blue"]="#0000FF"; colorNames["fuchsia"]="#FF00FF";
+colorNames["aqua"]="#00FFFF"; colorNames["white"]="#FFFFFF";
+colorNames["aliceblue"]="#F0F8FF"; colorNames["antiquewhite"]="#FAEBD7";
+colorNames["aquamarine"]="#7FFFD4"; colorNames["azure"]="#F0FFFF";
+colorNames["beige"]="#F5F5DC"; colorNames["blueviolet"]="#8A2BE2";
+colorNames["brown"]="#A52A2A"; colorNames["burlywood"]="#DEB887";
+colorNames["cadetblue"]="#5F9EA0"; colorNames["chartreuse"]="#7FFF00";
+colorNames["chocolate"]="#D2691E"; colorNames["coral"]="#FF7F50";
+colorNames["cornflowerblue"]="#6495ED"; colorNames["cornsilk"]="#FFF8DC";
+colorNames["crimson"]="#DC143C"; colorNames["darkblue"]="#00008B";
+colorNames["darkcyan"]="#008B8B"; colorNames["darkgoldenrod"]="#B8860B";
+colorNames["darkgray"]="#A9A9A9"; colorNames["darkgreen"]="#006400";
+colorNames["darkkhaki"]="#BDB76B"; colorNames["darkmagenta"]="#8B008B";
+colorNames["darkolivegreen"]="#556B2F"; colorNames["darkorange"]="#FF8C00";
+colorNames["darkorchid"]="#9932CC"; colorNames["darkred"]="#8B0000";
+colorNames["darksalmon"]="#E9967A"; colorNames["darkseagreen"]="#8FBC8F";
+colorNames["darkslateblue"]="#483D8B"; colorNames["darkslategray"]="#2F4F4F";
+colorNames["darkturquoise"]="#00CED1"; colorNames["darkviolet"]="#9400D3";
+colorNames["deeppink"]="#FF1493"; colorNames["deepskyblue"]="#00BFFF";
+colorNames["dimgray"]="#696969"; colorNames["dodgerblue"]="#1E90FF";
+colorNames["firebrick"]="#B22222"; colorNames["floralwhite"]="#FFFAF0";
+colorNames["forestgreen"]="#228B22"; colorNames["gainsboro"]="#DCDCDC";
+colorNames["ghostwhite"]="#F8F8FF"; colorNames["gold"]="#FFD700";
+colorNames["goldenrod"]="#DAA520"; colorNames["greenyellow"]="#ADFF2F";
+colorNames["honeydew"]="#F0FFF0"; colorNames["hotpink"]="#FF69B4";
+colorNames["indianred"]="#CD5C5C"; colorNames["indigo"]="#4B0082";
+colorNames["ivory"]="#FFFFF0"; colorNames["khaki"]="#F0E68C";
+colorNames["lavender"]="#E6E6FA"; colorNames["lavenderblush"]="#FFF0F5";
+colorNames["lawngreen"]="#7CFC00"; colorNames["lemonchiffon"]="#FFFACD";
+colorNames["lightblue"]="#ADD8E6"; colorNames["lightcoral"]="#F08080";
+colorNames["lightcyan"]="#E0FFFF"; colorNames["lightgoldenrodyellow"]="#FAFAD2";
+colorNames["lightgreen"]="#90EE90"; colorNames["lightgrey"]="#D3D3D3";
+colorNames["lightpink"]="#FFB6C1"; colorNames["lightsalmon"]="#FFA07A";
+colorNames["lightseagreen"]="#20B2AA"; colorNames["lightskyblue"]="#87CEFA";
+colorNames["lightslategray"]="#778899"; colorNames["lightsteelblue"]="#B0C4DE";
+colorNames["lightyellow"]="#FFFFE0"; colorNames["limegreen"]="#32CD32";
+colorNames["linen"]="#FAF0E6"; colorNames["mediumaquamarine"]="#66CDAA";
+colorNames["mediumblue"]="#0000CD"; colorNames["mediumorchid"]="#BA55D3";
+colorNames["ediumpurple"]="#9370D"; colorNames["mediumseagreen"]="#3CB371";
+colorNames["mediumslateblue"]="#7B68EE"; colorNames["mediumspringgreen"]="#00FA9A";
+colorNames["mediumturquoise"]="#48D1CC"; colorNames["mediumvioletred"]="#C71585";
+colorNames["midnightblue"]="#191970"; colorNames["mintcream"]="#F5FFFA";
+colorNames["mistyrose"]="#FFE4E1"; colorNames["moccasin"]="#FFE4B5";
+colorNames["navajowhite"]="#FFDEAD"; colorNames["oldlace"]="#FDF5E6";
+colorNames["olivedrab"]="#6B8E23"; colorNames["orange"]="#FFA500";
+colorNames["orangered"]="#FF4500"; colorNames["orchid"]="#DA70D6";
+colorNames["palegoldenrod"]="#EEE8AA"; colorNames["palegreen"]="#98FB98";
+colorNames["paleturquoise"]="#AFEEEE"; colorNames["palevioletred"]="#DB7093";
+colorNames["papayawhip"]="#FFEFD5"; colorNames["peachpuff"]="#FFDAB9";
+colorNames["peru"]="#CD853F"; colorNames["pink"]="#FFC0CB";
+colorNames["plum"]="#DDA0DD"; colorNames["powderblue"]="#B0E0E6";
+colorNames["rosybrown"]="#BC8F8F"; colorNames["royalblue"]="#4169E1";
+colorNames["saddlebrown"]="#8B4513"; colorNames["salmon"]="#FA8072";
+colorNames["sandybrown"]="#F4A460"; colorNames["seagreen"]="#2E8B57";
+colorNames["seashell"]="#FFF5EE"; colorNames["sienna"]="#A0522D";
+colorNames["skyblue"]="#87CEEB"; colorNames["slateblue"]="#6A5ACD";
+colorNames["slategray"]="#708090"; colorNames["snow"]="#FFFAFA";
+colorNames["springgreen"]="#00FF7F"; colorNames["steelblue"]="#4682B4";
+colorNames["tan"]="#D2B48C"; colorNames["thistle"]="#D8BFD8";
+colorNames["tomato"]="#FF6347"; colorNames["turquoise"]="#40E0D0";
+colorNames["violet"]="#EE82EE"; colorNames["wheat"]="#F5DEB3";
+colorNames["whitesmoke"]="#F5F5F5"; colorNames["yellowgreen"]="#9ACD32";
+var canvas_bgcolor = "";
+var canvas_width = 200;
+var canvas_height = 200;
+var canvas_noshade = 0;
+var canvas_nofill = 0;
+var canvas_noshadow = 0;
+var canvas_htmltext = 0;
+var canvas_imgtext = 0;
+var canvas_notext = 0;
+// canvas chart extension
+
+var s5NotesWindow;
+var s5NotesWindowLoaded = false;
+var previousSlide = 0;
+var presentationStart = new Date();
+var slideStart = new Date();
+
+var countdown = {
+ timer: 0,
+ state: 'pause',
+ start: new Date(),
+ end: 0,
+ remaining: 0
+};
+
+var isIE = navigator.appName == 'Microsoft Internet Explorer' && navigator.userAgent.indexOf('Opera') < 1 ? 1 : 0;
+if(isIE) var notIE7 = parseInt(navigator.appVersion) < 7 ? 1 : 0;
+var isOp = navigator.userAgent.indexOf('Opera') > -1 ? 1 : 0;
+var isGe = navigator.userAgent.indexOf('Gecko') > -1 && navigator.userAgent.indexOf('Safari') < 1 ? 1 : 0;
+var isS2 = navigator.userAgent.indexOf('Safari') >= 2 ? 1 : 0;
+
+function hasClass(object, className) {
+ if (!object.className) return false;
+ return (object.className.search('(^|\\s)' + className + '(\\s|$)') != -1);
+}
+
+function hasValue(object, value) {
+ if (!object) return false;
+ return (object.search('(^|\\s)' + value + '(\\s|$)') != -1);
+}
+
+function removeClass(object,className) {
+ if (!object || !hasClass(object,className)) return;
+ object.className = object.className.replace(new RegExp('(^|\\s)'+className+'(\\s|$)'), RegExp.$1+RegExp.$2);
+}
+
+function addClass(object,className) {
+ if (!object || hasClass(object, className)) return;
+ if (object.className) {
+ object.className += ' '+className;
+ } else {
+ object.className = className;
+ }
+}
+
+function changeClass(object,className) {
+ if (!object) return;
+ object.firstChild.className = className;
+}
+
+function GetElementsWithClassName(elementName,className) {
+ var allElements = document.getElementsByTagName(elementName);
+ var elemColl = new Array();
+ for (var i = 0; i< allElements.length; i++) {
+ if (hasClass(allElements[i], className)) {
+ elemColl[elemColl.length] = allElements[i];
+ }
+ }
+ return elemColl;
+}
+
+function isParentOrSelf(element, id) {
+ if (element == null || element.nodeName=='BODY') return false;
+ else if (element.id == id) return true;
+ else return isParentOrSelf(element.parentNode, id);
+}
+
+function nodeValue(node) {
+ var result = "";
+ if (node.nodeType == 1) {
+ var children = node.childNodes;
+ for (var i = 0; i < children.length; ++i) {
+ result += nodeValue(children[i]);
+ }
+ }
+ else if (node.nodeType == 3) {
+ result = node.nodeValue;
+ }
+ return(result);
+}
+
+function slideLabel() {
+ var slideColl = GetElementsWithClassName('*','slide');
+ var list = document.getElementById('jumplist');
+ smax = slideColl.length;
+ for (var n = 0; n < smax; n++) {
+ var obj = slideColl[n];
+ var did = 'slide' + n.toString();
+ obj.setAttribute('id',did);
+ var otext = '';
+ var menu = obj.firstChild;
+ if (!menu) continue; // to cope with empty slides
+ while (menu && menu.nodeType == 3) {
+ menu = menu.nextSibling;
+ }
+ if (!menu) continue; // to cope with slides with only text nodes
+ var menunodes = menu.childNodes;
+ for (var o = 0; o < menunodes.length; o++) {
+ otext += nodeValue(menunodes[o]);
+ }
+ list.options[list.length] = new Option(n + ' : ' + otext, n);
+ }
+}
+
+function currentSlide() {
+ var cs, at, fd, ss;
+ if (document.getElementById) {
+ cs = document.getElementById('currentSlide');
+ } else {
+ cs = document.currentSlide;
+ }
+ fd = fadeModus?"F":"&ndash;";
+ ss = audioSupport?"S":"&ndash;";
+ at = (autoMatic?(playPause?"||":(playLoop?"&gt;0":"&gt;|")):"&ndash;&ndash;");
+ cs.innerHTML = '<div id="plink" nowrap="nowrap">' +
+ '<span id="csFade">[' + fd + ss + ']<\/span>&nbsp;' +
+ '<span id="csHere"><strong>' + snum + '<\/strong><\/span>' +
+ '<span id="csSep">\/<\/span>' +
+ '<span id="csTotal">' + (smax-1) + '<\/span>&nbsp;' +
+ '<span id="csAuto">[' + at + ']<\/span>' +
+ '<\/div>';
+
+ if (snum == 0) {
+ cs.style.visibility = 'hidden';
+ } else {
+ cs.style.visibility = 'visible';
+ }
+}
+
+function go(step) {
+ if (document.getElementById('slideProj').disabled || step == 0) return;
+ jl = document.getElementById('jumplist');
+ cid = 'slide' + snum;
+ var ce = document.getElementById(cid);
+ if (incrementals[snum].length > 0) {
+ for (var i = 0; i < incrementals[snum].length; i++) {
+ removeClass(incrementals[snum][i], 'current');
+ removeClass(incrementals[snum][i], 'incremental');
+ }
+ }
+ if (step != 'j') {
+ snum += step;
+ lmax = smax - 1;
+ if (snum > lmax) snum = lmax;
+ if (snum < 0) snum = 0;
+ }else {
+ snum = parseInt(jl.value);
+ }
+ nid = 'slide' + snum;
+ var ne = document.getElementById(nid);
+ if (!ne) {
+ ne = document.getElementById('slide0');
+ nid = 'slide0';
+ snum = 0;
+ }
+ if (step < 0) {
+ incpos = incrementals[snum].length
+ }else {
+ incpos = 0;
+ }
+ if (incrementals[snum].length > 0 && incpos == 0) {
+ for (var i = 0; i < incrementals[snum].length; i++) {
+ if (hasClass(incrementals[snum][i], 'current')) {
+ incpos = i + 1;
+ }else {
+ addClass(incrementals[snum][i], 'incremental');
+ }
+ }
+ }
+ if (incrementals[snum].length > 0 && incpos > 0) {
+ addClass(incrementals[snum][incpos - 1], 'current');
+ }
+ var guru = document.getElementById('guru');
+ if(guru && snum==0) {
+ guru.style.visibility = 'visible';
+ }else if(guru && snum>0) {
+ guru.style.visibility = 'hidden';
+ }
+ if(tranSitions && s5mode && fadeModus) {
+ if(curSoundID != getSoundID(nid)) {
+ if(curSoundID == bgSoundItem && !sound[getSoundID(nid)]) {
+ }else {fadeoutSound(curSoundID,true); } // audio support
+ }
+ changeOpac(0,nid);
+ changeOpac(100,cid);
+ ce.style.visibility = 'visible';
+ shiftOpacity(cid,fadeDuration);
+ window.setTimeout("changeSlides()",fadeDuration);
+ }else {
+ if(curSoundID != getSoundID(nid)) {
+ if(curSoundID == bgSoundItem && !sound[getSoundID(nid)]) {
+ }else {stopSound(curSoundID); } // audio support
+ }
+ ce.style.visibility = 'hidden';
+ if (isOp) location.hash = nid;
+ ne.style.visibility = 'visible';
+ finishSlides();
+ }
+}
+
+function changeSlides() {
+ if(nid != cid) changeOpac(100,cid);
+ document.getElementById(cid).style.visibility = 'hidden';
+ document.getElementById(nid).style.visibility = 'visible';
+ if (isOp) location.hash = nid;
+ shiftOpacity(nid,fadeDuration);
+ window.setTimeout("finishSlides()",fadeDuration);
+}
+
+function finishSlides() {
+ jl.selectedIndex = snum;
+ currentSlide();
+ loadNote();
+ permaLink();
+ number = undef;
+ if(sound[getSoundID(nid)]) {
+ playSound(nid); // audio support
+ }else if(sound[bgSoundItem] && curSoundID != bgSoundItem) {
+ playSound(bgSoundItem); // audio support
+ }
+}
+
+function goTo(target) {
+ if (target >= smax || target == snum) return;
+ go(target - snum);
+}
+
+function subgo(step) {
+ if (step > 0) {
+ removeClass(incrementals[snum][incpos - 1],'current');
+ removeClass(incrementals[snum][incpos], 'incremental');
+ if(tranSitions && s5mode && fadeModus) {
+ if(!incrementals[snum][incpos].id) {
+ var tmp = new Date(); tid = "inc" + String(tmp.getTime());
+ incrementals[snum][incpos].id = tid;
+ }else {
+ tid = incrementals[snum][incpos].id;
+ }
+ if(typeof(incrementals[snum][incpos].src) != "undefined" || incrementals[snum][incpos].getContext) {
+ changeOpac(0,tid);
+ addClass(incrementals[snum][incpos],'current');
+ shiftOpacity(tid,incrDuration);
+ setTimeout("nextInc()",incrDuration);
+ }else {
+ addClass(incrementals[snum][incpos],'current');
+ nextInc();
+ }
+ }else {
+ addClass(incrementals[snum][incpos],'current');
+ nextInc();
+ }
+ } else {
+ incpos--;
+ removeClass(incrementals[snum][incpos],'current');
+ addClass(incrementals[snum][incpos], 'incremental');
+ addClass(incrementals[snum][incpos - 1],'current');
+ loadNote();
+ }
+}
+
+function nextInc() {
+ incpos++;
+ loadNote();
+}
+
+function toggle() {
+ var slideColl = GetElementsWithClassName('*','slide');
+ var slides = document.getElementById('slideProj');
+ var outline = document.getElementById('outlineStyle');
+ var guru = document.getElementById('guru');
+ if (!slides.disabled) {
+ stopPlay();
+ if(audioSupport && !swfUnloaded) stopAllSounds();
+ slides.disabled = true;
+ outline.disabled = false;
+ s5mode = false;
+ fontSize(1,'em');
+ for (var n = 0; n < smax; n++) {
+ var slide = slideColl[n];
+ slide.style.visibility = 'visible';
+ }
+ if(guru) guru.style.visibility = 'hidden';
+ } else {
+ slides.disabled = false;
+ outline.disabled = true;
+ s5mode = true;
+ fontScale();
+ for (var n = 0; n < smax; n++) {
+ var slide = slideColl[n];
+ slide.style.visibility = 'hidden';
+ }
+ slideColl[snum].style.visibility = 'visible';
+ if(guru && snum==0) guru.style.visibility = 'visible';
+ }
+}
+
+function showHide(action) {
+ var obj = GetElementsWithClassName('*','hideme')[0];
+ switch (action) {
+ case 's':
+ obj.style.visibility = 'visible';
+ break;
+ case 'h':
+ obj.style.visibility = 'hidden';
+ break;
+ case 'k':
+ if (obj.style.visibility != 'visible') {
+ obj.style.visibility = 'visible';
+ } else {
+ obj.style.visibility = 'hidden';
+ }
+ break;
+ }
+}
+
+function keys(key) {
+ if (!key) {
+ key = event;
+ key.which = key.keyCode;
+ }
+ if (helpmode) {
+ dumpHelpReq();
+ return;
+ }
+ if (key.which == 84 && !isOp) {
+ toggle();
+ return;
+ }
+ if (s5mode) {
+ if (autoMatic) {
+ switch (key.which) {
+ case 70: // f/ading on/off
+ switchFade();
+ break;
+ case 83: // s/ound on/off
+ toggleSounds();
+ break;
+ case 67: // c
+ showHide('k');
+ break;
+ case 65: // a/utoplay on/off
+ stopPlay();
+ break;
+ case 76: // l/ooping on/off
+ switchLoop();
+ break;
+ case 80: // p/ause
+ case 32: // spacebar
+ pausePlay();
+ break;
+ }
+ }else {
+ switch (key.which) {
+ case 8: // backspace = HELP
+ createHelpReq();
+ break;
+ case 10: // return
+ case 13: // enter
+ if (window.event && isParentOrSelf(window.event.srcElement, 'controls')) return;
+ if (key.target && isParentOrSelf(key.target, 'controls')) return;
+ if(number != undef) {
+ goTo(number);
+ break;
+ }
+ case 32: // spacebar
+ case 34: // page down
+ case 39: // rightkey
+ case 40: // downkey
+ if(number != undef) {
+ go(number);
+ } else if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ } else {
+ subgo(1);
+ }
+ break;
+ case 33: // page up
+ case 37: // leftkey
+ case 38: // upkey
+ if(number != undef) {
+ go(-1 * number);
+ } else if (!incrementals[snum] || incpos <= 0) {
+ go(-1);
+ } else {
+ subgo(-1);
+ }
+ break;
+ case 65: // a/utoplay
+ startPlay();
+ break;
+ case 72: // h
+ case 36: // home
+ goTo(0);
+ break;
+ case 69: // e
+ case 35: // end
+ goTo(smax-1);
+ break;
+ case 70: // f/ade transitions on/off
+ switchFade();
+ break;
+ case 76: // l/ooping on/off
+ switchLoop();
+ break;
+ case 83: // s/ound support on/off
+ toggleSounds();
+ break;
+ case 27: // escape
+ case 81: // q
+ if(!isOp) byby();
+ break;
+ case 67: // c
+ showHide('k');
+ break;
+ case 78: // n
+ createNotesWindow();
+ break;
+ }
+ if (key.which < 48 || key.which > 57) {
+ number = undef;
+ } else {
+ if (window.event && isParentOrSelf(window.event.srcElement, 'controls')) return;
+ if (key.target && isParentOrSelf(key.target, 'controls')) return;
+ number = (((number != undef) ? number : 0) * 10) + (key.which - 48);
+ }
+ }
+ }
+ return false;
+}
+
+function clicker(e) {
+ number = undef;
+ var target;
+ if (window.event) {
+ target = window.event.srcElement;
+ e = window.event;
+ } else {
+ target = e.target;
+ }
+ if (target.href != null || hasValue(target.rel, 'external') || isParentOrSelf(target, 'controls') || isParentOrSelf(target,'embed') || isParentOrSelf(target,'object')) return true;
+ if (!helpmode) {
+ if (!e.which || e.which == 1) {
+ if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ } else {
+ subgo(1);
+ }
+ }
+ } else {
+ dumpHelpReq();
+ }
+}
+
+function findSlide(hash) {
+ var target = null;
+ var slides = GetElementsWithClassName('*','slide');
+ for (var i = 0; i < slides.length; i++) {
+ var targetSlide = slides[i];
+ if ( (targetSlide.name && targetSlide.name == hash)
+ || (targetSlide.id && targetSlide.id == hash) ) {
+ target = targetSlide;
+ break;
+ }
+ }
+ while(target != null && target.nodeName != 'BODY') {
+ if (hasClass(target, 'slide')) {
+ return parseInt(target.id.slice(5));
+ }
+ target = target.parentNode;
+ }
+ return null;
+}
+
+function slideJump() {
+ if (window.location.hash == null) return;
+ var sregex = /^#slide(\d+)$/;
+ var matches = sregex.exec(window.location.hash);
+ var dest = null;
+ if (matches != null) {
+ dest = parseInt(matches[1]);
+ } else {
+ dest = findSlide(window.location.hash.slice(1));
+ }
+ if (dest != null)
+ go(dest - snum);
+}
+
+function fixLinks() {
+ var thisUri = window.location.href;
+ thisUri = thisUri.slice(0, thisUri.length - window.location.hash.length);
+ var aelements = document.getElementsByTagName('A');
+ for (var i = 0; i < aelements.length; i++) {
+ var a = aelements[i].href;
+ var slideID = a.match('\#slide[0-9]{1,2}');
+ if ((slideID) && (slideID[0].slice(0,1) == '#')) {
+ var dest = findSlide(slideID[0].slice(1));
+ if (dest != null) {
+ if (aelements[i].addEventListener) {
+ aelements[i].addEventListener("click", new Function("e",
+ "if (document.getElementById('slideProj').disabled) return;" +
+ "go("+dest+" - snum); " +
+ "if (e.preventDefault) e.preventDefault();"), true);
+ } else if (aelements[i].attachEvent) {
+ aelements[i].attachEvent("onclick", new Function("",
+ "if (document.getElementById('slideProj').disabled) return;" +
+ "go("+dest+" - snum); " +
+ "event.returnValue = false;"));
+ }
+ }
+ }
+ }
+}
+
+function externalLinks() {
+ if (!document.getElementsByTagName) return;
+ var anchors = document.getElementsByTagName('a');
+ for (var i=0; i<anchors.length; i++) {
+ var anchor = anchors[i];
+ if (anchor.getAttribute('href') && hasValue(anchor.rel, 'external')) {
+ anchor.target = '_blank';
+ addClass(anchor,'external');
+ }
+ }
+}
+
+function permaLink() {
+ document.getElementById('plink').href = window.location.pathname + '#slide' + snum;
+}
+
+function createControls() {
+ var controlsDiv = document.getElementById("controls");
+ if (!controlsDiv) return;
+ var hider = ' onmouseover="showHide(\'s\');" onmouseout="showHide(\'h\');"';
+ var hideDiv, hideList = '';
+ if (controlVis == 'hidden') {
+ hideDiv = hider;
+ } else {
+ hideList = hider;
+ }
+ if(isOp) {
+ var str = '';
+ }else {
+ var str = '<a accesskey="t" id="sheet" title="toggle CSS" href="javascript:toggle();">&plusmn;<\/a>';
+ }
+ if(isIE) {
+ var tmp = "move around&xA0;until the color&xA0;change to red!";
+ }else if(isS2) {
+ var tmp = "move around\r\nuntil the color\r\nchange to red!";
+ }else {
+ var tmp = "move around until color change to red!";
+ }
+ if(isIE) {
+ controlsDiv.innerHTML = str +
+ '<form action="#" id="controlForm"' + hideDiv + '>' +
+ '<div id="navLinks" title="press [backspace] for keyboard help!"><ul>' +
+ '<li id="show-notes"><a href="javascript:createNotesWindow();" accesskey="n" title="show Notes"><\/a><\/li>' +
+ '<li id="toggle"><a href="javascript:toggle();" accesskey="t" title="toggle CSS"><\/a><\/li>' +
+ '<li id="zero"><a href="javascript:goTo(0);" accesskey="h" title="goto Start Slide"><\/a><\/li>' +
+ '<li id="prev"><a href="javascript:go(-1);" accesskey="y" title="previous Slide"><\/a><\/li>' +
+ '<li id="next"><a href="javascript:go(1);" accesskey="x" title="next Slide"><\/a><\/li>' +
+ '<li id="last"><a href="javascript:goTo(smax-1);" accesskey="e" title="goto Last Slide"><\/a><\/li>' +
+ '<li id="lst" style="vertical-align: middle; width: 160px;"><select style="cursor: auto; width: 160px; height: auto;" id="jumplist" title="select named Slide" onchange="go(\'j\');"><\/select><\/li>' +
+ '<li id="auto"><a class="isoff" href="javascript:togglePlay();" accesskey="a" title="Auto Play"><\/a><\/li>' +
+ '<li id="pause"><a class="isoff" href="javascript:pausePlay();" accesskey="p" title="Pause"><\/a><\/li>' +
+ '<li id="loop"><a class="isoff" href="javascript:switchLoop();" accesskey="l" title="Loop"><\/a><\/li>' +
+ '<li id="del" style="vertical-align: middle; width: 52px;"><select style="cursor: auto; width: 52px; height: auto;" id="delaylist" title="select Delay" onchange="setDelay();"><option value="90">90<\/option><option value="75">75<\/option><option value="60">60<\/option><option value="45">45<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="15">15<\/option><option value="10">10<\/option><option value="5">5<\/option><\/select><\/li>' +
+ '<\/ul><\/div><\/form>';
+ }else {
+ controlsDiv.innerHTML = str +
+ '<form action="#" id="controlForm"' + hideDiv + '>' +
+ '<div id="navLinks" title="press [backspace] or double click this area for keyboard help!" ondblclick="createHelpReq();"><ul>' +
+ '<li id="exit"><a href="javascript:byby();" accesskey="q" title="exit Show"><\/a><\/li>' +
+ '<li id="show-notes"><a href="javascript:createNotesWindow();" accesskey="n" title="show Notes"><\/a><\/li>' +
+ '<li id="toggle"><a href="javascript:toggle();" accesskey="t" title="toggle CSS"><\/a><\/li>' +
+ '<li class="vr"><\/li>' +
+ '<li id="zero"><a href="javascript:goTo(0);" accesskey="h" title="goto Start Slide"><\/a><\/li>' +
+ '<li id="prev"><a href="javascript:go(-1);" accesskey="y" title="previous Slide"><\/a><\/li>' +
+ '<li id="next"><a href="javascript:go(1);" accesskey="x" title="next Slide"><\/a><\/li>' +
+ '<li id="last"><a href="javascript:goTo(smax-1);" accesskey="e" title="goto Last Slide"><\/a><\/li>' +
+ '<li id="lst"><a id="list" style="cursor:wait;" title="' + tmp + '"><\/a><select id="jumplist" title="select named Slide" onchange="go(\'j\');"><\/select><\/li>' +
+ '<li id="fadeLinks" class="vr"><\/li>' +
+ '<li id="fade"><a class="isoff" href="javascript:switchFade();" accesskey="f" title="Transions"><\/a><\/li>' +
+ '<li id="audioLinks" class="vr"><\/li>' +
+ '<li id="audio"><a class="isoff" href="javascript:toggleSounds();" accesskey="s" title="Sounds" ><\/a><\/li>' +
+ '<li id="vol"><a id="volume" style="cursor:wait;" title="' + tmp + '"><\/a><select id="volumelist" title="select Volume" onchange="setVolume();"><option value="100">100<\/option><option value="90">90<\/option><option value="80">80<\/option><option value="70">70<\/option><option value="60">60<\/option><option value="50">50<\/option><option value="40">40<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="10">10<\/option><option value="0">0<\/option><\/select><\/li>' +
+ '<li id="autoLinks" class="vr"><\/li>' +
+ '<li id="auto"><a class="isoff" href="javascript:togglePlay();" accesskey="a" title="Auto Play"><\/a><\/li>' +
+ '<li id="pause"><a class="isoff" href="javascript:pausePlay();" accesskey="p" title="Pause"><\/a><\/li>' +
+ '<li id="loop"><a class="isoff" href="javascript:switchLoop();" accesskey="l" title="Loop"><\/a><\/li>' +
+ '<li id="del"><a id="delay" style="cursor:wait;" title="' + tmp + '"><\/a><select id="delaylist" title="select Delay" onchange="setDelay();"><option value="90">90<\/option><option value="75">75<\/option><option value="60">60<\/option><option value="45">45<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="15">15<\/option><option value="10">10<\/option><option value="5">5<\/option><\/select><\/li>' +
+ '<\/ul>' +
+ '<a href="http://s5.netzgesta.de" target="_blank" title="S5 Reloaded"><img style="position: absolute; right: 0; bottom: 0;" src="data:image/png;charset=utf-8;base64,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" width="20" height="32" alt="S5" \/><\/a>' +
+ '<\/div><\/form>';
+ }
+ if (controlVis == 'hidden') {
+ var hidden = document.getElementById('navLinks');
+ } else {
+ var hidden = document.getElementById('jumplist');
+ }
+ addClass(hidden,'hideme');
+}
+
+function fontScale() { // causes layout problems in FireFox that get fixed if browser's Reload is used; same may be true of other Gecko-based browsers
+ if (!s5mode && !isOp) return false;
+ var hScreen = screen.width; var vScreen = screen.height;
+ var vWindow = window.outerHeight; var hWindow = window.outerWidth;
+ if (isOp && s5mode && defaultView=='slideshow' && ((hScreen != hWindow) || (vScreen != vWindow))) {
+ toggle();
+ return false;
+ }
+ if (isOp && !s5mode && ((hScreen != hWindow) || (vScreen != vWindow))) return false;
+ if (isOp && !s5mode && (hScreen == hWindow) && (vScreen == vWindow)) toggle();
+ var vScale = 48; // both yield 16 (the usual browser default) at 1024x768
+ var hScale = 64; // perhaps should auto-calculate based on theme's declared value?
+ if (window.innerHeight) {
+ var vSize = window.innerHeight;
+ var hSize = window.innerWidth;
+ } else if (document.documentElement.clientHeight) {
+ var vSize = document.documentElement.clientHeight;
+ var hSize = document.documentElement.clientWidth;
+ } else if (document.body.clientHeight) {
+ var vSize = document.body.clientHeight;
+ var hSize = document.body.clientWidth;
+ } else {
+ var vSize = 700; // assuming 1024x768, minus chrome and such equals 8:5
+ var hSize = 1024; // these do not account for kiosk mode or Opera Show
+ }
+ var newSize = Math.min(Math.round(vSize/vScale),Math.round(hSize/hScale));
+ extendImgSizes(newSize); // scalable images extension
+ extendCanSizes(newSize); // scalable canvas extension
+ extendObjSizes(newSize); // scalable object extension
+ fontSize(newSize,"px");
+ if(!isS2 || firstTime==0) {
+ generateCanvas(); // dynamic canvas extension
+ }
+ if (isGe) { // hack to counter incremental reflow bugs
+ var obj = document.getElementsByTagName('body')[0];
+ obj.style.visibility = 'hidden';
+ obj.style.display = 'none';
+ obj.style.display = 'block';
+ obj.style.visibility = 'visible';
+ changeOpac(100,'slide' + snum);
+ shiftOpacity('slide' + snum,10);
+ window.setTimeout("fixReflow()",10);
+ }else {
+ setListPos(); // invisible select extension
+ }
+}
+
+function fixReflow() {
+ shiftOpacity('slide' + snum,10);
+ window.setTimeout("finishReflow()",10);
+}
+function finishReflow() {
+ setListPos(); // invisible select extension
+}
+
+function fontSize(val,fmt) {
+ var value = val + fmt;
+ if (!(s5ss = document.getElementById('s5ss'))) {
+ if (!document.createStyleSheet) {
+ document.getElementsByTagName('head')[0].appendChild(s5ss = document.createElement('style'));
+ s5ss.setAttribute('media','screen, projection');
+ s5ss.setAttribute('id','s5ss');
+ } else {
+ document.createStyleSheet();
+ document.s5ss = document.styleSheets[document.styleSheets.length - 1];
+ }
+ }
+ if (!(document.s5ss && document.s5ss.addRule)) {
+ while (s5ss.lastChild) s5ss.removeChild(s5ss.lastChild);
+ s5ss.appendChild(document.createTextNode('html {font-size: ' + value + ' !important;}'));
+ } else {
+ document.s5ss.addRule('html','font-size: ' + value + ' !important;');
+ }
+}
+
+function windowChange() {
+ fontScale();
+}
+
+function notOperaFix() {
+ slideCSS = document.getElementById('slideProj').href;
+ var slides = document.getElementById('slideProj');
+ var outline = document.getElementById('outlineStyle');
+ slides.setAttribute('media','screen');
+ outline.disabled = true;
+ if (isGe) {
+ slides.setAttribute('href','null'); // Gecko fix
+ slides.setAttribute('href',slideCSS); // Gecko fix
+ }
+ if ((isIE && notIE7) && document.styleSheets && document.styleSheets[0]) {
+ document.styleSheets[0].addRule('img', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ document.styleSheets[0].addRule('div', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ document.styleSheets[0].addRule('.slide', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ }
+}
+
+function getIncrementals(obj) {
+ var incrementals = new Array();
+ if (!obj)
+ return incrementals;
+ var children = obj.childNodes;
+ for (var i = 0; i < children.length; i++) {
+ var child = children[i];
+ if (hasClass(child, 'incremental')) {
+ if (child.nodeName == 'OL' || child.nodeName == 'UL') {
+ removeClass(child, 'incremental');
+ for (var j = 0; j < child.childNodes.length; j++) {
+ if (child.childNodes[j].nodeType == 1) {
+ addClass(child.childNodes[j], 'incremental');
+ }
+ }
+ } else {
+ incrementals[incrementals.length] = child;
+ removeClass(child,'incremental');
+ }
+ }
+ if (hasClass(child, 'show-first')) {
+ if (child.nodeName == 'OL' || child.nodeName == 'UL') {
+ removeClass(child, 'show-first');
+ if (child.childNodes[isGe].nodeType == 1) {
+ removeClass(child.childNodes[isGe], 'incremental');
+ }
+ } else {
+ incrementals[incrementals.length] = child;
+ }
+ }
+ incrementals = incrementals.concat(getIncrementals(child));
+ }
+ return incrementals;
+}
+
+function createIncrementals() {
+ var incrementals = new Array();
+ for (var i = 0; i < smax; i++) {
+ incrementals[i] = getIncrementals(document.getElementById('slide'+i));
+ }
+ return incrementals;
+}
+
+function trap(e) {
+ if (!e) {
+ e = event;
+ e.which = e.keyCode;
+ }
+ try {
+ modifierKey = e.ctrlKey || e.altKey || e.metaKey;
+ }
+ catch(e) {
+ modifierKey = false;
+ }
+ return modifierKey || e.which == 0;
+}
+
+// notes extension
+function noteLabel() { // Gives notes id's to match parent slides
+ var notes = GetElementsWithClassName('div','notes');
+ for (var i = 0; i < notes.length; i++) {
+ var note = notes[i];
+ var id = 'note' + note.parentNode.id.substring(5);
+ note.setAttribute('id',id);
+ }
+ resetElapsedSlide();
+ resetRemainingTime();
+ window.setInterval('updateElaspedTime()', 1000);
+}
+
+function createNotesWindow() { // creates a window for our notes
+ if (!s5NotesWindow || s5NotesWindow.closed) { // Create the window if it doesn't exist
+ s5NotesWindowLoaded = false;
+ // Note: Safari has a tendency to ignore window options preferring to default to the settings of the parent window, grr.
+ s5NotesWindow = window.open(s5Path+'ui/s5-notes.html', 's5NotesWindow', 'top=0,left=0');
+ }
+ if (s5NotesWindowLoaded) { // Load the current note if the Note HTML has loaded
+ loadNote();
+ } else { // Keep trying...
+ window.setTimeout('createNotesWindow()', 50);
+ }
+}
+
+function loadNote() {
+// Loads a note into the note window
+ var notes = nextNotes = '<em class="disclaimer">There are no notes for this slide.</em>';
+ if (document.getElementById('note' + snum)) {
+ notes = document.getElementById('note' + snum).innerHTML;
+ }
+ if (document.getElementById('note' + (snum + 1))) {
+ nextNotes = document.getElementById('note' + (snum + 1)).innerHTML;
+ }
+
+ var jl = document.getElementById('jumplist');
+ var slideTitle = jl.options[jl.selectedIndex].text.replace(/^\d+\s+:\s+/, '') + ((jl.selectedIndex) ? ' (' + jl.selectedIndex + '/' + (smax - 1) + ')' : '');
+ if (incrementals[snum].length > 0) {
+ slideTitle += ' <small>[' + incpos + '/' + incrementals[snum].length + ']</small>';
+ }
+ if (jl.selectedIndex < smax - 1) {
+ var nextTitle = jl.options[jl.selectedIndex + 1].text.replace(/^\d+\s+:\s+/, '') + ((jl.selectedIndex + 1) ? ' (' + (jl.selectedIndex + 1) + '/' + (smax - 1) + ')' : '');
+ } else {
+ var nextTitle = '[end of slide show]';
+ }
+
+ if (s5NotesWindow && !s5NotesWindow.closed && s5NotesWindow.document) {
+ s5NotesWindow.document.getElementById('slide').innerHTML = slideTitle;
+ s5NotesWindow.document.getElementById('notes').innerHTML = notes;
+ s5NotesWindow.document.getElementById('next').innerHTML = nextTitle;
+ s5NotesWindow.document.getElementById('nextnotes').innerHTML = nextNotes;
+ }
+ resetElapsedSlide();
+}
+
+function minimizeTimer(id) {
+ var obj = s5NotesWindow.document.getElementById(id);
+ if (hasClass(obj,'collapsed')) {
+ removeClass(obj,'collapsed');
+ } else {
+ addClass(obj,'collapsed');
+ }
+}
+
+function resetElapsedTime() {
+ presentationStart = new Date();
+ slideStart = new Date();
+ updateElaspedTime();
+}
+
+function resetElapsedSlide() {
+ if (snum != previousSlide) {
+ slideStart = new Date();
+ previousSlide = snum;
+ updateElaspedTime();
+ }
+}
+
+function updateElaspedTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var now = new Date();
+ var ep = s5NotesWindow.document.getElementById('elapsed-presentation');
+ var es = s5NotesWindow.document.getElementById('elapsed-slide');
+ ep.innerHTML = formatTime(now.valueOf() - presentationStart.valueOf());
+ es.innerHTML = formatTime(now.valueOf() - slideStart.valueOf());
+}
+
+function resetRemainingTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var startField = s5NotesWindow.document.getElementById('startFrom');
+ startFrom = readTime(startField.value);
+ countdown.remaining = startFrom * 60000; // convert to msecs
+ countdown.start = new Date().valueOf();
+ countdown.end = countdown.start + countdown.remaining;
+ var tl = s5NotesWindow.document.getElementById('timeLeft');
+ var timeLeft = formatTime(countdown.remaining);
+ tl.innerHTML = timeLeft;
+}
+
+function updateRemainingTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var tl = s5NotesWindow.document.getElementById('timeLeft');
+ var now = new Date();
+ if (countdown.state == 'run') {
+ countdown.remaining = countdown.end - now;
+ }
+ tl.style.color = '';
+ tl.style.backgroundColor = '';
+ if (countdown.remaining >= 0) {
+ var timeLeft = formatTime(countdown.remaining);
+ removeClass(tl,'overtime');
+ if (countdown.remaining < 300000) {
+ tl.style.color = 'rgb(' + (255-Math.round(countdown.remaining/2000)) + ',0,0)';
+ tl.style.backgroundColor = 'rgb(255,255,' + (Math.round(countdown.remaining/2000)) + ')';
+ }
+ } else {
+ var timeLeft = '-' + formatTime(-countdown.remaining);
+ addClass(tl,'overtime');
+ }
+ tl.innerHTML = timeLeft;
+}
+
+function toggleRemainingTime() {
+ if (countdown.state == 'pause') countdown.state = 'run'; else countdown.state = 'pause';
+ if (countdown.state == 'pause') {
+ window.clearInterval(countdown.timer);
+ }
+ if (countdown.state == 'run') {
+ countdown.start = new Date().valueOf();
+ countdown.end = countdown.start + countdown.remaining;
+ countdown.timer = window.setInterval('updateRemainingTime()', 1000);
+ }
+}
+
+function alterRemainingTime(amt) {
+ var change = amt * 60000; // convert to msecs
+ countdown.end += change;
+ countdown.remaining += change;
+ updateRemainingTime();
+}
+
+function formatTime(msecs) {
+ var time = new Date(msecs);
+
+ var hrs = time.getUTCHours() + ((time.getUTCDate() -1) * 24); // I doubt anyone will spend more than 24 hours on a presentation or single slide but just in case...
+ hrs = (hrs < 10) ? '0'+hrs : hrs;
+ if (hrs == 'NaN' || isNaN(hrs)) hrs = '--';
+
+ var min = time.getUTCMinutes();
+ min = (min < 10) ? '0'+min : min;
+ if (min == 'NaN' || isNaN(min)) min = '--';
+
+ var sec = time.getUTCSeconds();
+ sec = (sec < 10) ? '0'+sec : sec;
+ if (sec == 'NaN' || isNaN(sec)) sec = '--';
+
+ return hrs + ':' + min + ':' + sec;
+}
+
+function readTime(val) {
+ var sregex = /:/;
+ var matches = sregex.exec(val);
+ if (matches == null) {
+ return val;
+ } else {
+ var times = val.split(':');
+ var hours = parseInt(times[0]);
+ var mins = parseInt(times[1]);
+ var total = (hours * 60) + mins;
+ return total;
+ }
+}
+// notes extension
+
+// startup process
+function createSlideShow() {
+ defaultCheck();
+ if(!isIE) createDetector(); // (degrade IE) scalable images extension
+ if(opac!=0 || isIE) { // &&!isIE (degrade IE)
+ tranSitions = false;
+ fadeModus = false;
+ }
+ if(tranSitions && document.getElementById && document.createElement){
+ createProgress();
+ var nop=document.getElementById('StartupControl');
+ nop.onload = dumpProgress;
+ }else {
+ startup();
+ showAll();
+ setListPos(true); // invisible select extension
+ panelSetup();
+ audioSetup(); // audio extension
+ if(isS2 && firstTime>=1) {
+ generateCanvas(); // dynamic canvas extension
+ } firstTime = 0;
+ }
+}
+
+function defaultCheck() {
+ var allMetas = document.getElementsByTagName('meta');
+ for (var i = 0; i< allMetas.length; i++) {
+ if (allMetas[i].name == 'defaultView') {
+ defaultView = allMetas[i].content;
+ }
+ if (allMetas[i].name == 'controlVis') {
+ controlVis = allMetas[i].content;
+ }
+ if (allMetas[i].name == 'tranSitions') {
+ tranSitions = (allMetas[i].content == "true") ? true : false;
+ fadeModus = (tranSitions == true) ? true : false;
+ }
+ if (allMetas[i].name == 'fadeDuration') {
+ var tmp = parseInt(allMetas[i].content);
+ fadeDuration = Math.max(200,Math.min(tmp,2000));
+ }
+ if (allMetas[i].name == 'incrDuration') {
+ var tmp = parseInt(allMetas[i].content);
+ incrDuration = Math.max(50,Math.min(tmp,500));
+ }
+ if (allMetas[i].name == 'autoMatic') {
+ autoMatic = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'playLoop') {
+ playLoop = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'playDelay') {
+ var tmp = parseInt(allMetas[i].content);
+ playDelay = Math.max(5,Math.min(tmp,90))*1000;
+ playDelay = (fadeModus == true) ? (playDelay+(2*fadeDuration)) : playDelay;
+ }
+ if (allMetas[i].name == 'audioSupport') {
+ audioSupport = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'audioVolume') {
+ var tmp = parseInt(allMetas[i].content);
+ audioVolume = Math.max(0,Math.min(tmp,100));
+ }
+ if (allMetas[i].name == 'audioError') {
+ audioError = (allMetas[i].content == "true") ? true : false;
+ }
+ }
+}
+
+function createProgress() {
+ var obj = document.getElementsByTagName("body")[0].firstChild;
+ var pg = document.createElement('div');
+ pg.id = "StartupProgress";
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=100)";
+ }else {
+ pg.style.opacity = 1.0;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(255, 255, 255)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/progress.gif)";
+ document.getElementsByTagName("body")[0].insertBefore(pg,obj);
+
+ var im = document.createElement('img');
+ im.id = "StartupControl";
+ im.src = s5Path+"ui/graphic_support/blank.gif?" + new Date().valueOf();
+ document.getElementsByTagName("body")[0].appendChild(im);
+}
+
+function startup() {
+ createControls(); // hallvord
+ slideLabel();
+ incrementals = createIncrementals();
+ noteLabel(); // [SI:060104] must follow slideLabel()
+ loadNote();
+ fixLinks();
+ externalLinks();
+ fontScale();
+ if (!isOp) {
+ if(isIE) {
+ document.getElementById('lst').style.backgroundImage = 'none';
+ document.getElementById('del').style.backgroundImage = 'none';
+ }else {
+ document.getElementById('audio').style.backgroundImage = 'none';
+ }
+ notOperaFix();
+ }else if(isOp) {
+ var obj = document.getElementById('exit');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('exit').style.opacity = 0.5;
+ obj = document.getElementById('toggle');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('toggle').style.opacity = 0.5;
+ document.getElementById('jumplist').style.visibility = 'hidden';
+ document.getElementById('list').style.visibility = 'hidden';
+ document.getElementById('lst').style.opacity = 0.5;
+ obj = document.getElementById('audio');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('audio').style.opacity = 0.5;
+ document.getElementById('volumelist').style.visibility = 'hidden';
+ document.getElementById('volume').style.visibility = 'hidden';
+ document.getElementById('vol').style.opacity = 0.5;
+ document.getElementById('delaylist').style.visibility = 'hidden';
+ document.getElementById('delay').style.visibility = 'hidden';
+ document.getElementById('del').style.opacity = 0.5;
+ }
+ slideJump();
+ if (defaultView == 'outline') toggle();
+ document.onkeyup = keys;
+ document.onkeypress = trap;
+ document.onclick = clicker;
+}
+
+function preloadImgages() {
+ var temp = '';
+ var objects = document.getElementsByTagName('img');
+ for (var i=0; i < objects.length; i++) {
+ if(objects[i].src != '') {
+ temp = new Image();
+ temp.src = objects[i].src;
+ }
+ }
+}
+
+function showAll() {
+ var obj1 = GetElementsWithClassName('div','presentation')[0];
+ if(!obj1) var obj1 = GetElementsWithClassName('ol','presentation')[0];
+ var obj2 = GetElementsWithClassName('div','layout')[0];
+ if(!obj1){}else {obj1.style.display = 'block'};
+ if(!obj2){}else {obj2.style.display = 'block'};
+}
+
+function dumpProgress() {
+ document.body.removeChild(document.getElementById('StartupControl'));
+ startup();
+ preloadImgages();
+ showAll();
+ createSoundManagerScript();
+ shiftOpacity('StartupProgress',1000);
+ window.setTimeout("removeProgress()",1000);
+}
+
+function removeProgress() {
+ document.body.removeChild(document.getElementById('StartupProgress'));
+ setListPos(true); // invisible select extension
+ panelSetup();
+ audioSetup(); // audio extension
+ if(isS2 && firstTime>=1) {
+ generateCanvas(); // dynamic canvas extension
+ } firstTime = 0;
+}
+function panelSetup() {
+ if(playPause) {
+ var obj = document.getElementById('pause'); changeClass(obj,'ison');
+ }
+ if(playLoop) {
+ var obj = document.getElementById('loop'); changeClass(obj,'ison');
+ }
+ if(audioSupport && !isIE && !isOp) {
+ var obj = document.getElementById('audio'); changeClass(obj,'ison');
+ }
+ if(fadeModus && !isIE) {
+ var obj = document.getElementById('fade'); changeClass(obj,'ison');
+ }
+ if(autoMatic) {
+ var obj = document.getElementById('auto'); changeClass(obj,'ison');
+ startPlay();
+ }
+ if(audioVolume && !isIE && !isOp) {
+ var idx = 0;
+ if(audioVolume >= 95 && audioVolume <= 100) {idx = 0;}
+ else if(audioVolume >= 85 && audioVolume < 95) {idx = 1;}
+ else if(audioVolume >= 75 && audioVolume < 85) {idx = 2;}
+ else if(audioVolume >= 65 && audioVolume < 75) {idx = 3;}
+ else if(audioVolume >= 55 && audioVolume < 65) {idx = 4;}
+ else if(audioVolume >= 45 && audioVolume < 55) {idx = 5;}
+ else if(audioVolume >= 35 && audioVolume < 45) {idx = 6;}
+ else if(audioVolume >= 25 && audioVolume < 35) {idx = 7;}
+ else if(audioVolume >= 15 && audioVolume < 25) {idx = 8;}
+ else if(audioVolume >= 5 && audioVolume < 15) {idx = 9;}
+ else {idx = 10;}
+ document.getElementById('volumelist').selectedIndex = idx;
+ }
+ if(playDelay && !isOp) {
+ var idx = 0; var vol = parseInt(playDelay/1000);
+ if(vol >= 83 && vol <= 90) {idx = 0;}
+ else if(vol >= 68 && vol < 83) {idx = 1;}
+ else if(vol >= 53 && vol < 68) {idx = 2;}
+ else if(vol >= 38 && vol < 53) {idx = 3;}
+ else if(vol >= 25 && vol < 38) {idx = 4;}
+ else if(vol >= 18 && vol < 25) {idx = 5;}
+ else if(vol >= 13 && vol < 18) {idx = 6;}
+ else if(vol >= 8 && vol < 13) {idx = 7;}
+ else {idx = 8;}
+ document.getElementById('delaylist').selectedIndex = idx;
+ }
+}
+// startup process
+
+// shutdown process
+function byby() {
+ stopPlay();
+ if(tranSitions && fadeModus && s5mode && !isOp) {
+ fadeoutSound(curSoundID,true); // audio support
+ var pg = document.createElement('div');
+ pg.id = "GoodBy";
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=0)";
+ }else {
+ pg.style.opacity = 0.0;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(255, 255, 255)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/finish.gif)";
+ document.getElementsByTagName("body")[0].appendChild(pg);
+ shiftOpacity('GoodBy',1000);
+ window.setTimeout("history.back()",1000);
+ }else {
+ stopSound(curSoundID);
+ history.back();
+ }
+}
+// shutdown process
+
+// scalable images extension
+function createDetector() {
+ var em = document.createElement('div');
+ em.id='EMSizeControl'; em.style.position="absolute"; em.style.left="-999px";
+ em.style.width="1em"; em.style.height="1em"; em.style.opacity=0.0;
+ document.getElementsByTagName("body")[0].appendChild(em);
+ var nop=document.getElementById('EMSizeControl');
+ if(!nop||findPosX(nop)!=-999) {}else {
+ opac=document.getElementById('EMSizeControl').style.opacity;
+ empx=document.getElementById('EMSizeControl').offsetHeight;
+ document.body.removeChild(document.getElementById('EMSizeControl'));
+ var objects = document.getElementsByTagName('img');
+ var j = 0; var i = 0; var k = 0; var d; var obj;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ images[j] = objects[i];
+ ++j;
+ }
+ }
+ var objects = document.getElementsByTagName('canvas'); j = 0;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ canvas[objects[i].id] = objects[i];
+ if(j==0) {
+ if(objects[i].getContext) {
+ canvasSupport = true;
+ }
+ ++j;
+ }
+ }
+ }
+ if(canvasSupport!=true) {
+ for (d in canvas) {
+ try {
+ canvas[d].setAttribute("width",1);
+ canvas[d].setAttribute("height",1);
+ } catch (e) {
+ }
+ }
+ }
+ var objects = document.getElementsByTagName('table');
+ j = 0; k = 0; l = 0; var w; var h; var tmp; var cnt;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^piechart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ piecharts[j] = objects[i];
+ em = piecharts[j].getAttribute("summary");
+ if(em != "") em = "," + em;
+ piecharts[j].setAttribute("summary", w + "," + h + em);
+ ++j;
+ }
+ }
+ }
+ if(objects[i].className.match(/^barchart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ barcharts[k] = objects[i];
+ em = barcharts[k].getAttribute("summary");
+ if(em != "") em = "," + em;
+ barcharts[k].setAttribute("summary", w + "," + h + em);
+ ++k;
+ }
+ }
+ }
+ if(objects[i].className.match(/^linechart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ linecharts[l] = objects[i];
+ em = linecharts[l].getAttribute("summary");
+ if(em != "") em = "," + em;
+ linecharts[l].setAttribute("summary", w + "," + h + em);
+ ++l;
+ }
+ }
+ }
+ }
+ objects = document.getElementsByTagName('object'); j = 0; i = 0;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ medias[j] = objects[i]; ++j;
+ if(!isIE) {
+ if(objects[i].getAttributeNode("classid")) objects[i].removeAttributeNode(objects[i].getAttributeNode("classid"));
+ if(objects[i].getAttributeNode("codebase")) objects[i].removeAttributeNode(objects[i].getAttributeNode("codebase"));
+ }
+ }
+ }
+ }
+}
+function extendImgSizes(f) {
+ if(empx>0) {
+ var q = (f/empx); var w = 0; var h = 0;
+ for(var i=0; i < images.length; i++) {
+ w=images[i].getAttribute("width",0);
+ h=images[i].getAttribute("height",0);
+ if(w>0&&h>0) {
+ images[i].style.width=Math.floor(w*q)+"px";
+ images[i].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+}
+
+function extendCanSizes(f) {
+ if(empx>0 && canvasSupport) {
+ var q = (f/empx); var w = 0; var h = 0; var tmp = ""; var cnt; var obj;
+ for(var i=0; i < piecharts.length; i++) {
+ if(piecharts[i].getAttribute("summary")) {
+ tmp = piecharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = piecharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ for(var i=0; i < barcharts.length; i++) {
+ if(barcharts[i].getAttribute("summary")) {
+ tmp = barcharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = barcharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ for(var i=0; i < linecharts.length; i++) {
+ if(linecharts[i].getAttribute("summary")) {
+ tmp = linecharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = linecharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ }
+}
+function extendObjSizes(f) {
+ if(empx>0) {
+ var q = (f/empx); var w = 0; var h = 0;
+ for(var i=0; i < medias.length; i++) {
+ w=medias[i].getAttribute("width",0);
+ h=medias[i].getAttribute("height",0);
+ if(w>0&&h>0) {
+ medias[i].style.width=Math.floor(w*q)+"px";
+ medias[i].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+}
+function findPosX(obj) {
+ var posLeft = 0;
+ while (obj.offsetParent) {
+ posLeft += obj.offsetLeft;
+ obj = obj.offsetParent;
+ }
+ return posLeft;
+}
+function findPosY(obj) {
+ var posTop = 0;
+ while (obj.offsetParent) {
+ posTop += obj.offsetTop;
+ obj = obj.offsetParent;
+ }
+ return posTop;
+}
+// scalable images extension
+
+// canvas chart extension
+function deg2rad(degrees) {
+ return Math.PI *degrees/180;
+}
+function rad2deg(radians) {
+ return 180.0 *radians/Math.PI;
+}
+function circle_point_x(radians, diameter) {
+ var x = Math.cos(radians)*(diameter/2);
+ return x;
+}
+function circle_point_y(radians, diameter) {
+ var y = Math.sin(radians)*(diameter/2);
+ return y;
+}
+function roundTo(val,dig) {
+ var num = val;
+ if (val > 8191 && val < 10485) {
+ val = val-5000;
+ num = Math.round(val*Math.pow(10,dig))/Math.pow(10,dig);
+ num = num+5000;
+ } else {
+ num = Math.round(val*Math.pow(10,dig))/Math.pow(10,dig);
+ }
+ return num;
+}
+function searchColor(value) {
+ for (var dat in colorNames) {
+ if(dat==value) return colorNames[dat];
+ }
+ return false;
+}
+function scanColor(value) {
+ if(value.match(/^#[0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ var val1 = value.substr(1,1).toLowerCase();
+ var val2 = value.substr(2,1).toLowerCase();
+ var val3 = value.substr(3,1).toLowerCase();
+ value = '#' + val1 + val1 + val2 + val2 + val3 + val3;
+ }
+ if(!value.match(/^#[0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ var tmp = searchColor(value.toLowerCase());
+ if(!tmp) {}else{value = tmp;}
+ }
+ if(!value.match(/^#[0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ value = '#000000';
+ }
+ return value.toLowerCase();
+}
+function hex2rgb(val,trans) {
+ if(val.length==7) {
+ var tp1 = Math.max(0,Math.min(parseInt(val.substr(1,2),16),255));
+ var tp2 = Math.max(0,Math.min(parseInt(val.substr(3,2),16),255));
+ var tp3 = Math.max(0,Math.min(parseInt(val.substr(5,2),16),255));
+ return 'rgba(' + tp1 + ',' + tp2 + ',' + tp3 + ',' + trans + ')';
+ }
+}
+function trim(str) {
+ return (str.replace(/\s+$/,"").replace(/^\s+/,""));
+}
+function roundedRect(ctx,x,y,width,height,radius){
+ ctx.beginPath();
+ ctx.moveTo(x,y+radius);
+ ctx.lineTo(x,y+height-radius);
+ ctx.quadraticCurveTo(x,y+height,x+radius,y+height);
+ ctx.lineTo(x+width-radius,y+height);
+ ctx.quadraticCurveTo(x+width,y+height,x+width,y+height-radius);
+ ctx.lineTo(x+width,y+radius);
+ ctx.quadraticCurveTo(x+width,y,x+width-radius,y);
+ ctx.lineTo(x+radius,y);
+ ctx.quadraticCurveTo(x,y,x,y+radius);
+ ctx.closePath();
+}
+function drawString(ctx, text, fc, tx, ty) {
+ var xp = 0; var c = "";
+ ctx.beginPath();
+ for (var i = 0; i < text.length; i++) {
+ c = text[i];
+ ctx.drawImage(font, signs[c].sx, signs[c].sy, signs[c].sw, signs[c].sh, tx+xp, ty, signs[c].sw*fc, signs[c].sh*fc);
+ xp += (signs[c].sw*fc);
+ }
+ ctx.closePath();
+}
+function strokeString(ctx, txt, col, fh, tx, ty) {
+ var fw = fh*0.666666; var lw = fh*0.125;
+ var ls = lw/2; var cr = lw; var xp = 0;
+ ctx.lineCap = "round"; ctx.lineJoin = "round"
+ ctx.lineWidth = lw; ctx.strokeStyle = col;
+ for (var i = 0; i < txt.length; i++) {
+ strokeSymbol(ctx, txt[i], ls, tx+xp, ty, fw, fh);
+ xp += (txt[i]!="."?fw+cr:(fw/2)+cr);
+ }
+}
+function strokeSymbol(ctx, symbol, fc, cx, cy, cw, ch) {
+ ctx.beginPath();
+ switch (symbol) {
+ case "0":
+ ctx.moveTo(cx+fc,cy+(ch*0.333333));
+ ctx.arc(cx+(cw/2),cy+(cw/2),(cw/2)-fc,deg2rad(180),0, false);
+ ctx.arc(cx+(cw/2),(cy+ch)-(cw/2),(cw/2)-fc,0,deg2rad(180), false);
+ ctx.closePath();
+ break;
+ case "1":
+ ctx.moveTo(cx+(cw*0.1)+fc,cy+ch-fc);
+ ctx.lineTo(cx+cw-fc,cy+ch-fc);
+ ctx.moveTo(cx+(cw*0.666666),cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.666666),cy+fc);
+ ctx.lineTo(cx+(cw*0.25),cy+(ch*0.25));
+ break;
+ case "2":
+ ctx.moveTo(cx+cw-fc,cy+(ch*0.8));
+ ctx.lineTo(cx+cw-fc,cy+ch-fc);
+ ctx.lineTo(cx+fc,cy+ch-fc);
+ ctx.arc(cx+(cw/2),cy+(cw*0.425),(cw*0.425)-fc,deg2rad(45),deg2rad(-180), true);
+ break;
+ case "3":
+ ctx.moveTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.9)-fc,cy+fc);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-90),deg2rad(180), false);
+ break;
+ case "4":
+ ctx.moveTo(cx+(cw*0.75),cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.75),cy+fc);
+ ctx.moveTo(cx+cw-fc,cy+(ch*0.666666));
+ ctx.lineTo(cx+fc,cy+(ch*0.666666));
+ ctx.lineTo(cx+(cw*0.75),cy+fc);
+ ctx.moveTo(cx+cw-fc,cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.5),cy+ch-fc);
+ break;
+ case "5":
+ ctx.moveTo(cx+(cw*0.9)-fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+(ch*0.333333));
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-80),deg2rad(180), false);
+ break;
+ case "6":
+ ctx.moveTo(cx+fc,cy+ch-(cw*0.5)-fc);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-180),deg2rad(180), false);
+ ctx.bezierCurveTo(cx+fc,cy+fc,cx+fc,cy+fc,cx+(cw*0.9)-fc,cy+fc);
+ ctx.moveTo(cx+(cw*0.9)-fc,cy+fc);
+ break;
+ case "7":
+ ctx.moveTo(cx+(cw*0.5),cy+ch-fc);
+ ctx.lineTo(cx+cw-fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+(ch*0.25)-fc);
+ break;
+ case "8":
+ ctx.moveTo(cx+(cw*0.92)-fc,cy+(cw*0.59));
+ ctx.arc(cx+(cw/2),cy+(cw*0.45),(cw*0.45)-fc,deg2rad(25),deg2rad(-205), true);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-135),deg2rad(-45), true);
+ ctx.closePath();
+ ctx.moveTo(cx+(cw*0.79),cy+(ch*0.47));
+ ctx.lineTo(cx+(cw*0.21),cy+(ch*0.47));
+ break;
+ case "9":
+ ctx.moveTo(cx+cw-fc,cy+(cw*0.5));
+ ctx.arc(cx+(cw/2),cy+(cw*0.5),(cw*0.5)-fc,deg2rad(0),deg2rad(360), false);
+ ctx.bezierCurveTo(cx+cw-fc,cy+ch-fc,cx+cw-fc,cy+ch-fc,cx+(cw*0.1)+fc,cy+ch-fc);
+ break;
+ case "%":
+ ctx.moveTo(cx+fc,cy+(ch*0.75));
+ ctx.lineTo(cx+cw-fc,cy+(ch*0.25));
+ ctx.moveTo(cx+(cw*0.505),cy+(cw*0.3));
+ ctx.arc(cx+(cw*0.3),cy+(cw*0.3),(cw*0.3)-fc,deg2rad(0),deg2rad(360), false);
+ ctx.moveTo(cx+(cw*0.905),cy+ch-(cw*0.3));
+ ctx.arc(cx+(cw*0.7),cy+ch-(cw*0.3),(cw*0.3)-fc,deg2rad(0),deg2rad(360), false);
+ break;
+ case ".":
+ ctx.moveTo(cx+(cw*0.25),cy+ch-fc-fc);
+ ctx.arc(cx+(cw*0.25),cy+ch-fc-fc,fc,deg2rad(0),deg2rad(360), false);
+ ctx.closePath();
+ break;
+ default:
+ break;
+ }
+ ctx.stroke();
+}
+function drawBar(ctx,x,y,width,height,color,value,textdiv){
+ var rw = width/2; var rh = rw/2;
+ height = Math.max(height,rw);
+ var dh = Math.max(height-(2*rh),0.1); var S2L;
+ var xx = rw/8; var yy = rh/4;
+ var yo = rh/2; y = y - yy;
+ if(canvas_noshadow <= 0 && canvas_noshade <= 0) {
+ ctx.save();
+ S2L = ctx.createRadialGradient(x+rw+rh,y+height-rw+yy+rh,0,x+rw,y+height-rw+yy,rw);
+ S2L.addColorStop(0, 'rgba(0,0,0,0.5)');
+ S2L.addColorStop(0.7, 'rgba(0,0,0,0.25)');
+ S2L.addColorStop(0.9, 'rgba(0,0,0,0.1)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.fillStyle = S2L;
+ ctx.scale(1,0.5);
+ ctx.translate(xx,y+height+yy);
+ if(isOp){
+ ctx.fillRect(x,y+yy+height-width,width,width);
+ }else {
+ ctx.arc(x+rw,y+yy+height-width,width,0,deg2rad(360), false);
+ ctx.fill();
+ }
+ ctx.restore();
+ }else if(canvas_noshadow <= 0 && canvas_noshade >= 1) {
+ ctx.fillStyle = 'rgba(0,0,0,0.2)';
+ ctx.fillRect(x+yo,y+rh+yo+yo,width,dh);
+ }
+
+ if(canvas_noshade <= 0) {
+ ctx.beginPath();
+ ctx.moveTo(x,y+rh);
+ ctx.lineTo(x,y+rh+dh);
+ ctx.bezierCurveTo(x,y+height,x+width,y+height,x+width,y+rh+dh)
+ ctx.lineTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = color;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x,y+(height/2),x+width,y+(height/2));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.75)');
+ S2L.addColorStop(0.2, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.3, 'rgba(0,0,0,0)');
+ S2L.addColorStop(0.5, 'rgba(0,0,0,0.1)');
+ S2L.addColorStop(0.9, 'rgba(0,0,0,0.35)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.3)');
+ ctx.beginPath();
+ ctx.moveTo(x,y+rh);
+ ctx.lineTo(x,y+rh+dh);
+ ctx.bezierCurveTo(x,y+height,x+width,y+height,x+width,y+rh+dh)
+ ctx.lineTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = S2L;
+ ctx.fill();
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = color;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x+rw-(width*0.15),y-2,x+rw+(width*0.15),y+(2.1*rh));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.9)');
+ S2L.addColorStop(0.5, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.6, 'rgba(0,0,0,0)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.3)');
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = S2L;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x+rw-(width*0.15),y-2,x+rw+(width*0.15),y+(2.1*rh));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.9)');
+ S2L.addColorStop(0.5, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.6, 'rgba(0,0,0,0)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.1)');
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.strokeStyle = S2L;
+ ctx.stroke();
+ }else {
+ ctx.fillStyle = color;
+ ctx.fillRect(x,y+rh+yo,width,dh);
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = 1;
+ ctx.fillStyle = 'rgba(255,255,255,0.5)';
+ var bw = width; var bh = bw/4;
+ var th = roundTo(bh*0.75,0); var tf = bh/80;
+ var c; var w;
+ if(canvas_notext <= 0) {
+ if(canvas_htmltext <= 0) {
+ w = '"' + per + '"';
+ if(w.indexOf(".")!=-1) {
+ c = w.length+0.5;
+ w = parseFloat(c-2)*parseFloat(48*tf);
+ bw = parseFloat(c-1)*parseFloat(48*tf);
+ }else {
+ c = w.length;
+ w = parseFloat(c-1)*parseFloat(48*tf);
+ bw = parseFloat(c)*parseFloat(48*tf);
+ }
+ roundedRect(ctx,x+(width/2)-(bw/2),y,bw,bh,bh/4);
+ ctx.fill();
+ if(canvas_imgtext <= 0) {
+ strokeString(ctx, value + "%","rgba(48,48,48,1)", th, x+(width/2)-(w/2), y+((bh-th)/2));
+ }else {
+ drawString(ctx, value + "%", tf, x+(width/2)-(w/2), y+((bh-th)/2.2));
+ }
+ }else {
+ var bh = bw/3; var th = roundTo(bh*0.75,0);
+ roundedRect(ctx,x,y,bw,bh,bh/4);
+ ctx.fill();
+ var obj = document.createElement('div');
+ obj.style.position = "absolute";
+ obj.style.overflow = "hidden";
+ obj.style.textAlign = "center";
+ obj.style.width = bw + "px";
+ obj.style.left = x + "px";
+ obj.style.top = y+((bh-th)/2.2) + "px";
+ obj.appendChild(document.createTextNode(per + "%"));
+ textdiv.appendChild(obj);
+ }
+
+ }
+}
+function drawLine(ctx,x,y,width,height,dist,array,factor,color,fill){
+ if(fill <= 0){
+ var style = hex2rgb(color,0.5);
+ ctx.lineJoin = "miter";
+ ctx.beginPath();
+ ctx.moveTo(x,y+height);
+ for (var i = 0; i < array.length; i++) {
+ ctx.lineTo(x+(i*dist),y+height-(array[i]*factor));
+ }
+ ctx.lineTo(x+width,y+height);
+ ctx.lineTo(x,y+height);
+ ctx.closePath();
+ ctx.fillStyle = style;
+ ctx.fill();
+ }
+ ctx.lineJoin = "round";
+ ctx.beginPath();
+ ctx.moveTo(x,y+height-(array[0]*factor));
+ for (var i = 0; i < array.length; i++) {
+ ctx.lineTo(x+(i*dist),y+height-(array[i]*factor));
+ }
+ ctx.strokeStyle = color;
+ ctx.stroke();
+}
+function setDataURL(cid) {
+ if(cid.toDataURL) {
+ var obj = document.getElementById(cid.id + "_link");
+ if(obj) {
+ obj.setAttribute("title", "To Data URL");
+ obj.setAttribute("target", "_blank");
+ obj.setAttribute("href", cid.toDataURL());
+ }
+ }
+}
+function get_input(dataobj,canvasobj,linechart) {
+ var table = document.getElementById(dataobj);
+ var canvas = document.getElementById(canvasobj);
+ var row; var clm; var cnt = 0; var val = 0; var nme = ""; var col = "";
+ if(canvas.getContext) {
+ canvas_width = parseInt(canvas.style.width);
+ canvas_height = parseInt(canvas.style.height);
+ if(canvas_width >= 16 && canvas_height >= 16) {
+ var tmp = table.getAttribute("summary");
+ canvas_noshade = 0; canvas_noshadow = 0;
+ canvas_notext = 0; canvas_imgtext = 0;
+ canvas_htmltext = 0; canvas_nofill = 0;
+ if(tmp.search(/noshadow/) != -1) canvas_noshadow = 1;
+ if(tmp.search(/noshade/) != -1) canvas_noshade = 1;
+ if(tmp.search(/nofill/) != -1) canvas_nofill = 1;
+ if(tmp.search(/notext/) != -1) canvas_notext = 1;
+ if(tmp.search(/htmltext/) != -1) canvas_htmltext = 1;
+ if(tmp.search(/imgtext/) != -1) canvas_imgtext = 1;
+ if(table.getAttribute("bgcolor")) {
+ canvas_bgcolor = scanColor(table.getAttribute("bgcolor"));
+ }
+ ChartData = new Array(); colorSlice = new Array();
+ if(table.getElementsByTagName("tr")[0].getElementsByTagName("th")[0]) cnt = 1;
+ for(var r = cnt; r < table.getElementsByTagName("tr").length; r++) {
+ row = table.getElementsByTagName("tr")[r];
+ clm = row.getElementsByTagName("td").length;
+ if(row.getElementsByTagName("td")[0].getAttribute("bgcolor")) {
+ col = scanColor(row.getElementsByTagName("td")[0].getAttribute("bgcolor")); val = 0;
+ nme = trim(row.getElementsByTagName("td")[1].innerHTML.replace(/<[^>]+>/g,""));
+ if(!linechart) {
+ if(clm > 3) {
+ for(var z = 2; z < clm; z++) {
+ val += parseFloat(row.getElementsByTagName("td")[z].innerHTML.replace(/<[^>]+>/g,""));
+ }
+ }else {
+ val = parseFloat(row.getElementsByTagName("td")[2].innerHTML.replace(/<[^>]+>/g,""));
+ }
+ if(!isNaN(val) && val > 0 && nme != '') {
+ colorSlice[r-cnt] = col; ChartData[nme] = Math.abs(val);
+ }else {
+ break;
+ }
+ }else {
+ val = 0; i = 0;
+ if(clm > 3 && nme != '') {
+ ChartData[r-cnt] = new Array();
+ colorSlice[r-cnt] = col;
+ for(var z = 2; z < clm; z++) {
+ val = Math.abs(parseFloat(row.getElementsByTagName("td")[z].innerHTML.replace(/<[^>]+>/g,"")));
+ if(!isNaN(val) && val >= 0) {
+ ChartData[r-cnt][i] = val; i++;
+ }else {
+ ChartData[r-cnt][i] = 0; i++;
+ }
+ }
+ }else {
+ break;
+ }
+ }
+ }else {
+ break;
+ }
+ }
+ }
+ }
+}
+function setPieChart(canvasobj,textobj) {
+ var cd = (Math.min(canvas_width,canvas_height)/110)*100;
+ var cp = cd*0.1; var cr = cd/2;
+ var sr = cr*0.93; var cw = cd+cp; var ch = cw;
+ var cx = (cd/2)+(cp/2); var cy = cx; var ct = 0;
+ for (var data in ChartData) {
+ ct += ChartData[data];
+ }
+ var poc = ct/100;
+ var canvas = document.getElementById(canvasobj);
+ if(canvas_htmltext >= 1) {
+ if(document.getElementById(textobj)) {
+ canvas.parentNode.removeChild(canvas.parentNode.lastChild);
+ }
+ }
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,cw,ch);
+ }else {
+ ctx.clearRect(0,0,cw,ch);
+ }
+ if(canvas_noshadow <= 0) {
+ if(!isOp) {
+ ctx.beginPath();
+ var B2B = ctx.createRadialGradient(cx+(cr*0.1),cy+(cr*0.1),cr,cx+(cr*0.1),cy+(cr*0.1),sr*0.9);
+ B2B.addColorStop(0, 'rgba(0,0,0,0)');
+ B2B.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.arc(cx+(cr*0.1),cy+(cr*0.1),cr,0,deg2rad(360), false);
+ ctx.closePath();
+ ctx.fillStyle = B2B;
+ ctx.fill();
+ }else {
+ var B2B = ctx.createRadialGradient(cx+(cr*0.1),cy+(cr*0.1),0,cx+(cr*0.1),cy+(cr*0.1),cr);
+ B2B.addColorStop(0, 'rgba(0,0,0,0.5)');
+ B2B.addColorStop(0.87, 'rgba(0,0,0,0.5)');
+ B2B.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.fillStyle = B2B;
+ ctx.fillRect(0,0,cw,ch);
+ }
+ }
+ var val = 0; var deg = 0; var idx = 0; var data;
+ for (data in ChartData) {
+ val = ChartData[data]; sdeg = deg;
+ deg += (val/ct)*deg2rad(360); edeg = deg;
+ ctx.beginPath();
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr,sdeg,edeg, false);
+ ctx.lineTo(cx,cy);
+ ctx.closePath();
+ ctx.fillStyle = colorSlice[(idx++)];
+ ctx.fill();
+ }
+ if(canvas_noshade <= 0) {
+ ctx.beginPath();
+ var W2T = ctx.createLinearGradient(cp*2,cp*2,cx,cy);
+ W2T.addColorStop(0, 'rgba(255,255,255,0.8)');
+ W2T.addColorStop(1, 'rgba(255,255,255,0)');
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,sr,deg2rad(135),deg2rad(315), false);
+ ctx.closePath();
+ ctx.fillStyle = W2T;
+ ctx.fill();
+ ctx.beginPath();
+ var T2B = ctx.createLinearGradient(cx,cy,cw-(cp*2),ch-(cp*2));
+ T2B.addColorStop(0, 'rgba(0,0,0,0)');
+ T2B.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,sr,deg2rad(-45),deg2rad(135), false);
+ ctx.closePath();
+ ctx.fillStyle = T2B;
+ ctx.fill();
+ ctx.beginPath();
+ var B2T = ctx.createLinearGradient(cx,cy,cw-(cp*1.9),ch-(cp*1.9));
+ B2T.addColorStop(0, 'rgba(0,0,0,0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.6)');
+ ctx.lineWidth = cr*0.07;
+ ctx.lineCap = "round";
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr*0.965,deg2rad(-45),deg2rad(135), false);
+ ctx.closePath();
+ ctx.strokeStyle = B2T;
+ ctx.stroke();
+ ctx.beginPath();
+ var T2W = ctx.createLinearGradient(cx,cy,(cp*1.9),(cp*1.9));
+ T2W.addColorStop(0, 'rgba(255,255,255,0)');
+ T2W.addColorStop(1, 'rgba(255,255,255,0.6)');
+ ctx.lineWidth = cr*0.07;
+ ctx.lineCap = "round";
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr*0.965,deg2rad(135),deg2rad(315), false);
+ ctx.closePath();
+ ctx.strokeStyle = T2W;
+ ctx.stroke();
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = 1;
+ ctx.fillStyle = 'rgba(255,255,255,0.5)';
+ var mpos = 0; var per = 0; var gx = 0;
+ var gy = 0; var tx = 0; var ty = 0;
+ var obj = ""; var w = 0; var c = 0;
+ var bw = cr*0.45; var bh = cr*0.125;
+ var th = roundTo(bh*0.75,0); var tf = bh/80;
+ if(canvas_notext <= 0) {
+ if(canvas_htmltext >= 1) {
+ bw = (cr*0.365);
+ canvas.parentNode.style.position = "relative";
+ if(!document.getElementById(textobj)) {
+ obj = document.createElement('div');
+ obj.id = textobj;
+ obj.style.color = "rgb(0,0,0)";
+ obj.style.fontFamily = "Arial,sans-serif";
+ obj.style.fontSize = th + "px";
+ obj.style.zIndex = 11;
+ canvas.parentNode.appendChild(obj);
+ }
+ var textdiv = document.getElementById(obj.id);
+ }
+ for (data in ChartData) {
+ val = ChartData[data];
+ sdeg = deg; mpos = deg+(((val/2)/ct)*deg2rad(360));
+ deg += (val/ct)*deg2rad(360); edeg = deg;
+ per = roundTo(val/poc,2);
+ gx = circle_point_x(mpos, cd);
+ gy = circle_point_y(mpos, cd);
+ tx = parseFloat((cx+(2*Math.floor(cx + gx)))/3);
+ ty = (cy+(2*Math.floor(cy + gy)))/3;
+ if(canvas_htmltext <= 0) {
+ w = '"' + per + '"';
+ if(w.indexOf(".")!=-1) {
+ c = w.length+0.5;
+ w = parseFloat(c-2)*parseFloat(48*tf);
+ bw = parseFloat(c-1)*parseFloat(48*tf);
+ }else {
+ c = w.length;
+ w = parseFloat(c-1)*parseFloat(48*tf);
+ bw = parseFloat(c)*parseFloat(48*tf);
+ }
+ roundedRect(ctx,(tx-(bw/2)),ty-(bh/2),bw,bh,bh/4);
+ ctx.fill();
+ if(canvas_imgtext >= 1) {
+ drawString(ctx, per + "%", tf, tx-(w/2), ty-(th/1.8));
+ }else {
+ strokeString(ctx, per + "%","rgba(48,48,48,1)", th, tx-(w/2), ty-(th/2));
+ }
+ }else {
+ roundedRect(ctx,(tx-(bw/2)),ty-(bh/2),bw,bh,bh/4);
+ ctx.fill();
+ obj = document.createElement('div');
+ obj.style.position = "absolute";
+ obj.style.overflow = "hidden";
+ obj.style.textAlign = "center";
+ obj.style.width = bw + "px";
+ obj.style.left = (tx-(bw/2)) + "px";
+ obj.style.top = ty-(th/1.8) + "px";
+ obj.appendChild(document.createTextNode(per + "%"));
+ textdiv.appendChild(obj);
+ }
+ }
+ }
+ }
+}
+function setBarChart(canvasobj,textobj) {
+ var iw = canvas_width*0.9; var ih = canvas_height*0.9;
+ var pw = canvas_width*0.05; var ph = canvas_height*0.05;
+ var cm = 0; var ct = 0;
+ for (var data in ChartData) {
+ cm = Math.max(cm,ChartData[data]);
+ ct += ChartData[data];
+ }
+ var hf = ih/cm; var bs = colorSlice.length;
+ var bw = iw/(bs*1.1); var poc = ct/100;
+ var th = roundTo((bw/3)*0.75,0);
+ var canvas = document.getElementById(canvasobj);
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,canvas_width,canvas_height);
+ }else {
+ ctx.clearRect(0,0,canvas_width,canvas_height);
+ }
+ if(canvas_htmltext >= 1) {
+ if(document.getElementById(textobj)) {
+ canvas.parentNode.removeChild(canvas.parentNode.lastChild);
+ }
+ canvas.parentNode.style.position = "relative";
+ if(!document.getElementById(textobj)) {
+ var obj = document.createElement('div');
+ obj.id = textobj;
+ obj.style.color = "rgb(0,0,0)";
+ obj.style.fontFamily = "Arial,sans-serif";
+ obj.style.fontSize = th + "px";
+ obj.style.zIndex = 11;
+ canvas.parentNode.appendChild(obj);
+ }
+ var textdiv = document.getElementById(obj.id);
+ }
+ var cc = ""; var bh = 0; var idx = 0; var t = 0; per = 0;
+ for (var data in ChartData) {
+ per = roundTo(ChartData[data]/poc,2);
+ bh = ChartData[data]*hf;
+ drawBar(ctx,pw+(t*(bw*1.1)),ph+ih-bh,bw,bh,colorSlice[(idx++)],per,textdiv);
+ t++;
+ }
+ }
+}
+function setLineChart(canvasobj,textobj) {
+ var iw = canvas_width*0.9;
+ var ih = canvas_height*0.9;
+ var pw = canvas_width*0.05;
+ var ph = canvas_height*0.05;
+ var cm = 0;
+ for (var i = 0; i < ChartData.length; i++) {
+ for (var j = 0; j < ChartData[i].length; j++) {
+ cm = Math.max(cm,ChartData[i][j]);
+ }
+ }
+ var hf = (ih*0.95)/cm; var bw = iw;
+ var dw = iw/(ChartData[0].length-1)
+ var dh = ih/(ChartData[0].length-1)
+ var ps = Math.min(pw,ph); lw = ps/4;
+ var B2T;
+ var canvas = document.getElementById(canvasobj);
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,canvas_width,canvas_height);
+ }else {
+ ctx.clearRect(0,0,canvas_width,canvas_height);
+ }
+ if(canvas_noshadow <= 0) {
+ B2T = ctx.createLinearGradient(pw+ps,ph+ih+1,pw+ps+ps,ph+ih+1);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+ps,ph+ih);
+ ctx.lineTo(pw+ps,ph+ih+ps);
+ ctx.lineTo(pw+ps+ps,ph+ih);
+ ctx.closePath();
+ ctx.fill();
+ B2T = ctx.createLinearGradient(pw+ps+ps,ph+ih,pw+ps+ps,ph+ih+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.5)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.0)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+ps+ps,ph+ih);
+ ctx.lineTo(pw+iw,ph+ih);
+ ctx.lineTo(pw+ps+iw,ph+ih+ps);
+ ctx.lineTo(pw+ps,ph+ih+ps);
+ ctx.closePath();
+ ctx.fill();
+ var B2T = ctx.createLinearGradient(pw+iw+1,ph+ps,pw+iw+1,ph+ps+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.35)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+iw,ph+ps);
+ ctx.lineTo(pw+iw,ph+ps+ps);
+ ctx.lineTo(pw+iw+ps,ph+ps);
+ ctx.closePath();
+ ctx.fill();
+ B2T = ctx.createLinearGradient(pw+iw,ph+ps+ps,pw+iw+ps,ph+ps+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.35)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.0)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+iw,ph+ps+ps);
+ ctx.lineTo(pw+iw,ph+ih);
+ ctx.lineTo(pw+iw+ps,ph+ih+ps);
+ ctx.lineTo(pw+iw+ps,ph+ps);
+ ctx.closePath();
+ ctx.fill();
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = lw/2;
+ ctx.strokeStyle = "rgba(255,255,255,0.25)";
+ if(canvas_nofill <= 0) {
+ ctx.fillStyle = "rgba(240,240,240,0.8)";
+ ctx.strokeStyle = "rgba(255,255,255,1)";
+ ctx.fillRect(pw,ph,iw,ih);
+ }
+ for (var i = 0; i < ChartData[0].length-1; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw+(i*dw),ph);
+ ctx.lineTo(pw+(i*dw),ph+ih);
+ ctx.stroke();
+ }
+ for (var i = 1; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw,ph+(i*dh));
+ ctx.lineTo(pw+iw,ph+(i*dh));
+ ctx.stroke();
+ }
+ ctx.lineWidth = lw;
+ var cc = ""; var bh = 0; var idx = 0; var t = 0;
+ for (var i = 0; i < ChartData.length; i++) {
+ for (var j = 0; j < ChartData[i].length; j++) {
+ t = Math.max(t,ChartData[i][j]);
+ }
+ bh = t*hf; cc = colorSlice[(idx++)];
+ drawLine(ctx,pw,ph+ih-bh,bw,bh,dw,ChartData[i],hf,cc,canvas_nofill);
+ }
+ ctx.beginPath();
+ ctx.moveTo(pw,ph);
+ ctx.lineTo(pw,ph+ih);
+ ctx.lineTo(pw+iw+(lw/2),ph+ih);
+ ctx.strokeStyle = "rgba(48,48,48,1)";
+ ctx.stroke();
+ ctx.lineWidth = lw/2;
+ B2T = ctx.createLinearGradient(pw,ph+ih,pw,ph+ih+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,1)');
+ B2T.addColorStop(0.5, 'rgba(0,0,0,1)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.strokeStyle = B2T;
+ for (var i = 0; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw+(i*dw),ph+ih);
+ ctx.lineTo(pw+(i*dw),ph+ih+ps);
+ ctx.stroke();
+ }
+ B2T = ctx.createLinearGradient(pw-ps,ph,pw,ph);
+ B2T.addColorStop(0, 'rgba(0,0,0,0)');
+ B2T.addColorStop(0.5, 'rgba(0,0,0,1)');
+ B2T.addColorStop(1, 'rgba(0,0,0,1)');
+ ctx.strokeStyle = B2T;
+ for (var i = 1; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw-ps,ph+(i*dh));
+ ctx.lineTo(pw,ph+(i*dh));
+ ctx.stroke();
+ }
+ }
+}
+function generateCanvas() {
+ if(canvasSupport) {
+ var canvasID; var tdataID; var textID;
+ var tmp; var dat; var i; var j;
+ for(i=0; i < piecharts.length; i++) {
+ tmp = piecharts[i].id.split("_");
+ tdataID = piecharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID);
+ if(colorSlice.length > 0) {
+ j = 0; dat = ""; for(dat in ChartData) {j++; }
+ if(j > 0 && j == colorSlice.length) {
+ setPieChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ for(i=0; i < barcharts.length; i++) {
+ tmp = barcharts[i].id.split("_");
+ tdataID = barcharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID);
+ if(colorSlice.length > 0) {
+ j = 0; dat = ""; for(dat in ChartData) {j++; }
+ if(j > 0 && j == colorSlice.length) {
+ setBarChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ for(i=0; i < linecharts.length; i++) {
+ tmp = linecharts[i].id.split("_");
+ tdataID = linecharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID,true);
+ if(colorSlice.length > 0) {
+ if(ChartData.length == colorSlice.length) {
+ setLineChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ }
+}
+// canvas chart extension
+
+// invisible select extension
+function setListPos(opt) {
+ if(!isIE) { //(degrade IE)
+ var ref = document.getElementById('list');
+ var x = findPosX(ref); var y = findPosY(ref);
+ var obj = document.getElementById('jumplist');
+ obj.style.position = 'fixed'; obj.style.left = x + 'px'; obj.style.top = y + 'px';
+ var vol = document.getElementById('volumelist');
+ ref = document.getElementById('volume');
+ x = findPosX(ref); if(isOp) {y = window.innerHeight-imgHeight; }else {y = findPosY(ref);}
+ vol.style.position = 'fixed'; vol.style.left = x + 'px'; vol.style.top = y + 'px';
+ var del = document.getElementById('delaylist');
+ ref = document.getElementById('delay');
+ x = findPosX(ref); if(isOp) {y = window.innerHeight-imgHeight; }else {y = findPosY(ref);}
+ del.style.position = 'fixed'; del.style.left = x + 'px'; del.style.top = y + 'px';
+ var pnl = document.getElementById('navLinks');
+ }
+ if(opt) {
+ if(isIE) {
+ //(degrade IE)
+ //pnl.style.filter = "alpha(opacity=80)";
+ }else {
+ obj.style.opacity = 0.0;
+ vol.style.opacity = 0.0;
+ del.style.opacity = 0.0;
+ pnl.style.opacity = 0.8;
+ }
+ }
+}
+// invisible select extension
+
+// transition extension
+function switchFade() {
+ if(tranSitions && s5mode && fadeModus) {
+ fadeModus = false;
+ playDelay = playDelay-(2*fadeDuration);
+ var obj = document.getElementById('fade'); changeClass(obj,'isoff');
+ }else if(tranSitions && s5mode && !fadeModus) {
+ fadeModus = true;
+ playDelay = playDelay+(2*fadeDuration);
+ var obj = document.getElementById('fade'); changeClass(obj,'ison');
+ }
+ currentSlide();
+}
+function opacity(ids, opacStart, opacEnd, millisec) {
+ var speed = Math.round(millisec / 100);
+ var timer = 0;
+ if(opacStart > opacEnd) {
+ for(var i = opacStart; i >= opacEnd; i--) {
+ window.setTimeout("changeOpac(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ } else if(opacStart < opacEnd) {
+ for(var i = opacStart; i <= opacEnd; i++) {
+ window.setTimeout("changeOpac(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ }
+}
+function changeOpac(opacity, ids) {
+ var obj = document.getElementById(ids);
+ if (isIE) {
+ obj.style.filter = "alpha(opacity=" + opacity + ")";
+ } else {
+ obj.style.opacity = (opacity / 100);
+ }
+}
+function shiftOpacity(ids, millisec) {
+ if(document.getElementById(ids).style.opacity != '') {
+ var currentOpac = document.getElementById(ids).style.opacity * 100;
+ } else {
+ var currentOpac = 0;
+ }
+ if(currentOpac == 0) {
+ opacity(ids, currentOpac, 100, millisec);
+ } else if(currentOpac > 0) {
+ opacity(ids, currentOpac, 0, millisec);
+ }
+}
+// transition extension
+
+// autoplay extension
+function autoPlay() {
+ if (s5mode && autoMatic && !playPause) {
+ if ((snum >= (smax-1)) && playLoop) {
+ goTo(0);
+ autoRun = setTimeout('autoPlay();',playDelay);
+ }else if ((snum >= (smax-1)) && !playLoop) {
+ stopPlay();
+ }else {
+ if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ if (incrementals[snum].length >0) {
+ clearTimeout(autoRun); autoRun = null;
+ incrDelay = parseInt(playDelay/(incrementals[snum].length+1));
+ remainDer = parseInt(playDelay-(incrDelay*incrementals[snum].length));
+ autoRun = setTimeout('autoPlayIncr()',incrDelay);
+ }
+ } else {
+ clearTimeout(autoRun); autoRun = null;
+ incrDelay = incrDuration;
+ remainDer = parseInt(playDelay-(incrementals[snum].length*incrDuration));
+ autoRun = setTimeout('autoPlayIncr()',incrDelay);
+ }
+ autoRun = setTimeout('autoPlay();',playDelay);
+ }
+ }
+}
+function autoPlayIncr() {
+ if (incpos < incrementals[snum].length) {
+ subgo(1);
+ autoRun = setTimeout('autoPlayIncr();',incrDelay);
+ }else {
+ autoRun = setTimeout('nop();',remainDer);
+ }
+}
+function nop() {
+ // no operation dummy
+}
+function togglePlay() {
+ if (autoRun && s5mode) {
+ stopPlay();
+ }else if (!autoRun && s5mode) {
+ startPlay();
+ }
+}
+function stopPlay() {
+ if (autoRun && s5mode) {
+ clearTimeout(autoRun); autoRun = null;
+ autoMatic = false; playPause = false; var obj = '';
+ obj = document.getElementById('auto'); changeClass(obj,'isoff');
+ obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ currentSlide();
+ }
+}
+function startPlay() {
+ if (!autoRun && s5mode) {
+ playPause = false; autoMatic = true; var obj = '';
+ obj = document.getElementById('auto'); changeClass(obj,'ison');
+ obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ autoRun = setTimeout('autoPlay();',playDelay);
+ currentSlide();
+ }
+}
+function pausePlay() {
+ if (s5mode && autoMatic) {
+ if (playPause) {
+ playPause = false; autoRun = setTimeout('autoPlay();',playDelay);
+ var obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ }else {
+ if (autoRun){
+ clearTimeout(autoRun); autoRun = null; playPause = true;
+ var obj = document.getElementById('pause'); changeClass(obj,'ison');
+ }
+ }
+ currentSlide();
+ }
+}
+function switchLoop() {
+ if(s5mode) {
+ if (playLoop) {
+ playLoop = false;
+ var obj = document.getElementById('loop'); changeClass(obj,'isoff');
+ }else {
+ playLoop = true;
+ var obj = document.getElementById('loop'); changeClass(obj,'ison');
+ }
+ }
+ currentSlide();
+}
+function setDelay() {
+ var val = document.getElementById('delaylist');
+ if(s5mode) {
+ var delay = Math.max(5,Math.min(parseInt(val.value),300));
+ playDelay = (fadeModus == true) ? ((delay*1000)+(2*fadeDuration)) : (delay*1000);
+ }
+}
+// autoplay extension
+
+// audio extension
+function createSoundManagerScript() {
+ if(typeof soundManager=="undefined") {
+ onerrorSM2();
+ }else {
+ var script=document.createElement('SCRIPT');
+ var tx=document.createTextNode("soundManager.createMovie();");
+ script.appendChild(tx);
+ document.getElementsByTagName("body")[0].appendChild(script);
+ }
+}
+//soundManager.onload = function() {
+function onloadSM2() {
+ if(!isIE) { //(degrade IE)
+ swfUnloaded = false;
+ preloadSounds();
+ }
+}
+//soundManager.onerror = function() {
+function onerrorSM2() {
+ if(typeof soundManager!="undefined") {
+ soundManager.destruct;
+ delete soundManager;
+ }
+ audioSupport = false; swfUnloaded = true;
+ if(audioError && !isIE && !isOp) {
+ var dv = document.createElement('div'); dv.id = "guru";
+ var d2=document.createElement('div'); dv.appendChild(d2);
+ var tx=document.createTextNode('Guru Meditation - SoundManager failed to load/initialize!');
+ d2.appendChild(tx); document.getElementById('slide0').appendChild(dv);
+ }
+}
+function audioSetup() {
+ if(sound[0]) {
+ playSound(0);
+ }else if(sound[bgSoundItem] && !sound[0]) {
+ playSound(bgSoundItem);
+ }
+}
+function fadeoutSound(ids, option) {
+ if(curSoundID >= 0 && !swfUnloaded) {
+ if(isNaN(ids)) {
+ if(ids == "bgSound") {
+ var cnum = parseInt(bgSoundItem);
+ }else {
+ for (var i = 0; i < (sound.length-1); i++) {
+ if(sound[i] && ids == sound[i]["id"]) {
+ var cnum = i;
+ break;
+ }
+ }
+ }
+ }else {
+ var cnum = ids;
+ ids = sound[cnum]["id"];
+ }
+ var vol = getMaxVolume(sound[cnum]["volume"]);
+ var millisec = fadeDuration;
+ var speed = Math.round(millisec / vol);
+ var timer = 0;
+ for(var i = vol; i > 0; i--) {
+ setTimeout("fadeout(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ if(option) setTimeout("stopSound('"+ids+"')",millisec);
+ }
+}
+function fadeout(volume, id) {
+ soundManager.setVolume(id,volume);
+}
+function stopSound(ids) {
+ var sid;
+ if(isNaN(ids)) {
+ sid = ids;
+ }else if(sound[ids]) {
+ sid = sound[ids]["id"];
+ }
+ if(sid!='' && curSoundID >= 0) {
+ soundManager.stop(sid);
+ curSoundID = -1;
+ }
+}
+function toggleSounds() {
+ if(audioSupport && !swfUnloaded) {
+ stopAllSounds();
+ }else if (!audioSupport && !swfUnloaded) {
+ allowSounds();
+ }
+}
+function allowSounds() {
+ if(!swfUnloaded) {
+ audioSupport = true;
+ var obj = document.getElementById('audio'); changeClass(obj,'ison');
+ currentSlide();
+ }
+}
+function stopAllSounds() {
+ if(curSoundID >= 0) {
+ stopSound(curSoundID);
+ }else {
+ soundManager.stopAll();
+ curSoundID = -1;
+ }
+ audioSupport = false;
+ var obj = document.getElementById('audio'); changeClass(obj,'isoff');
+ currentSlide();
+}
+function playSound(id) {
+ if(audioSupport && !swfUnloaded) {
+ var url, sid, vol, lps, cnum;
+ if(isNaN(id)) {
+ sid = id;
+ if(sid == "bgSound") {
+ cnum = parseInt(bgSoundItem);
+ }else {
+ cnum = getSoundID(id);
+ }
+ }else {
+ cnum = parseInt(id);
+ if(sound[cnum]) sid = sound[cnum]["id"];
+ }
+ if(sound[cnum] && sound[cnum]["url"]!='' && sid!=''){
+ url = sound[cnum]["url"];
+ vol = (sound[cnum]["volume"]!='')?getMaxVolume(sound[cnum]["volume"]):getMaxVolume(100);
+ lps = (sound[cnum]["loops"])?true:false;
+ if(lps) {
+ soundManager.play(sid,{volume:vol,onplay:function(){curSoundID=cnum;},onfinish:function(){soundManager.play(sid,{volume:getMaxVolume(sound[cnum]["volume"])});}});
+ }else {
+ soundManager.play(sid,{volume:vol,onplay:function(){curSoundID=cnum;},onfinish:function(){curSoundID=-1;}});
+ }
+ }
+ }
+}
+function getSoundID(str) {
+ for (var i = 0; i < (sound.length-1); i++) {
+ if(sound[i] && str == sound[i]["id"]) {
+ var id = i;
+ break;
+ }
+ }
+ return id;
+}
+function setVolume() {
+ var vol = document.getElementById('volumelist');
+ if(audioSupport && !swfUnloaded) {
+ audioVolume = parseInt(vol.value);
+ if(curSoundID >= 0) {
+ if(sound[curSoundID]) {
+ var sid = sound[curSoundID]["id"];
+ var vid = getMaxVolume(sound[curSoundID]["volume"]);
+ soundManager.setVolume(sid,vid);
+ }
+ }
+ }
+}
+function getMaxVolume(value) {
+ if(audioVolume>0) {var factor = audioVolume/100;}else {var factor = 0;}
+ return Math.max(0,Math.min(parseInt(value*factor),audioVolume));
+}
+function preloadSounds() {
+ var temp = ''; var parm = ''; var t = ''; var cl = '';
+ var objects = document.getElementsByTagName('object');
+ for (var i=0; i < objects.length; i++) {
+ if(objects[i].type.toLowerCase() == 'audio/mp3' && objects[i].data != '') {
+ objects[i].width = 0; objects[i].height = 0;
+ if(objects[i].parentNode.tagName == 'DIV') {
+ cl = objects[i].parentNode.className.toLowerCase();
+ if(cl == 'presentation' || cl == 'slide') {
+ if(cl == 'presentation') {
+ t = parseInt(bgSoundItem);
+ }else {
+ t = parseInt(objects[i].parentNode.id.slice(5, objects[i].parentNode.id.length));
+ }
+ sound[t] = new Object();
+ if(t < bgSoundItem) {
+ sound[t]["id"] = objects[i].parentNode.id;
+ }else {
+ sound[t]["id"] = "bgSound";
+ }
+ sound[t]["url"] = objects[i].data;
+ sound[t]["volume"] = 100; sound[t]["loops"] = false;
+ if(objects[i].archive != '') {
+ parm = objects[i].archive.toLowerCase().split(',');
+ for (var j=0; j < parm.length; j++) {
+ if(parm[j] == 'loop') sound[t]["loops"] = true;
+ if(parm[j].search(/^volume/) != -1) {
+ var tmp = parm[j].split(':');
+ sound[t]["volume"] = parseInt(tmp[1]);
+ }
+ }
+ }
+ soundManager.createSound(sound[t]["id"],sound[t]["url"]);
+ }
+ }
+ }
+ }
+}
+// audio extension
+
+// help extension
+function createHelpReq() {
+ if(!document.getElementById("HelpReq")) {
+ var obj = document.getElementsByTagName("body")[0].firstChild;
+ var pg = document.createElement('div');
+ pg.id = "HelpReq";
+ if (pg.addEventListener) {
+ pg.addEventListener("onclick",dumpHelpReq,false);
+ } else if (pg.attachEvent) {
+ pg.attachEvent("onclick",dumpHelpReq);
+ }
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=90)";
+ } else {
+ pg.style.opacity = 0.9;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(64,64,64)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/help.jpg)";
+ document.getElementsByTagName("body")[0].insertBefore(pg,obj);
+ if(document.getElementById("HelpReq")) {
+ helpmode = true;
+ }
+ }
+}
+function dumpHelpReq() {
+ if(document.getElementById("HelpReq")) {
+ document.body.removeChild(document.getElementById('HelpReq'));
+ helpmode = false;
+ }
+}
+// help extension
+
+//DEBUG
+function ConsoleLog(value) {
+ if(window.console) {
+ window.console.log(value);
+ }
+}
+//DEBUG
+
+document.write('<style type="text/css" media="screen" id="blockStyle">.presentation, .layout {display: none; }</style>');
+
+if(!isIE && !isOp) {
+ document.write('<script type="text/javascript" src="'+s5Path+'ui/audio_support/soundmanager2.js"></script>');
+ if(typeof soundManager!="undefined") {
+ var allMetas = document.getElementsByTagName('meta');
+ for (var i = 0; i< allMetas.length; i++) {
+ if (allMetas[i].name == 'audioDebug') {
+ var audioDebug = (allMetas[i].content == "true") ? true : false;
+ soundManager.defaultOptions.debugMode = audioDebug;
+ }
+ }
+ }
+}
+
+window.onload = createSlideShow;
+window.onresize = function(){setTimeout('windowChange()',5);} \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/toggle_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/toggle_link.png
new file mode 100644
index 000000000..4588e2514
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/toggle_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/toggle_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/toggle_over.png
new file mode 100644
index 000000000..400f613b6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/toggle_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/volume_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/volume_link.png
new file mode 100644
index 000000000..f362acd1f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/volume_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/wrap.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/wrap.css
new file mode 100644
index 000000000..a37315552
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/wrap.css
@@ -0,0 +1,410 @@
+/********************************************************************
+Screen and Print Styles for the Wrap Plugin
+********************************************************************/
+
+/* tables in columns and boxes should span the whole width */
+.wrap_column table,
+.wrap_left table, .wrap_right table, .wrap_center table,
+.wrap_box table,
+.wrap_info table, .wrap_important table, .wrap_alert table, .wrap_tip table, .wrap_help table, .wrap_todo table, .wrap_download table {
+ width: 100%;
+}
+/* emulate a headline */
+.wrap_column em strong,
+.wrap_left em strong, .wrap_right em strong, .wrap_center em strong,
+.wrap_box em strong,
+.wrap_info em strong, .wrap_important em strong, .wrap_alert em strong, .wrap_tip em strong, .wrap_help em strong, .wrap_todo em strong, .wrap_download em strong {
+ font-size: 130%;
+ font-weight: bold;
+ font-style: normal;
+ display: block;
+}
+/* emulate a bigger headline with a bottom border */
+.wrap_column em strong em.u,
+.wrap_left em strong em.u, .wrap_right em strong em.u, .wrap_center em strong em.u,
+.wrap_box em strong em.u,
+.wrap_info em strong em.u, .wrap_important em strong em.u, .wrap_alert em strong em.u, .wrap_tip em strong em.u, .wrap_help em strong em.u, .wrap_todo em strong em.u, .wrap_download em strong em.u {
+ font-size: 115%;
+ border-bottom: 1px solid __border__;
+ font-style: normal;
+ text-decoration: none;
+ display: block;
+}
+/* different bigger headline for safety notes */
+.wrap_danger em strong em.u, .wrap_warning em strong em.u, .wrap_caution em strong em.u, .wrap_notice em strong em.u, .wrap_safety em strong em.u {
+ font-size: 115%;
+ font-style: normal;
+ text-decoration: none;
+ display: block;
+ text-transform: uppercase;
+ border-bottom-width: 0;
+}
+/* change border colour of emulated headlines inside boxes to something more neutral
+ (to match all the different background colours) */
+.wrap_box em strong em.u,
+.wrap_info em strong em.u, .wrap_important em strong em.u, .wrap_alert em strong em.u, .wrap_tip em strong em.u, .wrap_help em strong em.u, .wrap_todo em strong em.u, .wrap_download em strong em.u {
+ border-bottom-color: #999;
+}
+
+
+/* columns
+********************************************************************/
+
+.wrap_left,
+.wrap_column {
+ float: left;
+ margin-right: 1.5em;
+}
+.wrap_right {
+ float: right;
+ margin-left: 1.5em;
+}
+.wrap_center {
+ display: block;
+ margin-left: auto;
+ margin-right: auto;
+}
+/*
+.wrap_column,
+.wrap_left,
+.wrap_right {
+ overflow: hidden;
+}
+*/
+.wrap_container {
+ margin-right: -1.5em;
+}
+
+
+/* alignments
+********************************************************************/
+
+.wrap_leftalign {
+ text-align: left;
+}
+.wrap_centeralign {
+ text-align: center;
+}
+.wrap_rightalign {
+ text-align: right;
+}
+.wrap_justify {
+ text-align: justify;
+}
+
+
+/* box
+********************************************************************/
+
+/* see styles for boxes and notes with icons in style.css */
+
+/*____________ rounded corners ____________*/
+/* (only for modern browsers) */
+
+div.wrap_round {
+ border-radius: 20px;
+ -moz-border-radius: 20px;
+ -webkit-border-radius: 20px;
+ -khtml-border-radius: 20px;
+}
+span.wrap_round {
+ border-radius: 2px;
+ -moz-border-radius: 2px;
+ -webkit-border-radius: 2px;
+ -khtml-border-radius: 2px;
+}
+
+
+/* mark
+********************************************************************/
+
+div.wrap_lo {
+ color: __text_neu__;
+ font-size: 85%;
+}
+div.wrap_em {
+ color: #c00;
+ font-weight: bold;
+}
+
+/* see styles for highlighted text in style.css */
+
+
+/* typography
+********************************************************************/
+
+/*____________ fonts ____________*/
+
+div.wrap_sansserif {
+ font-family: Verdana,Tahoma,Geneva,"DejaVu Sans","Bitstream Vera Sans","Liberation Sans", Arial,Helvetica,FreeSans,"Liberation Sans","Nimbus Sans L", sans-serif;
+}
+div.wrap_serif {
+ font-family: Georgia,Garamond,"Palatino Linotype","Book Antiqua",Palatino,Palladio,"URW Palladio L","Liberation Serif",Didot,Gentium,"Bitstream Charter","Century Schoolbook L", "Times New Roman",Times,"Nimbus Roman No9 L","FreeSerif", serif;
+}
+div.wrap_monospace {
+ font-family: Courier,"Courier New",FreeMono,"Nimbus Mono L","Liberation Mono", "Lucida Console",Monaco,"DejaVu Sans Mono","Bitstream Vera Sans Mono", monospace;
+}
+
+/*____________ font size ____________*/
+
+div.wrap_bigger {
+ font-size: 125%;
+}
+div.wrap_muchbigger {
+ font-size: 200%;
+}
+div.wrap_smaller {
+ font-size: 75%;
+}
+
+/*____________ font colours ____________*/
+
+div.wrap_fgred {
+ color: #900;
+}
+div.wrap_fggreen {
+ color: #090;
+}
+div.wrap_fgblue {
+ color: #009;
+}
+div.wrap_fgcyan {
+ color: #099;
+}
+div.wrap_fgviolet {
+ color: #909;
+}
+div.wrap_fgyellow {
+ color: #990;
+}
+div.wrap_fggrey {
+ color: #666;
+}
+div.wrap_fgblack {
+ color: #000;
+}
+
+/* see styles for background colours and white font colour in style.css */
+
+
+/* miscellaneous
+********************************************************************/
+
+/*____________ indent ____________*/
+
+div.wrap_indent {
+ padding-left: 1.5em;
+}
+
+/*____________ outdent ____________*/
+
+div.wrap_outdent {
+ margin-left: -1.5em;
+}
+
+/*____________ word wrapping in pre ____________*/
+
+divdiv.wrap_prewrap pre {
+ white-space: pre-wrap;
+ word-wrap: break-word;/* for IE < 8 */
+ /* white-space: -moz-pre-wrap; for FF < 3 */
+}
+
+/*____________ spoiler ____________*/
+
+divdiv.wrap_spoiler {
+ margin-bottom: 1.5em;
+}
+/* see rest of spoiler styles in style.css */
+
+/*____________ clear float ____________*/
+
+div.wrap_clear {
+ clear: both;
+ line-height: 0;
+ height: 0;
+ font-size: 1px;
+ visibility: hidden;
+ overflow: hidden;
+}
+
+/*____________ hide ____________*/
+
+div.wrap_hide {
+ display: none;
+}
+
+
+/* STYLE.CSS */
+
+/* box
+********************************************************************/
+
+.wrap_box {
+ background: __background_alt__;
+ color: __text__;
+/*
+ overflow: hidden;
+*/
+}
+div.wrap_box,
+div.wrap_danger, div.wrap_warning, div.wrap_caution, div.wrap_notice, div.wrap_safety {
+ padding: 1em 1em .5em;
+ margin-bottom: 1.5em;
+}
+span.wrap_box,
+span.wrap_danger, span.wrap_warning, span.wrap_caution, span.wrap_notice, span.wrap_safety {
+ padding: 0 .3em;
+}
+
+/*____________ notes with icons ____________*/
+
+/* general styles for all note divs */
+div.wrap_info, div.wrap_important, div.wrap_alert, div.wrap_tip, div.wrap_help, div.wrap_todo, div.wrap_download {
+ padding: 1em 1em .5em 70px;
+ margin-bottom: 1.5em;
+ min-height: 68px;
+ background-position: 10px 50%;
+ background-repeat: no-repeat;
+ color: #000;
+}
+/* special treatment for IE6 */
+* html div.wrap_info, * html div.wrap_important, * html div.wrap_alert, * html div.wrap_tip, * html div.wrap_help, * html div.wrap_todo, * html div.wrap_download {
+ height: 68px;
+}
+/* general styles for all note spans */
+span.wrap_info, span.wrap_important, span.wrap_alert, span.wrap_tip, span.wrap_help, span.wrap_todo, span.wrap_download {
+ padding: 0 2px 0 20px;
+ min-height: 20px;
+ background-position: 2px 50%;
+ background-repeat: no-repeat;
+ color: #000;
+}
+/* special treatment for IE6 */
+* html span.wrap_info, * html span.wrap_important, * html span.wrap_alert, * html span.wrap_tip, * html span.wrap_help, * html span.wrap_todo, * html span.wrap_download {
+ height: 20px;
+}
+
+/*____________ info ____________*/
+.wrap_info { background-color: #d1d7df; }
+div.wrap_info { background-image: url(../../../wrap/images/note/48/info.png); }
+span.wrap_info { background-image: url(../../../wrap/images/note/16/info.png); }
+
+/*____________ important ____________*/
+.wrap_important { background-color: #ffd39f; }
+div.wrap_important { background-image: url(../../../wrap/images/note/48/important.png); }
+span.wrap_important { background-image: url(../../../wrap/images/note/16/important.png); }
+
+/*____________ alert ____________*/
+.wrap_alert { background-color: #ffbcaf; }
+div.wrap_alert { background-image: url(../../../wrap/images/note/48/alert.png); }
+span.wrap_alert { background-image: url(../../../wrap/images/note/16/alert.png); }
+
+/*____________ tip ____________*/
+.wrap_tip { background-color: #fff79f; }
+div.wrap_tip { background-image: url(../../../wrap/images/note/48/tip.png); }
+span.wrap_tip { background-image: url(../../../wrap/images/note/16/tip.png); }
+
+/*____________ help ____________*/
+.wrap_help { background-color: #dcc2ef; }
+div.wrap_help { background-image: url(../../../wrap/images/note/48/help.png); }
+span.wrap_help { background-image: url(../../../wrap/images/note/16/help.png); }
+
+/*____________ todo ____________*/
+.wrap_todo { background-color: #c2efdd; }
+div.wrap_todo { background-image: url(../../../wrap/images/note/48/todo.png); }
+span.wrap_todo { background-image: url(../../../wrap/images/note/16/todo.png); }
+
+/*____________ download ____________*/
+.wrap_download { background-color: #d6efc2; }
+div.wrap_download { background-image: url(../../../wrap/images/note/48/download.png); }
+span.wrap_download { background-image: url(../../../wrap/images/note/16/download.png); }
+
+
+/*____________ safety notes ____________*/
+
+.wrap_danger {
+ background-color: #c00;
+ color: #fff;
+}
+.wrap_warning {
+ background-color: #f60;
+ color: #000;
+}
+.wrap_caution {
+ background-color: #ff0;
+ color: #000;
+}
+.wrap_notice {
+ background-color: #06f;
+ color: #fff;
+}
+.wrap_safety {
+ background-color: #090;
+ color: #fff;
+}
+
+
+/* mark
+********************************************************************/
+
+div.wrap_hi {
+ background-color: #ff9;
+}
+
+/* typography
+********************************************************************/
+
+/*____________ font colours ____________*/
+
+div.wrap_fgwhite {
+ color: #fff;
+}
+
+/*____________ background colours ____________*/
+
+div.wrap_bgred {
+ background-color: #fcc;
+}
+div.wrap_bggreen {
+ background-color: #cfc;
+}
+div.wrap_bgblue {
+ background-color: #ccf;
+}
+div.wrap_bgcyan {
+ background-color: #9ff;
+}
+div.wrap_bgviolet {
+ background-color: #f9f;
+}
+div.wrap_bgyellow {
+ background-color: #ff9;
+}
+div.wrap_bggrey {
+ background-color: #ccc;
+}
+div.wrap_bgwhite {
+ background-color: #fff;
+}
+div.wrap_bgblack {
+ background-color: #000;
+}
+
+
+/* miscellaneous
+********************************************************************/
+
+/*____________ spoiler ____________*/
+
+div.wrap_spoiler {
+ background-color: __background__ !important;
+ color: __background__ !important;
+ border: 1px dotted red;
+}
+
+/*____________ only print ____________*/
+
+div.wrap_onlyprint {
+ display: none;
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/zero_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/zero_link.png
new file mode 100644
index 000000000..5610884d9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/zero_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/zero_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/zero_over.png
new file mode 100644
index 000000000..3a14a577e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/pixel/zero_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/LEEME.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/LEEME.txt
new file mode 100755
index 000000000..d09fdc819
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/LEEME.txt
@@ -0,0 +1,31 @@
+QUALITY
+
+Tema para S5, creado originalmente por jEsuSdA 8)
+http://www.jesusda.com
+
+M谩s temas en: http://www.jesusda.com/projects/presentaciones_s5
+
+
+
+Para customizar este tema modifica el archivo CSS:
+
+s5-ultraviolet/ui/default/pretty.css
+
+
+
+Los archivos gr谩ficos referentes al tema est谩n en la carpeta:
+
+/ui/default/pics
+
+
+Puedes personalizar el favicon copiando el tuyo en la carpeta:
+
+/ui/default/pics
+
+con el nombre favicon.ico
+
+
+
+Para cualquier sugerencia e idea, por favor, contacta conmigo a trav茅s de mi web. ;)
+
+--jEsuSdA 8) \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/audio_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/audio_link.png
new file mode 100644
index 000000000..daa740c40
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/audio_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/audio_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/audio_on.png
new file mode 100644
index 000000000..cd590aae9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/audio_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/audio_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/audio_over.png
new file mode 100644
index 000000000..cf537a008
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/audio_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/auto_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/auto_link.png
new file mode 100644
index 000000000..1c2ca4817
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/auto_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/auto_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/auto_on.png
new file mode 100644
index 000000000..4b0c923b8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/auto_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/auto_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/auto_over.png
new file mode 100644
index 000000000..dbea88975
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/auto_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/backgrnd.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/backgrnd.png
new file mode 100644
index 000000000..0e48413d3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/backgrnd.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/blank.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/blank.gif
new file mode 100755
index 000000000..75b945d25
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/blank.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/delay_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/delay_link.png
new file mode 100644
index 000000000..c4d99cd57
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/delay_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/exit_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/exit_link.png
new file mode 100644
index 000000000..1bfd38790
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/exit_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/exit_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/exit_over.png
new file mode 100644
index 000000000..0fdd1c605
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/exit_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/fade_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/fade_link.png
new file mode 100644
index 000000000..482e4d53e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/fade_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/fade_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/fade_on.png
new file mode 100644
index 000000000..e12561fb2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/fade_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/fade_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/fade_over.png
new file mode 100644
index 000000000..fb8943c98
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/fade_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/footer.jpg b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/footer.jpg
new file mode 100644
index 000000000..49370dbba
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/footer.jpg
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/framing.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/framing.css
new file mode 100755
index 000000000..14d8509e9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/framing.css
@@ -0,0 +1,23 @@
+/* The following styles size, place, and layer the slide components.
+ Edit these if you want to change the overall slide layout.
+ The commented lines can be uncommented (and modified, if necessary)
+ to help you with the rearrangement process. */
+
+/* target = 1024x768 */
+
+div#header, div#footer, .slide {width: 100%; top: 0; left: 0;}
+div#header {top: 0; height: 3em; z-index: 1;}
+div#footer {top: auto; bottom: 0; height: 2.5em; z-index: 5;}
+.slide {top: 0; width: 92%; padding: 3.5em 4% 4%; z-index: 2; list-style: none;}
+div#controls {left: 50%; bottom: 0; width: 50%; z-index: 100;}
+div#controls form {position: absolute; bottom: 0; right: 0; width: 100%;
+ margin: 0;}
+#currentSlide {position: absolute; width: 10%; left: 45%; bottom: 1em; z-index: 10;}
+html>body #currentSlide {position: fixed;}
+
+/*
+div#header {background: #FCC;}
+div#footer {background: #CCF;}
+div#controls {background: #BBD;}
+div#currentSlide {background: #FFC;}
+*/
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/header.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/header.png
new file mode 100644
index 000000000..929dabba1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/header.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/iepngfix.htc b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/iepngfix.htc
new file mode 100755
index 000000000..bba2db756
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/iepngfix.htc
@@ -0,0 +1,42 @@
+<public:component>
+<public:attach event="onpropertychange" onevent="doFix()" />
+
+<script>
+
+// IE5.5+ PNG Alpha Fix v1.0 by Angus Turnbull http://www.twinhelix.com
+// Free usage permitted as long as this notice remains intact.
+
+// This must be a path to a blank image. That's all the configuration you need here.
+var blankImg = 'ui/default/blank.gif';
+
+var f = 'DXImageTransform.Microsoft.AlphaImageLoader';
+
+function filt(s, m) {
+ if (filters[f]) {
+ filters[f].enabled = s ? true : false;
+ if (s) with (filters[f]) { src = s; sizingMethod = m }
+ } else if (s) style.filter = 'progid:'+f+'(src="'+s+'",sizingMethod="'+m+'")';
+}
+
+function doFix() {
+ if ((parseFloat(navigator.userAgent.match(/MSIE (\S+)/)[1]) < 5.5) ||
+ (event && !/(background|src)/.test(event.propertyName))) return;
+
+ if (tagName == 'IMG') {
+ if ((/\.png$/i).test(src)) {
+ filt(src, 'image'); // was 'scale'
+ src = blankImg;
+ } else if (src.indexOf(blankImg) < 0) filt();
+ } else if (style.backgroundImage) {
+ if (style.backgroundImage.match(/^url[("']+(.*\.png)[)"']+$/i)) {
+ var s = RegExp.$1;
+ style.backgroundImage = '';
+ filt(s, 'crop');
+ } else filt();
+ }
+}
+
+doFix();
+
+</script>
+</public:component> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/index.html b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/index.html
new file mode 100755
index 000000000..47d9a6b83
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/index.html
@@ -0,0 +1,100 @@
+<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Strict//EN"
+ "http://www.w3.org/TR/xhtml1/DTD/xhtml1-strict.dtd">
+
+<html xmlns="http://www.w3.org/1999/xhtml" xml:lang="es" lang="es-es" >
+
+
+<head>
+
+ <title>[T铆tulo de la presentaci贸n aqu铆]</title>
+ <!-- metadata -->
+ <meta http-equiv="content-type" content="text/html; charset=utf-8" />
+ <meta http-equiv="content-language" content="es" />
+
+ <!--titulo y datos de publicaci贸n de la presentaci贸n-->
+
+ <meta name="description" content="[T铆tulo de la presentaci贸n aqu铆]" />
+
+ <meta name="keywords" content="[keywords aqu铆]" />
+ <meta name="presdate" content="[Aqu铆 la fecha de publicaci贸n en formato aaaammdd]" />
+
+ <meta name="author" lang="es" content="[Nombre del autor de la presentaci贸n aqu铆]" />
+ <meta name="company" content="[Organizaci贸n del autor de la presentaci贸n aqu铆]" />
+
+ <link rel="shortcut icon" href="images/favicon.ico" />
+
+ <meta name="generator" content="S5" />
+ <meta name="progid" content="quanta+" />
+ <meta name="version" content="S5 路 jEsuSdA 路 1.1" />
+ <!--Versi贸n adaptada del estandard S5 1.1 por jEsuSdA-->
+
+ <meta name="robots" content="all" />
+
+ <!-- configuration parameters -->
+ <meta name="defaultView" content="slideshow" />
+ <meta name="controlVis" content="hidden" />
+
+ <!-- style sheet links -->
+ <link rel="stylesheet" href="ui/default/slides.css" type="text/css" media="projection" id="slideProj" />
+ <link rel="stylesheet" href="ui/default/outline.css" type="text/css" media="screen" id="outlineStyle" />
+ <link rel="stylesheet" href="ui/default/print.css" type="text/css" media="print" id="slidePrint" />
+ <link rel="stylesheet" href="ui/default/opera.css" type="text/css" media="projection" id="operaFix" />
+
+ <!-- S5 JS -->
+ <script src="ui/default/slides.js" type="text/javascript"></script>
+
+</head>
+
+<body>
+
+ <div class="layout">
+ <div id="controls"><!-- NO EDITAR --></div>
+ <div id="currentSlide"><!-- NO EDITAR --></div>
+
+ <div id="header">
+ <div id="logo"><!-- NO EDITAR --></div>
+ </div>
+
+ </div>
+
+ <div id="footer">
+ <h1>[Lugar/Fecha de la presentaci贸n aqu铆]</h1>
+ <h2>[T铆tulo de la presentaci贸n aqu铆]</h2>
+ </div>
+
+
+
+
+<div class="presentation">
+
+ <div class="slide">
+ <h1>[T铆tulo de la presentaci贸n aqu铆]</h1>
+ <h3>[Nombre del ponente aqu铆]</h3>
+ <h4>[Datos extra aqu铆]</h4>
+ </div>
+
+
+ <div class="slide">
+ <h1>[T铆tulo de la diapositiva]</h1>
+
+ <ul>
+ <li>[Punto uno]</li>
+ <li>[Punto dos]</li>
+ <li>[Punto tres]</li>
+ <li>[Punto cuatro]</li>
+ <li>[Punto cinco]</li>
+ </ul>
+
+ <div class="handout">
+
+ [A帽ade aqu铆 cualquier nota o informaci贸n que desees mostrar en la versi贸n impresa, pero no en la versi贸n en pantalla]
+
+ </div>
+
+ </div>
+ <!--fin slide-->
+
+</div>
+
+</body>
+</html>
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/last_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/last_link.png
new file mode 100644
index 000000000..4f158d35d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/last_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/last_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/last_over.png
new file mode 100644
index 000000000..a7b629995
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/last_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/list_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/list_link.png
new file mode 100644
index 000000000..7e299bb50
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/list_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/loop_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/loop_link.png
new file mode 100644
index 000000000..41e12cb15
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/loop_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/loop_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/loop_on.png
new file mode 100644
index 000000000..2ffcacae1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/loop_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/loop_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/loop_over.png
new file mode 100644
index 000000000..9fe420153
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/loop_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/next_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/next_link.png
new file mode 100644
index 000000000..2b9f44c4d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/next_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/next_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/next_over.png
new file mode 100644
index 000000000..25788124d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/next_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/notes_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/notes_link.png
new file mode 100644
index 000000000..bb4a8ae37
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/notes_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/notes_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/notes_over.png
new file mode 100644
index 000000000..c6bfe69fc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/notes_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/opera.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/opera.css
new file mode 100755
index 000000000..9e9d2a3c5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/opera.css
@@ -0,0 +1,7 @@
+/* DO NOT CHANGE THESE unless you really want to break Opera Show */
+.slide {
+ visibility: visible !important;
+ position: static !important;
+ page-break-before: always;
+}
+#slide0 {page-break-before: avoid;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/outline.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/outline.css
new file mode 100755
index 000000000..62db519ed
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/outline.css
@@ -0,0 +1,15 @@
+/* don't change this unless you want the layout stuff to show up in the outline view! */
+
+.layout div, #footer *, #controlForm * {display: none;}
+#footer, #controls, #controlForm, #navLinks, #toggle {
+ display: block; visibility: visible; margin: 0; padding: 0;}
+#toggle {float: right; padding: 0.5em;}
+html>body #toggle {position: fixed; top: 0; right: 0;}
+
+/* making the outline look pretty-ish */
+
+#slide0 h1, #slide0 h2, #slide0 h3, #slide0 h4 {border: none; margin: 0;}
+#slide0 h1 {padding-top: 1.5em;}
+.slide h1 {margin: 1.5em 0 0; padding-top: 0.25em;
+ border-top: 1px solid #888; border-bottom: 1px solid #AAA;}
+#toggle {border: 1px solid; border-width: 0 0 1px 1px; background: #FFF;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pause_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pause_link.png
new file mode 100644
index 000000000..2561cb4a4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pause_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pause_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pause_on.png
new file mode 100644
index 000000000..2fc9c4a4a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pause_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pause_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pause_over.png
new file mode 100644
index 000000000..7a7c80ddc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pause_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/back-handout-print.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/back-handout-print.png
new file mode 100755
index 000000000..9e49dd625
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/back-handout-print.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/back-index.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/back-index.png
new file mode 100755
index 000000000..e0c18c4fe
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/back-index.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/back-slide-print.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/back-slide-print.png
new file mode 100755
index 000000000..e8b5f9d82
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/back-slide-print.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/back_console.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/back_console.png
new file mode 100755
index 000000000..ac1708379
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/back_console.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/background-foot.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/background-foot.png
new file mode 100755
index 000000000..af3be4e6b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/background-foot.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/background-head.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/background-head.png
new file mode 100755
index 000000000..12d6402ee
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/background-head.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/background-main.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/background-main.png
new file mode 100755
index 000000000..3d7595d78
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/background-main.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/favicon.ico b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/favicon.ico
new file mode 100755
index 000000000..f1cdf0d62
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/favicon.ico
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/logo.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/logo.png
new file mode 100755
index 000000000..dce12c815
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/logo.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/table-background.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/table-background.png
new file mode 100755
index 000000000..89a7e4fa2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/table-background.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/table-header.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/table-header.png
new file mode 100755
index 000000000..2f8795842
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pics/table-header.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pretty.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pretty.css
new file mode 100755
index 000000000..05d30adaf
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/pretty.css
@@ -0,0 +1,585 @@
+/* Following are the presentation styles -- edit away! */
+
+body
+{
+ background: #FFF url(pics/background-main.png) top left no-repeat;
+ color: #000; font-size: 2em;
+ font-family: Interstate-LightCondensed, Arial, Helvetica, sans-serif;
+/* font-family: Interstate-RegularCondensed, Arial, Helvetica, sans-serif; */
+/* font-family: Arial, Helvetica, sans-serif; */
+
+}
+
+:link, :visited
+{
+ text-decoration: none;
+ color: #00C;
+}
+
+
+
+h1, h2, h3, h4
+{
+ font-size: 100%;
+ margin: 0;
+ padding: 0;
+ font-weight: inherit;
+}
+
+h1 abbr
+{
+ font-variant: small-caps;
+}
+
+ul, pre
+{
+ margin: 0;
+ line-height: 1em;
+}
+
+html, body
+{
+ margin: 0;
+ padding: 0;
+}
+
+blockquote, q
+{
+ font-style: italic;
+}
+
+blockquote
+{
+ padding: 0 2em 0.5em;
+ margin: 0 1.5em 0.5em;
+ text-align: center;
+ font-size: 1em;
+}
+
+blockquote p
+{
+ margin: 0;
+}
+
+blockquote i
+{
+ font-style: normal;
+}
+
+blockquote b
+{
+ display: block;
+ margin-top: 0.5em;
+ font-weight: normal;
+ font-size: smaller;
+ font-style: normal;
+}
+
+blockquote b i
+{
+ font-style: italic;
+}
+
+kbd
+{
+ font-weight: bold;
+ font-size: 1em;
+}
+
+sup
+{
+ font-size: smaller;
+ line-height: 1px;
+}
+
+
+ul.urls
+{
+ list-style: none;
+ display: inline;
+ margin: 0;
+}
+
+.urls li
+{
+ display: inline;
+ margin: 0;
+}
+
+.notes
+{
+ display: none;
+}
+
+.external
+{
+ border-bottom: 1px dotted gray;
+}
+
+html>body .external
+{
+ border-bottom: none;
+}
+
+.external:after
+{
+ content: " \274F";
+ font-size: smaller;
+ color: #fff;
+}
+
+.incremental, .incremental *, .incremental *:after
+{
+/* color: #DDE; */
+ color: #fff;
+ visibility: visible;
+}
+
+img.incremental
+{
+ visibility: hidden;
+}
+
+.slide .current
+{
+ color: #fff;
+}
+
+
+/* ============== */
+/* === SLIDES === */
+/* ============== */
+
+.slide code
+{
+ padding: 2px 0.25em;
+ font-weight: bold;
+}
+
+.slide code.bad, code del
+{
+ color: red;
+}
+
+.slide code.old
+{
+ color: silver;
+}
+
+.slide pre
+{
+
+ color: #ffca00;
+ display:block;
+ font-weight:bold;
+ font-family: Arial, Sans-Serif !important;
+ font-size:41.5%;
+ line-height:1.5em;
+ background:#2e3436 url(pics/back_console.png) right bottom no-repeat ;
+ border:5px solid #ccc;
+ padding:1em 1em 1em 1em;
+
+
+}
+
+.slide pre code
+{
+ font-family: Arial, Sans-Serif !important;
+ display:block;
+ margin:0;
+ padding:0;
+}
+
+
+
+.slide ul
+{
+ margin-left: 5%;
+ margin-right: 7%;
+ list-style: disc;
+}
+
+.slide li
+{
+ margin-top: 0.75em;
+ margin-right: 0;
+}
+
+.slide ul ul
+{
+ line-height: 1;
+}
+
+.slide ul ul li
+{
+ margin: .2em;
+ font-size: 85%;
+ list-style: square;
+}
+
+.slide img.leader
+{
+ display: block;
+ margin: 0 auto;
+}
+
+
+/* ========================= */
+/* === HEADER AND FOOTER === */
+/* ========================= */
+
+div#logo
+{
+ position:absolute;
+ top:0%;
+ right:0%;
+ background:url(pics/logo.png) 50% 50% no-repeat;
+ height:100%;
+ width:50px;
+}
+
+div#header, div#footer
+{
+ background: #a80231;
+ color: #FFF;
+}
+
+
+div#header
+{
+ background:#a80231 url(pics/background-head.png) bottom left repeat-x;
+ line-height: 1px;
+}
+
+div#footer
+{
+ background:#a80231 url(pics/background-foot.png) top left repeat-x;
+ font-size: 0.5em;
+ font-weight: bold;
+ padding: 1em 0;
+}
+
+
+
+
+
+#footer h1, #footer h2
+{
+ display: block;
+ padding: 0 1em;
+}
+
+#footer h2
+{
+ font-style: italic;
+}
+
+
+
+/* ============== */
+/* === CLASES === */
+/* ============== */
+
+div.long
+{
+ font-size: 0.75em;
+}
+
+.slide h1
+{
+ position: absolute;
+ top: 0.7em;
+ left: 87px;
+ z-index: 1;
+ margin: 0;
+ padding: 0.3em 0 0 50px;
+ white-space: nowrap;
+ font-weight: bold;
+ font-size: 150%/1em;
+
+ text-transform: capitalize;
+ color: #fff;
+ background: transparent;
+}
+
+.slide h3
+{
+ font-size: 130%;
+}
+
+/* ================ */
+/* === CONTROLS === */
+/* ================ */
+
+#currentSlide
+{
+ text-align: center;
+ font-size: 0.6em;
+ font-weight:bold;
+ color: #fff;
+}
+
+#slide0
+{
+ padding-top: 3.5em;
+ font-size: 90%;
+}
+
+#slide0 h1
+{
+ position: static;
+ margin: 1em 0 0;
+ padding: 0;
+/* font: bold 2em Helvetica, sans-serif; */
+ font-weight: bold;
+ font-size:2em;
+ white-space: normal;
+ color: #000;
+ background: transparent;
+}
+
+#slide0 h2
+{
+ font-weight: bold;
+ font-style: italic;
+ font-size: 1em;
+ margin: 0.25em;
+}
+
+#slide0 h3
+{
+ margin-top: 1.5em;
+ font-size: 1.5em;
+}
+
+#slide0 h4
+{
+ margin-top: 0;
+ font-size: 1em;
+}
+
+/* ================ */
+/* === JESUSDA === */
+/* ================ */
+
+.first-pic
+{
+
+ float:right;
+ margin-top:-2em;
+}
+
+/* ================ */
+
+.align-center
+{
+ text-align:center;
+}
+/* ================ */
+
+.float-left , .left-column
+{
+ float:left !important;
+ width:49% !important;
+}
+
+.float-right , .right-column
+{
+ float:right !important;
+ width:49% !important;
+}
+/* ================ */
+.fullscreen
+{
+ z-index:1000 !important;
+ position:fixed !important;
+ top:0;
+ left:0;
+ width:100% !important;
+ height:100% !important;
+
+ background: #fff url(pics/background-main.png) top left no-repeat;
+
+ text-align:center;
+ margin-left:-1.65em;
+
+}
+
+.fullscreen h1
+{
+ display:none;
+}
+
+.rotulo
+{
+ font-size:800%;
+ text-align:center;
+ margin-top:-0.05em;
+
+}
+
+.rotulo2
+{
+ font-size:200%;
+ text-align:center;
+ font-weight:bolder;
+ margin-top:-0.05em;
+
+}
+
+.align-center img
+{
+ margin:auto;
+ text-align:center;
+ margin:0;
+}
+
+/* ========================= */
+/* === TABLAS === */
+/* ========================= */
+
+table
+{
+ background:url(pics/table-background.png) bottom left repeat-x #fff;
+ margin-right:1em;
+ font-size:70%;
+ border:1px solid #d2d7cf !important;
+ border-spacing: 0;
+ text-align:center;
+}
+
+table td
+{
+ padding:0.5em;
+ font-size:90%;
+ border:1px solid #d2d7cf !important;
+ border-spacing: 0;
+ text-align:center;
+}
+
+th
+{
+ background:url(pics/table-header.png) top left repeat-x #a70131;
+ color:#fff;
+}
+
+th a
+{
+ color:#fff !important;
+}
+
+
+
+table caption
+{
+ margin-bottom:1em;
+ margin-top:1em;
+ margin-left:-7em;
+
+
+}
+
+
+display-none
+{
+ display:none;
+}
+
+div#controls {position: absolute; left: 0; bottom: 0; width: 100%; text-align: center; font: bold 1em Verdana, Arial, Helvetica, sans-serif;}
+html>body div#controls {background: transparent; position: fixed; padding: 0; top: auto; text-align: center;}
+#controls #sheet {display: none;}
+#controls #controlForm {height: 32px; width: 100%; text-align: center; vertical-align: middle;}
+#controls #navLinks {border-top: 1px solid #999; overflow: hidden; padding: 0; margin: 0; height: 32px; width: 100%; background-color: #808080; text-align: center; vertical-align: middle; white-space: nowrap;}
+#controls #navLinks ul {margin: 0 auto; padding: 0; height: 32px; width: 500px; white-space: nowrap; text-align: center;}
+#controls #navLinks ul li {list-style: none; display: inline; margin: 0; padding: 0; float: left; height: 32px; width: 32px; text-align: center; background-color: transparent;}
+#controls #navLinks ul li.vr {list-style: none; display: inline; margin: 0px 2px; padding: 0; float: left; height: 32px; width: 1px; text-align: center; background-color: #606060;}
+#controls #navLinks ul li a {margin: 0; padding: 0; float: left; height: 32px; width: 32px; background-color: transparent;}
+
+#controls #navLinks ul li#exit {background: url(exit_link.png) no-repeat top left;}
+#controls #navLinks ul li#exit a:hover {background: url(exit_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#toggle {background: url(toggle_link.png) no-repeat top left;}
+#controls #navLinks ul li#toggle a:hover {background: url(toggle_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#show-notes {background: url(notes_link.png) no-repeat top left;}
+#controls #navLinks ul li#show-notes a:hover {background: url(notes_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#zero {background: url(zero_link.png) no-repeat top left;}
+#controls #navLinks ul li#zero a:hover {background: url(zero_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#prev {background: url(prev_link.png) no-repeat top left;}
+#controls #navLinks ul li#prev a:hover {background: url(prev_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#next {background: url(next_link.png) no-repeat top left;}
+#controls #navLinks ul li#next a:hover {background: url(next_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#last {background: url(last_link.png) no-repeat top left;}
+#controls #navLinks ul li#last a:hover {background: url(last_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#lst {background: url(list_link.png) no-repeat top left;}
+
+#controls #navLinks ul li#fade a.isoff {background: url(fade_link.png) no-repeat top left;}
+#controls #navLinks ul li#fade a.ison {background: url(fade_on.png) no-repeat top right;}
+#controls #navLinks ul li#fade a:hover {background: url(fade_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#audio {background: url(audio_link.png) no-repeat top left;}
+#controls #navLinks ul li#audio a.isoff {background: url(audio_link.png) no-repeat top left;}
+#controls #navLinks ul li#audio a.ison {background: url(audio_on.png) no-repeat top right;}
+#controls #navLinks ul li#audio a:hover {background: url(audio_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#vol {background: url(volume_link.png) no-repeat top left;}
+
+#controls #navLinks ul li#auto a.isoff {background: url(auto_link.png) no-repeat top left;}
+#controls #navLinks ul li#auto a.ison {background: url(auto_on.png) no-repeat top right;}
+#controls #navLinks ul li#auto a:hover {background: url(auto_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#pause a.isoff {background: url(pause_link.png) no-repeat top left;}
+#controls #navLinks ul li#pause a.ison {background: url(pause_on.png) no-repeat top right;}
+#controls #navLinks ul li#pause a:hover {background: url(pause_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#loop a.isoff {background: url(loop_link.png) no-repeat top left;}
+#controls #navLinks ul li#loop a.ison {background: url(loop_on.png) no-repeat top right;}
+#controls #navLinks ul li#loop a:hover {background: url(loop_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#del {background: url(delay_link.png) no-repeat top left;}
+
+#jumplist, #volumelist, #delaylist {padding: 0; margin: 0; width: 32px; height: 32px; cursor: n-resize;}
+
+#guru {position: absolute; visibility: visible; left: 0px; top: 0px; padding: 4px; width: 99%; height: auto; text-align: center; background-color: black; z-index: 10;}
+#guru div {border: solid 3px red; padding: 4px; font-family: monospace; font-size: 60%; width: auto; height: auto; color: red; text-align: center;}
+
+/*
+#currentSlide {white-space: nowrap; text-align: center; margin-bottom: -0.5em; font-size: 0.5em; background-color: transparent; color: #999;}
+
+#slide0 {padding-top: 3.5em; font-size: 90%;}
+#slide0 h1 {position: static; margin: 1em 0 0; padding: 0; font: bold 2em Verdana, Arial, Helvetica, sans-serif; font-variant: small-caps; text-shadow: 0.1em 0.1em 0.1em #666; white-space: normal; color: #222; background-color: transparent;}
+#slide0 h2 {font: bold italic 1em Arial, Helvetica, sans-serif; margin: 0.25em;}
+#slide0 h3 {margin-top: 1.5em; font-size: 1.5em;}
+#slide0 h4 {margin-top: 0; font-size: 1em;}
+*/
+
+ul.urls {list-style: none; display: inline; margin: 0;}
+.urls li {display: inline; margin: 0;}
+.external {border-bottom: 1px dotted gray;}
+html>body .external {border-bottom: none;}
+.external:after {content: " \274F"; font-size: smaller; color: #999;}
+
+.incremental, .incremental *, .incremental *:after {color: #ccc; visibility: visible;}
+img.incremental, canvas.incremental {visibility: hidden;}
+.slide .current {color: #B02;}
+
+/* diagnostics
+li:after {content: " [" attr(class) "]"; color: #F88;}
+*/
+
+table.piechart, table.barchart, table.linechart { border-spacing: 0.3em 0.15em; }
+table.piechart tr th, table.barchart tr th, table.linechart tr th { white-space: nowrap; }
+table.piechart tr td, table.barchart tr td, table.linechart tr td { vertical-align: top; white-space: nowrap; }
+table.piechart tr td.col, table.barchart tr td.col, table.linechart tr td.col { border-bottom: 1px solid #555; border-right: 1px solid #555; }
+table.fs90 tr td, table.fs90 tr th, div.fs90, pre.fs90, p.fs90 ,ul.fs90 {font-size: 0.9em; }
+table.fs75 tr td, table.fs75 tr th, div.fs75, pre.fs75, p.fs75 ,ul.fs75 {font-size: 0.75em; }
+table.fs66 tr td, table.fs66 tr th, div.fs66, pre.fs66, p.fs66 ,ul.fs66 {font-size: 0.66em; }
+table.fs50 tr td, table.fs50 tr th, div.fs50, pre.fs50, p.fs50 ,ul.fs50 {font-size: 0.5em; }
+
+#soundmanager-debug {position:fixed; top:0px; right:0px; width:30em; height:20em; overflow:auto; border:1px solid red; padding:1em; margin:2em; font-family:"sans serif"; font-size: 12px;color: black; background-color:#f6f6f6; z-index: 100;}
+#soundmanager-debug code {font-size: 11px;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/prev_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/prev_link.png
new file mode 100644
index 000000000..c31d21350
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/prev_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/prev_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/prev_over.png
new file mode 100644
index 000000000..6fef4fa52
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/prev_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/print.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/print.css
new file mode 100755
index 000000000..220083260
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/print.css
@@ -0,0 +1 @@
+/* The following rule is necessary to have all slides appear in print! DO NOT REMOVE IT! */ .slide, ul { page-break-inside: avoid; visibility: visible !important; } h1 { page-break-after: avoid; } html { width:100%; overflow:hidden; } body { font-size: 12pt; background: white; width:100%; } * { color: black; } #slide0 h1 { font-size: 200%; border: none; margin: 0.5em 0 0.25em; } #slide0 h3 { margin: 0; padding: 0; } #slide0 h4 { margin: 0 0 0.5em; padding: 0; } #slide0 { margin-bottom: 3em; } h1 { border-top: 2pt solid gray; border-bottom: 1px dotted silver; padding:0.2em 0 0.3em 0; } .extra { background: transparent !important; } div.extra, pre.extra, .example { font-size: 10pt; color: #333; } ul.extra a { font-weight: bold; } p.example { display: none; } #header { display: none; } #footer h1 { margin: 0; border-bottom: 1px solid; color: gray; font-style: italic; } #footer h2, #controls { display: none; } div.handout { display:block; border-top: 2px dotted #ccc; background:url(pics/back-handout-print.png) top right no-repeat #fff; min-height:6em; padding-right:6em; margin-bottom:1em; } .slide { display:block; background:url(pics/back-slide-print.png) top right no-repeat #fff; min-height:6em; margin-bottom:1em; } table { display:block; /* display:none; */ font-size:90%; overflow:hidden; margin-top:1em; margin-bottom:1em; } pre , code { margin:0; font-size:1pt; overflow:auto; } img { max-width:100% !important; } /* The following rule keeps the layout stuff out of print. Remove at your own risk! */ .layout, .layout * { display: none !important; } \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/s5-core.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/s5-core.css
new file mode 100755
index 000000000..86444e041
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/s5-core.css
@@ -0,0 +1,9 @@
+/* Do not edit or override these styles! The system will likely break if you do. */
+
+div#header, div#footer, div#controls, .slide {position: absolute;}
+html>body div#header, html>body div#footer,
+ html>body div#controls, html>body .slide {position: fixed;}
+.handout {display: none;}
+.layout {display: block;}
+.slide, .hideme, .incremental {visibility: hidden;}
+#slide0 {visibility: visible;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/slides.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/slides.css
new file mode 100755
index 000000000..0786d7dbd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/slides.css
@@ -0,0 +1,3 @@
+@import url(s5-core.css); /* required to make the slide show run at all */
+@import url(framing.css); /* sets basic placement and size of slide components */
+@import url(pretty.css); /* stuff that makes the slides look better than blah */ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/slides.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/slides.js
new file mode 100644
index 000000000..510a04c0e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/slides.js
@@ -0,0 +1,2812 @@
+// S5 1.3beta7 (18-Apr-2007) advanced version by C. Effenberger
+// Please see http://s5.netzgesta.de/ for more information
+// based on S5 v1.2a1 slides.js -- released into the Public Domain
+// Please see http://www.meyerweb.com/eric/tools/s5/credits.html for information
+// about all the wonderful and talented contributors to this code!
+// audio extension: soundmanager2 is NOT Public Domain
+// Please see http://www.schillmania.com/projects/soundmanager2/ for information
+
+var undef;
+var slideCSS = '';
+var snum = 0;
+var smax = 1;
+var incpos = 0;
+var number = undef;
+var firstTime = 1;
+var s5mode = true;
+var helpmode = false;
+var defaultView = 'slideshow'; //outline
+var controlVis = 'visible';
+var s5Path = 'lib/plugins/s5reloaded/';
+
+// scalable images extension
+var empx = 0;
+var images = new Array();
+var canvas = new Array();
+var medias = new Array();
+var piecharts = new Array();
+var barcharts = new Array();
+var linecharts = new Array();
+// scalable images extension
+
+// transition extension
+var tranSitions = false;
+var fadeModus = false;
+var fadeDuration = 500;
+var incrDuration = 250;
+var opac = 1;
+var cid = '';
+var nid = '';
+var tid = '';
+var jl = '';
+// transition extension
+
+// autoplay extension
+var autoMatic = false;
+var playLoop = false;
+var playPause = false;
+var autoRun = false;
+var playDelay = 5000;
+var remainDer = 0;
+var incrDelay = 0;
+// autoplay extension
+
+// audio extension
+var sound = new Array();
+var audioSupport = false;
+var audioVolume = 100;
+var audioError = false;
+var swfUnloaded = true;
+var bgSoundItem = 9999;
+var curSoundID = -1;
+// audio extension
+
+// panel extension
+var imgWidth = 32;
+var imgHeight = 32;
+// panel extension
+
+// canvas chart extension
+var canvasSupport = false;
+var ChartData = new Array();
+var colorSlice = new Array();
+var font = document.createElement("img");
+font.setAttribute("src", s5Path+"ui/graphic_support/numeric.png");
+signs = {
+ '0': {sx: 0, sy: 0, sw: 48, sh: 64},
+ '1': {sx: 48, sy: 0, sw: 48, sh: 64},
+ '2': {sx: 96, sy: 0, sw: 48, sh: 64},
+ '3': {sx: 144, sy: 0, sw: 48, sh: 64},
+ '4': {sx: 192, sy: 0, sw: 48, sh: 64},
+ '5': {sx: 240, sy: 0, sw: 48, sh: 64},
+ '6': {sx: 288, sy: 0, sw: 48, sh: 64},
+ '7': {sx: 336, sy: 0, sw: 48, sh: 64},
+ '8': {sx: 384, sy: 0, sw: 48, sh: 64},
+ '9': {sx: 432, sy: 0, sw: 48, sh: 64},
+ '%': {sx: 480, sy: 0, sw: 48, sh: 64},
+ '.': {sx: 528, sy: 0, sw: 24, sh: 64}
+};
+var colorNames= new Array();
+colorNames["black"]="#000000"; colorNames["maroon"]="#800000";
+colorNames["green"]="#008000"; colorNames["olive"]="#808000";
+colorNames["navy"]="#000080"; colorNames["purple"]="#800080";
+colorNames["teal"]="#008080"; colorNames["gray"]="#808080";
+colorNames["silver"]="#C0C0C0"; colorNames["red"]="#FF0000";
+colorNames["lime"]="#00FF00"; colorNames["yellow"]="#FFFF00";
+colorNames["blue"]="#0000FF"; colorNames["fuchsia"]="#FF00FF";
+colorNames["aqua"]="#00FFFF"; colorNames["white"]="#FFFFFF";
+colorNames["aliceblue"]="#F0F8FF"; colorNames["antiquewhite"]="#FAEBD7";
+colorNames["aquamarine"]="#7FFFD4"; colorNames["azure"]="#F0FFFF";
+colorNames["beige"]="#F5F5DC"; colorNames["blueviolet"]="#8A2BE2";
+colorNames["brown"]="#A52A2A"; colorNames["burlywood"]="#DEB887";
+colorNames["cadetblue"]="#5F9EA0"; colorNames["chartreuse"]="#7FFF00";
+colorNames["chocolate"]="#D2691E"; colorNames["coral"]="#FF7F50";
+colorNames["cornflowerblue"]="#6495ED"; colorNames["cornsilk"]="#FFF8DC";
+colorNames["crimson"]="#DC143C"; colorNames["darkblue"]="#00008B";
+colorNames["darkcyan"]="#008B8B"; colorNames["darkgoldenrod"]="#B8860B";
+colorNames["darkgray"]="#A9A9A9"; colorNames["darkgreen"]="#006400";
+colorNames["darkkhaki"]="#BDB76B"; colorNames["darkmagenta"]="#8B008B";
+colorNames["darkolivegreen"]="#556B2F"; colorNames["darkorange"]="#FF8C00";
+colorNames["darkorchid"]="#9932CC"; colorNames["darkred"]="#8B0000";
+colorNames["darksalmon"]="#E9967A"; colorNames["darkseagreen"]="#8FBC8F";
+colorNames["darkslateblue"]="#483D8B"; colorNames["darkslategray"]="#2F4F4F";
+colorNames["darkturquoise"]="#00CED1"; colorNames["darkviolet"]="#9400D3";
+colorNames["deeppink"]="#FF1493"; colorNames["deepskyblue"]="#00BFFF";
+colorNames["dimgray"]="#696969"; colorNames["dodgerblue"]="#1E90FF";
+colorNames["firebrick"]="#B22222"; colorNames["floralwhite"]="#FFFAF0";
+colorNames["forestgreen"]="#228B22"; colorNames["gainsboro"]="#DCDCDC";
+colorNames["ghostwhite"]="#F8F8FF"; colorNames["gold"]="#FFD700";
+colorNames["goldenrod"]="#DAA520"; colorNames["greenyellow"]="#ADFF2F";
+colorNames["honeydew"]="#F0FFF0"; colorNames["hotpink"]="#FF69B4";
+colorNames["indianred"]="#CD5C5C"; colorNames["indigo"]="#4B0082";
+colorNames["ivory"]="#FFFFF0"; colorNames["khaki"]="#F0E68C";
+colorNames["lavender"]="#E6E6FA"; colorNames["lavenderblush"]="#FFF0F5";
+colorNames["lawngreen"]="#7CFC00"; colorNames["lemonchiffon"]="#FFFACD";
+colorNames["lightblue"]="#ADD8E6"; colorNames["lightcoral"]="#F08080";
+colorNames["lightcyan"]="#E0FFFF"; colorNames["lightgoldenrodyellow"]="#FAFAD2";
+colorNames["lightgreen"]="#90EE90"; colorNames["lightgrey"]="#D3D3D3";
+colorNames["lightpink"]="#FFB6C1"; colorNames["lightsalmon"]="#FFA07A";
+colorNames["lightseagreen"]="#20B2AA"; colorNames["lightskyblue"]="#87CEFA";
+colorNames["lightslategray"]="#778899"; colorNames["lightsteelblue"]="#B0C4DE";
+colorNames["lightyellow"]="#FFFFE0"; colorNames["limegreen"]="#32CD32";
+colorNames["linen"]="#FAF0E6"; colorNames["mediumaquamarine"]="#66CDAA";
+colorNames["mediumblue"]="#0000CD"; colorNames["mediumorchid"]="#BA55D3";
+colorNames["ediumpurple"]="#9370D"; colorNames["mediumseagreen"]="#3CB371";
+colorNames["mediumslateblue"]="#7B68EE"; colorNames["mediumspringgreen"]="#00FA9A";
+colorNames["mediumturquoise"]="#48D1CC"; colorNames["mediumvioletred"]="#C71585";
+colorNames["midnightblue"]="#191970"; colorNames["mintcream"]="#F5FFFA";
+colorNames["mistyrose"]="#FFE4E1"; colorNames["moccasin"]="#FFE4B5";
+colorNames["navajowhite"]="#FFDEAD"; colorNames["oldlace"]="#FDF5E6";
+colorNames["olivedrab"]="#6B8E23"; colorNames["orange"]="#FFA500";
+colorNames["orangered"]="#FF4500"; colorNames["orchid"]="#DA70D6";
+colorNames["palegoldenrod"]="#EEE8AA"; colorNames["palegreen"]="#98FB98";
+colorNames["paleturquoise"]="#AFEEEE"; colorNames["palevioletred"]="#DB7093";
+colorNames["papayawhip"]="#FFEFD5"; colorNames["peachpuff"]="#FFDAB9";
+colorNames["peru"]="#CD853F"; colorNames["pink"]="#FFC0CB";
+colorNames["plum"]="#DDA0DD"; colorNames["powderblue"]="#B0E0E6";
+colorNames["rosybrown"]="#BC8F8F"; colorNames["royalblue"]="#4169E1";
+colorNames["saddlebrown"]="#8B4513"; colorNames["salmon"]="#FA8072";
+colorNames["sandybrown"]="#F4A460"; colorNames["seagreen"]="#2E8B57";
+colorNames["seashell"]="#FFF5EE"; colorNames["sienna"]="#A0522D";
+colorNames["skyblue"]="#87CEEB"; colorNames["slateblue"]="#6A5ACD";
+colorNames["slategray"]="#708090"; colorNames["snow"]="#FFFAFA";
+colorNames["springgreen"]="#00FF7F"; colorNames["steelblue"]="#4682B4";
+colorNames["tan"]="#D2B48C"; colorNames["thistle"]="#D8BFD8";
+colorNames["tomato"]="#FF6347"; colorNames["turquoise"]="#40E0D0";
+colorNames["violet"]="#EE82EE"; colorNames["wheat"]="#F5DEB3";
+colorNames["whitesmoke"]="#F5F5F5"; colorNames["yellowgreen"]="#9ACD32";
+var canvas_bgcolor = "";
+var canvas_width = 200;
+var canvas_height = 200;
+var canvas_noshade = 0;
+var canvas_nofill = 0;
+var canvas_noshadow = 0;
+var canvas_htmltext = 0;
+var canvas_imgtext = 0;
+var canvas_notext = 0;
+// canvas chart extension
+
+var s5NotesWindow;
+var s5NotesWindowLoaded = false;
+var previousSlide = 0;
+var presentationStart = new Date();
+var slideStart = new Date();
+
+var countdown = {
+ timer: 0,
+ state: 'pause',
+ start: new Date(),
+ end: 0,
+ remaining: 0
+};
+
+var isIE = navigator.appName == 'Microsoft Internet Explorer' && navigator.userAgent.indexOf('Opera') < 1 ? 1 : 0;
+if(isIE) var notIE7 = parseInt(navigator.appVersion) < 7 ? 1 : 0;
+var isOp = navigator.userAgent.indexOf('Opera') > -1 ? 1 : 0;
+var isGe = navigator.userAgent.indexOf('Gecko') > -1 && navigator.userAgent.indexOf('Safari') < 1 ? 1 : 0;
+var isS2 = navigator.userAgent.indexOf('Safari') >= 2 ? 1 : 0;
+
+function hasClass(object, className) {
+ if (!object.className) return false;
+ return (object.className.search('(^|\\s)' + className + '(\\s|$)') != -1);
+}
+
+function hasValue(object, value) {
+ if (!object) return false;
+ return (object.search('(^|\\s)' + value + '(\\s|$)') != -1);
+}
+
+function removeClass(object,className) {
+ if (!object || !hasClass(object,className)) return;
+ object.className = object.className.replace(new RegExp('(^|\\s)'+className+'(\\s|$)'), RegExp.$1+RegExp.$2);
+}
+
+function addClass(object,className) {
+ if (!object || hasClass(object, className)) return;
+ if (object.className) {
+ object.className += ' '+className;
+ } else {
+ object.className = className;
+ }
+}
+
+function changeClass(object,className) {
+ if (!object) return;
+ object.firstChild.className = className;
+}
+
+function GetElementsWithClassName(elementName,className) {
+ var allElements = document.getElementsByTagName(elementName);
+ var elemColl = new Array();
+ for (var i = 0; i< allElements.length; i++) {
+ if (hasClass(allElements[i], className)) {
+ elemColl[elemColl.length] = allElements[i];
+ }
+ }
+ return elemColl;
+}
+
+function isParentOrSelf(element, id) {
+ if (element == null || element.nodeName=='BODY') return false;
+ else if (element.id == id) return true;
+ else return isParentOrSelf(element.parentNode, id);
+}
+
+function nodeValue(node) {
+ var result = "";
+ if (node.nodeType == 1) {
+ var children = node.childNodes;
+ for (var i = 0; i < children.length; ++i) {
+ result += nodeValue(children[i]);
+ }
+ }
+ else if (node.nodeType == 3) {
+ result = node.nodeValue;
+ }
+ return(result);
+}
+
+function slideLabel() {
+ var slideColl = GetElementsWithClassName('*','slide');
+ var list = document.getElementById('jumplist');
+ smax = slideColl.length;
+ for (var n = 0; n < smax; n++) {
+ var obj = slideColl[n];
+ var did = 'slide' + n.toString();
+ obj.setAttribute('id',did);
+ var otext = '';
+ var menu = obj.firstChild;
+ if (!menu) continue; // to cope with empty slides
+ while (menu && menu.nodeType == 3) {
+ menu = menu.nextSibling;
+ }
+ if (!menu) continue; // to cope with slides with only text nodes
+ var menunodes = menu.childNodes;
+ for (var o = 0; o < menunodes.length; o++) {
+ otext += nodeValue(menunodes[o]);
+ }
+ list.options[list.length] = new Option(n + ' : ' + otext, n);
+ }
+}
+
+function currentSlide() {
+ var cs, at, fd, ss;
+ if (document.getElementById) {
+ cs = document.getElementById('currentSlide');
+ } else {
+ cs = document.currentSlide;
+ }
+ fd = fadeModus?"F":"&ndash;";
+ ss = audioSupport?"S":"&ndash;";
+ at = (autoMatic?(playPause?"||":(playLoop?"&gt;0":"&gt;|")):"&ndash;&ndash;");
+ cs.innerHTML = '<div id="plink" nowrap="nowrap">' +
+ '<span id="csFade">[' + fd + ss + ']<\/span>&nbsp;' +
+ '<span id="csHere"><strong>' + snum + '<\/strong><\/span>' +
+ '<span id="csSep">\/<\/span>' +
+ '<span id="csTotal">' + (smax-1) + '<\/span>&nbsp;' +
+ '<span id="csAuto">[' + at + ']<\/span>' +
+ '<\/div>';
+
+ if (snum == 0) {
+ cs.style.visibility = 'hidden';
+ } else {
+ cs.style.visibility = 'visible';
+ }
+}
+
+function go(step) {
+ if (document.getElementById('slideProj').disabled || step == 0) return;
+ jl = document.getElementById('jumplist');
+ cid = 'slide' + snum;
+ var ce = document.getElementById(cid);
+ if (incrementals[snum].length > 0) {
+ for (var i = 0; i < incrementals[snum].length; i++) {
+ removeClass(incrementals[snum][i], 'current');
+ removeClass(incrementals[snum][i], 'incremental');
+ }
+ }
+ if (step != 'j') {
+ snum += step;
+ lmax = smax - 1;
+ if (snum > lmax) snum = lmax;
+ if (snum < 0) snum = 0;
+ }else {
+ snum = parseInt(jl.value);
+ }
+ nid = 'slide' + snum;
+ var ne = document.getElementById(nid);
+ if (!ne) {
+ ne = document.getElementById('slide0');
+ nid = 'slide0';
+ snum = 0;
+ }
+ if (step < 0) {
+ incpos = incrementals[snum].length
+ }else {
+ incpos = 0;
+ }
+ if (incrementals[snum].length > 0 && incpos == 0) {
+ for (var i = 0; i < incrementals[snum].length; i++) {
+ if (hasClass(incrementals[snum][i], 'current')) {
+ incpos = i + 1;
+ }else {
+ addClass(incrementals[snum][i], 'incremental');
+ }
+ }
+ }
+ if (incrementals[snum].length > 0 && incpos > 0) {
+ addClass(incrementals[snum][incpos - 1], 'current');
+ }
+ var guru = document.getElementById('guru');
+ if(guru && snum==0) {
+ guru.style.visibility = 'visible';
+ }else if(guru && snum>0) {
+ guru.style.visibility = 'hidden';
+ }
+ if(tranSitions && s5mode && fadeModus) {
+ if(curSoundID != getSoundID(nid)) {
+ if(curSoundID == bgSoundItem && !sound[getSoundID(nid)]) {
+ }else {fadeoutSound(curSoundID,true); } // audio support
+ }
+ changeOpac(0,nid);
+ changeOpac(100,cid);
+ ce.style.visibility = 'visible';
+ shiftOpacity(cid,fadeDuration);
+ window.setTimeout("changeSlides()",fadeDuration);
+ }else {
+ if(curSoundID != getSoundID(nid)) {
+ if(curSoundID == bgSoundItem && !sound[getSoundID(nid)]) {
+ }else {stopSound(curSoundID); } // audio support
+ }
+ ce.style.visibility = 'hidden';
+ if (isOp) location.hash = nid;
+ ne.style.visibility = 'visible';
+ finishSlides();
+ }
+}
+
+function changeSlides() {
+ if(nid != cid) changeOpac(100,cid);
+ document.getElementById(cid).style.visibility = 'hidden';
+ document.getElementById(nid).style.visibility = 'visible';
+ if (isOp) location.hash = nid;
+ shiftOpacity(nid,fadeDuration);
+ window.setTimeout("finishSlides()",fadeDuration);
+}
+
+function finishSlides() {
+ jl.selectedIndex = snum;
+ currentSlide();
+ loadNote();
+ permaLink();
+ number = undef;
+ if(sound[getSoundID(nid)]) {
+ playSound(nid); // audio support
+ }else if(sound[bgSoundItem] && curSoundID != bgSoundItem) {
+ playSound(bgSoundItem); // audio support
+ }
+}
+
+function goTo(target) {
+ if (target >= smax || target == snum) return;
+ go(target - snum);
+}
+
+function subgo(step) {
+ if (step > 0) {
+ removeClass(incrementals[snum][incpos - 1],'current');
+ removeClass(incrementals[snum][incpos], 'incremental');
+ if(tranSitions && s5mode && fadeModus) {
+ if(!incrementals[snum][incpos].id) {
+ var tmp = new Date(); tid = "inc" + String(tmp.getTime());
+ incrementals[snum][incpos].id = tid;
+ }else {
+ tid = incrementals[snum][incpos].id;
+ }
+ if(typeof(incrementals[snum][incpos].src) != "undefined" || incrementals[snum][incpos].getContext) {
+ changeOpac(0,tid);
+ addClass(incrementals[snum][incpos],'current');
+ shiftOpacity(tid,incrDuration);
+ setTimeout("nextInc()",incrDuration);
+ }else {
+ addClass(incrementals[snum][incpos],'current');
+ nextInc();
+ }
+ }else {
+ addClass(incrementals[snum][incpos],'current');
+ nextInc();
+ }
+ } else {
+ incpos--;
+ removeClass(incrementals[snum][incpos],'current');
+ addClass(incrementals[snum][incpos], 'incremental');
+ addClass(incrementals[snum][incpos - 1],'current');
+ loadNote();
+ }
+}
+
+function nextInc() {
+ incpos++;
+ loadNote();
+}
+
+function toggle() {
+ var slideColl = GetElementsWithClassName('*','slide');
+ var slides = document.getElementById('slideProj');
+ var outline = document.getElementById('outlineStyle');
+ var guru = document.getElementById('guru');
+ if (!slides.disabled) {
+ stopPlay();
+ if(audioSupport && !swfUnloaded) stopAllSounds();
+ slides.disabled = true;
+ outline.disabled = false;
+ s5mode = false;
+ fontSize(1,'em');
+ for (var n = 0; n < smax; n++) {
+ var slide = slideColl[n];
+ slide.style.visibility = 'visible';
+ }
+ if(guru) guru.style.visibility = 'hidden';
+ } else {
+ slides.disabled = false;
+ outline.disabled = true;
+ s5mode = true;
+ fontScale();
+ for (var n = 0; n < smax; n++) {
+ var slide = slideColl[n];
+ slide.style.visibility = 'hidden';
+ }
+ slideColl[snum].style.visibility = 'visible';
+ if(guru && snum==0) guru.style.visibility = 'visible';
+ }
+}
+
+function showHide(action) {
+ var obj = GetElementsWithClassName('*','hideme')[0];
+ switch (action) {
+ case 's':
+ obj.style.visibility = 'visible';
+ break;
+ case 'h':
+ obj.style.visibility = 'hidden';
+ break;
+ case 'k':
+ if (obj.style.visibility != 'visible') {
+ obj.style.visibility = 'visible';
+ } else {
+ obj.style.visibility = 'hidden';
+ }
+ break;
+ }
+}
+
+function keys(key) {
+ if (!key) {
+ key = event;
+ key.which = key.keyCode;
+ }
+ if (helpmode) {
+ dumpHelpReq();
+ return;
+ }
+ if (key.which == 84 && !isOp) {
+ toggle();
+ return;
+ }
+ if (s5mode) {
+ if (autoMatic) {
+ switch (key.which) {
+ case 70: // f/ading on/off
+ switchFade();
+ break;
+ case 83: // s/ound on/off
+ toggleSounds();
+ break;
+ case 67: // c
+ showHide('k');
+ break;
+ case 65: // a/utoplay on/off
+ stopPlay();
+ break;
+ case 76: // l/ooping on/off
+ switchLoop();
+ break;
+ case 80: // p/ause
+ case 32: // spacebar
+ pausePlay();
+ break;
+ }
+ }else {
+ switch (key.which) {
+ case 8: // backspace = HELP
+ createHelpReq();
+ break;
+ case 10: // return
+ case 13: // enter
+ if (window.event && isParentOrSelf(window.event.srcElement, 'controls')) return;
+ if (key.target && isParentOrSelf(key.target, 'controls')) return;
+ if(number != undef) {
+ goTo(number);
+ break;
+ }
+ case 32: // spacebar
+ case 34: // page down
+ case 39: // rightkey
+ case 40: // downkey
+ if(number != undef) {
+ go(number);
+ } else if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ } else {
+ subgo(1);
+ }
+ break;
+ case 33: // page up
+ case 37: // leftkey
+ case 38: // upkey
+ if(number != undef) {
+ go(-1 * number);
+ } else if (!incrementals[snum] || incpos <= 0) {
+ go(-1);
+ } else {
+ subgo(-1);
+ }
+ break;
+ case 65: // a/utoplay
+ startPlay();
+ break;
+ case 72: // h
+ case 36: // home
+ goTo(0);
+ break;
+ case 69: // e
+ case 35: // end
+ goTo(smax-1);
+ break;
+ case 70: // f/ade transitions on/off
+ switchFade();
+ break;
+ case 76: // l/ooping on/off
+ switchLoop();
+ break;
+ case 83: // s/ound support on/off
+ toggleSounds();
+ break;
+ case 27: // escape
+ case 81: // q
+ if(!isOp) byby();
+ break;
+ case 67: // c
+ showHide('k');
+ break;
+ case 78: // n
+ createNotesWindow();
+ break;
+ }
+ if (key.which < 48 || key.which > 57) {
+ number = undef;
+ } else {
+ if (window.event && isParentOrSelf(window.event.srcElement, 'controls')) return;
+ if (key.target && isParentOrSelf(key.target, 'controls')) return;
+ number = (((number != undef) ? number : 0) * 10) + (key.which - 48);
+ }
+ }
+ }
+ return false;
+}
+
+function clicker(e) {
+ number = undef;
+ var target;
+ if (window.event) {
+ target = window.event.srcElement;
+ e = window.event;
+ } else {
+ target = e.target;
+ }
+ if (target.href != null || hasValue(target.rel, 'external') || isParentOrSelf(target, 'controls') || isParentOrSelf(target,'embed') || isParentOrSelf(target,'object')) return true;
+ if (!helpmode) {
+ if (!e.which || e.which == 1) {
+ if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ } else {
+ subgo(1);
+ }
+ }
+ } else {
+ dumpHelpReq();
+ }
+}
+
+function findSlide(hash) {
+ var target = null;
+ var slides = GetElementsWithClassName('*','slide');
+ for (var i = 0; i < slides.length; i++) {
+ var targetSlide = slides[i];
+ if ( (targetSlide.name && targetSlide.name == hash)
+ || (targetSlide.id && targetSlide.id == hash) ) {
+ target = targetSlide;
+ break;
+ }
+ }
+ while(target != null && target.nodeName != 'BODY') {
+ if (hasClass(target, 'slide')) {
+ return parseInt(target.id.slice(5));
+ }
+ target = target.parentNode;
+ }
+ return null;
+}
+
+function slideJump() {
+ if (window.location.hash == null) return;
+ var sregex = /^#slide(\d+)$/;
+ var matches = sregex.exec(window.location.hash);
+ var dest = null;
+ if (matches != null) {
+ dest = parseInt(matches[1]);
+ } else {
+ dest = findSlide(window.location.hash.slice(1));
+ }
+ if (dest != null)
+ go(dest - snum);
+}
+
+function fixLinks() {
+ var thisUri = window.location.href;
+ thisUri = thisUri.slice(0, thisUri.length - window.location.hash.length);
+ var aelements = document.getElementsByTagName('A');
+ for (var i = 0; i < aelements.length; i++) {
+ var a = aelements[i].href;
+ var slideID = a.match('\#slide[0-9]{1,2}');
+ if ((slideID) && (slideID[0].slice(0,1) == '#')) {
+ var dest = findSlide(slideID[0].slice(1));
+ if (dest != null) {
+ if (aelements[i].addEventListener) {
+ aelements[i].addEventListener("click", new Function("e",
+ "if (document.getElementById('slideProj').disabled) return;" +
+ "go("+dest+" - snum); " +
+ "if (e.preventDefault) e.preventDefault();"), true);
+ } else if (aelements[i].attachEvent) {
+ aelements[i].attachEvent("onclick", new Function("",
+ "if (document.getElementById('slideProj').disabled) return;" +
+ "go("+dest+" - snum); " +
+ "event.returnValue = false;"));
+ }
+ }
+ }
+ }
+}
+
+function externalLinks() {
+ if (!document.getElementsByTagName) return;
+ var anchors = document.getElementsByTagName('a');
+ for (var i=0; i<anchors.length; i++) {
+ var anchor = anchors[i];
+ if (anchor.getAttribute('href') && hasValue(anchor.rel, 'external')) {
+ anchor.target = '_blank';
+ addClass(anchor,'external');
+ }
+ }
+}
+
+function permaLink() {
+ document.getElementById('plink').href = window.location.pathname + '#slide' + snum;
+}
+
+function createControls() {
+ var controlsDiv = document.getElementById("controls");
+ if (!controlsDiv) return;
+ var hider = ' onmouseover="showHide(\'s\');" onmouseout="showHide(\'h\');"';
+ var hideDiv, hideList = '';
+ if (controlVis == 'hidden') {
+ hideDiv = hider;
+ } else {
+ hideList = hider;
+ }
+ if(isOp) {
+ var str = '';
+ }else {
+ var str = '<a accesskey="t" id="sheet" title="toggle CSS" href="javascript:toggle();">&plusmn;<\/a>';
+ }
+ if(isIE) {
+ var tmp = "move around&xA0;until the color&xA0;change to red!";
+ }else if(isS2) {
+ var tmp = "move around\r\nuntil the color\r\nchange to red!";
+ }else {
+ var tmp = "move around until color change to red!";
+ }
+ if(isIE) {
+ controlsDiv.innerHTML = str +
+ '<form action="#" id="controlForm"' + hideDiv + '>' +
+ '<div id="navLinks" title="press [backspace] for keyboard help!"><ul>' +
+ '<li id="show-notes"><a href="javascript:createNotesWindow();" accesskey="n" title="show Notes"><\/a><\/li>' +
+ '<li id="toggle"><a href="javascript:toggle();" accesskey="t" title="toggle CSS"><\/a><\/li>' +
+ '<li id="zero"><a href="javascript:goTo(0);" accesskey="h" title="goto Start Slide"><\/a><\/li>' +
+ '<li id="prev"><a href="javascript:go(-1);" accesskey="y" title="previous Slide"><\/a><\/li>' +
+ '<li id="next"><a href="javascript:go(1);" accesskey="x" title="next Slide"><\/a><\/li>' +
+ '<li id="last"><a href="javascript:goTo(smax-1);" accesskey="e" title="goto Last Slide"><\/a><\/li>' +
+ '<li id="lst" style="vertical-align: middle; width: 160px;"><select style="cursor: auto; width: 160px; height: auto;" id="jumplist" title="select named Slide" onchange="go(\'j\');"><\/select><\/li>' +
+ '<li id="auto"><a class="isoff" href="javascript:togglePlay();" accesskey="a" title="Auto Play"><\/a><\/li>' +
+ '<li id="pause"><a class="isoff" href="javascript:pausePlay();" accesskey="p" title="Pause"><\/a><\/li>' +
+ '<li id="loop"><a class="isoff" href="javascript:switchLoop();" accesskey="l" title="Loop"><\/a><\/li>' +
+ '<li id="del" style="vertical-align: middle; width: 52px;"><select style="cursor: auto; width: 52px; height: auto;" id="delaylist" title="select Delay" onchange="setDelay();"><option value="90">90<\/option><option value="75">75<\/option><option value="60">60<\/option><option value="45">45<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="15">15<\/option><option value="10">10<\/option><option value="5">5<\/option><\/select><\/li>' +
+ '<\/ul><\/div><\/form>';
+ }else {
+ controlsDiv.innerHTML = str +
+ '<form action="#" id="controlForm"' + hideDiv + '>' +
+ '<div id="navLinks" title="press [backspace] or double click this area for keyboard help!" ondblclick="createHelpReq();"><ul>' +
+ '<li id="exit"><a href="javascript:byby();" accesskey="q" title="exit Show"><\/a><\/li>' +
+ '<li id="show-notes"><a href="javascript:createNotesWindow();" accesskey="n" title="show Notes"><\/a><\/li>' +
+ '<li id="toggle"><a href="javascript:toggle();" accesskey="t" title="toggle CSS"><\/a><\/li>' +
+ '<li class="vr"><\/li>' +
+ '<li id="zero"><a href="javascript:goTo(0);" accesskey="h" title="goto Start Slide"><\/a><\/li>' +
+ '<li id="prev"><a href="javascript:go(-1);" accesskey="y" title="previous Slide"><\/a><\/li>' +
+ '<li id="next"><a href="javascript:go(1);" accesskey="x" title="next Slide"><\/a><\/li>' +
+ '<li id="last"><a href="javascript:goTo(smax-1);" accesskey="e" title="goto Last Slide"><\/a><\/li>' +
+ '<li id="lst"><a id="list" style="cursor:wait;" title="' + tmp + '"><\/a><select id="jumplist" title="select named Slide" onchange="go(\'j\');"><\/select><\/li>' +
+ '<li id="fadeLinks" class="vr"><\/li>' +
+ '<li id="fade"><a class="isoff" href="javascript:switchFade();" accesskey="f" title="Transions"><\/a><\/li>' +
+ '<li id="audioLinks" class="vr"><\/li>' +
+ '<li id="audio"><a class="isoff" href="javascript:toggleSounds();" accesskey="s" title="Sounds" ><\/a><\/li>' +
+ '<li id="vol"><a id="volume" style="cursor:wait;" title="' + tmp + '"><\/a><select id="volumelist" title="select Volume" onchange="setVolume();"><option value="100">100<\/option><option value="90">90<\/option><option value="80">80<\/option><option value="70">70<\/option><option value="60">60<\/option><option value="50">50<\/option><option value="40">40<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="10">10<\/option><option value="0">0<\/option><\/select><\/li>' +
+ '<li id="autoLinks" class="vr"><\/li>' +
+ '<li id="auto"><a class="isoff" href="javascript:togglePlay();" accesskey="a" title="Auto Play"><\/a><\/li>' +
+ '<li id="pause"><a class="isoff" href="javascript:pausePlay();" accesskey="p" title="Pause"><\/a><\/li>' +
+ '<li id="loop"><a class="isoff" href="javascript:switchLoop();" accesskey="l" title="Loop"><\/a><\/li>' +
+ '<li id="del"><a id="delay" style="cursor:wait;" title="' + tmp + '"><\/a><select id="delaylist" title="select Delay" onchange="setDelay();"><option value="90">90<\/option><option value="75">75<\/option><option value="60">60<\/option><option value="45">45<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="15">15<\/option><option value="10">10<\/option><option value="5">5<\/option><\/select><\/li>' +
+ '<\/ul>' +
+ '<a href="http://s5.netzgesta.de" target="_blank" title="S5 Reloaded"><img style="position: absolute; right: 0; bottom: 0;" src="data:image/png;charset=utf-8;base64,iVBORw0KGgoAAAANSUhEUgAAABQAAAAgCAYAAAASYli2AAAABGdBTUEAANbY1E9YMgAAABl0RVh0U29mdHdhcmUAQWRvYmUgSW1hZ2VSZWFkeXHJZTwAAAYpSURBVHjaYvz//z8DOYCRkZEZRAEx0Ij/f2HiAAHEgqYIpACkkB1J7g8Q/wLRIJ1QNSB5fiDmAOLvQKHPQPoHSB4ggFiQDGMCUnxALAPECkAsBLIdiD8A8SMgfghU8wlqkTgQK1ZVVWldv3792fr16y8D+c9AhgMEECPIy1DDRIDYAojdzMzMWNXV1UG2/v/y5Qvzw4cPP587d24/kH8Gar/uwoULQ+/cufNFXFyciZmZ+UVmZuZKoFmvAQII5kJOIDbi4uKK2Llz53EbG5vP6GGWn5+vMWnSpJtA5jcg5v327Rtnc3PzfSD79+rVq2WBNBtIHUAAwQzkAWKzBQsWnIUZFhISovXmzZsfkpKSDD09PY8kJCR+QtWCguGvrKwsz7Rp07Q4ODh+srCwgILiH0gSIIBgBrICsUBoaCgorBiANgqvXbtWDMg8AcQf9+7d+x0o9xbI/gJV/3fVqlUvmJiY/gIdcaWhoYETahEDQADBDPwNxO9h3gNpBiq6f/HiRbWjR4+yvnr16grQNbeh6kCWsxkYGIhClT8yNDQUgyYhBoAAYoIKfgXi06mpqUowQ+vr6x+uW7fu0suXL8+uWbOGS0BAwB7kC6jXvqmoqLDIyclxzZo1S4udnR2WtBgAAggWyyCXSgOxZUxMjGpWVtY7S0vLr8iRsnnzZl4/P7/DQCYoYtSA2DApKUkVGNNPDh06dBrIvwU06xNAAMEM5AIKqAOxCjQsmHl5eXWdnJx4N2zYcB4prd4CUpfwJRuAAGJCimULoGYpbm5uZ5DBnz9/5tq4caPWkSNHeJEc+g0aTFzfv3/nACabjzk5OW8FBQVloLmHASCAYJECUiTg7+//HJiQTzY2NsrfvXv3n7m5+T5YMgJGEkjDS2gY/pKRkeHt7Ow0Bsb0v9+/fz+HxTJAAMG8DHKFLTC9aRUXF79BT9Qgw4CWHAAy70FdYgjEDgkJCTpWVlYMQBfeAaaMPqBZbwECCGYgMzQGQSleCehS0X///jEDvf9lxYoVoOTyAIjfANX+BqrlBrL1gLFf09vb+0FaWvoHMBctA6USUKQABBAjrPiCliKg7AOKIG5ougLlju9Ipc1foDJWqMWGhYWFtsBg4RISEnrg5uY2BWQgQACxIBkGMkQKijmRfPwXWuI8ASp7DQ1vEP4DDO8PwBzzDJheT0CLPQaAAEJOh0o8zAzeihwMiv+gGZ0B4sz/bIwM797/YTh1/wfDIWj5qAfMmtFnzpz5ICIiwqKoqPgjKChoOtCs5wABhJyXpUCGzddgOIethJ71jEFi1nNwgQrKz8zv37//097eDop15qVLl0pAzWAACCAWhEMYOJFdduELA++b3wxsTIwMfzmYGH6xMDG8gYYpKAg+cnJy/gB6VwuYZFiA7E+wvAwQQCy46ozexwzG17+BYx6UVE4B8Vmo68CRFR0dDQo3UAHBBUwJ4lCLGAACCNnAv4zQxAkCxbIMZ0EuBIYb98EPDFo3voGTzjOogVzArGf969cvNmC2+wssHD7BDAQIIBakmHwPDPy3MAMNeBhgpfbbVEkGhqQbDJaXvjI8BPJB4jz79u37DcwlP+fPn38NuTwECCBkA1+8+8Nwtusxg+STHwwcP/8z8EuwMXA1KjBcBSlwEmRgBhrID/U2O7QMBIEHxsbGkrAwBAggmIGgNMT64AfDWyB+By0EQMVZlC0/wwsXQYa38hzgCGGBWv5ZU1OT4c+fP8yLFi1SA3r5Haw8BAggmIGg5KBpJ8BgeOYTg8S3f2DN/OxMDNwgw0AKnv0EJ+avsITu7u6+EZqzQEHwHFqaMwAEEAtShS/pLcTwrU+Z4QwsyQANOwIL06tfGV5BqwmQWoGKigoLYJnJvWPHjhstLS169vb2M0DqAAIIVh6CXHT/9ndIawEUITCXgUDrQwbGbe8YjkJdAzZQVVVV/t69e8LAgtUYaNgxWKQABBByacMHzfTK9gIMYkBZpj//GT4c+8gAKm1AsfseqPYPtHTXAtaEacBqlgHoyq+srKw3XV1dFwHlvwEEECNyYwmp3cIJjbUf0DbLP7RGEg+0bcMCjQxQzH8BWQgQQIzktr5wAYAAYmKgMgAIMAAp8Fx3osz1lgAAAABJRU5ErkJggg==" width="20" height="32" alt="S5" \/><\/a>' +
+ '<\/div><\/form>';
+ }
+ if (controlVis == 'hidden') {
+ var hidden = document.getElementById('navLinks');
+ } else {
+ var hidden = document.getElementById('jumplist');
+ }
+ addClass(hidden,'hideme');
+}
+
+function fontScale() { // causes layout problems in FireFox that get fixed if browser's Reload is used; same may be true of other Gecko-based browsers
+ if (!s5mode && !isOp) return false;
+ var hScreen = screen.width; var vScreen = screen.height;
+ var vWindow = window.outerHeight; var hWindow = window.outerWidth;
+ if (isOp && s5mode && defaultView=='slideshow' && ((hScreen != hWindow) || (vScreen != vWindow))) {
+ toggle();
+ return false;
+ }
+ if (isOp && !s5mode && ((hScreen != hWindow) || (vScreen != vWindow))) return false;
+ if (isOp && !s5mode && (hScreen == hWindow) && (vScreen == vWindow)) toggle();
+ var vScale = 48; // both yield 16 (the usual browser default) at 1024x768
+ var hScale = 64; // perhaps should auto-calculate based on theme's declared value?
+ if (window.innerHeight) {
+ var vSize = window.innerHeight;
+ var hSize = window.innerWidth;
+ } else if (document.documentElement.clientHeight) {
+ var vSize = document.documentElement.clientHeight;
+ var hSize = document.documentElement.clientWidth;
+ } else if (document.body.clientHeight) {
+ var vSize = document.body.clientHeight;
+ var hSize = document.body.clientWidth;
+ } else {
+ var vSize = 700; // assuming 1024x768, minus chrome and such equals 8:5
+ var hSize = 1024; // these do not account for kiosk mode or Opera Show
+ }
+ var newSize = Math.min(Math.round(vSize/vScale),Math.round(hSize/hScale));
+ extendImgSizes(newSize); // scalable images extension
+ extendCanSizes(newSize); // scalable canvas extension
+ extendObjSizes(newSize); // scalable object extension
+ fontSize(newSize,"px");
+ if(!isS2 || firstTime==0) {
+ generateCanvas(); // dynamic canvas extension
+ }
+ if (isGe) { // hack to counter incremental reflow bugs
+ var obj = document.getElementsByTagName('body')[0];
+ obj.style.visibility = 'hidden';
+ obj.style.display = 'none';
+ obj.style.display = 'block';
+ obj.style.visibility = 'visible';
+ changeOpac(100,'slide' + snum);
+ shiftOpacity('slide' + snum,10);
+ window.setTimeout("fixReflow()",10);
+ }else {
+ setListPos(); // invisible select extension
+ }
+}
+
+function fixReflow() {
+ shiftOpacity('slide' + snum,10);
+ window.setTimeout("finishReflow()",10);
+}
+function finishReflow() {
+ setListPos(); // invisible select extension
+}
+
+function fontSize(val,fmt) {
+ var value = val + fmt;
+ if (!(s5ss = document.getElementById('s5ss'))) {
+ if (!document.createStyleSheet) {
+ document.getElementsByTagName('head')[0].appendChild(s5ss = document.createElement('style'));
+ s5ss.setAttribute('media','screen, projection');
+ s5ss.setAttribute('id','s5ss');
+ } else {
+ document.createStyleSheet();
+ document.s5ss = document.styleSheets[document.styleSheets.length - 1];
+ }
+ }
+ if (!(document.s5ss && document.s5ss.addRule)) {
+ while (s5ss.lastChild) s5ss.removeChild(s5ss.lastChild);
+ s5ss.appendChild(document.createTextNode('html {font-size: ' + value + ' !important;}'));
+ } else {
+ document.s5ss.addRule('html','font-size: ' + value + ' !important;');
+ }
+}
+
+function windowChange() {
+ fontScale();
+}
+
+function notOperaFix() {
+ slideCSS = document.getElementById('slideProj').href;
+ var slides = document.getElementById('slideProj');
+ var outline = document.getElementById('outlineStyle');
+ slides.setAttribute('media','screen');
+ outline.disabled = true;
+ if (isGe) {
+ slides.setAttribute('href','null'); // Gecko fix
+ slides.setAttribute('href',slideCSS); // Gecko fix
+ }
+ if ((isIE && notIE7) && document.styleSheets && document.styleSheets[0]) {
+ document.styleSheets[0].addRule('img', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ document.styleSheets[0].addRule('div', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ document.styleSheets[0].addRule('.slide', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ }
+}
+
+function getIncrementals(obj) {
+ var incrementals = new Array();
+ if (!obj)
+ return incrementals;
+ var children = obj.childNodes;
+ for (var i = 0; i < children.length; i++) {
+ var child = children[i];
+ if (hasClass(child, 'incremental')) {
+ if (child.nodeName == 'OL' || child.nodeName == 'UL') {
+ removeClass(child, 'incremental');
+ for (var j = 0; j < child.childNodes.length; j++) {
+ if (child.childNodes[j].nodeType == 1) {
+ addClass(child.childNodes[j], 'incremental');
+ }
+ }
+ } else {
+ incrementals[incrementals.length] = child;
+ removeClass(child,'incremental');
+ }
+ }
+ if (hasClass(child, 'show-first')) {
+ if (child.nodeName == 'OL' || child.nodeName == 'UL') {
+ removeClass(child, 'show-first');
+ if (child.childNodes[isGe].nodeType == 1) {
+ removeClass(child.childNodes[isGe], 'incremental');
+ }
+ } else {
+ incrementals[incrementals.length] = child;
+ }
+ }
+ incrementals = incrementals.concat(getIncrementals(child));
+ }
+ return incrementals;
+}
+
+function createIncrementals() {
+ var incrementals = new Array();
+ for (var i = 0; i < smax; i++) {
+ incrementals[i] = getIncrementals(document.getElementById('slide'+i));
+ }
+ return incrementals;
+}
+
+function trap(e) {
+ if (!e) {
+ e = event;
+ e.which = e.keyCode;
+ }
+ try {
+ modifierKey = e.ctrlKey || e.altKey || e.metaKey;
+ }
+ catch(e) {
+ modifierKey = false;
+ }
+ return modifierKey || e.which == 0;
+}
+
+// notes extension
+function noteLabel() { // Gives notes id's to match parent slides
+ var notes = GetElementsWithClassName('div','notes');
+ for (var i = 0; i < notes.length; i++) {
+ var note = notes[i];
+ var id = 'note' + note.parentNode.id.substring(5);
+ note.setAttribute('id',id);
+ }
+ resetElapsedSlide();
+ resetRemainingTime();
+ window.setInterval('updateElaspedTime()', 1000);
+}
+
+function createNotesWindow() { // creates a window for our notes
+ if (!s5NotesWindow || s5NotesWindow.closed) { // Create the window if it doesn't exist
+ s5NotesWindowLoaded = false;
+ // Note: Safari has a tendency to ignore window options preferring to default to the settings of the parent window, grr.
+ s5NotesWindow = window.open(s5Path+'ui/s5-notes.html', 's5NotesWindow', 'top=0,left=0');
+ }
+ if (s5NotesWindowLoaded) { // Load the current note if the Note HTML has loaded
+ loadNote();
+ } else { // Keep trying...
+ window.setTimeout('createNotesWindow()', 50);
+ }
+}
+
+function loadNote() {
+// Loads a note into the note window
+ var notes = nextNotes = '<em class="disclaimer">There are no notes for this slide.</em>';
+ if (document.getElementById('note' + snum)) {
+ notes = document.getElementById('note' + snum).innerHTML;
+ }
+ if (document.getElementById('note' + (snum + 1))) {
+ nextNotes = document.getElementById('note' + (snum + 1)).innerHTML;
+ }
+
+ var jl = document.getElementById('jumplist');
+ var slideTitle = jl.options[jl.selectedIndex].text.replace(/^\d+\s+:\s+/, '') + ((jl.selectedIndex) ? ' (' + jl.selectedIndex + '/' + (smax - 1) + ')' : '');
+ if (incrementals[snum].length > 0) {
+ slideTitle += ' <small>[' + incpos + '/' + incrementals[snum].length + ']</small>';
+ }
+ if (jl.selectedIndex < smax - 1) {
+ var nextTitle = jl.options[jl.selectedIndex + 1].text.replace(/^\d+\s+:\s+/, '') + ((jl.selectedIndex + 1) ? ' (' + (jl.selectedIndex + 1) + '/' + (smax - 1) + ')' : '');
+ } else {
+ var nextTitle = '[end of slide show]';
+ }
+
+ if (s5NotesWindow && !s5NotesWindow.closed && s5NotesWindow.document) {
+ s5NotesWindow.document.getElementById('slide').innerHTML = slideTitle;
+ s5NotesWindow.document.getElementById('notes').innerHTML = notes;
+ s5NotesWindow.document.getElementById('next').innerHTML = nextTitle;
+ s5NotesWindow.document.getElementById('nextnotes').innerHTML = nextNotes;
+ }
+ resetElapsedSlide();
+}
+
+function minimizeTimer(id) {
+ var obj = s5NotesWindow.document.getElementById(id);
+ if (hasClass(obj,'collapsed')) {
+ removeClass(obj,'collapsed');
+ } else {
+ addClass(obj,'collapsed');
+ }
+}
+
+function resetElapsedTime() {
+ presentationStart = new Date();
+ slideStart = new Date();
+ updateElaspedTime();
+}
+
+function resetElapsedSlide() {
+ if (snum != previousSlide) {
+ slideStart = new Date();
+ previousSlide = snum;
+ updateElaspedTime();
+ }
+}
+
+function updateElaspedTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var now = new Date();
+ var ep = s5NotesWindow.document.getElementById('elapsed-presentation');
+ var es = s5NotesWindow.document.getElementById('elapsed-slide');
+ ep.innerHTML = formatTime(now.valueOf() - presentationStart.valueOf());
+ es.innerHTML = formatTime(now.valueOf() - slideStart.valueOf());
+}
+
+function resetRemainingTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var startField = s5NotesWindow.document.getElementById('startFrom');
+ startFrom = readTime(startField.value);
+ countdown.remaining = startFrom * 60000; // convert to msecs
+ countdown.start = new Date().valueOf();
+ countdown.end = countdown.start + countdown.remaining;
+ var tl = s5NotesWindow.document.getElementById('timeLeft');
+ var timeLeft = formatTime(countdown.remaining);
+ tl.innerHTML = timeLeft;
+}
+
+function updateRemainingTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var tl = s5NotesWindow.document.getElementById('timeLeft');
+ var now = new Date();
+ if (countdown.state == 'run') {
+ countdown.remaining = countdown.end - now;
+ }
+ tl.style.color = '';
+ tl.style.backgroundColor = '';
+ if (countdown.remaining >= 0) {
+ var timeLeft = formatTime(countdown.remaining);
+ removeClass(tl,'overtime');
+ if (countdown.remaining < 300000) {
+ tl.style.color = 'rgb(' + (255-Math.round(countdown.remaining/2000)) + ',0,0)';
+ tl.style.backgroundColor = 'rgb(255,255,' + (Math.round(countdown.remaining/2000)) + ')';
+ }
+ } else {
+ var timeLeft = '-' + formatTime(-countdown.remaining);
+ addClass(tl,'overtime');
+ }
+ tl.innerHTML = timeLeft;
+}
+
+function toggleRemainingTime() {
+ if (countdown.state == 'pause') countdown.state = 'run'; else countdown.state = 'pause';
+ if (countdown.state == 'pause') {
+ window.clearInterval(countdown.timer);
+ }
+ if (countdown.state == 'run') {
+ countdown.start = new Date().valueOf();
+ countdown.end = countdown.start + countdown.remaining;
+ countdown.timer = window.setInterval('updateRemainingTime()', 1000);
+ }
+}
+
+function alterRemainingTime(amt) {
+ var change = amt * 60000; // convert to msecs
+ countdown.end += change;
+ countdown.remaining += change;
+ updateRemainingTime();
+}
+
+function formatTime(msecs) {
+ var time = new Date(msecs);
+
+ var hrs = time.getUTCHours() + ((time.getUTCDate() -1) * 24); // I doubt anyone will spend more than 24 hours on a presentation or single slide but just in case...
+ hrs = (hrs < 10) ? '0'+hrs : hrs;
+ if (hrs == 'NaN' || isNaN(hrs)) hrs = '--';
+
+ var min = time.getUTCMinutes();
+ min = (min < 10) ? '0'+min : min;
+ if (min == 'NaN' || isNaN(min)) min = '--';
+
+ var sec = time.getUTCSeconds();
+ sec = (sec < 10) ? '0'+sec : sec;
+ if (sec == 'NaN' || isNaN(sec)) sec = '--';
+
+ return hrs + ':' + min + ':' + sec;
+}
+
+function readTime(val) {
+ var sregex = /:/;
+ var matches = sregex.exec(val);
+ if (matches == null) {
+ return val;
+ } else {
+ var times = val.split(':');
+ var hours = parseInt(times[0]);
+ var mins = parseInt(times[1]);
+ var total = (hours * 60) + mins;
+ return total;
+ }
+}
+// notes extension
+
+// startup process
+function createSlideShow() {
+ defaultCheck();
+ if(!isIE) createDetector(); // (degrade IE) scalable images extension
+ if(opac!=0 || isIE) { // &&!isIE (degrade IE)
+ tranSitions = false;
+ fadeModus = false;
+ }
+ if(tranSitions && document.getElementById && document.createElement){
+ createProgress();
+ var nop=document.getElementById('StartupControl');
+ nop.onload = dumpProgress;
+ }else {
+ startup();
+ showAll();
+ setListPos(true); // invisible select extension
+ panelSetup();
+ audioSetup(); // audio extension
+ if(isS2 && firstTime>=1) {
+ generateCanvas(); // dynamic canvas extension
+ } firstTime = 0;
+ }
+}
+
+function defaultCheck() {
+ var allMetas = document.getElementsByTagName('meta');
+ for (var i = 0; i< allMetas.length; i++) {
+ if (allMetas[i].name == 'defaultView') {
+ defaultView = allMetas[i].content;
+ }
+ if (allMetas[i].name == 'controlVis') {
+ controlVis = allMetas[i].content;
+ }
+ if (allMetas[i].name == 'tranSitions') {
+ tranSitions = (allMetas[i].content == "true") ? true : false;
+ fadeModus = (tranSitions == true) ? true : false;
+ }
+ if (allMetas[i].name == 'fadeDuration') {
+ var tmp = parseInt(allMetas[i].content);
+ fadeDuration = Math.max(200,Math.min(tmp,2000));
+ }
+ if (allMetas[i].name == 'incrDuration') {
+ var tmp = parseInt(allMetas[i].content);
+ incrDuration = Math.max(50,Math.min(tmp,500));
+ }
+ if (allMetas[i].name == 'autoMatic') {
+ autoMatic = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'playLoop') {
+ playLoop = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'playDelay') {
+ var tmp = parseInt(allMetas[i].content);
+ playDelay = Math.max(5,Math.min(tmp,90))*1000;
+ playDelay = (fadeModus == true) ? (playDelay+(2*fadeDuration)) : playDelay;
+ }
+ if (allMetas[i].name == 'audioSupport') {
+ audioSupport = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'audioVolume') {
+ var tmp = parseInt(allMetas[i].content);
+ audioVolume = Math.max(0,Math.min(tmp,100));
+ }
+ if (allMetas[i].name == 'audioError') {
+ audioError = (allMetas[i].content == "true") ? true : false;
+ }
+ }
+}
+
+function createProgress() {
+ var obj = document.getElementsByTagName("body")[0].firstChild;
+ var pg = document.createElement('div');
+ pg.id = "StartupProgress";
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=100)";
+ }else {
+ pg.style.opacity = 1.0;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(255, 255, 255)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/progress.gif)";
+ document.getElementsByTagName("body")[0].insertBefore(pg,obj);
+
+ var im = document.createElement('img');
+ im.id = "StartupControl";
+ im.src = s5Path+"ui/graphic_support/blank.gif?" + new Date().valueOf();
+ document.getElementsByTagName("body")[0].appendChild(im);
+}
+
+function startup() {
+ createControls(); // hallvord
+ slideLabel();
+ incrementals = createIncrementals();
+ noteLabel(); // [SI:060104] must follow slideLabel()
+ loadNote();
+ fixLinks();
+ externalLinks();
+ fontScale();
+ if (!isOp) {
+ if(isIE) {
+ document.getElementById('lst').style.backgroundImage = 'none';
+ document.getElementById('del').style.backgroundImage = 'none';
+ }else {
+ document.getElementById('audio').style.backgroundImage = 'none';
+ }
+ notOperaFix();
+ }else if(isOp) {
+ var obj = document.getElementById('exit');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('exit').style.opacity = 0.5;
+ obj = document.getElementById('toggle');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('toggle').style.opacity = 0.5;
+ document.getElementById('jumplist').style.visibility = 'hidden';
+ document.getElementById('list').style.visibility = 'hidden';
+ document.getElementById('lst').style.opacity = 0.5;
+ obj = document.getElementById('audio');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('audio').style.opacity = 0.5;
+ document.getElementById('volumelist').style.visibility = 'hidden';
+ document.getElementById('volume').style.visibility = 'hidden';
+ document.getElementById('vol').style.opacity = 0.5;
+ document.getElementById('delaylist').style.visibility = 'hidden';
+ document.getElementById('delay').style.visibility = 'hidden';
+ document.getElementById('del').style.opacity = 0.5;
+ }
+ slideJump();
+ if (defaultView == 'outline') toggle();
+ document.onkeyup = keys;
+ document.onkeypress = trap;
+ document.onclick = clicker;
+}
+
+function preloadImgages() {
+ var temp = '';
+ var objects = document.getElementsByTagName('img');
+ for (var i=0; i < objects.length; i++) {
+ if(objects[i].src != '') {
+ temp = new Image();
+ temp.src = objects[i].src;
+ }
+ }
+}
+
+function showAll() {
+ var obj1 = GetElementsWithClassName('div','presentation')[0];
+ if(!obj1) var obj1 = GetElementsWithClassName('ol','presentation')[0];
+ var obj2 = GetElementsWithClassName('div','layout')[0];
+ if(!obj1){}else {obj1.style.display = 'block'};
+ if(!obj2){}else {obj2.style.display = 'block'};
+}
+
+function dumpProgress() {
+ document.body.removeChild(document.getElementById('StartupControl'));
+ startup();
+ preloadImgages();
+ showAll();
+ createSoundManagerScript();
+ shiftOpacity('StartupProgress',1000);
+ window.setTimeout("removeProgress()",1000);
+}
+
+function removeProgress() {
+ document.body.removeChild(document.getElementById('StartupProgress'));
+ setListPos(true); // invisible select extension
+ panelSetup();
+ audioSetup(); // audio extension
+ if(isS2 && firstTime>=1) {
+ generateCanvas(); // dynamic canvas extension
+ } firstTime = 0;
+}
+function panelSetup() {
+ if(playPause) {
+ var obj = document.getElementById('pause'); changeClass(obj,'ison');
+ }
+ if(playLoop) {
+ var obj = document.getElementById('loop'); changeClass(obj,'ison');
+ }
+ if(audioSupport && !isIE && !isOp) {
+ var obj = document.getElementById('audio'); changeClass(obj,'ison');
+ }
+ if(fadeModus && !isIE) {
+ var obj = document.getElementById('fade'); changeClass(obj,'ison');
+ }
+ if(autoMatic) {
+ var obj = document.getElementById('auto'); changeClass(obj,'ison');
+ startPlay();
+ }
+ if(audioVolume && !isIE && !isOp) {
+ var idx = 0;
+ if(audioVolume >= 95 && audioVolume <= 100) {idx = 0;}
+ else if(audioVolume >= 85 && audioVolume < 95) {idx = 1;}
+ else if(audioVolume >= 75 && audioVolume < 85) {idx = 2;}
+ else if(audioVolume >= 65 && audioVolume < 75) {idx = 3;}
+ else if(audioVolume >= 55 && audioVolume < 65) {idx = 4;}
+ else if(audioVolume >= 45 && audioVolume < 55) {idx = 5;}
+ else if(audioVolume >= 35 && audioVolume < 45) {idx = 6;}
+ else if(audioVolume >= 25 && audioVolume < 35) {idx = 7;}
+ else if(audioVolume >= 15 && audioVolume < 25) {idx = 8;}
+ else if(audioVolume >= 5 && audioVolume < 15) {idx = 9;}
+ else {idx = 10;}
+ document.getElementById('volumelist').selectedIndex = idx;
+ }
+ if(playDelay && !isOp) {
+ var idx = 0; var vol = parseInt(playDelay/1000);
+ if(vol >= 83 && vol <= 90) {idx = 0;}
+ else if(vol >= 68 && vol < 83) {idx = 1;}
+ else if(vol >= 53 && vol < 68) {idx = 2;}
+ else if(vol >= 38 && vol < 53) {idx = 3;}
+ else if(vol >= 25 && vol < 38) {idx = 4;}
+ else if(vol >= 18 && vol < 25) {idx = 5;}
+ else if(vol >= 13 && vol < 18) {idx = 6;}
+ else if(vol >= 8 && vol < 13) {idx = 7;}
+ else {idx = 8;}
+ document.getElementById('delaylist').selectedIndex = idx;
+ }
+}
+// startup process
+
+// shutdown process
+function byby() {
+ stopPlay();
+ if(tranSitions && fadeModus && s5mode && !isOp) {
+ fadeoutSound(curSoundID,true); // audio support
+ var pg = document.createElement('div');
+ pg.id = "GoodBy";
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=0)";
+ }else {
+ pg.style.opacity = 0.0;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(255, 255, 255)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/finish.gif)";
+ document.getElementsByTagName("body")[0].appendChild(pg);
+ shiftOpacity('GoodBy',1000);
+ window.setTimeout("history.back()",1000);
+ }else {
+ stopSound(curSoundID);
+ history.back();
+ }
+}
+// shutdown process
+
+// scalable images extension
+function createDetector() {
+ var em = document.createElement('div');
+ em.id='EMSizeControl'; em.style.position="absolute"; em.style.left="-999px";
+ em.style.width="1em"; em.style.height="1em"; em.style.opacity=0.0;
+ document.getElementsByTagName("body")[0].appendChild(em);
+ var nop=document.getElementById('EMSizeControl');
+ if(!nop||findPosX(nop)!=-999) {}else {
+ opac=document.getElementById('EMSizeControl').style.opacity;
+ empx=document.getElementById('EMSizeControl').offsetHeight;
+ document.body.removeChild(document.getElementById('EMSizeControl'));
+ var objects = document.getElementsByTagName('img');
+ var j = 0; var i = 0; var k = 0; var d; var obj;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ images[j] = objects[i];
+ ++j;
+ }
+ }
+ var objects = document.getElementsByTagName('canvas'); j = 0;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ canvas[objects[i].id] = objects[i];
+ if(j==0) {
+ if(objects[i].getContext) {
+ canvasSupport = true;
+ }
+ ++j;
+ }
+ }
+ }
+ if(canvasSupport!=true) {
+ for (d in canvas) {
+ try {
+ canvas[d].setAttribute("width",1);
+ canvas[d].setAttribute("height",1);
+ } catch (e) {
+ }
+ }
+ }
+ var objects = document.getElementsByTagName('table');
+ j = 0; k = 0; l = 0; var w; var h; var tmp; var cnt;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^piechart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ piecharts[j] = objects[i];
+ em = piecharts[j].getAttribute("summary");
+ if(em != "") em = "," + em;
+ piecharts[j].setAttribute("summary", w + "," + h + em);
+ ++j;
+ }
+ }
+ }
+ if(objects[i].className.match(/^barchart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ barcharts[k] = objects[i];
+ em = barcharts[k].getAttribute("summary");
+ if(em != "") em = "," + em;
+ barcharts[k].setAttribute("summary", w + "," + h + em);
+ ++k;
+ }
+ }
+ }
+ if(objects[i].className.match(/^linechart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ linecharts[l] = objects[i];
+ em = linecharts[l].getAttribute("summary");
+ if(em != "") em = "," + em;
+ linecharts[l].setAttribute("summary", w + "," + h + em);
+ ++l;
+ }
+ }
+ }
+ }
+ objects = document.getElementsByTagName('object'); j = 0; i = 0;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ medias[j] = objects[i]; ++j;
+ if(!isIE) {
+ if(objects[i].getAttributeNode("classid")) objects[i].removeAttributeNode(objects[i].getAttributeNode("classid"));
+ if(objects[i].getAttributeNode("codebase")) objects[i].removeAttributeNode(objects[i].getAttributeNode("codebase"));
+ }
+ }
+ }
+ }
+}
+function extendImgSizes(f) {
+ if(empx>0) {
+ var q = (f/empx); var w = 0; var h = 0;
+ for(var i=0; i < images.length; i++) {
+ w=images[i].getAttribute("width",0);
+ h=images[i].getAttribute("height",0);
+ if(w>0&&h>0) {
+ images[i].style.width=Math.floor(w*q)+"px";
+ images[i].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+}
+
+function extendCanSizes(f) {
+ if(empx>0 && canvasSupport) {
+ var q = (f/empx); var w = 0; var h = 0; var tmp = ""; var cnt; var obj;
+ for(var i=0; i < piecharts.length; i++) {
+ if(piecharts[i].getAttribute("summary")) {
+ tmp = piecharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = piecharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ for(var i=0; i < barcharts.length; i++) {
+ if(barcharts[i].getAttribute("summary")) {
+ tmp = barcharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = barcharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ for(var i=0; i < linecharts.length; i++) {
+ if(linecharts[i].getAttribute("summary")) {
+ tmp = linecharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = linecharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ }
+}
+function extendObjSizes(f) {
+ if(empx>0) {
+ var q = (f/empx); var w = 0; var h = 0;
+ for(var i=0; i < medias.length; i++) {
+ w=medias[i].getAttribute("width",0);
+ h=medias[i].getAttribute("height",0);
+ if(w>0&&h>0) {
+ medias[i].style.width=Math.floor(w*q)+"px";
+ medias[i].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+}
+function findPosX(obj) {
+ var posLeft = 0;
+ while (obj.offsetParent) {
+ posLeft += obj.offsetLeft;
+ obj = obj.offsetParent;
+ }
+ return posLeft;
+}
+function findPosY(obj) {
+ var posTop = 0;
+ while (obj.offsetParent) {
+ posTop += obj.offsetTop;
+ obj = obj.offsetParent;
+ }
+ return posTop;
+}
+// scalable images extension
+
+// canvas chart extension
+function deg2rad(degrees) {
+ return Math.PI *degrees/180;
+}
+function rad2deg(radians) {
+ return 180.0 *radians/Math.PI;
+}
+function circle_point_x(radians, diameter) {
+ var x = Math.cos(radians)*(diameter/2);
+ return x;
+}
+function circle_point_y(radians, diameter) {
+ var y = Math.sin(radians)*(diameter/2);
+ return y;
+}
+function roundTo(val,dig) {
+ var num = val;
+ if (val > 8191 && val < 10485) {
+ val = val-5000;
+ num = Math.round(val*Math.pow(10,dig))/Math.pow(10,dig);
+ num = num+5000;
+ } else {
+ num = Math.round(val*Math.pow(10,dig))/Math.pow(10,dig);
+ }
+ return num;
+}
+function searchColor(value) {
+ for (var dat in colorNames) {
+ if(dat==value) return colorNames[dat];
+ }
+ return false;
+}
+function scanColor(value) {
+ if(value.match(/^#[0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ var val1 = value.substr(1,1).toLowerCase();
+ var val2 = value.substr(2,1).toLowerCase();
+ var val3 = value.substr(3,1).toLowerCase();
+ value = '#' + val1 + val1 + val2 + val2 + val3 + val3;
+ }
+ if(!value.match(/^#[0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ var tmp = searchColor(value.toLowerCase());
+ if(!tmp) {}else{value = tmp;}
+ }
+ if(!value.match(/^#[0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ value = '#000000';
+ }
+ return value.toLowerCase();
+}
+function hex2rgb(val,trans) {
+ if(val.length==7) {
+ var tp1 = Math.max(0,Math.min(parseInt(val.substr(1,2),16),255));
+ var tp2 = Math.max(0,Math.min(parseInt(val.substr(3,2),16),255));
+ var tp3 = Math.max(0,Math.min(parseInt(val.substr(5,2),16),255));
+ return 'rgba(' + tp1 + ',' + tp2 + ',' + tp3 + ',' + trans + ')';
+ }
+}
+function trim(str) {
+ return (str.replace(/\s+$/,"").replace(/^\s+/,""));
+}
+function roundedRect(ctx,x,y,width,height,radius){
+ ctx.beginPath();
+ ctx.moveTo(x,y+radius);
+ ctx.lineTo(x,y+height-radius);
+ ctx.quadraticCurveTo(x,y+height,x+radius,y+height);
+ ctx.lineTo(x+width-radius,y+height);
+ ctx.quadraticCurveTo(x+width,y+height,x+width,y+height-radius);
+ ctx.lineTo(x+width,y+radius);
+ ctx.quadraticCurveTo(x+width,y,x+width-radius,y);
+ ctx.lineTo(x+radius,y);
+ ctx.quadraticCurveTo(x,y,x,y+radius);
+ ctx.closePath();
+}
+function drawString(ctx, text, fc, tx, ty) {
+ var xp = 0; var c = "";
+ ctx.beginPath();
+ for (var i = 0; i < text.length; i++) {
+ c = text[i];
+ ctx.drawImage(font, signs[c].sx, signs[c].sy, signs[c].sw, signs[c].sh, tx+xp, ty, signs[c].sw*fc, signs[c].sh*fc);
+ xp += (signs[c].sw*fc);
+ }
+ ctx.closePath();
+}
+function strokeString(ctx, txt, col, fh, tx, ty) {
+ var fw = fh*0.666666; var lw = fh*0.125;
+ var ls = lw/2; var cr = lw; var xp = 0;
+ ctx.lineCap = "round"; ctx.lineJoin = "round"
+ ctx.lineWidth = lw; ctx.strokeStyle = col;
+ for (var i = 0; i < txt.length; i++) {
+ strokeSymbol(ctx, txt[i], ls, tx+xp, ty, fw, fh);
+ xp += (txt[i]!="."?fw+cr:(fw/2)+cr);
+ }
+}
+function strokeSymbol(ctx, symbol, fc, cx, cy, cw, ch) {
+ ctx.beginPath();
+ switch (symbol) {
+ case "0":
+ ctx.moveTo(cx+fc,cy+(ch*0.333333));
+ ctx.arc(cx+(cw/2),cy+(cw/2),(cw/2)-fc,deg2rad(180),0, false);
+ ctx.arc(cx+(cw/2),(cy+ch)-(cw/2),(cw/2)-fc,0,deg2rad(180), false);
+ ctx.closePath();
+ break;
+ case "1":
+ ctx.moveTo(cx+(cw*0.1)+fc,cy+ch-fc);
+ ctx.lineTo(cx+cw-fc,cy+ch-fc);
+ ctx.moveTo(cx+(cw*0.666666),cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.666666),cy+fc);
+ ctx.lineTo(cx+(cw*0.25),cy+(ch*0.25));
+ break;
+ case "2":
+ ctx.moveTo(cx+cw-fc,cy+(ch*0.8));
+ ctx.lineTo(cx+cw-fc,cy+ch-fc);
+ ctx.lineTo(cx+fc,cy+ch-fc);
+ ctx.arc(cx+(cw/2),cy+(cw*0.425),(cw*0.425)-fc,deg2rad(45),deg2rad(-180), true);
+ break;
+ case "3":
+ ctx.moveTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.9)-fc,cy+fc);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-90),deg2rad(180), false);
+ break;
+ case "4":
+ ctx.moveTo(cx+(cw*0.75),cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.75),cy+fc);
+ ctx.moveTo(cx+cw-fc,cy+(ch*0.666666));
+ ctx.lineTo(cx+fc,cy+(ch*0.666666));
+ ctx.lineTo(cx+(cw*0.75),cy+fc);
+ ctx.moveTo(cx+cw-fc,cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.5),cy+ch-fc);
+ break;
+ case "5":
+ ctx.moveTo(cx+(cw*0.9)-fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+(ch*0.333333));
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-80),deg2rad(180), false);
+ break;
+ case "6":
+ ctx.moveTo(cx+fc,cy+ch-(cw*0.5)-fc);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-180),deg2rad(180), false);
+ ctx.bezierCurveTo(cx+fc,cy+fc,cx+fc,cy+fc,cx+(cw*0.9)-fc,cy+fc);
+ ctx.moveTo(cx+(cw*0.9)-fc,cy+fc);
+ break;
+ case "7":
+ ctx.moveTo(cx+(cw*0.5),cy+ch-fc);
+ ctx.lineTo(cx+cw-fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+(ch*0.25)-fc);
+ break;
+ case "8":
+ ctx.moveTo(cx+(cw*0.92)-fc,cy+(cw*0.59));
+ ctx.arc(cx+(cw/2),cy+(cw*0.45),(cw*0.45)-fc,deg2rad(25),deg2rad(-205), true);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-135),deg2rad(-45), true);
+ ctx.closePath();
+ ctx.moveTo(cx+(cw*0.79),cy+(ch*0.47));
+ ctx.lineTo(cx+(cw*0.21),cy+(ch*0.47));
+ break;
+ case "9":
+ ctx.moveTo(cx+cw-fc,cy+(cw*0.5));
+ ctx.arc(cx+(cw/2),cy+(cw*0.5),(cw*0.5)-fc,deg2rad(0),deg2rad(360), false);
+ ctx.bezierCurveTo(cx+cw-fc,cy+ch-fc,cx+cw-fc,cy+ch-fc,cx+(cw*0.1)+fc,cy+ch-fc);
+ break;
+ case "%":
+ ctx.moveTo(cx+fc,cy+(ch*0.75));
+ ctx.lineTo(cx+cw-fc,cy+(ch*0.25));
+ ctx.moveTo(cx+(cw*0.505),cy+(cw*0.3));
+ ctx.arc(cx+(cw*0.3),cy+(cw*0.3),(cw*0.3)-fc,deg2rad(0),deg2rad(360), false);
+ ctx.moveTo(cx+(cw*0.905),cy+ch-(cw*0.3));
+ ctx.arc(cx+(cw*0.7),cy+ch-(cw*0.3),(cw*0.3)-fc,deg2rad(0),deg2rad(360), false);
+ break;
+ case ".":
+ ctx.moveTo(cx+(cw*0.25),cy+ch-fc-fc);
+ ctx.arc(cx+(cw*0.25),cy+ch-fc-fc,fc,deg2rad(0),deg2rad(360), false);
+ ctx.closePath();
+ break;
+ default:
+ break;
+ }
+ ctx.stroke();
+}
+function drawBar(ctx,x,y,width,height,color,value,textdiv){
+ var rw = width/2; var rh = rw/2;
+ height = Math.max(height,rw);
+ var dh = Math.max(height-(2*rh),0.1); var S2L;
+ var xx = rw/8; var yy = rh/4;
+ var yo = rh/2; y = y - yy;
+ if(canvas_noshadow <= 0 && canvas_noshade <= 0) {
+ ctx.save();
+ S2L = ctx.createRadialGradient(x+rw+rh,y+height-rw+yy+rh,0,x+rw,y+height-rw+yy,rw);
+ S2L.addColorStop(0, 'rgba(0,0,0,0.5)');
+ S2L.addColorStop(0.7, 'rgba(0,0,0,0.25)');
+ S2L.addColorStop(0.9, 'rgba(0,0,0,0.1)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.fillStyle = S2L;
+ ctx.scale(1,0.5);
+ ctx.translate(xx,y+height+yy);
+ if(isOp){
+ ctx.fillRect(x,y+yy+height-width,width,width);
+ }else {
+ ctx.arc(x+rw,y+yy+height-width,width,0,deg2rad(360), false);
+ ctx.fill();
+ }
+ ctx.restore();
+ }else if(canvas_noshadow <= 0 && canvas_noshade >= 1) {
+ ctx.fillStyle = 'rgba(0,0,0,0.2)';
+ ctx.fillRect(x+yo,y+rh+yo+yo,width,dh);
+ }
+
+ if(canvas_noshade <= 0) {
+ ctx.beginPath();
+ ctx.moveTo(x,y+rh);
+ ctx.lineTo(x,y+rh+dh);
+ ctx.bezierCurveTo(x,y+height,x+width,y+height,x+width,y+rh+dh)
+ ctx.lineTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = color;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x,y+(height/2),x+width,y+(height/2));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.75)');
+ S2L.addColorStop(0.2, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.3, 'rgba(0,0,0,0)');
+ S2L.addColorStop(0.5, 'rgba(0,0,0,0.1)');
+ S2L.addColorStop(0.9, 'rgba(0,0,0,0.35)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.3)');
+ ctx.beginPath();
+ ctx.moveTo(x,y+rh);
+ ctx.lineTo(x,y+rh+dh);
+ ctx.bezierCurveTo(x,y+height,x+width,y+height,x+width,y+rh+dh)
+ ctx.lineTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = S2L;
+ ctx.fill();
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = color;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x+rw-(width*0.15),y-2,x+rw+(width*0.15),y+(2.1*rh));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.9)');
+ S2L.addColorStop(0.5, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.6, 'rgba(0,0,0,0)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.3)');
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = S2L;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x+rw-(width*0.15),y-2,x+rw+(width*0.15),y+(2.1*rh));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.9)');
+ S2L.addColorStop(0.5, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.6, 'rgba(0,0,0,0)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.1)');
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.strokeStyle = S2L;
+ ctx.stroke();
+ }else {
+ ctx.fillStyle = color;
+ ctx.fillRect(x,y+rh+yo,width,dh);
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = 1;
+ ctx.fillStyle = 'rgba(255,255,255,0.5)';
+ var bw = width; var bh = bw/4;
+ var th = roundTo(bh*0.75,0); var tf = bh/80;
+ var c; var w;
+ if(canvas_notext <= 0) {
+ if(canvas_htmltext <= 0) {
+ w = '"' + per + '"';
+ if(w.indexOf(".")!=-1) {
+ c = w.length+0.5;
+ w = parseFloat(c-2)*parseFloat(48*tf);
+ bw = parseFloat(c-1)*parseFloat(48*tf);
+ }else {
+ c = w.length;
+ w = parseFloat(c-1)*parseFloat(48*tf);
+ bw = parseFloat(c)*parseFloat(48*tf);
+ }
+ roundedRect(ctx,x+(width/2)-(bw/2),y,bw,bh,bh/4);
+ ctx.fill();
+ if(canvas_imgtext <= 0) {
+ strokeString(ctx, value + "%","rgba(48,48,48,1)", th, x+(width/2)-(w/2), y+((bh-th)/2));
+ }else {
+ drawString(ctx, value + "%", tf, x+(width/2)-(w/2), y+((bh-th)/2.2));
+ }
+ }else {
+ var bh = bw/3; var th = roundTo(bh*0.75,0);
+ roundedRect(ctx,x,y,bw,bh,bh/4);
+ ctx.fill();
+ var obj = document.createElement('div');
+ obj.style.position = "absolute";
+ obj.style.overflow = "hidden";
+ obj.style.textAlign = "center";
+ obj.style.width = bw + "px";
+ obj.style.left = x + "px";
+ obj.style.top = y+((bh-th)/2.2) + "px";
+ obj.appendChild(document.createTextNode(per + "%"));
+ textdiv.appendChild(obj);
+ }
+
+ }
+}
+function drawLine(ctx,x,y,width,height,dist,array,factor,color,fill){
+ if(fill <= 0){
+ var style = hex2rgb(color,0.5);
+ ctx.lineJoin = "miter";
+ ctx.beginPath();
+ ctx.moveTo(x,y+height);
+ for (var i = 0; i < array.length; i++) {
+ ctx.lineTo(x+(i*dist),y+height-(array[i]*factor));
+ }
+ ctx.lineTo(x+width,y+height);
+ ctx.lineTo(x,y+height);
+ ctx.closePath();
+ ctx.fillStyle = style;
+ ctx.fill();
+ }
+ ctx.lineJoin = "round";
+ ctx.beginPath();
+ ctx.moveTo(x,y+height-(array[0]*factor));
+ for (var i = 0; i < array.length; i++) {
+ ctx.lineTo(x+(i*dist),y+height-(array[i]*factor));
+ }
+ ctx.strokeStyle = color;
+ ctx.stroke();
+}
+function setDataURL(cid) {
+ if(cid.toDataURL) {
+ var obj = document.getElementById(cid.id + "_link");
+ if(obj) {
+ obj.setAttribute("title", "To Data URL");
+ obj.setAttribute("target", "_blank");
+ obj.setAttribute("href", cid.toDataURL());
+ }
+ }
+}
+function get_input(dataobj,canvasobj,linechart) {
+ var table = document.getElementById(dataobj);
+ var canvas = document.getElementById(canvasobj);
+ var row; var clm; var cnt = 0; var val = 0; var nme = ""; var col = "";
+ if(canvas.getContext) {
+ canvas_width = parseInt(canvas.style.width);
+ canvas_height = parseInt(canvas.style.height);
+ if(canvas_width >= 16 && canvas_height >= 16) {
+ var tmp = table.getAttribute("summary");
+ canvas_noshade = 0; canvas_noshadow = 0;
+ canvas_notext = 0; canvas_imgtext = 0;
+ canvas_htmltext = 0; canvas_nofill = 0;
+ if(tmp.search(/noshadow/) != -1) canvas_noshadow = 1;
+ if(tmp.search(/noshade/) != -1) canvas_noshade = 1;
+ if(tmp.search(/nofill/) != -1) canvas_nofill = 1;
+ if(tmp.search(/notext/) != -1) canvas_notext = 1;
+ if(tmp.search(/htmltext/) != -1) canvas_htmltext = 1;
+ if(tmp.search(/imgtext/) != -1) canvas_imgtext = 1;
+ if(table.getAttribute("bgcolor")) {
+ canvas_bgcolor = scanColor(table.getAttribute("bgcolor"));
+ }
+ ChartData = new Array(); colorSlice = new Array();
+ if(table.getElementsByTagName("tr")[0].getElementsByTagName("th")[0]) cnt = 1;
+ for(var r = cnt; r < table.getElementsByTagName("tr").length; r++) {
+ row = table.getElementsByTagName("tr")[r];
+ clm = row.getElementsByTagName("td").length;
+ if(row.getElementsByTagName("td")[0].getAttribute("bgcolor")) {
+ col = scanColor(row.getElementsByTagName("td")[0].getAttribute("bgcolor")); val = 0;
+ nme = trim(row.getElementsByTagName("td")[1].innerHTML.replace(/<[^>]+>/g,""));
+ if(!linechart) {
+ if(clm > 3) {
+ for(var z = 2; z < clm; z++) {
+ val += parseFloat(row.getElementsByTagName("td")[z].innerHTML.replace(/<[^>]+>/g,""));
+ }
+ }else {
+ val = parseFloat(row.getElementsByTagName("td")[2].innerHTML.replace(/<[^>]+>/g,""));
+ }
+ if(!isNaN(val) && val > 0 && nme != '') {
+ colorSlice[r-cnt] = col; ChartData[nme] = Math.abs(val);
+ }else {
+ break;
+ }
+ }else {
+ val = 0; i = 0;
+ if(clm > 3 && nme != '') {
+ ChartData[r-cnt] = new Array();
+ colorSlice[r-cnt] = col;
+ for(var z = 2; z < clm; z++) {
+ val = Math.abs(parseFloat(row.getElementsByTagName("td")[z].innerHTML.replace(/<[^>]+>/g,"")));
+ if(!isNaN(val) && val >= 0) {
+ ChartData[r-cnt][i] = val; i++;
+ }else {
+ ChartData[r-cnt][i] = 0; i++;
+ }
+ }
+ }else {
+ break;
+ }
+ }
+ }else {
+ break;
+ }
+ }
+ }
+ }
+}
+function setPieChart(canvasobj,textobj) {
+ var cd = (Math.min(canvas_width,canvas_height)/110)*100;
+ var cp = cd*0.1; var cr = cd/2;
+ var sr = cr*0.93; var cw = cd+cp; var ch = cw;
+ var cx = (cd/2)+(cp/2); var cy = cx; var ct = 0;
+ for (var data in ChartData) {
+ ct += ChartData[data];
+ }
+ var poc = ct/100;
+ var canvas = document.getElementById(canvasobj);
+ if(canvas_htmltext >= 1) {
+ if(document.getElementById(textobj)) {
+ canvas.parentNode.removeChild(canvas.parentNode.lastChild);
+ }
+ }
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,cw,ch);
+ }else {
+ ctx.clearRect(0,0,cw,ch);
+ }
+ if(canvas_noshadow <= 0) {
+ if(!isOp) {
+ ctx.beginPath();
+ var B2B = ctx.createRadialGradient(cx+(cr*0.1),cy+(cr*0.1),cr,cx+(cr*0.1),cy+(cr*0.1),sr*0.9);
+ B2B.addColorStop(0, 'rgba(0,0,0,0)');
+ B2B.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.arc(cx+(cr*0.1),cy+(cr*0.1),cr,0,deg2rad(360), false);
+ ctx.closePath();
+ ctx.fillStyle = B2B;
+ ctx.fill();
+ }else {
+ var B2B = ctx.createRadialGradient(cx+(cr*0.1),cy+(cr*0.1),0,cx+(cr*0.1),cy+(cr*0.1),cr);
+ B2B.addColorStop(0, 'rgba(0,0,0,0.5)');
+ B2B.addColorStop(0.87, 'rgba(0,0,0,0.5)');
+ B2B.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.fillStyle = B2B;
+ ctx.fillRect(0,0,cw,ch);
+ }
+ }
+ var val = 0; var deg = 0; var idx = 0; var data;
+ for (data in ChartData) {
+ val = ChartData[data]; sdeg = deg;
+ deg += (val/ct)*deg2rad(360); edeg = deg;
+ ctx.beginPath();
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr,sdeg,edeg, false);
+ ctx.lineTo(cx,cy);
+ ctx.closePath();
+ ctx.fillStyle = colorSlice[(idx++)];
+ ctx.fill();
+ }
+ if(canvas_noshade <= 0) {
+ ctx.beginPath();
+ var W2T = ctx.createLinearGradient(cp*2,cp*2,cx,cy);
+ W2T.addColorStop(0, 'rgba(255,255,255,0.8)');
+ W2T.addColorStop(1, 'rgba(255,255,255,0)');
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,sr,deg2rad(135),deg2rad(315), false);
+ ctx.closePath();
+ ctx.fillStyle = W2T;
+ ctx.fill();
+ ctx.beginPath();
+ var T2B = ctx.createLinearGradient(cx,cy,cw-(cp*2),ch-(cp*2));
+ T2B.addColorStop(0, 'rgba(0,0,0,0)');
+ T2B.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,sr,deg2rad(-45),deg2rad(135), false);
+ ctx.closePath();
+ ctx.fillStyle = T2B;
+ ctx.fill();
+ ctx.beginPath();
+ var B2T = ctx.createLinearGradient(cx,cy,cw-(cp*1.9),ch-(cp*1.9));
+ B2T.addColorStop(0, 'rgba(0,0,0,0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.6)');
+ ctx.lineWidth = cr*0.07;
+ ctx.lineCap = "round";
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr*0.965,deg2rad(-45),deg2rad(135), false);
+ ctx.closePath();
+ ctx.strokeStyle = B2T;
+ ctx.stroke();
+ ctx.beginPath();
+ var T2W = ctx.createLinearGradient(cx,cy,(cp*1.9),(cp*1.9));
+ T2W.addColorStop(0, 'rgba(255,255,255,0)');
+ T2W.addColorStop(1, 'rgba(255,255,255,0.6)');
+ ctx.lineWidth = cr*0.07;
+ ctx.lineCap = "round";
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr*0.965,deg2rad(135),deg2rad(315), false);
+ ctx.closePath();
+ ctx.strokeStyle = T2W;
+ ctx.stroke();
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = 1;
+ ctx.fillStyle = 'rgba(255,255,255,0.5)';
+ var mpos = 0; var per = 0; var gx = 0;
+ var gy = 0; var tx = 0; var ty = 0;
+ var obj = ""; var w = 0; var c = 0;
+ var bw = cr*0.45; var bh = cr*0.125;
+ var th = roundTo(bh*0.75,0); var tf = bh/80;
+ if(canvas_notext <= 0) {
+ if(canvas_htmltext >= 1) {
+ bw = (cr*0.365);
+ canvas.parentNode.style.position = "relative";
+ if(!document.getElementById(textobj)) {
+ obj = document.createElement('div');
+ obj.id = textobj;
+ obj.style.color = "rgb(0,0,0)";
+ obj.style.fontFamily = "Arial,sans-serif";
+ obj.style.fontSize = th + "px";
+ obj.style.zIndex = 11;
+ canvas.parentNode.appendChild(obj);
+ }
+ var textdiv = document.getElementById(obj.id);
+ }
+ for (data in ChartData) {
+ val = ChartData[data];
+ sdeg = deg; mpos = deg+(((val/2)/ct)*deg2rad(360));
+ deg += (val/ct)*deg2rad(360); edeg = deg;
+ per = roundTo(val/poc,2);
+ gx = circle_point_x(mpos, cd);
+ gy = circle_point_y(mpos, cd);
+ tx = parseFloat((cx+(2*Math.floor(cx + gx)))/3);
+ ty = (cy+(2*Math.floor(cy + gy)))/3;
+ if(canvas_htmltext <= 0) {
+ w = '"' + per + '"';
+ if(w.indexOf(".")!=-1) {
+ c = w.length+0.5;
+ w = parseFloat(c-2)*parseFloat(48*tf);
+ bw = parseFloat(c-1)*parseFloat(48*tf);
+ }else {
+ c = w.length;
+ w = parseFloat(c-1)*parseFloat(48*tf);
+ bw = parseFloat(c)*parseFloat(48*tf);
+ }
+ roundedRect(ctx,(tx-(bw/2)),ty-(bh/2),bw,bh,bh/4);
+ ctx.fill();
+ if(canvas_imgtext >= 1) {
+ drawString(ctx, per + "%", tf, tx-(w/2), ty-(th/1.8));
+ }else {
+ strokeString(ctx, per + "%","rgba(48,48,48,1)", th, tx-(w/2), ty-(th/2));
+ }
+ }else {
+ roundedRect(ctx,(tx-(bw/2)),ty-(bh/2),bw,bh,bh/4);
+ ctx.fill();
+ obj = document.createElement('div');
+ obj.style.position = "absolute";
+ obj.style.overflow = "hidden";
+ obj.style.textAlign = "center";
+ obj.style.width = bw + "px";
+ obj.style.left = (tx-(bw/2)) + "px";
+ obj.style.top = ty-(th/1.8) + "px";
+ obj.appendChild(document.createTextNode(per + "%"));
+ textdiv.appendChild(obj);
+ }
+ }
+ }
+ }
+}
+function setBarChart(canvasobj,textobj) {
+ var iw = canvas_width*0.9; var ih = canvas_height*0.9;
+ var pw = canvas_width*0.05; var ph = canvas_height*0.05;
+ var cm = 0; var ct = 0;
+ for (var data in ChartData) {
+ cm = Math.max(cm,ChartData[data]);
+ ct += ChartData[data];
+ }
+ var hf = ih/cm; var bs = colorSlice.length;
+ var bw = iw/(bs*1.1); var poc = ct/100;
+ var th = roundTo((bw/3)*0.75,0);
+ var canvas = document.getElementById(canvasobj);
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,canvas_width,canvas_height);
+ }else {
+ ctx.clearRect(0,0,canvas_width,canvas_height);
+ }
+ if(canvas_htmltext >= 1) {
+ if(document.getElementById(textobj)) {
+ canvas.parentNode.removeChild(canvas.parentNode.lastChild);
+ }
+ canvas.parentNode.style.position = "relative";
+ if(!document.getElementById(textobj)) {
+ var obj = document.createElement('div');
+ obj.id = textobj;
+ obj.style.color = "rgb(0,0,0)";
+ obj.style.fontFamily = "Arial,sans-serif";
+ obj.style.fontSize = th + "px";
+ obj.style.zIndex = 11;
+ canvas.parentNode.appendChild(obj);
+ }
+ var textdiv = document.getElementById(obj.id);
+ }
+ var cc = ""; var bh = 0; var idx = 0; var t = 0; per = 0;
+ for (var data in ChartData) {
+ per = roundTo(ChartData[data]/poc,2);
+ bh = ChartData[data]*hf;
+ drawBar(ctx,pw+(t*(bw*1.1)),ph+ih-bh,bw,bh,colorSlice[(idx++)],per,textdiv);
+ t++;
+ }
+ }
+}
+function setLineChart(canvasobj,textobj) {
+ var iw = canvas_width*0.9;
+ var ih = canvas_height*0.9;
+ var pw = canvas_width*0.05;
+ var ph = canvas_height*0.05;
+ var cm = 0;
+ for (var i = 0; i < ChartData.length; i++) {
+ for (var j = 0; j < ChartData[i].length; j++) {
+ cm = Math.max(cm,ChartData[i][j]);
+ }
+ }
+ var hf = (ih*0.95)/cm; var bw = iw;
+ var dw = iw/(ChartData[0].length-1)
+ var dh = ih/(ChartData[0].length-1)
+ var ps = Math.min(pw,ph); lw = ps/4;
+ var B2T;
+ var canvas = document.getElementById(canvasobj);
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,canvas_width,canvas_height);
+ }else {
+ ctx.clearRect(0,0,canvas_width,canvas_height);
+ }
+ if(canvas_noshadow <= 0) {
+ B2T = ctx.createLinearGradient(pw+ps,ph+ih+1,pw+ps+ps,ph+ih+1);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+ps,ph+ih);
+ ctx.lineTo(pw+ps,ph+ih+ps);
+ ctx.lineTo(pw+ps+ps,ph+ih);
+ ctx.closePath();
+ ctx.fill();
+ B2T = ctx.createLinearGradient(pw+ps+ps,ph+ih,pw+ps+ps,ph+ih+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.5)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.0)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+ps+ps,ph+ih);
+ ctx.lineTo(pw+iw,ph+ih);
+ ctx.lineTo(pw+ps+iw,ph+ih+ps);
+ ctx.lineTo(pw+ps,ph+ih+ps);
+ ctx.closePath();
+ ctx.fill();
+ var B2T = ctx.createLinearGradient(pw+iw+1,ph+ps,pw+iw+1,ph+ps+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.35)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+iw,ph+ps);
+ ctx.lineTo(pw+iw,ph+ps+ps);
+ ctx.lineTo(pw+iw+ps,ph+ps);
+ ctx.closePath();
+ ctx.fill();
+ B2T = ctx.createLinearGradient(pw+iw,ph+ps+ps,pw+iw+ps,ph+ps+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.35)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.0)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+iw,ph+ps+ps);
+ ctx.lineTo(pw+iw,ph+ih);
+ ctx.lineTo(pw+iw+ps,ph+ih+ps);
+ ctx.lineTo(pw+iw+ps,ph+ps);
+ ctx.closePath();
+ ctx.fill();
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = lw/2;
+ ctx.strokeStyle = "rgba(255,255,255,0.25)";
+ if(canvas_nofill <= 0) {
+ ctx.fillStyle = "rgba(240,240,240,0.8)";
+ ctx.strokeStyle = "rgba(255,255,255,1)";
+ ctx.fillRect(pw,ph,iw,ih);
+ }
+ for (var i = 0; i < ChartData[0].length-1; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw+(i*dw),ph);
+ ctx.lineTo(pw+(i*dw),ph+ih);
+ ctx.stroke();
+ }
+ for (var i = 1; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw,ph+(i*dh));
+ ctx.lineTo(pw+iw,ph+(i*dh));
+ ctx.stroke();
+ }
+ ctx.lineWidth = lw;
+ var cc = ""; var bh = 0; var idx = 0; var t = 0;
+ for (var i = 0; i < ChartData.length; i++) {
+ for (var j = 0; j < ChartData[i].length; j++) {
+ t = Math.max(t,ChartData[i][j]);
+ }
+ bh = t*hf; cc = colorSlice[(idx++)];
+ drawLine(ctx,pw,ph+ih-bh,bw,bh,dw,ChartData[i],hf,cc,canvas_nofill);
+ }
+ ctx.beginPath();
+ ctx.moveTo(pw,ph);
+ ctx.lineTo(pw,ph+ih);
+ ctx.lineTo(pw+iw+(lw/2),ph+ih);
+ ctx.strokeStyle = "rgba(48,48,48,1)";
+ ctx.stroke();
+ ctx.lineWidth = lw/2;
+ B2T = ctx.createLinearGradient(pw,ph+ih,pw,ph+ih+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,1)');
+ B2T.addColorStop(0.5, 'rgba(0,0,0,1)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.strokeStyle = B2T;
+ for (var i = 0; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw+(i*dw),ph+ih);
+ ctx.lineTo(pw+(i*dw),ph+ih+ps);
+ ctx.stroke();
+ }
+ B2T = ctx.createLinearGradient(pw-ps,ph,pw,ph);
+ B2T.addColorStop(0, 'rgba(0,0,0,0)');
+ B2T.addColorStop(0.5, 'rgba(0,0,0,1)');
+ B2T.addColorStop(1, 'rgba(0,0,0,1)');
+ ctx.strokeStyle = B2T;
+ for (var i = 1; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw-ps,ph+(i*dh));
+ ctx.lineTo(pw,ph+(i*dh));
+ ctx.stroke();
+ }
+ }
+}
+function generateCanvas() {
+ if(canvasSupport) {
+ var canvasID; var tdataID; var textID;
+ var tmp; var dat; var i; var j;
+ for(i=0; i < piecharts.length; i++) {
+ tmp = piecharts[i].id.split("_");
+ tdataID = piecharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID);
+ if(colorSlice.length > 0) {
+ j = 0; dat = ""; for(dat in ChartData) {j++; }
+ if(j > 0 && j == colorSlice.length) {
+ setPieChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ for(i=0; i < barcharts.length; i++) {
+ tmp = barcharts[i].id.split("_");
+ tdataID = barcharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID);
+ if(colorSlice.length > 0) {
+ j = 0; dat = ""; for(dat in ChartData) {j++; }
+ if(j > 0 && j == colorSlice.length) {
+ setBarChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ for(i=0; i < linecharts.length; i++) {
+ tmp = linecharts[i].id.split("_");
+ tdataID = linecharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID,true);
+ if(colorSlice.length > 0) {
+ if(ChartData.length == colorSlice.length) {
+ setLineChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ }
+}
+// canvas chart extension
+
+// invisible select extension
+function setListPos(opt) {
+ if(!isIE) { //(degrade IE)
+ var ref = document.getElementById('list');
+ var x = findPosX(ref); var y = findPosY(ref);
+ var obj = document.getElementById('jumplist');
+ obj.style.position = 'fixed'; obj.style.left = x + 'px'; obj.style.top = y + 'px';
+ var vol = document.getElementById('volumelist');
+ ref = document.getElementById('volume');
+ x = findPosX(ref); if(isOp) {y = window.innerHeight-imgHeight; }else {y = findPosY(ref);}
+ vol.style.position = 'fixed'; vol.style.left = x + 'px'; vol.style.top = y + 'px';
+ var del = document.getElementById('delaylist');
+ ref = document.getElementById('delay');
+ x = findPosX(ref); if(isOp) {y = window.innerHeight-imgHeight; }else {y = findPosY(ref);}
+ del.style.position = 'fixed'; del.style.left = x + 'px'; del.style.top = y + 'px';
+ var pnl = document.getElementById('navLinks');
+ }
+ if(opt) {
+ if(isIE) {
+ //(degrade IE)
+ //pnl.style.filter = "alpha(opacity=80)";
+ }else {
+ obj.style.opacity = 0.0;
+ vol.style.opacity = 0.0;
+ del.style.opacity = 0.0;
+ pnl.style.opacity = 0.8;
+ }
+ }
+}
+// invisible select extension
+
+// transition extension
+function switchFade() {
+ if(tranSitions && s5mode && fadeModus) {
+ fadeModus = false;
+ playDelay = playDelay-(2*fadeDuration);
+ var obj = document.getElementById('fade'); changeClass(obj,'isoff');
+ }else if(tranSitions && s5mode && !fadeModus) {
+ fadeModus = true;
+ playDelay = playDelay+(2*fadeDuration);
+ var obj = document.getElementById('fade'); changeClass(obj,'ison');
+ }
+ currentSlide();
+}
+function opacity(ids, opacStart, opacEnd, millisec) {
+ var speed = Math.round(millisec / 100);
+ var timer = 0;
+ if(opacStart > opacEnd) {
+ for(var i = opacStart; i >= opacEnd; i--) {
+ window.setTimeout("changeOpac(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ } else if(opacStart < opacEnd) {
+ for(var i = opacStart; i <= opacEnd; i++) {
+ window.setTimeout("changeOpac(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ }
+}
+function changeOpac(opacity, ids) {
+ var obj = document.getElementById(ids);
+ if (isIE) {
+ obj.style.filter = "alpha(opacity=" + opacity + ")";
+ } else {
+ obj.style.opacity = (opacity / 100);
+ }
+}
+function shiftOpacity(ids, millisec) {
+ if(document.getElementById(ids).style.opacity != '') {
+ var currentOpac = document.getElementById(ids).style.opacity * 100;
+ } else {
+ var currentOpac = 0;
+ }
+ if(currentOpac == 0) {
+ opacity(ids, currentOpac, 100, millisec);
+ } else if(currentOpac > 0) {
+ opacity(ids, currentOpac, 0, millisec);
+ }
+}
+// transition extension
+
+// autoplay extension
+function autoPlay() {
+ if (s5mode && autoMatic && !playPause) {
+ if ((snum >= (smax-1)) && playLoop) {
+ goTo(0);
+ autoRun = setTimeout('autoPlay();',playDelay);
+ }else if ((snum >= (smax-1)) && !playLoop) {
+ stopPlay();
+ }else {
+ if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ if (incrementals[snum].length >0) {
+ clearTimeout(autoRun); autoRun = null;
+ incrDelay = parseInt(playDelay/(incrementals[snum].length+1));
+ remainDer = parseInt(playDelay-(incrDelay*incrementals[snum].length));
+ autoRun = setTimeout('autoPlayIncr()',incrDelay);
+ }
+ } else {
+ clearTimeout(autoRun); autoRun = null;
+ incrDelay = incrDuration;
+ remainDer = parseInt(playDelay-(incrementals[snum].length*incrDuration));
+ autoRun = setTimeout('autoPlayIncr()',incrDelay);
+ }
+ autoRun = setTimeout('autoPlay();',playDelay);
+ }
+ }
+}
+function autoPlayIncr() {
+ if (incpos < incrementals[snum].length) {
+ subgo(1);
+ autoRun = setTimeout('autoPlayIncr();',incrDelay);
+ }else {
+ autoRun = setTimeout('nop();',remainDer);
+ }
+}
+function nop() {
+ // no operation dummy
+}
+function togglePlay() {
+ if (autoRun && s5mode) {
+ stopPlay();
+ }else if (!autoRun && s5mode) {
+ startPlay();
+ }
+}
+function stopPlay() {
+ if (autoRun && s5mode) {
+ clearTimeout(autoRun); autoRun = null;
+ autoMatic = false; playPause = false; var obj = '';
+ obj = document.getElementById('auto'); changeClass(obj,'isoff');
+ obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ currentSlide();
+ }
+}
+function startPlay() {
+ if (!autoRun && s5mode) {
+ playPause = false; autoMatic = true; var obj = '';
+ obj = document.getElementById('auto'); changeClass(obj,'ison');
+ obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ autoRun = setTimeout('autoPlay();',playDelay);
+ currentSlide();
+ }
+}
+function pausePlay() {
+ if (s5mode && autoMatic) {
+ if (playPause) {
+ playPause = false; autoRun = setTimeout('autoPlay();',playDelay);
+ var obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ }else {
+ if (autoRun){
+ clearTimeout(autoRun); autoRun = null; playPause = true;
+ var obj = document.getElementById('pause'); changeClass(obj,'ison');
+ }
+ }
+ currentSlide();
+ }
+}
+function switchLoop() {
+ if(s5mode) {
+ if (playLoop) {
+ playLoop = false;
+ var obj = document.getElementById('loop'); changeClass(obj,'isoff');
+ }else {
+ playLoop = true;
+ var obj = document.getElementById('loop'); changeClass(obj,'ison');
+ }
+ }
+ currentSlide();
+}
+function setDelay() {
+ var val = document.getElementById('delaylist');
+ if(s5mode) {
+ var delay = Math.max(5,Math.min(parseInt(val.value),300));
+ playDelay = (fadeModus == true) ? ((delay*1000)+(2*fadeDuration)) : (delay*1000);
+ }
+}
+// autoplay extension
+
+// audio extension
+function createSoundManagerScript() {
+ if(typeof soundManager=="undefined") {
+ onerrorSM2();
+ }else {
+ var script=document.createElement('SCRIPT');
+ var tx=document.createTextNode("soundManager.createMovie();");
+ script.appendChild(tx);
+ document.getElementsByTagName("body")[0].appendChild(script);
+ }
+}
+//soundManager.onload = function() {
+function onloadSM2() {
+ if(!isIE) { //(degrade IE)
+ swfUnloaded = false;
+ preloadSounds();
+ }
+}
+//soundManager.onerror = function() {
+function onerrorSM2() {
+ if(typeof soundManager!="undefined") {
+ soundManager.destruct;
+ delete soundManager;
+ }
+ audioSupport = false; swfUnloaded = true;
+ if(audioError && !isIE && !isOp) {
+ var dv = document.createElement('div'); dv.id = "guru";
+ var d2=document.createElement('div'); dv.appendChild(d2);
+ var tx=document.createTextNode('Guru Meditation - SoundManager failed to load/initialize!');
+ d2.appendChild(tx); document.getElementById('slide0').appendChild(dv);
+ }
+}
+function audioSetup() {
+ if(sound[0]) {
+ playSound(0);
+ }else if(sound[bgSoundItem] && !sound[0]) {
+ playSound(bgSoundItem);
+ }
+}
+function fadeoutSound(ids, option) {
+ if(curSoundID >= 0 && !swfUnloaded) {
+ if(isNaN(ids)) {
+ if(ids == "bgSound") {
+ var cnum = parseInt(bgSoundItem);
+ }else {
+ for (var i = 0; i < (sound.length-1); i++) {
+ if(sound[i] && ids == sound[i]["id"]) {
+ var cnum = i;
+ break;
+ }
+ }
+ }
+ }else {
+ var cnum = ids;
+ ids = sound[cnum]["id"];
+ }
+ var vol = getMaxVolume(sound[cnum]["volume"]);
+ var millisec = fadeDuration;
+ var speed = Math.round(millisec / vol);
+ var timer = 0;
+ for(var i = vol; i > 0; i--) {
+ setTimeout("fadeout(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ if(option) setTimeout("stopSound('"+ids+"')",millisec);
+ }
+}
+function fadeout(volume, id) {
+ soundManager.setVolume(id,volume);
+}
+function stopSound(ids) {
+ var sid;
+ if(isNaN(ids)) {
+ sid = ids;
+ }else if(sound[ids]) {
+ sid = sound[ids]["id"];
+ }
+ if(sid!='' && curSoundID >= 0) {
+ soundManager.stop(sid);
+ curSoundID = -1;
+ }
+}
+function toggleSounds() {
+ if(audioSupport && !swfUnloaded) {
+ stopAllSounds();
+ }else if (!audioSupport && !swfUnloaded) {
+ allowSounds();
+ }
+}
+function allowSounds() {
+ if(!swfUnloaded) {
+ audioSupport = true;
+ var obj = document.getElementById('audio'); changeClass(obj,'ison');
+ currentSlide();
+ }
+}
+function stopAllSounds() {
+ if(curSoundID >= 0) {
+ stopSound(curSoundID);
+ }else {
+ soundManager.stopAll();
+ curSoundID = -1;
+ }
+ audioSupport = false;
+ var obj = document.getElementById('audio'); changeClass(obj,'isoff');
+ currentSlide();
+}
+function playSound(id) {
+ if(audioSupport && !swfUnloaded) {
+ var url, sid, vol, lps, cnum;
+ if(isNaN(id)) {
+ sid = id;
+ if(sid == "bgSound") {
+ cnum = parseInt(bgSoundItem);
+ }else {
+ cnum = getSoundID(id);
+ }
+ }else {
+ cnum = parseInt(id);
+ if(sound[cnum]) sid = sound[cnum]["id"];
+ }
+ if(sound[cnum] && sound[cnum]["url"]!='' && sid!=''){
+ url = sound[cnum]["url"];
+ vol = (sound[cnum]["volume"]!='')?getMaxVolume(sound[cnum]["volume"]):getMaxVolume(100);
+ lps = (sound[cnum]["loops"])?true:false;
+ if(lps) {
+ soundManager.play(sid,{volume:vol,onplay:function(){curSoundID=cnum;},onfinish:function(){soundManager.play(sid,{volume:getMaxVolume(sound[cnum]["volume"])});}});
+ }else {
+ soundManager.play(sid,{volume:vol,onplay:function(){curSoundID=cnum;},onfinish:function(){curSoundID=-1;}});
+ }
+ }
+ }
+}
+function getSoundID(str) {
+ for (var i = 0; i < (sound.length-1); i++) {
+ if(sound[i] && str == sound[i]["id"]) {
+ var id = i;
+ break;
+ }
+ }
+ return id;
+}
+function setVolume() {
+ var vol = document.getElementById('volumelist');
+ if(audioSupport && !swfUnloaded) {
+ audioVolume = parseInt(vol.value);
+ if(curSoundID >= 0) {
+ if(sound[curSoundID]) {
+ var sid = sound[curSoundID]["id"];
+ var vid = getMaxVolume(sound[curSoundID]["volume"]);
+ soundManager.setVolume(sid,vid);
+ }
+ }
+ }
+}
+function getMaxVolume(value) {
+ if(audioVolume>0) {var factor = audioVolume/100;}else {var factor = 0;}
+ return Math.max(0,Math.min(parseInt(value*factor),audioVolume));
+}
+function preloadSounds() {
+ var temp = ''; var parm = ''; var t = ''; var cl = '';
+ var objects = document.getElementsByTagName('object');
+ for (var i=0; i < objects.length; i++) {
+ if(objects[i].type.toLowerCase() == 'audio/mp3' && objects[i].data != '') {
+ objects[i].width = 0; objects[i].height = 0;
+ if(objects[i].parentNode.tagName == 'DIV') {
+ cl = objects[i].parentNode.className.toLowerCase();
+ if(cl == 'presentation' || cl == 'slide') {
+ if(cl == 'presentation') {
+ t = parseInt(bgSoundItem);
+ }else {
+ t = parseInt(objects[i].parentNode.id.slice(5, objects[i].parentNode.id.length));
+ }
+ sound[t] = new Object();
+ if(t < bgSoundItem) {
+ sound[t]["id"] = objects[i].parentNode.id;
+ }else {
+ sound[t]["id"] = "bgSound";
+ }
+ sound[t]["url"] = objects[i].data;
+ sound[t]["volume"] = 100; sound[t]["loops"] = false;
+ if(objects[i].archive != '') {
+ parm = objects[i].archive.toLowerCase().split(',');
+ for (var j=0; j < parm.length; j++) {
+ if(parm[j] == 'loop') sound[t]["loops"] = true;
+ if(parm[j].search(/^volume/) != -1) {
+ var tmp = parm[j].split(':');
+ sound[t]["volume"] = parseInt(tmp[1]);
+ }
+ }
+ }
+ soundManager.createSound(sound[t]["id"],sound[t]["url"]);
+ }
+ }
+ }
+ }
+}
+// audio extension
+
+// help extension
+function createHelpReq() {
+ if(!document.getElementById("HelpReq")) {
+ var obj = document.getElementsByTagName("body")[0].firstChild;
+ var pg = document.createElement('div');
+ pg.id = "HelpReq";
+ if (pg.addEventListener) {
+ pg.addEventListener("onclick",dumpHelpReq,false);
+ } else if (pg.attachEvent) {
+ pg.attachEvent("onclick",dumpHelpReq);
+ }
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=90)";
+ } else {
+ pg.style.opacity = 0.9;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(64,64,64)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/help.jpg)";
+ document.getElementsByTagName("body")[0].insertBefore(pg,obj);
+ if(document.getElementById("HelpReq")) {
+ helpmode = true;
+ }
+ }
+}
+function dumpHelpReq() {
+ if(document.getElementById("HelpReq")) {
+ document.body.removeChild(document.getElementById('HelpReq'));
+ helpmode = false;
+ }
+}
+// help extension
+
+//DEBUG
+function ConsoleLog(value) {
+ if(window.console) {
+ window.console.log(value);
+ }
+}
+//DEBUG
+
+document.write('<style type="text/css" media="screen" id="blockStyle">.presentation, .layout {display: none; }</style>');
+
+if(!isIE && !isOp) {
+ document.write('<script type="text/javascript" src="'+s5Path+'ui/audio_support/soundmanager2.js"></script>');
+ if(typeof soundManager!="undefined") {
+ var allMetas = document.getElementsByTagName('meta');
+ for (var i = 0; i< allMetas.length; i++) {
+ if (allMetas[i].name == 'audioDebug') {
+ var audioDebug = (allMetas[i].content == "true") ? true : false;
+ soundManager.defaultOptions.debugMode = audioDebug;
+ }
+ }
+ }
+}
+
+window.onload = createSlideShow;
+window.onresize = function(){setTimeout('windowChange()',5);} \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/toggle_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/toggle_link.png
new file mode 100644
index 000000000..4588e2514
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/toggle_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/toggle_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/toggle_over.png
new file mode 100644
index 000000000..400f613b6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/toggle_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/volume_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/volume_link.png
new file mode 100644
index 000000000..f362acd1f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/volume_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/wrap.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/wrap.css
new file mode 100644
index 000000000..a37315552
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/wrap.css
@@ -0,0 +1,410 @@
+/********************************************************************
+Screen and Print Styles for the Wrap Plugin
+********************************************************************/
+
+/* tables in columns and boxes should span the whole width */
+.wrap_column table,
+.wrap_left table, .wrap_right table, .wrap_center table,
+.wrap_box table,
+.wrap_info table, .wrap_important table, .wrap_alert table, .wrap_tip table, .wrap_help table, .wrap_todo table, .wrap_download table {
+ width: 100%;
+}
+/* emulate a headline */
+.wrap_column em strong,
+.wrap_left em strong, .wrap_right em strong, .wrap_center em strong,
+.wrap_box em strong,
+.wrap_info em strong, .wrap_important em strong, .wrap_alert em strong, .wrap_tip em strong, .wrap_help em strong, .wrap_todo em strong, .wrap_download em strong {
+ font-size: 130%;
+ font-weight: bold;
+ font-style: normal;
+ display: block;
+}
+/* emulate a bigger headline with a bottom border */
+.wrap_column em strong em.u,
+.wrap_left em strong em.u, .wrap_right em strong em.u, .wrap_center em strong em.u,
+.wrap_box em strong em.u,
+.wrap_info em strong em.u, .wrap_important em strong em.u, .wrap_alert em strong em.u, .wrap_tip em strong em.u, .wrap_help em strong em.u, .wrap_todo em strong em.u, .wrap_download em strong em.u {
+ font-size: 115%;
+ border-bottom: 1px solid __border__;
+ font-style: normal;
+ text-decoration: none;
+ display: block;
+}
+/* different bigger headline for safety notes */
+.wrap_danger em strong em.u, .wrap_warning em strong em.u, .wrap_caution em strong em.u, .wrap_notice em strong em.u, .wrap_safety em strong em.u {
+ font-size: 115%;
+ font-style: normal;
+ text-decoration: none;
+ display: block;
+ text-transform: uppercase;
+ border-bottom-width: 0;
+}
+/* change border colour of emulated headlines inside boxes to something more neutral
+ (to match all the different background colours) */
+.wrap_box em strong em.u,
+.wrap_info em strong em.u, .wrap_important em strong em.u, .wrap_alert em strong em.u, .wrap_tip em strong em.u, .wrap_help em strong em.u, .wrap_todo em strong em.u, .wrap_download em strong em.u {
+ border-bottom-color: #999;
+}
+
+
+/* columns
+********************************************************************/
+
+.wrap_left,
+.wrap_column {
+ float: left;
+ margin-right: 1.5em;
+}
+.wrap_right {
+ float: right;
+ margin-left: 1.5em;
+}
+.wrap_center {
+ display: block;
+ margin-left: auto;
+ margin-right: auto;
+}
+/*
+.wrap_column,
+.wrap_left,
+.wrap_right {
+ overflow: hidden;
+}
+*/
+.wrap_container {
+ margin-right: -1.5em;
+}
+
+
+/* alignments
+********************************************************************/
+
+.wrap_leftalign {
+ text-align: left;
+}
+.wrap_centeralign {
+ text-align: center;
+}
+.wrap_rightalign {
+ text-align: right;
+}
+.wrap_justify {
+ text-align: justify;
+}
+
+
+/* box
+********************************************************************/
+
+/* see styles for boxes and notes with icons in style.css */
+
+/*____________ rounded corners ____________*/
+/* (only for modern browsers) */
+
+div.wrap_round {
+ border-radius: 20px;
+ -moz-border-radius: 20px;
+ -webkit-border-radius: 20px;
+ -khtml-border-radius: 20px;
+}
+span.wrap_round {
+ border-radius: 2px;
+ -moz-border-radius: 2px;
+ -webkit-border-radius: 2px;
+ -khtml-border-radius: 2px;
+}
+
+
+/* mark
+********************************************************************/
+
+div.wrap_lo {
+ color: __text_neu__;
+ font-size: 85%;
+}
+div.wrap_em {
+ color: #c00;
+ font-weight: bold;
+}
+
+/* see styles for highlighted text in style.css */
+
+
+/* typography
+********************************************************************/
+
+/*____________ fonts ____________*/
+
+div.wrap_sansserif {
+ font-family: Verdana,Tahoma,Geneva,"DejaVu Sans","Bitstream Vera Sans","Liberation Sans", Arial,Helvetica,FreeSans,"Liberation Sans","Nimbus Sans L", sans-serif;
+}
+div.wrap_serif {
+ font-family: Georgia,Garamond,"Palatino Linotype","Book Antiqua",Palatino,Palladio,"URW Palladio L","Liberation Serif",Didot,Gentium,"Bitstream Charter","Century Schoolbook L", "Times New Roman",Times,"Nimbus Roman No9 L","FreeSerif", serif;
+}
+div.wrap_monospace {
+ font-family: Courier,"Courier New",FreeMono,"Nimbus Mono L","Liberation Mono", "Lucida Console",Monaco,"DejaVu Sans Mono","Bitstream Vera Sans Mono", monospace;
+}
+
+/*____________ font size ____________*/
+
+div.wrap_bigger {
+ font-size: 125%;
+}
+div.wrap_muchbigger {
+ font-size: 200%;
+}
+div.wrap_smaller {
+ font-size: 75%;
+}
+
+/*____________ font colours ____________*/
+
+div.wrap_fgred {
+ color: #900;
+}
+div.wrap_fggreen {
+ color: #090;
+}
+div.wrap_fgblue {
+ color: #009;
+}
+div.wrap_fgcyan {
+ color: #099;
+}
+div.wrap_fgviolet {
+ color: #909;
+}
+div.wrap_fgyellow {
+ color: #990;
+}
+div.wrap_fggrey {
+ color: #666;
+}
+div.wrap_fgblack {
+ color: #000;
+}
+
+/* see styles for background colours and white font colour in style.css */
+
+
+/* miscellaneous
+********************************************************************/
+
+/*____________ indent ____________*/
+
+div.wrap_indent {
+ padding-left: 1.5em;
+}
+
+/*____________ outdent ____________*/
+
+div.wrap_outdent {
+ margin-left: -1.5em;
+}
+
+/*____________ word wrapping in pre ____________*/
+
+divdiv.wrap_prewrap pre {
+ white-space: pre-wrap;
+ word-wrap: break-word;/* for IE < 8 */
+ /* white-space: -moz-pre-wrap; for FF < 3 */
+}
+
+/*____________ spoiler ____________*/
+
+divdiv.wrap_spoiler {
+ margin-bottom: 1.5em;
+}
+/* see rest of spoiler styles in style.css */
+
+/*____________ clear float ____________*/
+
+div.wrap_clear {
+ clear: both;
+ line-height: 0;
+ height: 0;
+ font-size: 1px;
+ visibility: hidden;
+ overflow: hidden;
+}
+
+/*____________ hide ____________*/
+
+div.wrap_hide {
+ display: none;
+}
+
+
+/* STYLE.CSS */
+
+/* box
+********************************************************************/
+
+.wrap_box {
+ background: __background_alt__;
+ color: __text__;
+/*
+ overflow: hidden;
+*/
+}
+div.wrap_box,
+div.wrap_danger, div.wrap_warning, div.wrap_caution, div.wrap_notice, div.wrap_safety {
+ padding: 1em 1em .5em;
+ margin-bottom: 1.5em;
+}
+span.wrap_box,
+span.wrap_danger, span.wrap_warning, span.wrap_caution, span.wrap_notice, span.wrap_safety {
+ padding: 0 .3em;
+}
+
+/*____________ notes with icons ____________*/
+
+/* general styles for all note divs */
+div.wrap_info, div.wrap_important, div.wrap_alert, div.wrap_tip, div.wrap_help, div.wrap_todo, div.wrap_download {
+ padding: 1em 1em .5em 70px;
+ margin-bottom: 1.5em;
+ min-height: 68px;
+ background-position: 10px 50%;
+ background-repeat: no-repeat;
+ color: #000;
+}
+/* special treatment for IE6 */
+* html div.wrap_info, * html div.wrap_important, * html div.wrap_alert, * html div.wrap_tip, * html div.wrap_help, * html div.wrap_todo, * html div.wrap_download {
+ height: 68px;
+}
+/* general styles for all note spans */
+span.wrap_info, span.wrap_important, span.wrap_alert, span.wrap_tip, span.wrap_help, span.wrap_todo, span.wrap_download {
+ padding: 0 2px 0 20px;
+ min-height: 20px;
+ background-position: 2px 50%;
+ background-repeat: no-repeat;
+ color: #000;
+}
+/* special treatment for IE6 */
+* html span.wrap_info, * html span.wrap_important, * html span.wrap_alert, * html span.wrap_tip, * html span.wrap_help, * html span.wrap_todo, * html span.wrap_download {
+ height: 20px;
+}
+
+/*____________ info ____________*/
+.wrap_info { background-color: #d1d7df; }
+div.wrap_info { background-image: url(../../../wrap/images/note/48/info.png); }
+span.wrap_info { background-image: url(../../../wrap/images/note/16/info.png); }
+
+/*____________ important ____________*/
+.wrap_important { background-color: #ffd39f; }
+div.wrap_important { background-image: url(../../../wrap/images/note/48/important.png); }
+span.wrap_important { background-image: url(../../../wrap/images/note/16/important.png); }
+
+/*____________ alert ____________*/
+.wrap_alert { background-color: #ffbcaf; }
+div.wrap_alert { background-image: url(../../../wrap/images/note/48/alert.png); }
+span.wrap_alert { background-image: url(../../../wrap/images/note/16/alert.png); }
+
+/*____________ tip ____________*/
+.wrap_tip { background-color: #fff79f; }
+div.wrap_tip { background-image: url(../../../wrap/images/note/48/tip.png); }
+span.wrap_tip { background-image: url(../../../wrap/images/note/16/tip.png); }
+
+/*____________ help ____________*/
+.wrap_help { background-color: #dcc2ef; }
+div.wrap_help { background-image: url(../../../wrap/images/note/48/help.png); }
+span.wrap_help { background-image: url(../../../wrap/images/note/16/help.png); }
+
+/*____________ todo ____________*/
+.wrap_todo { background-color: #c2efdd; }
+div.wrap_todo { background-image: url(../../../wrap/images/note/48/todo.png); }
+span.wrap_todo { background-image: url(../../../wrap/images/note/16/todo.png); }
+
+/*____________ download ____________*/
+.wrap_download { background-color: #d6efc2; }
+div.wrap_download { background-image: url(../../../wrap/images/note/48/download.png); }
+span.wrap_download { background-image: url(../../../wrap/images/note/16/download.png); }
+
+
+/*____________ safety notes ____________*/
+
+.wrap_danger {
+ background-color: #c00;
+ color: #fff;
+}
+.wrap_warning {
+ background-color: #f60;
+ color: #000;
+}
+.wrap_caution {
+ background-color: #ff0;
+ color: #000;
+}
+.wrap_notice {
+ background-color: #06f;
+ color: #fff;
+}
+.wrap_safety {
+ background-color: #090;
+ color: #fff;
+}
+
+
+/* mark
+********************************************************************/
+
+div.wrap_hi {
+ background-color: #ff9;
+}
+
+/* typography
+********************************************************************/
+
+/*____________ font colours ____________*/
+
+div.wrap_fgwhite {
+ color: #fff;
+}
+
+/*____________ background colours ____________*/
+
+div.wrap_bgred {
+ background-color: #fcc;
+}
+div.wrap_bggreen {
+ background-color: #cfc;
+}
+div.wrap_bgblue {
+ background-color: #ccf;
+}
+div.wrap_bgcyan {
+ background-color: #9ff;
+}
+div.wrap_bgviolet {
+ background-color: #f9f;
+}
+div.wrap_bgyellow {
+ background-color: #ff9;
+}
+div.wrap_bggrey {
+ background-color: #ccc;
+}
+div.wrap_bgwhite {
+ background-color: #fff;
+}
+div.wrap_bgblack {
+ background-color: #000;
+}
+
+
+/* miscellaneous
+********************************************************************/
+
+/*____________ spoiler ____________*/
+
+div.wrap_spoiler {
+ background-color: __background__ !important;
+ color: __background__ !important;
+ border: 1px dotted red;
+}
+
+/*____________ only print ____________*/
+
+div.wrap_onlyprint {
+ display: none;
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/zero_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/zero_link.png
new file mode 100644
index 000000000..5610884d9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/zero_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/zero_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/zero_over.png
new file mode 100644
index 000000000..3a14a577e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/quality/zero_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/s5-notes.html b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/s5-notes.html
new file mode 100644
index 000000000..902901b1d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/s5-notes.html
@@ -0,0 +1,157 @@
+<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Strict//EN"
+ "http://www.w3.org/TR/xhtml1/DTD/xhtml1-strict.dtd">
+<!-- Do not edit this document! The system will likely break if you do. -->
+<html xmlns="http://www.w3.org/1999/xhtml">
+<head>
+<title>Notes</title>
+<style type="text/css" media="all">
+body {
+ margin: 0;
+ padding: 1.0em;
+ background: #333;
+ color: #FFF;
+ font: 2em/1.4em 'Lucida Grande', Verdana, sans-serif;
+}
+div.timers {
+ background: #FFF;
+ color: #333;
+ border: 0.08em solid #222;
+ border-top-width: 1px;
+ border-left-width: 1px;
+ float: left;
+ padding: 0.2em;
+ margin: 0 0 0.5em;
+ position: relative;
+}
+div.timers h1 {
+ text-align: left;
+ font-size: 0.6em;
+ line-height: 1.4em;
+ background-color: #FF9;
+ padding: 0 0.75em;
+ margin: 0.25em 0 0;
+ border: 1px solid #EE8;
+}
+div.timers div.controls {
+ position: absolute;
+ right: 0.25em;
+ top: 0.1em;
+ line-height: 1em;
+}
+div.timers h1 a {
+ text-decoration: none;
+ color: #000;
+}
+div.timers div.controls a {
+ font-size: 0.5em;
+ padding: 0;
+ color: #330;
+}
+div.timers a.control {
+ position: absolute;
+ text-decoration: none;
+ padding: 0 0.25em;
+ color: #AAA;
+ outline: 0;
+}
+a.headctrl {
+ outline: none;
+ text-decoration: none;
+ font-weight: bold;
+}
+#minus {left: 0.25em;}
+#plus {right: 0.25em;}
+.overtime {
+ background: yellow;
+ color: red;
+ border: 3px solid;
+ padding: 0.1em 0.25em;
+ font-weight: bold;
+}
+div.timers h2 {
+ font-size: 0.6em;
+ line-height: 1.0em;
+ font-weight: normal;
+ margin: 0 0 -0.25em;
+ padding-top: 0.5em;
+ color: #666;
+}
+div.timers p {margin: 0; padding: 0 0.5em;}
+div.timers form {margin: 0;}
+div.timers span.clock {font-family: monospace;}
+div.timers ul {margin: 0; padding: 0; list-style: none;}
+div.timers li {float: left; width: 5em; margin: 0; padding: 0 0.5em; text-align: center;}
+div#elapsed {width: 12.1em;}
+div#remaining {clear: left; width: 12.1em;}
+div#remaining p {text-align: center;}
+#slide, #next, #notes, #nextnotes {
+ font-size: 0.75em;
+ line-height: 1.4em;
+ clear: left;
+/* max-width: 30.0em; */
+ text-shadow: 0.1em 0.1em 0.1em #111;
+}
+#next {margin-top: 2.5em;}
+#next, #nextnotes {color: #999; font-size: 0.66em;}
+em.disclaimer {color: #666;}
+div.collapsed h1 {display: block; font-size: 0.33em;}
+div.collapsed h1 a {display: inline;}
+div.collapsed * {display: none;}
+</style>
+<script type="text/javascript">
+// <![CDATA[
+ document.onkeyup = opener.keys;
+ document.onkeypress = opener.trap;
+ document.onclick = opener.clicker;
+// ]]>
+</script>
+</head>
+
+<body onload="opener.s5NotesWindowLoaded=true;" onunload="opener.s5NotesWindowLoaded=false;">
+
+
+<div class="timers" id="elapsed">
+<h1>
+<a href="#" onclick="opener.minimizeTimer('elapsed'); return false;">Elapsed Time</a>
+</h1>
+<ul>
+<li>
+<h2>Presentation</h2>
+<span class="clock" id="elapsed-presentation">00:00:00</span>
+</li>
+<li>
+<h2>Current Slide</h2>
+<span class="clock" id="elapsed-slide">00:00:00</span>
+</li>
+</ul>
+<div class="controls">
+<a href="#reset-elapsed" class="headctrl" onclick="opener.resetElapsedTime(); return false;" title="Reset Elapsed Time">|&lt;</a>
+</div>
+</div>
+
+<div class="timers" id="remaining">
+<h1>
+<a href="#" onclick="opener.minimizeTimer('remaining'); return false;">Remaining Time</a>
+</h1>
+<p>
+<a href="#subtract-remaining" class="control" id="minus" onclick="opener.alterRemainingTime('-5'); return false;" title="Subtract 5 Minutes">-</a>
+<span class="clock" id="timeLeft">00:00:00</span>
+<a href="#add-remaining" class="control" id="plus" onclick="opener.alterRemainingTime('5'); return false;" title="Add 5 Minutes">+</a>
+</p>
+<div class="controls">
+<form action="#" onsubmit="opener.resetRemainingTime(); return false;">
+<input type="text" class="text" id="startFrom" value="0" size="4" maxlength="4" />
+<a href="#toggle-remaining" class="headctrl" onclick="opener.toggleRemainingTime(); return false;" title="Pause/Run Remaining Time">||</a>
+<a href="#reset-remaining" class="headctrl" onclick="opener.resetRemainingTime(); return false;" title="Reset Remaining Time">|&lt;</a>
+</form>
+</div>
+</div>
+
+<h2 id="slide">...</h2>
+<div id="notes"></div>
+
+<h2 id="next">...</h2>
+<div id="nextnotes"></div>
+
+</body>
+</html>
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/audio_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/audio_link.png
new file mode 100644
index 000000000..daa740c40
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/audio_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/audio_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/audio_on.png
new file mode 100644
index 000000000..cd590aae9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/audio_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/audio_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/audio_over.png
new file mode 100644
index 000000000..cf537a008
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/audio_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/auto_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/auto_link.png
new file mode 100644
index 000000000..1c2ca4817
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/auto_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/auto_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/auto_on.png
new file mode 100644
index 000000000..4b0c923b8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/auto_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/auto_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/auto_over.png
new file mode 100644
index 000000000..dbea88975
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/auto_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/backgrnd.jpg b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/backgrnd.jpg
new file mode 100644
index 000000000..0602a0249
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/backgrnd.jpg
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/backgrnd.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/backgrnd.png
new file mode 100644
index 000000000..0e48413d3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/backgrnd.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/blank.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/blank.gif
new file mode 100755
index 000000000..75b945d25
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/blank.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/chalks.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/chalks.png
new file mode 100644
index 000000000..5ba8d53db
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/chalks.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/delay_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/delay_link.png
new file mode 100644
index 000000000..c4d99cd57
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/delay_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/exit_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/exit_link.png
new file mode 100644
index 000000000..1bfd38790
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/exit_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/exit_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/exit_over.png
new file mode 100644
index 000000000..0fdd1c605
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/exit_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/fade_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/fade_link.png
new file mode 100644
index 000000000..482e4d53e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/fade_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/fade_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/fade_on.png
new file mode 100644
index 000000000..e12561fb2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/fade_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/fade_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/fade_over.png
new file mode 100644
index 000000000..fb8943c98
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/fade_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/footer.jpg b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/footer.jpg
new file mode 100644
index 000000000..49370dbba
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/footer.jpg
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/footer.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/footer.png
new file mode 100644
index 000000000..804e16147
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/footer.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/framing.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/framing.css
new file mode 100644
index 000000000..e54061c3e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/framing.css
@@ -0,0 +1,22 @@
+/* The following styles size, place, and layer the slide components.
+ Edit these if you want to change the overall slide layout.
+ The commented lines can be uncommented (and modified, if necessary)
+ to help you with the rearrangement process. */
+
+/* target = 1024x768 */
+
+div#header, div#footer, .slide {width: 100%; top: 0; left: 0;}
+div#header {top: 0; height: 3em; z-index: 1;}
+div#footer {top: auto; bottom: 0; height: 2.5em; z-index: 5;}
+.slide {top: 0; width: 92%; padding: 3.5em 4% 4%; z-index: 2; list-style: none;}
+div#controls {left: 50%; bottom: 0; width: 50%; z-index: 100;}
+div#controls form {text-align: right; width: 100%; margin: 0;}
+#currentSlide {position: absolute; width: 12%; left: 44%; bottom: 1em; z-index: 10;}
+html>body #currentSlide {position: fixed;}
+
+/*
+div#header {background: #FCC;}
+div#footer {background: #CCF;}
+div#controls {background: #BBD;}
+div#currentSlide {background: #FFC;}
+*/
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/header.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/header.png
new file mode 100644
index 000000000..8453dc395
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/header.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/iepngfix.htc b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/iepngfix.htc
new file mode 100755
index 000000000..bba2db756
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/iepngfix.htc
@@ -0,0 +1,42 @@
+<public:component>
+<public:attach event="onpropertychange" onevent="doFix()" />
+
+<script>
+
+// IE5.5+ PNG Alpha Fix v1.0 by Angus Turnbull http://www.twinhelix.com
+// Free usage permitted as long as this notice remains intact.
+
+// This must be a path to a blank image. That's all the configuration you need here.
+var blankImg = 'ui/default/blank.gif';
+
+var f = 'DXImageTransform.Microsoft.AlphaImageLoader';
+
+function filt(s, m) {
+ if (filters[f]) {
+ filters[f].enabled = s ? true : false;
+ if (s) with (filters[f]) { src = s; sizingMethod = m }
+ } else if (s) style.filter = 'progid:'+f+'(src="'+s+'",sizingMethod="'+m+'")';
+}
+
+function doFix() {
+ if ((parseFloat(navigator.userAgent.match(/MSIE (\S+)/)[1]) < 5.5) ||
+ (event && !/(background|src)/.test(event.propertyName))) return;
+
+ if (tagName == 'IMG') {
+ if ((/\.png$/i).test(src)) {
+ filt(src, 'image'); // was 'scale'
+ src = blankImg;
+ } else if (src.indexOf(blankImg) < 0) filt();
+ } else if (style.backgroundImage) {
+ if (style.backgroundImage.match(/^url[("']+(.*\.png)[)"']+$/i)) {
+ var s = RegExp.$1;
+ style.backgroundImage = '';
+ filt(s, 'crop');
+ } else filt();
+ }
+}
+
+doFix();
+
+</script>
+</public:component> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/index.html b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/index.html
new file mode 100755
index 000000000..39d8f46ad
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/index.html
@@ -0,0 +1,100 @@
+<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Strict//EN"
+ "http://www.w3.org/TR/xhtml1/DTD/xhtml1-strict.dtd">
+
+<html xmlns="http://www.w3.org/1999/xhtml" xml:lang="es" lang="es-es" >
+
+
+<head>
+
+ <title>[T铆tulo de la presentaci贸n aqu铆]</title>
+ <!-- metadata -->
+ <meta http-equiv="content-type" content="text/html; charset=utf-8" />
+ <meta http-equiv="content-language" content="es" />
+
+ <!--titulo y datos de publicaci贸n de la presentaci贸n-->
+
+ <meta name="description" content="[T铆tulo de la presentaci贸n aqu铆]" />
+
+ <meta name="keywords" content="[keywords aqu铆]" />
+ <meta name="presdate" content="[Aqu铆 la fecha de publicaci贸n en formato aaaammdd]" />
+
+ <meta name="author" lang="es" content="[Nombre del autor de la presentaci贸n aqu铆]" />
+ <meta name="company" content="[Organizaci贸n del autor de la presentaci贸n aqu铆]" />
+
+ <link rel="shortcut icon" href="ui/default/pics/favicon.ico" />
+
+ <meta name="generator" content="S5" />
+ <meta name="progid" content="quanta+" />
+ <meta name="version" content="S5 路 jEsuSdA 路 1.1" />
+ <!--Versi贸n adaptada del estandard S5 1.1 por jEsuSdA-->
+
+ <meta name="robots" content="all" />
+
+ <!-- configuration parameters -->
+ <meta name="defaultView" content="slideshow" />
+ <meta name="controlVis" content="hidden" />
+
+ <!-- style sheet links -->
+ <link rel="stylesheet" href="ui/default/slides.css" type="text/css" media="projection" id="slideProj" />
+ <link rel="stylesheet" href="ui/default/outline.css" type="text/css" media="screen" id="outlineStyle" />
+ <link rel="stylesheet" href="ui/default/print.css" type="text/css" media="print" id="slidePrint" />
+ <link rel="stylesheet" href="ui/default/opera.css" type="text/css" media="projection" id="operaFix" />
+
+ <!-- S5 JS -->
+ <script src="ui/default/slides.js" type="text/javascript"></script>
+
+</head>
+
+<body>
+
+ <div class="layout">
+ <div id="controls"><!-- NO EDITAR --></div>
+ <div id="currentSlide"><!-- NO EDITAR --></div>
+
+ <div id="header">
+ <div id="logo"><!-- NO EDITAR --></div>
+ </div>
+
+ </div>
+
+ <div id="footer">
+ <h1>[Lugar/Fecha de la presentaci贸n aqu铆]</h1>
+ <h2>[T铆tulo de la presentaci贸n aqu铆]</h2>
+ </div>
+
+
+
+
+<div class="presentation">
+
+ <div class="slide">
+ <h1>[T铆tulo de la presentaci贸n aqu铆]</h1>
+ <h3>[Nombre del ponente aqu铆]</h3>
+ <h4>[Datos extra aqu铆]</h4>
+ </div>
+
+
+ <div class="slide">
+ <h1>[T铆tulo de la diapositiva]</h1>
+
+ <ul>
+ <li>[Punto uno]</li>
+ <li>[Punto dos]</li>
+ <li>[Punto tres]</li>
+ <li>[Punto cuatro]</li>
+ <li>[Punto cinco]</li>
+ </ul>
+
+ <div class="handout">
+
+ [A帽ade aqu铆 cualquier nota o informaci贸n que desees mostrar en la versi贸n impresa, pero no en la versi贸n en pantalla]
+
+ </div>
+
+ </div>
+ <!--fin slide-->
+
+</div>
+
+</body>
+</html>
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/last_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/last_link.png
new file mode 100644
index 000000000..4f158d35d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/last_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/last_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/last_over.png
new file mode 100644
index 000000000..a7b629995
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/last_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/list_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/list_link.png
new file mode 100644
index 000000000..7e299bb50
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/list_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/loop_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/loop_link.png
new file mode 100644
index 000000000..41e12cb15
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/loop_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/loop_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/loop_on.png
new file mode 100644
index 000000000..2ffcacae1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/loop_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/loop_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/loop_over.png
new file mode 100644
index 000000000..9fe420153
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/loop_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/next_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/next_link.png
new file mode 100644
index 000000000..2b9f44c4d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/next_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/next_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/next_over.png
new file mode 100644
index 000000000..25788124d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/next_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/notes_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/notes_link.png
new file mode 100644
index 000000000..bb4a8ae37
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/notes_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/notes_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/notes_over.png
new file mode 100644
index 000000000..c6bfe69fc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/notes_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/opera.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/opera.css
new file mode 100644
index 000000000..9e9d2a3c5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/opera.css
@@ -0,0 +1,7 @@
+/* DO NOT CHANGE THESE unless you really want to break Opera Show */
+.slide {
+ visibility: visible !important;
+ position: static !important;
+ page-break-before: always;
+}
+#slide0 {page-break-before: avoid;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/outline.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/outline.css
new file mode 100644
index 000000000..c04f5006a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/outline.css
@@ -0,0 +1,14 @@
+/* don't change this unless you want the layout stuff to show up in the outline view! */
+
+.hide, .layout div, #footer *, #controlForm * {display: none;}
+#footer, #controls, #controlForm, #navLinks, #sheet {display: block; visibility: visible; margin: 0; padding: 0;}
+#sheet {float: right; padding: 0.5em;}
+html>body #sheet {position: fixed; top: 0; right: 0;}
+
+
+/* making the outline look pretty-ish */
+
+#slide0 h1, #slide0 h2, #slide0 h3, #slide0 h4 {border: none; margin: 0;}
+#slide0 h1 {padding-top: 1.5em;}
+.slide h1 {margin: 1.5em 0 0; padding-top: 0.25em; border-top: 1px solid #888; border-bottom: 1px solid #AAA;}
+#sheet {border: 1px solid; border-width: 0 0 1px 1px; background: #FFF;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/pause_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/pause_link.png
new file mode 100644
index 000000000..2561cb4a4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/pause_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/pause_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/pause_on.png
new file mode 100644
index 000000000..2fc9c4a4a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/pause_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/pause_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/pause_over.png
new file mode 100644
index 000000000..7a7c80ddc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/pause_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/pretty.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/pretty.css
new file mode 100644
index 000000000..41a5f5b3d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/pretty.css
@@ -0,0 +1,162 @@
+/* Following are the presentation styles -- edit away! */
+
+body {background: #FFF url(backgrnd.jpg) repeat fixed; color: #cfc; font-family: 'Comic Sans MS', 'Comic Sans', Arial, Helvetica, sans-serif; font-size: 2.25em;}
+a:link, a:visited {text-decoration: none; color: #F93;}
+a:focus, a:hover {color: #f33 !important;}
+
+h1, h2, h3, h4 {font-size: 100%; margin: 0; padding: 0; font-weight: inherit;}
+h1 {text-shadow: 0.1em 0.1em 0.1em #363; background-color: transparent;}
+
+ul, pre {margin: 0; line-height: 1em;}
+html, body {margin: 0; padding: 0;}
+
+blockquote, q {font-style: italic;}
+blockquote {padding: 0 2em 0.5em; margin: 0 1.5em 0.5em; text-align: center; font-size: 1em;}
+blockquote p {margin: 0;}
+blockquote i {font-style: normal;}
+blockquote b {display: block; margin-top: 0.5em; font-weight: normal; font-size: smaller; font-style: normal;}
+blockquote b i {font-style: italic;}
+
+img {border: 0; }
+kbd {font-weight: bold; font-size: 1em;}
+sup {font-size: smaller; line-height: 1px;}
+
+.slide code {padding: 2px 0.25em; font-weight: bold; color: #533;}
+.slide code.bad, code del {color: red;}
+.slide code.old {color: silver;}
+.slide pre {padding: 0; margin: 0.25em 0 0.5em 0.5em; color: #533; font-size: 90%;}
+.slide pre code {display: block;}
+.slide ul {margin-left: 5%; margin-right: 7%; list-style: disc;}
+.slide li {margin-top: 0.75em; margin-right: 0;}
+.slide ul ul {line-height: 1;}
+.slide ul ul li {margin: .2em; font-size: 85%; list-style: square;}
+.slide img.leader {display: block; margin: 0 auto;}
+
+div#header, div#footer {background: transparent; color: #AAA; font-family: Verdana, Arial, Helvetica, sans-serif;}
+div#header {background: transparent url(header.png) bottom; line-height: 1px;}
+div#footer {background: transparent url(footer.png) top; color: #fff; font-size: 0.5em; font-weight: bold; padding: 1em 0;}
+#footer h1, #footer h2 {display: block; padding: 0; position:absolute; bottom:0.5em;}
+#footer h1 {left:1em; text-shadow: 0.2em 0.2em #000;}
+#footer h2 {right:1em; text-shadow: 0.2em 0.2em #000; font-style: italic;}
+
+div#logo
+{
+ position:absolute;
+ top:0%;
+ left:0%;
+ background:transparent url(triangle.png) 50% 50% no-repeat;
+ height:456px;
+ width:240px;
+}
+div#logo_bottom
+{
+ position:absolute;
+ bottom:50%;
+ right:0%;
+ background:url(chalks.png) 25% 25% no-repeat;
+ height:20px;
+ width:160px;
+}
+
+div.long {font-size: 0.75em;}
+.slide h1 {position: absolute; top: 0.9em; left: 1.25em; z-index: 1; margin: 0; padding: 0.35em 0 0 50px; white-space: nowrap; font: bold 150%/1em 'Comic Sans MS', 'Comic Sans', Verdana, Arial, Helvetica, sans-serif; text-transform: capitalize; color: #DDD; background-color: transparent;}
+.slide h3 {font-size: 130%;}
+h1 abbr {font-variant: small-caps;}
+
+div#controls {position: absolute; left: 0; bottom: 0; width: 100%; text-align: center; font: bold 1em Verdana, Arial, Helvetica, sans-serif;}
+html>body div#controls {background: transparent; position: fixed; padding: 0; top: auto; text-align: center;}
+#controls #sheet {display: none;}
+#controls #controlForm {height: 32px; width: 100%; text-align: center; vertical-align: middle;}
+#controls #navLinks {border-top: 1px solid #999; overflow: hidden; padding: 0; margin: 0; height: 32px; width: 100%; background-color: #808080; text-align: center; vertical-align: middle; white-space: nowrap;}
+#controls #navLinks ul {margin: 0 auto; padding: 0; height: 32px; width: 500px; white-space: nowrap; text-align: center;}
+#controls #navLinks ul li {list-style: none; display: inline; margin: 0; padding: 0; float: left; height: 32px; width: 32px; text-align: center; background-color: transparent;}
+#controls #navLinks ul li.vr {list-style: none; display: inline; margin: 0px 2px; padding: 0; float: left; height: 32px; width: 1px; text-align: center; background-color: #606060;}
+#controls #navLinks ul li a {margin: 0; padding: 0; float: left; height: 32px; width: 32px; background-color: transparent;}
+
+#controls #navLinks ul li#exit {background: url(exit_link.png) no-repeat top left;}
+#controls #navLinks ul li#exit a:hover {background: url(exit_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#toggle {background: url(toggle_link.png) no-repeat top left;}
+#controls #navLinks ul li#toggle a:hover {background: url(toggle_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#show-notes {background: url(notes_link.png) no-repeat top left;}
+#controls #navLinks ul li#show-notes a:hover {background: url(notes_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#zero {background: url(zero_link.png) no-repeat top left;}
+#controls #navLinks ul li#zero a:hover {background: url(zero_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#prev {background: url(prev_link.png) no-repeat top left;}
+#controls #navLinks ul li#prev a:hover {background: url(prev_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#next {background: url(next_link.png) no-repeat top left;}
+#controls #navLinks ul li#next a:hover {background: url(next_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#last {background: url(last_link.png) no-repeat top left;}
+#controls #navLinks ul li#last a:hover {background: url(last_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#lst {background: url(list_link.png) no-repeat top left;}
+
+#controls #navLinks ul li#fade a.isoff {background: url(fade_link.png) no-repeat top left;}
+#controls #navLinks ul li#fade a.ison {background: url(fade_on.png) no-repeat top right;}
+#controls #navLinks ul li#fade a:hover {background: url(fade_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#audio {background: url(audio_link.png) no-repeat top left;}
+#controls #navLinks ul li#audio a.isoff {background: url(audio_link.png) no-repeat top left;}
+#controls #navLinks ul li#audio a.ison {background: url(audio_on.png) no-repeat top right;}
+#controls #navLinks ul li#audio a:hover {background: url(audio_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#vol {background: url(volume_link.png) no-repeat top left;}
+
+#controls #navLinks ul li#auto a.isoff {background: url(auto_link.png) no-repeat top left;}
+#controls #navLinks ul li#auto a.ison {background: url(auto_on.png) no-repeat top right;}
+#controls #navLinks ul li#auto a:hover {background: url(auto_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#pause a.isoff {background: url(pause_link.png) no-repeat top left;}
+#controls #navLinks ul li#pause a.ison {background: url(pause_on.png) no-repeat top right;}
+#controls #navLinks ul li#pause a:hover {background: url(pause_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#loop a.isoff {background: url(loop_link.png) no-repeat top left;}
+#controls #navLinks ul li#loop a.ison {background: url(loop_on.png) no-repeat top right;}
+#controls #navLinks ul li#loop a:hover {background: url(loop_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#del {background: url(delay_link.png) no-repeat top left;}
+
+#jumplist, #volumelist, #delaylist {padding: 0; margin: 0; width: 32px; height: 32px; cursor: n-resize;}
+
+#currentSlide {white-space: nowrap; text-align: center; margin-bottom: -0.5em; font-size: 0.5em; background-color: transparent; color: #ccc;}
+
+#guru {position: absolute; visibility: visible; left: 0px; top: 0px; padding: 4px; width: 99%; height: auto; text-align: center; background-color: black; z-index: 10;}
+#guru div {border: solid 3px red; padding: 4px; font-family: monospace; font-size: 60%; width: auto; height: auto; color: red; text-align: center;}
+
+#slide0 {padding-top: 3.5em; font-size: 90%;}
+#slide0 h1 {position: static; margin: 1em 0 0; padding: 0; font: bold 3em Verdana, Arial, Helvetica, sans-serif; font-variant: small-caps; text-shadow: 0.1em 0.1em 0.1em #363; white-space: normal; color: #000; background-color: transparent;}
+#slide0 h2 {font: bold italic 1em Arial, Helvetica, sans-serif; margin: 0.25em;}
+#slide0 h3 {margin-top: 1.5em; font-size: 1.5em;}
+#slide0 h4 {margin-top: 0; font-size: 1em;}
+
+ul.urls {list-style: none; display: inline; margin: 0;}
+.urls li {display: inline; margin: 0;}
+.note {display: none;}
+.external {border-bottom: 1px dotted gray;}
+html>body .external {border-bottom: none;}
+.external:after {content: " \274F"; font-size: smaller; color: #F93;}
+
+.incremental, .incremental *, .incremental *:after {color: #2A5A36; visibility: visible;}
+img.incremental, canvas.incremental {visibility: hidden;}
+.slide .current {color: #0F6;}
+
+/* diagnostics
+li:after {content: " [" attr(class) "]"; color: #F88;}
+*/
+
+table.piechart, table.barchart, table.linechart { border-spacing: 0.3em 0.15em; }
+table.piechart tr th, table.barchart tr th, table.linechart tr th { white-space: nowrap; }
+table.piechart tr td, table.barchart tr td, table.linechart tr td { vertical-align: top; white-space: nowrap; }
+table.piechart tr td.col, table.barchart tr td.col, table.linechart tr td.col { border-bottom: 1px solid #555; border-right: 1px solid #555; }
+table.fs90 tr td, table.fs90 tr th, div.fs90, pre.fs90, p.fs90 ,ul.fs90 {font-size: 0.9em; }
+table.fs75 tr td, table.fs75 tr th, div.fs75, pre.fs75, p.fs75 ,ul.fs75 {font-size: 0.75em; }
+table.fs66 tr td, table.fs66 tr th, div.fs66, pre.fs66, p.fs66 ,ul.fs66 {font-size: 0.66em; }
+table.fs50 tr td, table.fs50 tr th, div.fs50, pre.fs50, p.fs50 ,ul.fs50 {font-size: 0.5em; }
+
+#soundmanager-debug {position:fixed; top:0px; right:0px; width:30em; height:20em; overflow:auto; border:1px solid red; padding:1em; margin:2em; font-family:"sans serif"; font-size: 12px;color: black; background-color:#f6f6f6; z-index: 100;}
+#soundmanager-debug code {font-size: 11px;} \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/prev_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/prev_link.png
new file mode 100644
index 000000000..c31d21350
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/prev_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/prev_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/prev_over.png
new file mode 100644
index 000000000..6fef4fa52
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/prev_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/print.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/print.css
new file mode 100644
index 000000000..5affe5da6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/print.css
@@ -0,0 +1,3 @@
+/* The following rule is necessary to have all slides appear in print! DO NOT REMOVE IT! */ .slide, ul, p {page-break-inside: avoid; visibility: visible !important;} h1 {page-break-after: avoid;}
+img {page-break-inside: avoid; page-break-after: avoid;}
+/*.slide {page-break-after: always;}*/ body {font-size: 12pt; background: white;} * {color: black;} #slide0 h1 {font-size: 200%; border: none; margin: 0.5em 0 0.25em;} #slide0 h3 {margin: 0; padding: 0;} #slide0 h4 {margin: 0 0 0.5em; padding: 0;} #slide0 {margin-bottom: 3em;} h1 {border-top: 2pt solid gray; border-bottom: 1px dotted silver;} .extra {background: transparent !important;} div.extra, pre.extra, .example {font-size: 10pt; color: #333;} ul.extra a {font-weight: bold;} p.example {display: none;} #header {display: none;} #footer h1 {margin: 0; border-bottom: 1px solid; color: gray; font-style: italic;} #footer h2, #controls {display: none;} /* The following rule keeps the layout stuff out of print. Remove at your own risk! */ .hide, .layout, .layout * {display: none !important;} \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/s5-core.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/s5-core.css
new file mode 100644
index 000000000..8ed9c1e20
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/s5-core.css
@@ -0,0 +1,8 @@
+/* Do not edit or override these styles! The system will likely break if you do. */
+
+div#header, div#footer, div#controls, .slide {position: absolute;}
+html>body div#header, html>body div#footer, html>body div#controls, html>body .slide {position: fixed;}
+.handout, .notes, .hide {display: none;}
+.layout {display: block;}
+.slide, .hideme, .incremental {visibility: hidden;}
+#slide0 {visibility: visible;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/slides.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/slides.css
new file mode 100644
index 000000000..0786d7dbd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/slides.css
@@ -0,0 +1,3 @@
+@import url(s5-core.css); /* required to make the slide show run at all */
+@import url(framing.css); /* sets basic placement and size of slide components */
+@import url(pretty.css); /* stuff that makes the slides look better than blah */ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/slides.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/slides.js
new file mode 100644
index 000000000..510a04c0e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/slides.js
@@ -0,0 +1,2812 @@
+// S5 1.3beta7 (18-Apr-2007) advanced version by C. Effenberger
+// Please see http://s5.netzgesta.de/ for more information
+// based on S5 v1.2a1 slides.js -- released into the Public Domain
+// Please see http://www.meyerweb.com/eric/tools/s5/credits.html for information
+// about all the wonderful and talented contributors to this code!
+// audio extension: soundmanager2 is NOT Public Domain
+// Please see http://www.schillmania.com/projects/soundmanager2/ for information
+
+var undef;
+var slideCSS = '';
+var snum = 0;
+var smax = 1;
+var incpos = 0;
+var number = undef;
+var firstTime = 1;
+var s5mode = true;
+var helpmode = false;
+var defaultView = 'slideshow'; //outline
+var controlVis = 'visible';
+var s5Path = 'lib/plugins/s5reloaded/';
+
+// scalable images extension
+var empx = 0;
+var images = new Array();
+var canvas = new Array();
+var medias = new Array();
+var piecharts = new Array();
+var barcharts = new Array();
+var linecharts = new Array();
+// scalable images extension
+
+// transition extension
+var tranSitions = false;
+var fadeModus = false;
+var fadeDuration = 500;
+var incrDuration = 250;
+var opac = 1;
+var cid = '';
+var nid = '';
+var tid = '';
+var jl = '';
+// transition extension
+
+// autoplay extension
+var autoMatic = false;
+var playLoop = false;
+var playPause = false;
+var autoRun = false;
+var playDelay = 5000;
+var remainDer = 0;
+var incrDelay = 0;
+// autoplay extension
+
+// audio extension
+var sound = new Array();
+var audioSupport = false;
+var audioVolume = 100;
+var audioError = false;
+var swfUnloaded = true;
+var bgSoundItem = 9999;
+var curSoundID = -1;
+// audio extension
+
+// panel extension
+var imgWidth = 32;
+var imgHeight = 32;
+// panel extension
+
+// canvas chart extension
+var canvasSupport = false;
+var ChartData = new Array();
+var colorSlice = new Array();
+var font = document.createElement("img");
+font.setAttribute("src", s5Path+"ui/graphic_support/numeric.png");
+signs = {
+ '0': {sx: 0, sy: 0, sw: 48, sh: 64},
+ '1': {sx: 48, sy: 0, sw: 48, sh: 64},
+ '2': {sx: 96, sy: 0, sw: 48, sh: 64},
+ '3': {sx: 144, sy: 0, sw: 48, sh: 64},
+ '4': {sx: 192, sy: 0, sw: 48, sh: 64},
+ '5': {sx: 240, sy: 0, sw: 48, sh: 64},
+ '6': {sx: 288, sy: 0, sw: 48, sh: 64},
+ '7': {sx: 336, sy: 0, sw: 48, sh: 64},
+ '8': {sx: 384, sy: 0, sw: 48, sh: 64},
+ '9': {sx: 432, sy: 0, sw: 48, sh: 64},
+ '%': {sx: 480, sy: 0, sw: 48, sh: 64},
+ '.': {sx: 528, sy: 0, sw: 24, sh: 64}
+};
+var colorNames= new Array();
+colorNames["black"]="#000000"; colorNames["maroon"]="#800000";
+colorNames["green"]="#008000"; colorNames["olive"]="#808000";
+colorNames["navy"]="#000080"; colorNames["purple"]="#800080";
+colorNames["teal"]="#008080"; colorNames["gray"]="#808080";
+colorNames["silver"]="#C0C0C0"; colorNames["red"]="#FF0000";
+colorNames["lime"]="#00FF00"; colorNames["yellow"]="#FFFF00";
+colorNames["blue"]="#0000FF"; colorNames["fuchsia"]="#FF00FF";
+colorNames["aqua"]="#00FFFF"; colorNames["white"]="#FFFFFF";
+colorNames["aliceblue"]="#F0F8FF"; colorNames["antiquewhite"]="#FAEBD7";
+colorNames["aquamarine"]="#7FFFD4"; colorNames["azure"]="#F0FFFF";
+colorNames["beige"]="#F5F5DC"; colorNames["blueviolet"]="#8A2BE2";
+colorNames["brown"]="#A52A2A"; colorNames["burlywood"]="#DEB887";
+colorNames["cadetblue"]="#5F9EA0"; colorNames["chartreuse"]="#7FFF00";
+colorNames["chocolate"]="#D2691E"; colorNames["coral"]="#FF7F50";
+colorNames["cornflowerblue"]="#6495ED"; colorNames["cornsilk"]="#FFF8DC";
+colorNames["crimson"]="#DC143C"; colorNames["darkblue"]="#00008B";
+colorNames["darkcyan"]="#008B8B"; colorNames["darkgoldenrod"]="#B8860B";
+colorNames["darkgray"]="#A9A9A9"; colorNames["darkgreen"]="#006400";
+colorNames["darkkhaki"]="#BDB76B"; colorNames["darkmagenta"]="#8B008B";
+colorNames["darkolivegreen"]="#556B2F"; colorNames["darkorange"]="#FF8C00";
+colorNames["darkorchid"]="#9932CC"; colorNames["darkred"]="#8B0000";
+colorNames["darksalmon"]="#E9967A"; colorNames["darkseagreen"]="#8FBC8F";
+colorNames["darkslateblue"]="#483D8B"; colorNames["darkslategray"]="#2F4F4F";
+colorNames["darkturquoise"]="#00CED1"; colorNames["darkviolet"]="#9400D3";
+colorNames["deeppink"]="#FF1493"; colorNames["deepskyblue"]="#00BFFF";
+colorNames["dimgray"]="#696969"; colorNames["dodgerblue"]="#1E90FF";
+colorNames["firebrick"]="#B22222"; colorNames["floralwhite"]="#FFFAF0";
+colorNames["forestgreen"]="#228B22"; colorNames["gainsboro"]="#DCDCDC";
+colorNames["ghostwhite"]="#F8F8FF"; colorNames["gold"]="#FFD700";
+colorNames["goldenrod"]="#DAA520"; colorNames["greenyellow"]="#ADFF2F";
+colorNames["honeydew"]="#F0FFF0"; colorNames["hotpink"]="#FF69B4";
+colorNames["indianred"]="#CD5C5C"; colorNames["indigo"]="#4B0082";
+colorNames["ivory"]="#FFFFF0"; colorNames["khaki"]="#F0E68C";
+colorNames["lavender"]="#E6E6FA"; colorNames["lavenderblush"]="#FFF0F5";
+colorNames["lawngreen"]="#7CFC00"; colorNames["lemonchiffon"]="#FFFACD";
+colorNames["lightblue"]="#ADD8E6"; colorNames["lightcoral"]="#F08080";
+colorNames["lightcyan"]="#E0FFFF"; colorNames["lightgoldenrodyellow"]="#FAFAD2";
+colorNames["lightgreen"]="#90EE90"; colorNames["lightgrey"]="#D3D3D3";
+colorNames["lightpink"]="#FFB6C1"; colorNames["lightsalmon"]="#FFA07A";
+colorNames["lightseagreen"]="#20B2AA"; colorNames["lightskyblue"]="#87CEFA";
+colorNames["lightslategray"]="#778899"; colorNames["lightsteelblue"]="#B0C4DE";
+colorNames["lightyellow"]="#FFFFE0"; colorNames["limegreen"]="#32CD32";
+colorNames["linen"]="#FAF0E6"; colorNames["mediumaquamarine"]="#66CDAA";
+colorNames["mediumblue"]="#0000CD"; colorNames["mediumorchid"]="#BA55D3";
+colorNames["ediumpurple"]="#9370D"; colorNames["mediumseagreen"]="#3CB371";
+colorNames["mediumslateblue"]="#7B68EE"; colorNames["mediumspringgreen"]="#00FA9A";
+colorNames["mediumturquoise"]="#48D1CC"; colorNames["mediumvioletred"]="#C71585";
+colorNames["midnightblue"]="#191970"; colorNames["mintcream"]="#F5FFFA";
+colorNames["mistyrose"]="#FFE4E1"; colorNames["moccasin"]="#FFE4B5";
+colorNames["navajowhite"]="#FFDEAD"; colorNames["oldlace"]="#FDF5E6";
+colorNames["olivedrab"]="#6B8E23"; colorNames["orange"]="#FFA500";
+colorNames["orangered"]="#FF4500"; colorNames["orchid"]="#DA70D6";
+colorNames["palegoldenrod"]="#EEE8AA"; colorNames["palegreen"]="#98FB98";
+colorNames["paleturquoise"]="#AFEEEE"; colorNames["palevioletred"]="#DB7093";
+colorNames["papayawhip"]="#FFEFD5"; colorNames["peachpuff"]="#FFDAB9";
+colorNames["peru"]="#CD853F"; colorNames["pink"]="#FFC0CB";
+colorNames["plum"]="#DDA0DD"; colorNames["powderblue"]="#B0E0E6";
+colorNames["rosybrown"]="#BC8F8F"; colorNames["royalblue"]="#4169E1";
+colorNames["saddlebrown"]="#8B4513"; colorNames["salmon"]="#FA8072";
+colorNames["sandybrown"]="#F4A460"; colorNames["seagreen"]="#2E8B57";
+colorNames["seashell"]="#FFF5EE"; colorNames["sienna"]="#A0522D";
+colorNames["skyblue"]="#87CEEB"; colorNames["slateblue"]="#6A5ACD";
+colorNames["slategray"]="#708090"; colorNames["snow"]="#FFFAFA";
+colorNames["springgreen"]="#00FF7F"; colorNames["steelblue"]="#4682B4";
+colorNames["tan"]="#D2B48C"; colorNames["thistle"]="#D8BFD8";
+colorNames["tomato"]="#FF6347"; colorNames["turquoise"]="#40E0D0";
+colorNames["violet"]="#EE82EE"; colorNames["wheat"]="#F5DEB3";
+colorNames["whitesmoke"]="#F5F5F5"; colorNames["yellowgreen"]="#9ACD32";
+var canvas_bgcolor = "";
+var canvas_width = 200;
+var canvas_height = 200;
+var canvas_noshade = 0;
+var canvas_nofill = 0;
+var canvas_noshadow = 0;
+var canvas_htmltext = 0;
+var canvas_imgtext = 0;
+var canvas_notext = 0;
+// canvas chart extension
+
+var s5NotesWindow;
+var s5NotesWindowLoaded = false;
+var previousSlide = 0;
+var presentationStart = new Date();
+var slideStart = new Date();
+
+var countdown = {
+ timer: 0,
+ state: 'pause',
+ start: new Date(),
+ end: 0,
+ remaining: 0
+};
+
+var isIE = navigator.appName == 'Microsoft Internet Explorer' && navigator.userAgent.indexOf('Opera') < 1 ? 1 : 0;
+if(isIE) var notIE7 = parseInt(navigator.appVersion) < 7 ? 1 : 0;
+var isOp = navigator.userAgent.indexOf('Opera') > -1 ? 1 : 0;
+var isGe = navigator.userAgent.indexOf('Gecko') > -1 && navigator.userAgent.indexOf('Safari') < 1 ? 1 : 0;
+var isS2 = navigator.userAgent.indexOf('Safari') >= 2 ? 1 : 0;
+
+function hasClass(object, className) {
+ if (!object.className) return false;
+ return (object.className.search('(^|\\s)' + className + '(\\s|$)') != -1);
+}
+
+function hasValue(object, value) {
+ if (!object) return false;
+ return (object.search('(^|\\s)' + value + '(\\s|$)') != -1);
+}
+
+function removeClass(object,className) {
+ if (!object || !hasClass(object,className)) return;
+ object.className = object.className.replace(new RegExp('(^|\\s)'+className+'(\\s|$)'), RegExp.$1+RegExp.$2);
+}
+
+function addClass(object,className) {
+ if (!object || hasClass(object, className)) return;
+ if (object.className) {
+ object.className += ' '+className;
+ } else {
+ object.className = className;
+ }
+}
+
+function changeClass(object,className) {
+ if (!object) return;
+ object.firstChild.className = className;
+}
+
+function GetElementsWithClassName(elementName,className) {
+ var allElements = document.getElementsByTagName(elementName);
+ var elemColl = new Array();
+ for (var i = 0; i< allElements.length; i++) {
+ if (hasClass(allElements[i], className)) {
+ elemColl[elemColl.length] = allElements[i];
+ }
+ }
+ return elemColl;
+}
+
+function isParentOrSelf(element, id) {
+ if (element == null || element.nodeName=='BODY') return false;
+ else if (element.id == id) return true;
+ else return isParentOrSelf(element.parentNode, id);
+}
+
+function nodeValue(node) {
+ var result = "";
+ if (node.nodeType == 1) {
+ var children = node.childNodes;
+ for (var i = 0; i < children.length; ++i) {
+ result += nodeValue(children[i]);
+ }
+ }
+ else if (node.nodeType == 3) {
+ result = node.nodeValue;
+ }
+ return(result);
+}
+
+function slideLabel() {
+ var slideColl = GetElementsWithClassName('*','slide');
+ var list = document.getElementById('jumplist');
+ smax = slideColl.length;
+ for (var n = 0; n < smax; n++) {
+ var obj = slideColl[n];
+ var did = 'slide' + n.toString();
+ obj.setAttribute('id',did);
+ var otext = '';
+ var menu = obj.firstChild;
+ if (!menu) continue; // to cope with empty slides
+ while (menu && menu.nodeType == 3) {
+ menu = menu.nextSibling;
+ }
+ if (!menu) continue; // to cope with slides with only text nodes
+ var menunodes = menu.childNodes;
+ for (var o = 0; o < menunodes.length; o++) {
+ otext += nodeValue(menunodes[o]);
+ }
+ list.options[list.length] = new Option(n + ' : ' + otext, n);
+ }
+}
+
+function currentSlide() {
+ var cs, at, fd, ss;
+ if (document.getElementById) {
+ cs = document.getElementById('currentSlide');
+ } else {
+ cs = document.currentSlide;
+ }
+ fd = fadeModus?"F":"&ndash;";
+ ss = audioSupport?"S":"&ndash;";
+ at = (autoMatic?(playPause?"||":(playLoop?"&gt;0":"&gt;|")):"&ndash;&ndash;");
+ cs.innerHTML = '<div id="plink" nowrap="nowrap">' +
+ '<span id="csFade">[' + fd + ss + ']<\/span>&nbsp;' +
+ '<span id="csHere"><strong>' + snum + '<\/strong><\/span>' +
+ '<span id="csSep">\/<\/span>' +
+ '<span id="csTotal">' + (smax-1) + '<\/span>&nbsp;' +
+ '<span id="csAuto">[' + at + ']<\/span>' +
+ '<\/div>';
+
+ if (snum == 0) {
+ cs.style.visibility = 'hidden';
+ } else {
+ cs.style.visibility = 'visible';
+ }
+}
+
+function go(step) {
+ if (document.getElementById('slideProj').disabled || step == 0) return;
+ jl = document.getElementById('jumplist');
+ cid = 'slide' + snum;
+ var ce = document.getElementById(cid);
+ if (incrementals[snum].length > 0) {
+ for (var i = 0; i < incrementals[snum].length; i++) {
+ removeClass(incrementals[snum][i], 'current');
+ removeClass(incrementals[snum][i], 'incremental');
+ }
+ }
+ if (step != 'j') {
+ snum += step;
+ lmax = smax - 1;
+ if (snum > lmax) snum = lmax;
+ if (snum < 0) snum = 0;
+ }else {
+ snum = parseInt(jl.value);
+ }
+ nid = 'slide' + snum;
+ var ne = document.getElementById(nid);
+ if (!ne) {
+ ne = document.getElementById('slide0');
+ nid = 'slide0';
+ snum = 0;
+ }
+ if (step < 0) {
+ incpos = incrementals[snum].length
+ }else {
+ incpos = 0;
+ }
+ if (incrementals[snum].length > 0 && incpos == 0) {
+ for (var i = 0; i < incrementals[snum].length; i++) {
+ if (hasClass(incrementals[snum][i], 'current')) {
+ incpos = i + 1;
+ }else {
+ addClass(incrementals[snum][i], 'incremental');
+ }
+ }
+ }
+ if (incrementals[snum].length > 0 && incpos > 0) {
+ addClass(incrementals[snum][incpos - 1], 'current');
+ }
+ var guru = document.getElementById('guru');
+ if(guru && snum==0) {
+ guru.style.visibility = 'visible';
+ }else if(guru && snum>0) {
+ guru.style.visibility = 'hidden';
+ }
+ if(tranSitions && s5mode && fadeModus) {
+ if(curSoundID != getSoundID(nid)) {
+ if(curSoundID == bgSoundItem && !sound[getSoundID(nid)]) {
+ }else {fadeoutSound(curSoundID,true); } // audio support
+ }
+ changeOpac(0,nid);
+ changeOpac(100,cid);
+ ce.style.visibility = 'visible';
+ shiftOpacity(cid,fadeDuration);
+ window.setTimeout("changeSlides()",fadeDuration);
+ }else {
+ if(curSoundID != getSoundID(nid)) {
+ if(curSoundID == bgSoundItem && !sound[getSoundID(nid)]) {
+ }else {stopSound(curSoundID); } // audio support
+ }
+ ce.style.visibility = 'hidden';
+ if (isOp) location.hash = nid;
+ ne.style.visibility = 'visible';
+ finishSlides();
+ }
+}
+
+function changeSlides() {
+ if(nid != cid) changeOpac(100,cid);
+ document.getElementById(cid).style.visibility = 'hidden';
+ document.getElementById(nid).style.visibility = 'visible';
+ if (isOp) location.hash = nid;
+ shiftOpacity(nid,fadeDuration);
+ window.setTimeout("finishSlides()",fadeDuration);
+}
+
+function finishSlides() {
+ jl.selectedIndex = snum;
+ currentSlide();
+ loadNote();
+ permaLink();
+ number = undef;
+ if(sound[getSoundID(nid)]) {
+ playSound(nid); // audio support
+ }else if(sound[bgSoundItem] && curSoundID != bgSoundItem) {
+ playSound(bgSoundItem); // audio support
+ }
+}
+
+function goTo(target) {
+ if (target >= smax || target == snum) return;
+ go(target - snum);
+}
+
+function subgo(step) {
+ if (step > 0) {
+ removeClass(incrementals[snum][incpos - 1],'current');
+ removeClass(incrementals[snum][incpos], 'incremental');
+ if(tranSitions && s5mode && fadeModus) {
+ if(!incrementals[snum][incpos].id) {
+ var tmp = new Date(); tid = "inc" + String(tmp.getTime());
+ incrementals[snum][incpos].id = tid;
+ }else {
+ tid = incrementals[snum][incpos].id;
+ }
+ if(typeof(incrementals[snum][incpos].src) != "undefined" || incrementals[snum][incpos].getContext) {
+ changeOpac(0,tid);
+ addClass(incrementals[snum][incpos],'current');
+ shiftOpacity(tid,incrDuration);
+ setTimeout("nextInc()",incrDuration);
+ }else {
+ addClass(incrementals[snum][incpos],'current');
+ nextInc();
+ }
+ }else {
+ addClass(incrementals[snum][incpos],'current');
+ nextInc();
+ }
+ } else {
+ incpos--;
+ removeClass(incrementals[snum][incpos],'current');
+ addClass(incrementals[snum][incpos], 'incremental');
+ addClass(incrementals[snum][incpos - 1],'current');
+ loadNote();
+ }
+}
+
+function nextInc() {
+ incpos++;
+ loadNote();
+}
+
+function toggle() {
+ var slideColl = GetElementsWithClassName('*','slide');
+ var slides = document.getElementById('slideProj');
+ var outline = document.getElementById('outlineStyle');
+ var guru = document.getElementById('guru');
+ if (!slides.disabled) {
+ stopPlay();
+ if(audioSupport && !swfUnloaded) stopAllSounds();
+ slides.disabled = true;
+ outline.disabled = false;
+ s5mode = false;
+ fontSize(1,'em');
+ for (var n = 0; n < smax; n++) {
+ var slide = slideColl[n];
+ slide.style.visibility = 'visible';
+ }
+ if(guru) guru.style.visibility = 'hidden';
+ } else {
+ slides.disabled = false;
+ outline.disabled = true;
+ s5mode = true;
+ fontScale();
+ for (var n = 0; n < smax; n++) {
+ var slide = slideColl[n];
+ slide.style.visibility = 'hidden';
+ }
+ slideColl[snum].style.visibility = 'visible';
+ if(guru && snum==0) guru.style.visibility = 'visible';
+ }
+}
+
+function showHide(action) {
+ var obj = GetElementsWithClassName('*','hideme')[0];
+ switch (action) {
+ case 's':
+ obj.style.visibility = 'visible';
+ break;
+ case 'h':
+ obj.style.visibility = 'hidden';
+ break;
+ case 'k':
+ if (obj.style.visibility != 'visible') {
+ obj.style.visibility = 'visible';
+ } else {
+ obj.style.visibility = 'hidden';
+ }
+ break;
+ }
+}
+
+function keys(key) {
+ if (!key) {
+ key = event;
+ key.which = key.keyCode;
+ }
+ if (helpmode) {
+ dumpHelpReq();
+ return;
+ }
+ if (key.which == 84 && !isOp) {
+ toggle();
+ return;
+ }
+ if (s5mode) {
+ if (autoMatic) {
+ switch (key.which) {
+ case 70: // f/ading on/off
+ switchFade();
+ break;
+ case 83: // s/ound on/off
+ toggleSounds();
+ break;
+ case 67: // c
+ showHide('k');
+ break;
+ case 65: // a/utoplay on/off
+ stopPlay();
+ break;
+ case 76: // l/ooping on/off
+ switchLoop();
+ break;
+ case 80: // p/ause
+ case 32: // spacebar
+ pausePlay();
+ break;
+ }
+ }else {
+ switch (key.which) {
+ case 8: // backspace = HELP
+ createHelpReq();
+ break;
+ case 10: // return
+ case 13: // enter
+ if (window.event && isParentOrSelf(window.event.srcElement, 'controls')) return;
+ if (key.target && isParentOrSelf(key.target, 'controls')) return;
+ if(number != undef) {
+ goTo(number);
+ break;
+ }
+ case 32: // spacebar
+ case 34: // page down
+ case 39: // rightkey
+ case 40: // downkey
+ if(number != undef) {
+ go(number);
+ } else if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ } else {
+ subgo(1);
+ }
+ break;
+ case 33: // page up
+ case 37: // leftkey
+ case 38: // upkey
+ if(number != undef) {
+ go(-1 * number);
+ } else if (!incrementals[snum] || incpos <= 0) {
+ go(-1);
+ } else {
+ subgo(-1);
+ }
+ break;
+ case 65: // a/utoplay
+ startPlay();
+ break;
+ case 72: // h
+ case 36: // home
+ goTo(0);
+ break;
+ case 69: // e
+ case 35: // end
+ goTo(smax-1);
+ break;
+ case 70: // f/ade transitions on/off
+ switchFade();
+ break;
+ case 76: // l/ooping on/off
+ switchLoop();
+ break;
+ case 83: // s/ound support on/off
+ toggleSounds();
+ break;
+ case 27: // escape
+ case 81: // q
+ if(!isOp) byby();
+ break;
+ case 67: // c
+ showHide('k');
+ break;
+ case 78: // n
+ createNotesWindow();
+ break;
+ }
+ if (key.which < 48 || key.which > 57) {
+ number = undef;
+ } else {
+ if (window.event && isParentOrSelf(window.event.srcElement, 'controls')) return;
+ if (key.target && isParentOrSelf(key.target, 'controls')) return;
+ number = (((number != undef) ? number : 0) * 10) + (key.which - 48);
+ }
+ }
+ }
+ return false;
+}
+
+function clicker(e) {
+ number = undef;
+ var target;
+ if (window.event) {
+ target = window.event.srcElement;
+ e = window.event;
+ } else {
+ target = e.target;
+ }
+ if (target.href != null || hasValue(target.rel, 'external') || isParentOrSelf(target, 'controls') || isParentOrSelf(target,'embed') || isParentOrSelf(target,'object')) return true;
+ if (!helpmode) {
+ if (!e.which || e.which == 1) {
+ if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ } else {
+ subgo(1);
+ }
+ }
+ } else {
+ dumpHelpReq();
+ }
+}
+
+function findSlide(hash) {
+ var target = null;
+ var slides = GetElementsWithClassName('*','slide');
+ for (var i = 0; i < slides.length; i++) {
+ var targetSlide = slides[i];
+ if ( (targetSlide.name && targetSlide.name == hash)
+ || (targetSlide.id && targetSlide.id == hash) ) {
+ target = targetSlide;
+ break;
+ }
+ }
+ while(target != null && target.nodeName != 'BODY') {
+ if (hasClass(target, 'slide')) {
+ return parseInt(target.id.slice(5));
+ }
+ target = target.parentNode;
+ }
+ return null;
+}
+
+function slideJump() {
+ if (window.location.hash == null) return;
+ var sregex = /^#slide(\d+)$/;
+ var matches = sregex.exec(window.location.hash);
+ var dest = null;
+ if (matches != null) {
+ dest = parseInt(matches[1]);
+ } else {
+ dest = findSlide(window.location.hash.slice(1));
+ }
+ if (dest != null)
+ go(dest - snum);
+}
+
+function fixLinks() {
+ var thisUri = window.location.href;
+ thisUri = thisUri.slice(0, thisUri.length - window.location.hash.length);
+ var aelements = document.getElementsByTagName('A');
+ for (var i = 0; i < aelements.length; i++) {
+ var a = aelements[i].href;
+ var slideID = a.match('\#slide[0-9]{1,2}');
+ if ((slideID) && (slideID[0].slice(0,1) == '#')) {
+ var dest = findSlide(slideID[0].slice(1));
+ if (dest != null) {
+ if (aelements[i].addEventListener) {
+ aelements[i].addEventListener("click", new Function("e",
+ "if (document.getElementById('slideProj').disabled) return;" +
+ "go("+dest+" - snum); " +
+ "if (e.preventDefault) e.preventDefault();"), true);
+ } else if (aelements[i].attachEvent) {
+ aelements[i].attachEvent("onclick", new Function("",
+ "if (document.getElementById('slideProj').disabled) return;" +
+ "go("+dest+" - snum); " +
+ "event.returnValue = false;"));
+ }
+ }
+ }
+ }
+}
+
+function externalLinks() {
+ if (!document.getElementsByTagName) return;
+ var anchors = document.getElementsByTagName('a');
+ for (var i=0; i<anchors.length; i++) {
+ var anchor = anchors[i];
+ if (anchor.getAttribute('href') && hasValue(anchor.rel, 'external')) {
+ anchor.target = '_blank';
+ addClass(anchor,'external');
+ }
+ }
+}
+
+function permaLink() {
+ document.getElementById('plink').href = window.location.pathname + '#slide' + snum;
+}
+
+function createControls() {
+ var controlsDiv = document.getElementById("controls");
+ if (!controlsDiv) return;
+ var hider = ' onmouseover="showHide(\'s\');" onmouseout="showHide(\'h\');"';
+ var hideDiv, hideList = '';
+ if (controlVis == 'hidden') {
+ hideDiv = hider;
+ } else {
+ hideList = hider;
+ }
+ if(isOp) {
+ var str = '';
+ }else {
+ var str = '<a accesskey="t" id="sheet" title="toggle CSS" href="javascript:toggle();">&plusmn;<\/a>';
+ }
+ if(isIE) {
+ var tmp = "move around&xA0;until the color&xA0;change to red!";
+ }else if(isS2) {
+ var tmp = "move around\r\nuntil the color\r\nchange to red!";
+ }else {
+ var tmp = "move around until color change to red!";
+ }
+ if(isIE) {
+ controlsDiv.innerHTML = str +
+ '<form action="#" id="controlForm"' + hideDiv + '>' +
+ '<div id="navLinks" title="press [backspace] for keyboard help!"><ul>' +
+ '<li id="show-notes"><a href="javascript:createNotesWindow();" accesskey="n" title="show Notes"><\/a><\/li>' +
+ '<li id="toggle"><a href="javascript:toggle();" accesskey="t" title="toggle CSS"><\/a><\/li>' +
+ '<li id="zero"><a href="javascript:goTo(0);" accesskey="h" title="goto Start Slide"><\/a><\/li>' +
+ '<li id="prev"><a href="javascript:go(-1);" accesskey="y" title="previous Slide"><\/a><\/li>' +
+ '<li id="next"><a href="javascript:go(1);" accesskey="x" title="next Slide"><\/a><\/li>' +
+ '<li id="last"><a href="javascript:goTo(smax-1);" accesskey="e" title="goto Last Slide"><\/a><\/li>' +
+ '<li id="lst" style="vertical-align: middle; width: 160px;"><select style="cursor: auto; width: 160px; height: auto;" id="jumplist" title="select named Slide" onchange="go(\'j\');"><\/select><\/li>' +
+ '<li id="auto"><a class="isoff" href="javascript:togglePlay();" accesskey="a" title="Auto Play"><\/a><\/li>' +
+ '<li id="pause"><a class="isoff" href="javascript:pausePlay();" accesskey="p" title="Pause"><\/a><\/li>' +
+ '<li id="loop"><a class="isoff" href="javascript:switchLoop();" accesskey="l" title="Loop"><\/a><\/li>' +
+ '<li id="del" style="vertical-align: middle; width: 52px;"><select style="cursor: auto; width: 52px; height: auto;" id="delaylist" title="select Delay" onchange="setDelay();"><option value="90">90<\/option><option value="75">75<\/option><option value="60">60<\/option><option value="45">45<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="15">15<\/option><option value="10">10<\/option><option value="5">5<\/option><\/select><\/li>' +
+ '<\/ul><\/div><\/form>';
+ }else {
+ controlsDiv.innerHTML = str +
+ '<form action="#" id="controlForm"' + hideDiv + '>' +
+ '<div id="navLinks" title="press [backspace] or double click this area for keyboard help!" ondblclick="createHelpReq();"><ul>' +
+ '<li id="exit"><a href="javascript:byby();" accesskey="q" title="exit Show"><\/a><\/li>' +
+ '<li id="show-notes"><a href="javascript:createNotesWindow();" accesskey="n" title="show Notes"><\/a><\/li>' +
+ '<li id="toggle"><a href="javascript:toggle();" accesskey="t" title="toggle CSS"><\/a><\/li>' +
+ '<li class="vr"><\/li>' +
+ '<li id="zero"><a href="javascript:goTo(0);" accesskey="h" title="goto Start Slide"><\/a><\/li>' +
+ '<li id="prev"><a href="javascript:go(-1);" accesskey="y" title="previous Slide"><\/a><\/li>' +
+ '<li id="next"><a href="javascript:go(1);" accesskey="x" title="next Slide"><\/a><\/li>' +
+ '<li id="last"><a href="javascript:goTo(smax-1);" accesskey="e" title="goto Last Slide"><\/a><\/li>' +
+ '<li id="lst"><a id="list" style="cursor:wait;" title="' + tmp + '"><\/a><select id="jumplist" title="select named Slide" onchange="go(\'j\');"><\/select><\/li>' +
+ '<li id="fadeLinks" class="vr"><\/li>' +
+ '<li id="fade"><a class="isoff" href="javascript:switchFade();" accesskey="f" title="Transions"><\/a><\/li>' +
+ '<li id="audioLinks" class="vr"><\/li>' +
+ '<li id="audio"><a class="isoff" href="javascript:toggleSounds();" accesskey="s" title="Sounds" ><\/a><\/li>' +
+ '<li id="vol"><a id="volume" style="cursor:wait;" title="' + tmp + '"><\/a><select id="volumelist" title="select Volume" onchange="setVolume();"><option value="100">100<\/option><option value="90">90<\/option><option value="80">80<\/option><option value="70">70<\/option><option value="60">60<\/option><option value="50">50<\/option><option value="40">40<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="10">10<\/option><option value="0">0<\/option><\/select><\/li>' +
+ '<li id="autoLinks" class="vr"><\/li>' +
+ '<li id="auto"><a class="isoff" href="javascript:togglePlay();" accesskey="a" title="Auto Play"><\/a><\/li>' +
+ '<li id="pause"><a class="isoff" href="javascript:pausePlay();" accesskey="p" title="Pause"><\/a><\/li>' +
+ '<li id="loop"><a class="isoff" href="javascript:switchLoop();" accesskey="l" title="Loop"><\/a><\/li>' +
+ '<li id="del"><a id="delay" style="cursor:wait;" title="' + tmp + '"><\/a><select id="delaylist" title="select Delay" onchange="setDelay();"><option value="90">90<\/option><option value="75">75<\/option><option value="60">60<\/option><option value="45">45<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="15">15<\/option><option value="10">10<\/option><option value="5">5<\/option><\/select><\/li>' +
+ '<\/ul>' +
+ '<a href="http://s5.netzgesta.de" target="_blank" title="S5 Reloaded"><img style="position: absolute; right: 0; bottom: 0;" src="data:image/png;charset=utf-8;base64,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" width="20" height="32" alt="S5" \/><\/a>' +
+ '<\/div><\/form>';
+ }
+ if (controlVis == 'hidden') {
+ var hidden = document.getElementById('navLinks');
+ } else {
+ var hidden = document.getElementById('jumplist');
+ }
+ addClass(hidden,'hideme');
+}
+
+function fontScale() { // causes layout problems in FireFox that get fixed if browser's Reload is used; same may be true of other Gecko-based browsers
+ if (!s5mode && !isOp) return false;
+ var hScreen = screen.width; var vScreen = screen.height;
+ var vWindow = window.outerHeight; var hWindow = window.outerWidth;
+ if (isOp && s5mode && defaultView=='slideshow' && ((hScreen != hWindow) || (vScreen != vWindow))) {
+ toggle();
+ return false;
+ }
+ if (isOp && !s5mode && ((hScreen != hWindow) || (vScreen != vWindow))) return false;
+ if (isOp && !s5mode && (hScreen == hWindow) && (vScreen == vWindow)) toggle();
+ var vScale = 48; // both yield 16 (the usual browser default) at 1024x768
+ var hScale = 64; // perhaps should auto-calculate based on theme's declared value?
+ if (window.innerHeight) {
+ var vSize = window.innerHeight;
+ var hSize = window.innerWidth;
+ } else if (document.documentElement.clientHeight) {
+ var vSize = document.documentElement.clientHeight;
+ var hSize = document.documentElement.clientWidth;
+ } else if (document.body.clientHeight) {
+ var vSize = document.body.clientHeight;
+ var hSize = document.body.clientWidth;
+ } else {
+ var vSize = 700; // assuming 1024x768, minus chrome and such equals 8:5
+ var hSize = 1024; // these do not account for kiosk mode or Opera Show
+ }
+ var newSize = Math.min(Math.round(vSize/vScale),Math.round(hSize/hScale));
+ extendImgSizes(newSize); // scalable images extension
+ extendCanSizes(newSize); // scalable canvas extension
+ extendObjSizes(newSize); // scalable object extension
+ fontSize(newSize,"px");
+ if(!isS2 || firstTime==0) {
+ generateCanvas(); // dynamic canvas extension
+ }
+ if (isGe) { // hack to counter incremental reflow bugs
+ var obj = document.getElementsByTagName('body')[0];
+ obj.style.visibility = 'hidden';
+ obj.style.display = 'none';
+ obj.style.display = 'block';
+ obj.style.visibility = 'visible';
+ changeOpac(100,'slide' + snum);
+ shiftOpacity('slide' + snum,10);
+ window.setTimeout("fixReflow()",10);
+ }else {
+ setListPos(); // invisible select extension
+ }
+}
+
+function fixReflow() {
+ shiftOpacity('slide' + snum,10);
+ window.setTimeout("finishReflow()",10);
+}
+function finishReflow() {
+ setListPos(); // invisible select extension
+}
+
+function fontSize(val,fmt) {
+ var value = val + fmt;
+ if (!(s5ss = document.getElementById('s5ss'))) {
+ if (!document.createStyleSheet) {
+ document.getElementsByTagName('head')[0].appendChild(s5ss = document.createElement('style'));
+ s5ss.setAttribute('media','screen, projection');
+ s5ss.setAttribute('id','s5ss');
+ } else {
+ document.createStyleSheet();
+ document.s5ss = document.styleSheets[document.styleSheets.length - 1];
+ }
+ }
+ if (!(document.s5ss && document.s5ss.addRule)) {
+ while (s5ss.lastChild) s5ss.removeChild(s5ss.lastChild);
+ s5ss.appendChild(document.createTextNode('html {font-size: ' + value + ' !important;}'));
+ } else {
+ document.s5ss.addRule('html','font-size: ' + value + ' !important;');
+ }
+}
+
+function windowChange() {
+ fontScale();
+}
+
+function notOperaFix() {
+ slideCSS = document.getElementById('slideProj').href;
+ var slides = document.getElementById('slideProj');
+ var outline = document.getElementById('outlineStyle');
+ slides.setAttribute('media','screen');
+ outline.disabled = true;
+ if (isGe) {
+ slides.setAttribute('href','null'); // Gecko fix
+ slides.setAttribute('href',slideCSS); // Gecko fix
+ }
+ if ((isIE && notIE7) && document.styleSheets && document.styleSheets[0]) {
+ document.styleSheets[0].addRule('img', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ document.styleSheets[0].addRule('div', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ document.styleSheets[0].addRule('.slide', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ }
+}
+
+function getIncrementals(obj) {
+ var incrementals = new Array();
+ if (!obj)
+ return incrementals;
+ var children = obj.childNodes;
+ for (var i = 0; i < children.length; i++) {
+ var child = children[i];
+ if (hasClass(child, 'incremental')) {
+ if (child.nodeName == 'OL' || child.nodeName == 'UL') {
+ removeClass(child, 'incremental');
+ for (var j = 0; j < child.childNodes.length; j++) {
+ if (child.childNodes[j].nodeType == 1) {
+ addClass(child.childNodes[j], 'incremental');
+ }
+ }
+ } else {
+ incrementals[incrementals.length] = child;
+ removeClass(child,'incremental');
+ }
+ }
+ if (hasClass(child, 'show-first')) {
+ if (child.nodeName == 'OL' || child.nodeName == 'UL') {
+ removeClass(child, 'show-first');
+ if (child.childNodes[isGe].nodeType == 1) {
+ removeClass(child.childNodes[isGe], 'incremental');
+ }
+ } else {
+ incrementals[incrementals.length] = child;
+ }
+ }
+ incrementals = incrementals.concat(getIncrementals(child));
+ }
+ return incrementals;
+}
+
+function createIncrementals() {
+ var incrementals = new Array();
+ for (var i = 0; i < smax; i++) {
+ incrementals[i] = getIncrementals(document.getElementById('slide'+i));
+ }
+ return incrementals;
+}
+
+function trap(e) {
+ if (!e) {
+ e = event;
+ e.which = e.keyCode;
+ }
+ try {
+ modifierKey = e.ctrlKey || e.altKey || e.metaKey;
+ }
+ catch(e) {
+ modifierKey = false;
+ }
+ return modifierKey || e.which == 0;
+}
+
+// notes extension
+function noteLabel() { // Gives notes id's to match parent slides
+ var notes = GetElementsWithClassName('div','notes');
+ for (var i = 0; i < notes.length; i++) {
+ var note = notes[i];
+ var id = 'note' + note.parentNode.id.substring(5);
+ note.setAttribute('id',id);
+ }
+ resetElapsedSlide();
+ resetRemainingTime();
+ window.setInterval('updateElaspedTime()', 1000);
+}
+
+function createNotesWindow() { // creates a window for our notes
+ if (!s5NotesWindow || s5NotesWindow.closed) { // Create the window if it doesn't exist
+ s5NotesWindowLoaded = false;
+ // Note: Safari has a tendency to ignore window options preferring to default to the settings of the parent window, grr.
+ s5NotesWindow = window.open(s5Path+'ui/s5-notes.html', 's5NotesWindow', 'top=0,left=0');
+ }
+ if (s5NotesWindowLoaded) { // Load the current note if the Note HTML has loaded
+ loadNote();
+ } else { // Keep trying...
+ window.setTimeout('createNotesWindow()', 50);
+ }
+}
+
+function loadNote() {
+// Loads a note into the note window
+ var notes = nextNotes = '<em class="disclaimer">There are no notes for this slide.</em>';
+ if (document.getElementById('note' + snum)) {
+ notes = document.getElementById('note' + snum).innerHTML;
+ }
+ if (document.getElementById('note' + (snum + 1))) {
+ nextNotes = document.getElementById('note' + (snum + 1)).innerHTML;
+ }
+
+ var jl = document.getElementById('jumplist');
+ var slideTitle = jl.options[jl.selectedIndex].text.replace(/^\d+\s+:\s+/, '') + ((jl.selectedIndex) ? ' (' + jl.selectedIndex + '/' + (smax - 1) + ')' : '');
+ if (incrementals[snum].length > 0) {
+ slideTitle += ' <small>[' + incpos + '/' + incrementals[snum].length + ']</small>';
+ }
+ if (jl.selectedIndex < smax - 1) {
+ var nextTitle = jl.options[jl.selectedIndex + 1].text.replace(/^\d+\s+:\s+/, '') + ((jl.selectedIndex + 1) ? ' (' + (jl.selectedIndex + 1) + '/' + (smax - 1) + ')' : '');
+ } else {
+ var nextTitle = '[end of slide show]';
+ }
+
+ if (s5NotesWindow && !s5NotesWindow.closed && s5NotesWindow.document) {
+ s5NotesWindow.document.getElementById('slide').innerHTML = slideTitle;
+ s5NotesWindow.document.getElementById('notes').innerHTML = notes;
+ s5NotesWindow.document.getElementById('next').innerHTML = nextTitle;
+ s5NotesWindow.document.getElementById('nextnotes').innerHTML = nextNotes;
+ }
+ resetElapsedSlide();
+}
+
+function minimizeTimer(id) {
+ var obj = s5NotesWindow.document.getElementById(id);
+ if (hasClass(obj,'collapsed')) {
+ removeClass(obj,'collapsed');
+ } else {
+ addClass(obj,'collapsed');
+ }
+}
+
+function resetElapsedTime() {
+ presentationStart = new Date();
+ slideStart = new Date();
+ updateElaspedTime();
+}
+
+function resetElapsedSlide() {
+ if (snum != previousSlide) {
+ slideStart = new Date();
+ previousSlide = snum;
+ updateElaspedTime();
+ }
+}
+
+function updateElaspedTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var now = new Date();
+ var ep = s5NotesWindow.document.getElementById('elapsed-presentation');
+ var es = s5NotesWindow.document.getElementById('elapsed-slide');
+ ep.innerHTML = formatTime(now.valueOf() - presentationStart.valueOf());
+ es.innerHTML = formatTime(now.valueOf() - slideStart.valueOf());
+}
+
+function resetRemainingTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var startField = s5NotesWindow.document.getElementById('startFrom');
+ startFrom = readTime(startField.value);
+ countdown.remaining = startFrom * 60000; // convert to msecs
+ countdown.start = new Date().valueOf();
+ countdown.end = countdown.start + countdown.remaining;
+ var tl = s5NotesWindow.document.getElementById('timeLeft');
+ var timeLeft = formatTime(countdown.remaining);
+ tl.innerHTML = timeLeft;
+}
+
+function updateRemainingTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var tl = s5NotesWindow.document.getElementById('timeLeft');
+ var now = new Date();
+ if (countdown.state == 'run') {
+ countdown.remaining = countdown.end - now;
+ }
+ tl.style.color = '';
+ tl.style.backgroundColor = '';
+ if (countdown.remaining >= 0) {
+ var timeLeft = formatTime(countdown.remaining);
+ removeClass(tl,'overtime');
+ if (countdown.remaining < 300000) {
+ tl.style.color = 'rgb(' + (255-Math.round(countdown.remaining/2000)) + ',0,0)';
+ tl.style.backgroundColor = 'rgb(255,255,' + (Math.round(countdown.remaining/2000)) + ')';
+ }
+ } else {
+ var timeLeft = '-' + formatTime(-countdown.remaining);
+ addClass(tl,'overtime');
+ }
+ tl.innerHTML = timeLeft;
+}
+
+function toggleRemainingTime() {
+ if (countdown.state == 'pause') countdown.state = 'run'; else countdown.state = 'pause';
+ if (countdown.state == 'pause') {
+ window.clearInterval(countdown.timer);
+ }
+ if (countdown.state == 'run') {
+ countdown.start = new Date().valueOf();
+ countdown.end = countdown.start + countdown.remaining;
+ countdown.timer = window.setInterval('updateRemainingTime()', 1000);
+ }
+}
+
+function alterRemainingTime(amt) {
+ var change = amt * 60000; // convert to msecs
+ countdown.end += change;
+ countdown.remaining += change;
+ updateRemainingTime();
+}
+
+function formatTime(msecs) {
+ var time = new Date(msecs);
+
+ var hrs = time.getUTCHours() + ((time.getUTCDate() -1) * 24); // I doubt anyone will spend more than 24 hours on a presentation or single slide but just in case...
+ hrs = (hrs < 10) ? '0'+hrs : hrs;
+ if (hrs == 'NaN' || isNaN(hrs)) hrs = '--';
+
+ var min = time.getUTCMinutes();
+ min = (min < 10) ? '0'+min : min;
+ if (min == 'NaN' || isNaN(min)) min = '--';
+
+ var sec = time.getUTCSeconds();
+ sec = (sec < 10) ? '0'+sec : sec;
+ if (sec == 'NaN' || isNaN(sec)) sec = '--';
+
+ return hrs + ':' + min + ':' + sec;
+}
+
+function readTime(val) {
+ var sregex = /:/;
+ var matches = sregex.exec(val);
+ if (matches == null) {
+ return val;
+ } else {
+ var times = val.split(':');
+ var hours = parseInt(times[0]);
+ var mins = parseInt(times[1]);
+ var total = (hours * 60) + mins;
+ return total;
+ }
+}
+// notes extension
+
+// startup process
+function createSlideShow() {
+ defaultCheck();
+ if(!isIE) createDetector(); // (degrade IE) scalable images extension
+ if(opac!=0 || isIE) { // &&!isIE (degrade IE)
+ tranSitions = false;
+ fadeModus = false;
+ }
+ if(tranSitions && document.getElementById && document.createElement){
+ createProgress();
+ var nop=document.getElementById('StartupControl');
+ nop.onload = dumpProgress;
+ }else {
+ startup();
+ showAll();
+ setListPos(true); // invisible select extension
+ panelSetup();
+ audioSetup(); // audio extension
+ if(isS2 && firstTime>=1) {
+ generateCanvas(); // dynamic canvas extension
+ } firstTime = 0;
+ }
+}
+
+function defaultCheck() {
+ var allMetas = document.getElementsByTagName('meta');
+ for (var i = 0; i< allMetas.length; i++) {
+ if (allMetas[i].name == 'defaultView') {
+ defaultView = allMetas[i].content;
+ }
+ if (allMetas[i].name == 'controlVis') {
+ controlVis = allMetas[i].content;
+ }
+ if (allMetas[i].name == 'tranSitions') {
+ tranSitions = (allMetas[i].content == "true") ? true : false;
+ fadeModus = (tranSitions == true) ? true : false;
+ }
+ if (allMetas[i].name == 'fadeDuration') {
+ var tmp = parseInt(allMetas[i].content);
+ fadeDuration = Math.max(200,Math.min(tmp,2000));
+ }
+ if (allMetas[i].name == 'incrDuration') {
+ var tmp = parseInt(allMetas[i].content);
+ incrDuration = Math.max(50,Math.min(tmp,500));
+ }
+ if (allMetas[i].name == 'autoMatic') {
+ autoMatic = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'playLoop') {
+ playLoop = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'playDelay') {
+ var tmp = parseInt(allMetas[i].content);
+ playDelay = Math.max(5,Math.min(tmp,90))*1000;
+ playDelay = (fadeModus == true) ? (playDelay+(2*fadeDuration)) : playDelay;
+ }
+ if (allMetas[i].name == 'audioSupport') {
+ audioSupport = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'audioVolume') {
+ var tmp = parseInt(allMetas[i].content);
+ audioVolume = Math.max(0,Math.min(tmp,100));
+ }
+ if (allMetas[i].name == 'audioError') {
+ audioError = (allMetas[i].content == "true") ? true : false;
+ }
+ }
+}
+
+function createProgress() {
+ var obj = document.getElementsByTagName("body")[0].firstChild;
+ var pg = document.createElement('div');
+ pg.id = "StartupProgress";
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=100)";
+ }else {
+ pg.style.opacity = 1.0;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(255, 255, 255)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/progress.gif)";
+ document.getElementsByTagName("body")[0].insertBefore(pg,obj);
+
+ var im = document.createElement('img');
+ im.id = "StartupControl";
+ im.src = s5Path+"ui/graphic_support/blank.gif?" + new Date().valueOf();
+ document.getElementsByTagName("body")[0].appendChild(im);
+}
+
+function startup() {
+ createControls(); // hallvord
+ slideLabel();
+ incrementals = createIncrementals();
+ noteLabel(); // [SI:060104] must follow slideLabel()
+ loadNote();
+ fixLinks();
+ externalLinks();
+ fontScale();
+ if (!isOp) {
+ if(isIE) {
+ document.getElementById('lst').style.backgroundImage = 'none';
+ document.getElementById('del').style.backgroundImage = 'none';
+ }else {
+ document.getElementById('audio').style.backgroundImage = 'none';
+ }
+ notOperaFix();
+ }else if(isOp) {
+ var obj = document.getElementById('exit');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('exit').style.opacity = 0.5;
+ obj = document.getElementById('toggle');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('toggle').style.opacity = 0.5;
+ document.getElementById('jumplist').style.visibility = 'hidden';
+ document.getElementById('list').style.visibility = 'hidden';
+ document.getElementById('lst').style.opacity = 0.5;
+ obj = document.getElementById('audio');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('audio').style.opacity = 0.5;
+ document.getElementById('volumelist').style.visibility = 'hidden';
+ document.getElementById('volume').style.visibility = 'hidden';
+ document.getElementById('vol').style.opacity = 0.5;
+ document.getElementById('delaylist').style.visibility = 'hidden';
+ document.getElementById('delay').style.visibility = 'hidden';
+ document.getElementById('del').style.opacity = 0.5;
+ }
+ slideJump();
+ if (defaultView == 'outline') toggle();
+ document.onkeyup = keys;
+ document.onkeypress = trap;
+ document.onclick = clicker;
+}
+
+function preloadImgages() {
+ var temp = '';
+ var objects = document.getElementsByTagName('img');
+ for (var i=0; i < objects.length; i++) {
+ if(objects[i].src != '') {
+ temp = new Image();
+ temp.src = objects[i].src;
+ }
+ }
+}
+
+function showAll() {
+ var obj1 = GetElementsWithClassName('div','presentation')[0];
+ if(!obj1) var obj1 = GetElementsWithClassName('ol','presentation')[0];
+ var obj2 = GetElementsWithClassName('div','layout')[0];
+ if(!obj1){}else {obj1.style.display = 'block'};
+ if(!obj2){}else {obj2.style.display = 'block'};
+}
+
+function dumpProgress() {
+ document.body.removeChild(document.getElementById('StartupControl'));
+ startup();
+ preloadImgages();
+ showAll();
+ createSoundManagerScript();
+ shiftOpacity('StartupProgress',1000);
+ window.setTimeout("removeProgress()",1000);
+}
+
+function removeProgress() {
+ document.body.removeChild(document.getElementById('StartupProgress'));
+ setListPos(true); // invisible select extension
+ panelSetup();
+ audioSetup(); // audio extension
+ if(isS2 && firstTime>=1) {
+ generateCanvas(); // dynamic canvas extension
+ } firstTime = 0;
+}
+function panelSetup() {
+ if(playPause) {
+ var obj = document.getElementById('pause'); changeClass(obj,'ison');
+ }
+ if(playLoop) {
+ var obj = document.getElementById('loop'); changeClass(obj,'ison');
+ }
+ if(audioSupport && !isIE && !isOp) {
+ var obj = document.getElementById('audio'); changeClass(obj,'ison');
+ }
+ if(fadeModus && !isIE) {
+ var obj = document.getElementById('fade'); changeClass(obj,'ison');
+ }
+ if(autoMatic) {
+ var obj = document.getElementById('auto'); changeClass(obj,'ison');
+ startPlay();
+ }
+ if(audioVolume && !isIE && !isOp) {
+ var idx = 0;
+ if(audioVolume >= 95 && audioVolume <= 100) {idx = 0;}
+ else if(audioVolume >= 85 && audioVolume < 95) {idx = 1;}
+ else if(audioVolume >= 75 && audioVolume < 85) {idx = 2;}
+ else if(audioVolume >= 65 && audioVolume < 75) {idx = 3;}
+ else if(audioVolume >= 55 && audioVolume < 65) {idx = 4;}
+ else if(audioVolume >= 45 && audioVolume < 55) {idx = 5;}
+ else if(audioVolume >= 35 && audioVolume < 45) {idx = 6;}
+ else if(audioVolume >= 25 && audioVolume < 35) {idx = 7;}
+ else if(audioVolume >= 15 && audioVolume < 25) {idx = 8;}
+ else if(audioVolume >= 5 && audioVolume < 15) {idx = 9;}
+ else {idx = 10;}
+ document.getElementById('volumelist').selectedIndex = idx;
+ }
+ if(playDelay && !isOp) {
+ var idx = 0; var vol = parseInt(playDelay/1000);
+ if(vol >= 83 && vol <= 90) {idx = 0;}
+ else if(vol >= 68 && vol < 83) {idx = 1;}
+ else if(vol >= 53 && vol < 68) {idx = 2;}
+ else if(vol >= 38 && vol < 53) {idx = 3;}
+ else if(vol >= 25 && vol < 38) {idx = 4;}
+ else if(vol >= 18 && vol < 25) {idx = 5;}
+ else if(vol >= 13 && vol < 18) {idx = 6;}
+ else if(vol >= 8 && vol < 13) {idx = 7;}
+ else {idx = 8;}
+ document.getElementById('delaylist').selectedIndex = idx;
+ }
+}
+// startup process
+
+// shutdown process
+function byby() {
+ stopPlay();
+ if(tranSitions && fadeModus && s5mode && !isOp) {
+ fadeoutSound(curSoundID,true); // audio support
+ var pg = document.createElement('div');
+ pg.id = "GoodBy";
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=0)";
+ }else {
+ pg.style.opacity = 0.0;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(255, 255, 255)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/finish.gif)";
+ document.getElementsByTagName("body")[0].appendChild(pg);
+ shiftOpacity('GoodBy',1000);
+ window.setTimeout("history.back()",1000);
+ }else {
+ stopSound(curSoundID);
+ history.back();
+ }
+}
+// shutdown process
+
+// scalable images extension
+function createDetector() {
+ var em = document.createElement('div');
+ em.id='EMSizeControl'; em.style.position="absolute"; em.style.left="-999px";
+ em.style.width="1em"; em.style.height="1em"; em.style.opacity=0.0;
+ document.getElementsByTagName("body")[0].appendChild(em);
+ var nop=document.getElementById('EMSizeControl');
+ if(!nop||findPosX(nop)!=-999) {}else {
+ opac=document.getElementById('EMSizeControl').style.opacity;
+ empx=document.getElementById('EMSizeControl').offsetHeight;
+ document.body.removeChild(document.getElementById('EMSizeControl'));
+ var objects = document.getElementsByTagName('img');
+ var j = 0; var i = 0; var k = 0; var d; var obj;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ images[j] = objects[i];
+ ++j;
+ }
+ }
+ var objects = document.getElementsByTagName('canvas'); j = 0;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ canvas[objects[i].id] = objects[i];
+ if(j==0) {
+ if(objects[i].getContext) {
+ canvasSupport = true;
+ }
+ ++j;
+ }
+ }
+ }
+ if(canvasSupport!=true) {
+ for (d in canvas) {
+ try {
+ canvas[d].setAttribute("width",1);
+ canvas[d].setAttribute("height",1);
+ } catch (e) {
+ }
+ }
+ }
+ var objects = document.getElementsByTagName('table');
+ j = 0; k = 0; l = 0; var w; var h; var tmp; var cnt;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^piechart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ piecharts[j] = objects[i];
+ em = piecharts[j].getAttribute("summary");
+ if(em != "") em = "," + em;
+ piecharts[j].setAttribute("summary", w + "," + h + em);
+ ++j;
+ }
+ }
+ }
+ if(objects[i].className.match(/^barchart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ barcharts[k] = objects[i];
+ em = barcharts[k].getAttribute("summary");
+ if(em != "") em = "," + em;
+ barcharts[k].setAttribute("summary", w + "," + h + em);
+ ++k;
+ }
+ }
+ }
+ if(objects[i].className.match(/^linechart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ linecharts[l] = objects[i];
+ em = linecharts[l].getAttribute("summary");
+ if(em != "") em = "," + em;
+ linecharts[l].setAttribute("summary", w + "," + h + em);
+ ++l;
+ }
+ }
+ }
+ }
+ objects = document.getElementsByTagName('object'); j = 0; i = 0;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ medias[j] = objects[i]; ++j;
+ if(!isIE) {
+ if(objects[i].getAttributeNode("classid")) objects[i].removeAttributeNode(objects[i].getAttributeNode("classid"));
+ if(objects[i].getAttributeNode("codebase")) objects[i].removeAttributeNode(objects[i].getAttributeNode("codebase"));
+ }
+ }
+ }
+ }
+}
+function extendImgSizes(f) {
+ if(empx>0) {
+ var q = (f/empx); var w = 0; var h = 0;
+ for(var i=0; i < images.length; i++) {
+ w=images[i].getAttribute("width",0);
+ h=images[i].getAttribute("height",0);
+ if(w>0&&h>0) {
+ images[i].style.width=Math.floor(w*q)+"px";
+ images[i].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+}
+
+function extendCanSizes(f) {
+ if(empx>0 && canvasSupport) {
+ var q = (f/empx); var w = 0; var h = 0; var tmp = ""; var cnt; var obj;
+ for(var i=0; i < piecharts.length; i++) {
+ if(piecharts[i].getAttribute("summary")) {
+ tmp = piecharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = piecharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ for(var i=0; i < barcharts.length; i++) {
+ if(barcharts[i].getAttribute("summary")) {
+ tmp = barcharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = barcharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ for(var i=0; i < linecharts.length; i++) {
+ if(linecharts[i].getAttribute("summary")) {
+ tmp = linecharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = linecharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ }
+}
+function extendObjSizes(f) {
+ if(empx>0) {
+ var q = (f/empx); var w = 0; var h = 0;
+ for(var i=0; i < medias.length; i++) {
+ w=medias[i].getAttribute("width",0);
+ h=medias[i].getAttribute("height",0);
+ if(w>0&&h>0) {
+ medias[i].style.width=Math.floor(w*q)+"px";
+ medias[i].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+}
+function findPosX(obj) {
+ var posLeft = 0;
+ while (obj.offsetParent) {
+ posLeft += obj.offsetLeft;
+ obj = obj.offsetParent;
+ }
+ return posLeft;
+}
+function findPosY(obj) {
+ var posTop = 0;
+ while (obj.offsetParent) {
+ posTop += obj.offsetTop;
+ obj = obj.offsetParent;
+ }
+ return posTop;
+}
+// scalable images extension
+
+// canvas chart extension
+function deg2rad(degrees) {
+ return Math.PI *degrees/180;
+}
+function rad2deg(radians) {
+ return 180.0 *radians/Math.PI;
+}
+function circle_point_x(radians, diameter) {
+ var x = Math.cos(radians)*(diameter/2);
+ return x;
+}
+function circle_point_y(radians, diameter) {
+ var y = Math.sin(radians)*(diameter/2);
+ return y;
+}
+function roundTo(val,dig) {
+ var num = val;
+ if (val > 8191 && val < 10485) {
+ val = val-5000;
+ num = Math.round(val*Math.pow(10,dig))/Math.pow(10,dig);
+ num = num+5000;
+ } else {
+ num = Math.round(val*Math.pow(10,dig))/Math.pow(10,dig);
+ }
+ return num;
+}
+function searchColor(value) {
+ for (var dat in colorNames) {
+ if(dat==value) return colorNames[dat];
+ }
+ return false;
+}
+function scanColor(value) {
+ if(value.match(/^#[0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ var val1 = value.substr(1,1).toLowerCase();
+ var val2 = value.substr(2,1).toLowerCase();
+ var val3 = value.substr(3,1).toLowerCase();
+ value = '#' + val1 + val1 + val2 + val2 + val3 + val3;
+ }
+ if(!value.match(/^#[0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ var tmp = searchColor(value.toLowerCase());
+ if(!tmp) {}else{value = tmp;}
+ }
+ if(!value.match(/^#[0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ value = '#000000';
+ }
+ return value.toLowerCase();
+}
+function hex2rgb(val,trans) {
+ if(val.length==7) {
+ var tp1 = Math.max(0,Math.min(parseInt(val.substr(1,2),16),255));
+ var tp2 = Math.max(0,Math.min(parseInt(val.substr(3,2),16),255));
+ var tp3 = Math.max(0,Math.min(parseInt(val.substr(5,2),16),255));
+ return 'rgba(' + tp1 + ',' + tp2 + ',' + tp3 + ',' + trans + ')';
+ }
+}
+function trim(str) {
+ return (str.replace(/\s+$/,"").replace(/^\s+/,""));
+}
+function roundedRect(ctx,x,y,width,height,radius){
+ ctx.beginPath();
+ ctx.moveTo(x,y+radius);
+ ctx.lineTo(x,y+height-radius);
+ ctx.quadraticCurveTo(x,y+height,x+radius,y+height);
+ ctx.lineTo(x+width-radius,y+height);
+ ctx.quadraticCurveTo(x+width,y+height,x+width,y+height-radius);
+ ctx.lineTo(x+width,y+radius);
+ ctx.quadraticCurveTo(x+width,y,x+width-radius,y);
+ ctx.lineTo(x+radius,y);
+ ctx.quadraticCurveTo(x,y,x,y+radius);
+ ctx.closePath();
+}
+function drawString(ctx, text, fc, tx, ty) {
+ var xp = 0; var c = "";
+ ctx.beginPath();
+ for (var i = 0; i < text.length; i++) {
+ c = text[i];
+ ctx.drawImage(font, signs[c].sx, signs[c].sy, signs[c].sw, signs[c].sh, tx+xp, ty, signs[c].sw*fc, signs[c].sh*fc);
+ xp += (signs[c].sw*fc);
+ }
+ ctx.closePath();
+}
+function strokeString(ctx, txt, col, fh, tx, ty) {
+ var fw = fh*0.666666; var lw = fh*0.125;
+ var ls = lw/2; var cr = lw; var xp = 0;
+ ctx.lineCap = "round"; ctx.lineJoin = "round"
+ ctx.lineWidth = lw; ctx.strokeStyle = col;
+ for (var i = 0; i < txt.length; i++) {
+ strokeSymbol(ctx, txt[i], ls, tx+xp, ty, fw, fh);
+ xp += (txt[i]!="."?fw+cr:(fw/2)+cr);
+ }
+}
+function strokeSymbol(ctx, symbol, fc, cx, cy, cw, ch) {
+ ctx.beginPath();
+ switch (symbol) {
+ case "0":
+ ctx.moveTo(cx+fc,cy+(ch*0.333333));
+ ctx.arc(cx+(cw/2),cy+(cw/2),(cw/2)-fc,deg2rad(180),0, false);
+ ctx.arc(cx+(cw/2),(cy+ch)-(cw/2),(cw/2)-fc,0,deg2rad(180), false);
+ ctx.closePath();
+ break;
+ case "1":
+ ctx.moveTo(cx+(cw*0.1)+fc,cy+ch-fc);
+ ctx.lineTo(cx+cw-fc,cy+ch-fc);
+ ctx.moveTo(cx+(cw*0.666666),cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.666666),cy+fc);
+ ctx.lineTo(cx+(cw*0.25),cy+(ch*0.25));
+ break;
+ case "2":
+ ctx.moveTo(cx+cw-fc,cy+(ch*0.8));
+ ctx.lineTo(cx+cw-fc,cy+ch-fc);
+ ctx.lineTo(cx+fc,cy+ch-fc);
+ ctx.arc(cx+(cw/2),cy+(cw*0.425),(cw*0.425)-fc,deg2rad(45),deg2rad(-180), true);
+ break;
+ case "3":
+ ctx.moveTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.9)-fc,cy+fc);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-90),deg2rad(180), false);
+ break;
+ case "4":
+ ctx.moveTo(cx+(cw*0.75),cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.75),cy+fc);
+ ctx.moveTo(cx+cw-fc,cy+(ch*0.666666));
+ ctx.lineTo(cx+fc,cy+(ch*0.666666));
+ ctx.lineTo(cx+(cw*0.75),cy+fc);
+ ctx.moveTo(cx+cw-fc,cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.5),cy+ch-fc);
+ break;
+ case "5":
+ ctx.moveTo(cx+(cw*0.9)-fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+(ch*0.333333));
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-80),deg2rad(180), false);
+ break;
+ case "6":
+ ctx.moveTo(cx+fc,cy+ch-(cw*0.5)-fc);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-180),deg2rad(180), false);
+ ctx.bezierCurveTo(cx+fc,cy+fc,cx+fc,cy+fc,cx+(cw*0.9)-fc,cy+fc);
+ ctx.moveTo(cx+(cw*0.9)-fc,cy+fc);
+ break;
+ case "7":
+ ctx.moveTo(cx+(cw*0.5),cy+ch-fc);
+ ctx.lineTo(cx+cw-fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+(ch*0.25)-fc);
+ break;
+ case "8":
+ ctx.moveTo(cx+(cw*0.92)-fc,cy+(cw*0.59));
+ ctx.arc(cx+(cw/2),cy+(cw*0.45),(cw*0.45)-fc,deg2rad(25),deg2rad(-205), true);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-135),deg2rad(-45), true);
+ ctx.closePath();
+ ctx.moveTo(cx+(cw*0.79),cy+(ch*0.47));
+ ctx.lineTo(cx+(cw*0.21),cy+(ch*0.47));
+ break;
+ case "9":
+ ctx.moveTo(cx+cw-fc,cy+(cw*0.5));
+ ctx.arc(cx+(cw/2),cy+(cw*0.5),(cw*0.5)-fc,deg2rad(0),deg2rad(360), false);
+ ctx.bezierCurveTo(cx+cw-fc,cy+ch-fc,cx+cw-fc,cy+ch-fc,cx+(cw*0.1)+fc,cy+ch-fc);
+ break;
+ case "%":
+ ctx.moveTo(cx+fc,cy+(ch*0.75));
+ ctx.lineTo(cx+cw-fc,cy+(ch*0.25));
+ ctx.moveTo(cx+(cw*0.505),cy+(cw*0.3));
+ ctx.arc(cx+(cw*0.3),cy+(cw*0.3),(cw*0.3)-fc,deg2rad(0),deg2rad(360), false);
+ ctx.moveTo(cx+(cw*0.905),cy+ch-(cw*0.3));
+ ctx.arc(cx+(cw*0.7),cy+ch-(cw*0.3),(cw*0.3)-fc,deg2rad(0),deg2rad(360), false);
+ break;
+ case ".":
+ ctx.moveTo(cx+(cw*0.25),cy+ch-fc-fc);
+ ctx.arc(cx+(cw*0.25),cy+ch-fc-fc,fc,deg2rad(0),deg2rad(360), false);
+ ctx.closePath();
+ break;
+ default:
+ break;
+ }
+ ctx.stroke();
+}
+function drawBar(ctx,x,y,width,height,color,value,textdiv){
+ var rw = width/2; var rh = rw/2;
+ height = Math.max(height,rw);
+ var dh = Math.max(height-(2*rh),0.1); var S2L;
+ var xx = rw/8; var yy = rh/4;
+ var yo = rh/2; y = y - yy;
+ if(canvas_noshadow <= 0 && canvas_noshade <= 0) {
+ ctx.save();
+ S2L = ctx.createRadialGradient(x+rw+rh,y+height-rw+yy+rh,0,x+rw,y+height-rw+yy,rw);
+ S2L.addColorStop(0, 'rgba(0,0,0,0.5)');
+ S2L.addColorStop(0.7, 'rgba(0,0,0,0.25)');
+ S2L.addColorStop(0.9, 'rgba(0,0,0,0.1)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.fillStyle = S2L;
+ ctx.scale(1,0.5);
+ ctx.translate(xx,y+height+yy);
+ if(isOp){
+ ctx.fillRect(x,y+yy+height-width,width,width);
+ }else {
+ ctx.arc(x+rw,y+yy+height-width,width,0,deg2rad(360), false);
+ ctx.fill();
+ }
+ ctx.restore();
+ }else if(canvas_noshadow <= 0 && canvas_noshade >= 1) {
+ ctx.fillStyle = 'rgba(0,0,0,0.2)';
+ ctx.fillRect(x+yo,y+rh+yo+yo,width,dh);
+ }
+
+ if(canvas_noshade <= 0) {
+ ctx.beginPath();
+ ctx.moveTo(x,y+rh);
+ ctx.lineTo(x,y+rh+dh);
+ ctx.bezierCurveTo(x,y+height,x+width,y+height,x+width,y+rh+dh)
+ ctx.lineTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = color;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x,y+(height/2),x+width,y+(height/2));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.75)');
+ S2L.addColorStop(0.2, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.3, 'rgba(0,0,0,0)');
+ S2L.addColorStop(0.5, 'rgba(0,0,0,0.1)');
+ S2L.addColorStop(0.9, 'rgba(0,0,0,0.35)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.3)');
+ ctx.beginPath();
+ ctx.moveTo(x,y+rh);
+ ctx.lineTo(x,y+rh+dh);
+ ctx.bezierCurveTo(x,y+height,x+width,y+height,x+width,y+rh+dh)
+ ctx.lineTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = S2L;
+ ctx.fill();
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = color;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x+rw-(width*0.15),y-2,x+rw+(width*0.15),y+(2.1*rh));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.9)');
+ S2L.addColorStop(0.5, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.6, 'rgba(0,0,0,0)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.3)');
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = S2L;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x+rw-(width*0.15),y-2,x+rw+(width*0.15),y+(2.1*rh));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.9)');
+ S2L.addColorStop(0.5, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.6, 'rgba(0,0,0,0)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.1)');
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.strokeStyle = S2L;
+ ctx.stroke();
+ }else {
+ ctx.fillStyle = color;
+ ctx.fillRect(x,y+rh+yo,width,dh);
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = 1;
+ ctx.fillStyle = 'rgba(255,255,255,0.5)';
+ var bw = width; var bh = bw/4;
+ var th = roundTo(bh*0.75,0); var tf = bh/80;
+ var c; var w;
+ if(canvas_notext <= 0) {
+ if(canvas_htmltext <= 0) {
+ w = '"' + per + '"';
+ if(w.indexOf(".")!=-1) {
+ c = w.length+0.5;
+ w = parseFloat(c-2)*parseFloat(48*tf);
+ bw = parseFloat(c-1)*parseFloat(48*tf);
+ }else {
+ c = w.length;
+ w = parseFloat(c-1)*parseFloat(48*tf);
+ bw = parseFloat(c)*parseFloat(48*tf);
+ }
+ roundedRect(ctx,x+(width/2)-(bw/2),y,bw,bh,bh/4);
+ ctx.fill();
+ if(canvas_imgtext <= 0) {
+ strokeString(ctx, value + "%","rgba(48,48,48,1)", th, x+(width/2)-(w/2), y+((bh-th)/2));
+ }else {
+ drawString(ctx, value + "%", tf, x+(width/2)-(w/2), y+((bh-th)/2.2));
+ }
+ }else {
+ var bh = bw/3; var th = roundTo(bh*0.75,0);
+ roundedRect(ctx,x,y,bw,bh,bh/4);
+ ctx.fill();
+ var obj = document.createElement('div');
+ obj.style.position = "absolute";
+ obj.style.overflow = "hidden";
+ obj.style.textAlign = "center";
+ obj.style.width = bw + "px";
+ obj.style.left = x + "px";
+ obj.style.top = y+((bh-th)/2.2) + "px";
+ obj.appendChild(document.createTextNode(per + "%"));
+ textdiv.appendChild(obj);
+ }
+
+ }
+}
+function drawLine(ctx,x,y,width,height,dist,array,factor,color,fill){
+ if(fill <= 0){
+ var style = hex2rgb(color,0.5);
+ ctx.lineJoin = "miter";
+ ctx.beginPath();
+ ctx.moveTo(x,y+height);
+ for (var i = 0; i < array.length; i++) {
+ ctx.lineTo(x+(i*dist),y+height-(array[i]*factor));
+ }
+ ctx.lineTo(x+width,y+height);
+ ctx.lineTo(x,y+height);
+ ctx.closePath();
+ ctx.fillStyle = style;
+ ctx.fill();
+ }
+ ctx.lineJoin = "round";
+ ctx.beginPath();
+ ctx.moveTo(x,y+height-(array[0]*factor));
+ for (var i = 0; i < array.length; i++) {
+ ctx.lineTo(x+(i*dist),y+height-(array[i]*factor));
+ }
+ ctx.strokeStyle = color;
+ ctx.stroke();
+}
+function setDataURL(cid) {
+ if(cid.toDataURL) {
+ var obj = document.getElementById(cid.id + "_link");
+ if(obj) {
+ obj.setAttribute("title", "To Data URL");
+ obj.setAttribute("target", "_blank");
+ obj.setAttribute("href", cid.toDataURL());
+ }
+ }
+}
+function get_input(dataobj,canvasobj,linechart) {
+ var table = document.getElementById(dataobj);
+ var canvas = document.getElementById(canvasobj);
+ var row; var clm; var cnt = 0; var val = 0; var nme = ""; var col = "";
+ if(canvas.getContext) {
+ canvas_width = parseInt(canvas.style.width);
+ canvas_height = parseInt(canvas.style.height);
+ if(canvas_width >= 16 && canvas_height >= 16) {
+ var tmp = table.getAttribute("summary");
+ canvas_noshade = 0; canvas_noshadow = 0;
+ canvas_notext = 0; canvas_imgtext = 0;
+ canvas_htmltext = 0; canvas_nofill = 0;
+ if(tmp.search(/noshadow/) != -1) canvas_noshadow = 1;
+ if(tmp.search(/noshade/) != -1) canvas_noshade = 1;
+ if(tmp.search(/nofill/) != -1) canvas_nofill = 1;
+ if(tmp.search(/notext/) != -1) canvas_notext = 1;
+ if(tmp.search(/htmltext/) != -1) canvas_htmltext = 1;
+ if(tmp.search(/imgtext/) != -1) canvas_imgtext = 1;
+ if(table.getAttribute("bgcolor")) {
+ canvas_bgcolor = scanColor(table.getAttribute("bgcolor"));
+ }
+ ChartData = new Array(); colorSlice = new Array();
+ if(table.getElementsByTagName("tr")[0].getElementsByTagName("th")[0]) cnt = 1;
+ for(var r = cnt; r < table.getElementsByTagName("tr").length; r++) {
+ row = table.getElementsByTagName("tr")[r];
+ clm = row.getElementsByTagName("td").length;
+ if(row.getElementsByTagName("td")[0].getAttribute("bgcolor")) {
+ col = scanColor(row.getElementsByTagName("td")[0].getAttribute("bgcolor")); val = 0;
+ nme = trim(row.getElementsByTagName("td")[1].innerHTML.replace(/<[^>]+>/g,""));
+ if(!linechart) {
+ if(clm > 3) {
+ for(var z = 2; z < clm; z++) {
+ val += parseFloat(row.getElementsByTagName("td")[z].innerHTML.replace(/<[^>]+>/g,""));
+ }
+ }else {
+ val = parseFloat(row.getElementsByTagName("td")[2].innerHTML.replace(/<[^>]+>/g,""));
+ }
+ if(!isNaN(val) && val > 0 && nme != '') {
+ colorSlice[r-cnt] = col; ChartData[nme] = Math.abs(val);
+ }else {
+ break;
+ }
+ }else {
+ val = 0; i = 0;
+ if(clm > 3 && nme != '') {
+ ChartData[r-cnt] = new Array();
+ colorSlice[r-cnt] = col;
+ for(var z = 2; z < clm; z++) {
+ val = Math.abs(parseFloat(row.getElementsByTagName("td")[z].innerHTML.replace(/<[^>]+>/g,"")));
+ if(!isNaN(val) && val >= 0) {
+ ChartData[r-cnt][i] = val; i++;
+ }else {
+ ChartData[r-cnt][i] = 0; i++;
+ }
+ }
+ }else {
+ break;
+ }
+ }
+ }else {
+ break;
+ }
+ }
+ }
+ }
+}
+function setPieChart(canvasobj,textobj) {
+ var cd = (Math.min(canvas_width,canvas_height)/110)*100;
+ var cp = cd*0.1; var cr = cd/2;
+ var sr = cr*0.93; var cw = cd+cp; var ch = cw;
+ var cx = (cd/2)+(cp/2); var cy = cx; var ct = 0;
+ for (var data in ChartData) {
+ ct += ChartData[data];
+ }
+ var poc = ct/100;
+ var canvas = document.getElementById(canvasobj);
+ if(canvas_htmltext >= 1) {
+ if(document.getElementById(textobj)) {
+ canvas.parentNode.removeChild(canvas.parentNode.lastChild);
+ }
+ }
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,cw,ch);
+ }else {
+ ctx.clearRect(0,0,cw,ch);
+ }
+ if(canvas_noshadow <= 0) {
+ if(!isOp) {
+ ctx.beginPath();
+ var B2B = ctx.createRadialGradient(cx+(cr*0.1),cy+(cr*0.1),cr,cx+(cr*0.1),cy+(cr*0.1),sr*0.9);
+ B2B.addColorStop(0, 'rgba(0,0,0,0)');
+ B2B.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.arc(cx+(cr*0.1),cy+(cr*0.1),cr,0,deg2rad(360), false);
+ ctx.closePath();
+ ctx.fillStyle = B2B;
+ ctx.fill();
+ }else {
+ var B2B = ctx.createRadialGradient(cx+(cr*0.1),cy+(cr*0.1),0,cx+(cr*0.1),cy+(cr*0.1),cr);
+ B2B.addColorStop(0, 'rgba(0,0,0,0.5)');
+ B2B.addColorStop(0.87, 'rgba(0,0,0,0.5)');
+ B2B.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.fillStyle = B2B;
+ ctx.fillRect(0,0,cw,ch);
+ }
+ }
+ var val = 0; var deg = 0; var idx = 0; var data;
+ for (data in ChartData) {
+ val = ChartData[data]; sdeg = deg;
+ deg += (val/ct)*deg2rad(360); edeg = deg;
+ ctx.beginPath();
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr,sdeg,edeg, false);
+ ctx.lineTo(cx,cy);
+ ctx.closePath();
+ ctx.fillStyle = colorSlice[(idx++)];
+ ctx.fill();
+ }
+ if(canvas_noshade <= 0) {
+ ctx.beginPath();
+ var W2T = ctx.createLinearGradient(cp*2,cp*2,cx,cy);
+ W2T.addColorStop(0, 'rgba(255,255,255,0.8)');
+ W2T.addColorStop(1, 'rgba(255,255,255,0)');
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,sr,deg2rad(135),deg2rad(315), false);
+ ctx.closePath();
+ ctx.fillStyle = W2T;
+ ctx.fill();
+ ctx.beginPath();
+ var T2B = ctx.createLinearGradient(cx,cy,cw-(cp*2),ch-(cp*2));
+ T2B.addColorStop(0, 'rgba(0,0,0,0)');
+ T2B.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,sr,deg2rad(-45),deg2rad(135), false);
+ ctx.closePath();
+ ctx.fillStyle = T2B;
+ ctx.fill();
+ ctx.beginPath();
+ var B2T = ctx.createLinearGradient(cx,cy,cw-(cp*1.9),ch-(cp*1.9));
+ B2T.addColorStop(0, 'rgba(0,0,0,0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.6)');
+ ctx.lineWidth = cr*0.07;
+ ctx.lineCap = "round";
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr*0.965,deg2rad(-45),deg2rad(135), false);
+ ctx.closePath();
+ ctx.strokeStyle = B2T;
+ ctx.stroke();
+ ctx.beginPath();
+ var T2W = ctx.createLinearGradient(cx,cy,(cp*1.9),(cp*1.9));
+ T2W.addColorStop(0, 'rgba(255,255,255,0)');
+ T2W.addColorStop(1, 'rgba(255,255,255,0.6)');
+ ctx.lineWidth = cr*0.07;
+ ctx.lineCap = "round";
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr*0.965,deg2rad(135),deg2rad(315), false);
+ ctx.closePath();
+ ctx.strokeStyle = T2W;
+ ctx.stroke();
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = 1;
+ ctx.fillStyle = 'rgba(255,255,255,0.5)';
+ var mpos = 0; var per = 0; var gx = 0;
+ var gy = 0; var tx = 0; var ty = 0;
+ var obj = ""; var w = 0; var c = 0;
+ var bw = cr*0.45; var bh = cr*0.125;
+ var th = roundTo(bh*0.75,0); var tf = bh/80;
+ if(canvas_notext <= 0) {
+ if(canvas_htmltext >= 1) {
+ bw = (cr*0.365);
+ canvas.parentNode.style.position = "relative";
+ if(!document.getElementById(textobj)) {
+ obj = document.createElement('div');
+ obj.id = textobj;
+ obj.style.color = "rgb(0,0,0)";
+ obj.style.fontFamily = "Arial,sans-serif";
+ obj.style.fontSize = th + "px";
+ obj.style.zIndex = 11;
+ canvas.parentNode.appendChild(obj);
+ }
+ var textdiv = document.getElementById(obj.id);
+ }
+ for (data in ChartData) {
+ val = ChartData[data];
+ sdeg = deg; mpos = deg+(((val/2)/ct)*deg2rad(360));
+ deg += (val/ct)*deg2rad(360); edeg = deg;
+ per = roundTo(val/poc,2);
+ gx = circle_point_x(mpos, cd);
+ gy = circle_point_y(mpos, cd);
+ tx = parseFloat((cx+(2*Math.floor(cx + gx)))/3);
+ ty = (cy+(2*Math.floor(cy + gy)))/3;
+ if(canvas_htmltext <= 0) {
+ w = '"' + per + '"';
+ if(w.indexOf(".")!=-1) {
+ c = w.length+0.5;
+ w = parseFloat(c-2)*parseFloat(48*tf);
+ bw = parseFloat(c-1)*parseFloat(48*tf);
+ }else {
+ c = w.length;
+ w = parseFloat(c-1)*parseFloat(48*tf);
+ bw = parseFloat(c)*parseFloat(48*tf);
+ }
+ roundedRect(ctx,(tx-(bw/2)),ty-(bh/2),bw,bh,bh/4);
+ ctx.fill();
+ if(canvas_imgtext >= 1) {
+ drawString(ctx, per + "%", tf, tx-(w/2), ty-(th/1.8));
+ }else {
+ strokeString(ctx, per + "%","rgba(48,48,48,1)", th, tx-(w/2), ty-(th/2));
+ }
+ }else {
+ roundedRect(ctx,(tx-(bw/2)),ty-(bh/2),bw,bh,bh/4);
+ ctx.fill();
+ obj = document.createElement('div');
+ obj.style.position = "absolute";
+ obj.style.overflow = "hidden";
+ obj.style.textAlign = "center";
+ obj.style.width = bw + "px";
+ obj.style.left = (tx-(bw/2)) + "px";
+ obj.style.top = ty-(th/1.8) + "px";
+ obj.appendChild(document.createTextNode(per + "%"));
+ textdiv.appendChild(obj);
+ }
+ }
+ }
+ }
+}
+function setBarChart(canvasobj,textobj) {
+ var iw = canvas_width*0.9; var ih = canvas_height*0.9;
+ var pw = canvas_width*0.05; var ph = canvas_height*0.05;
+ var cm = 0; var ct = 0;
+ for (var data in ChartData) {
+ cm = Math.max(cm,ChartData[data]);
+ ct += ChartData[data];
+ }
+ var hf = ih/cm; var bs = colorSlice.length;
+ var bw = iw/(bs*1.1); var poc = ct/100;
+ var th = roundTo((bw/3)*0.75,0);
+ var canvas = document.getElementById(canvasobj);
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,canvas_width,canvas_height);
+ }else {
+ ctx.clearRect(0,0,canvas_width,canvas_height);
+ }
+ if(canvas_htmltext >= 1) {
+ if(document.getElementById(textobj)) {
+ canvas.parentNode.removeChild(canvas.parentNode.lastChild);
+ }
+ canvas.parentNode.style.position = "relative";
+ if(!document.getElementById(textobj)) {
+ var obj = document.createElement('div');
+ obj.id = textobj;
+ obj.style.color = "rgb(0,0,0)";
+ obj.style.fontFamily = "Arial,sans-serif";
+ obj.style.fontSize = th + "px";
+ obj.style.zIndex = 11;
+ canvas.parentNode.appendChild(obj);
+ }
+ var textdiv = document.getElementById(obj.id);
+ }
+ var cc = ""; var bh = 0; var idx = 0; var t = 0; per = 0;
+ for (var data in ChartData) {
+ per = roundTo(ChartData[data]/poc,2);
+ bh = ChartData[data]*hf;
+ drawBar(ctx,pw+(t*(bw*1.1)),ph+ih-bh,bw,bh,colorSlice[(idx++)],per,textdiv);
+ t++;
+ }
+ }
+}
+function setLineChart(canvasobj,textobj) {
+ var iw = canvas_width*0.9;
+ var ih = canvas_height*0.9;
+ var pw = canvas_width*0.05;
+ var ph = canvas_height*0.05;
+ var cm = 0;
+ for (var i = 0; i < ChartData.length; i++) {
+ for (var j = 0; j < ChartData[i].length; j++) {
+ cm = Math.max(cm,ChartData[i][j]);
+ }
+ }
+ var hf = (ih*0.95)/cm; var bw = iw;
+ var dw = iw/(ChartData[0].length-1)
+ var dh = ih/(ChartData[0].length-1)
+ var ps = Math.min(pw,ph); lw = ps/4;
+ var B2T;
+ var canvas = document.getElementById(canvasobj);
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,canvas_width,canvas_height);
+ }else {
+ ctx.clearRect(0,0,canvas_width,canvas_height);
+ }
+ if(canvas_noshadow <= 0) {
+ B2T = ctx.createLinearGradient(pw+ps,ph+ih+1,pw+ps+ps,ph+ih+1);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+ps,ph+ih);
+ ctx.lineTo(pw+ps,ph+ih+ps);
+ ctx.lineTo(pw+ps+ps,ph+ih);
+ ctx.closePath();
+ ctx.fill();
+ B2T = ctx.createLinearGradient(pw+ps+ps,ph+ih,pw+ps+ps,ph+ih+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.5)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.0)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+ps+ps,ph+ih);
+ ctx.lineTo(pw+iw,ph+ih);
+ ctx.lineTo(pw+ps+iw,ph+ih+ps);
+ ctx.lineTo(pw+ps,ph+ih+ps);
+ ctx.closePath();
+ ctx.fill();
+ var B2T = ctx.createLinearGradient(pw+iw+1,ph+ps,pw+iw+1,ph+ps+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.35)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+iw,ph+ps);
+ ctx.lineTo(pw+iw,ph+ps+ps);
+ ctx.lineTo(pw+iw+ps,ph+ps);
+ ctx.closePath();
+ ctx.fill();
+ B2T = ctx.createLinearGradient(pw+iw,ph+ps+ps,pw+iw+ps,ph+ps+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.35)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.0)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+iw,ph+ps+ps);
+ ctx.lineTo(pw+iw,ph+ih);
+ ctx.lineTo(pw+iw+ps,ph+ih+ps);
+ ctx.lineTo(pw+iw+ps,ph+ps);
+ ctx.closePath();
+ ctx.fill();
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = lw/2;
+ ctx.strokeStyle = "rgba(255,255,255,0.25)";
+ if(canvas_nofill <= 0) {
+ ctx.fillStyle = "rgba(240,240,240,0.8)";
+ ctx.strokeStyle = "rgba(255,255,255,1)";
+ ctx.fillRect(pw,ph,iw,ih);
+ }
+ for (var i = 0; i < ChartData[0].length-1; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw+(i*dw),ph);
+ ctx.lineTo(pw+(i*dw),ph+ih);
+ ctx.stroke();
+ }
+ for (var i = 1; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw,ph+(i*dh));
+ ctx.lineTo(pw+iw,ph+(i*dh));
+ ctx.stroke();
+ }
+ ctx.lineWidth = lw;
+ var cc = ""; var bh = 0; var idx = 0; var t = 0;
+ for (var i = 0; i < ChartData.length; i++) {
+ for (var j = 0; j < ChartData[i].length; j++) {
+ t = Math.max(t,ChartData[i][j]);
+ }
+ bh = t*hf; cc = colorSlice[(idx++)];
+ drawLine(ctx,pw,ph+ih-bh,bw,bh,dw,ChartData[i],hf,cc,canvas_nofill);
+ }
+ ctx.beginPath();
+ ctx.moveTo(pw,ph);
+ ctx.lineTo(pw,ph+ih);
+ ctx.lineTo(pw+iw+(lw/2),ph+ih);
+ ctx.strokeStyle = "rgba(48,48,48,1)";
+ ctx.stroke();
+ ctx.lineWidth = lw/2;
+ B2T = ctx.createLinearGradient(pw,ph+ih,pw,ph+ih+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,1)');
+ B2T.addColorStop(0.5, 'rgba(0,0,0,1)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.strokeStyle = B2T;
+ for (var i = 0; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw+(i*dw),ph+ih);
+ ctx.lineTo(pw+(i*dw),ph+ih+ps);
+ ctx.stroke();
+ }
+ B2T = ctx.createLinearGradient(pw-ps,ph,pw,ph);
+ B2T.addColorStop(0, 'rgba(0,0,0,0)');
+ B2T.addColorStop(0.5, 'rgba(0,0,0,1)');
+ B2T.addColorStop(1, 'rgba(0,0,0,1)');
+ ctx.strokeStyle = B2T;
+ for (var i = 1; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw-ps,ph+(i*dh));
+ ctx.lineTo(pw,ph+(i*dh));
+ ctx.stroke();
+ }
+ }
+}
+function generateCanvas() {
+ if(canvasSupport) {
+ var canvasID; var tdataID; var textID;
+ var tmp; var dat; var i; var j;
+ for(i=0; i < piecharts.length; i++) {
+ tmp = piecharts[i].id.split("_");
+ tdataID = piecharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID);
+ if(colorSlice.length > 0) {
+ j = 0; dat = ""; for(dat in ChartData) {j++; }
+ if(j > 0 && j == colorSlice.length) {
+ setPieChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ for(i=0; i < barcharts.length; i++) {
+ tmp = barcharts[i].id.split("_");
+ tdataID = barcharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID);
+ if(colorSlice.length > 0) {
+ j = 0; dat = ""; for(dat in ChartData) {j++; }
+ if(j > 0 && j == colorSlice.length) {
+ setBarChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ for(i=0; i < linecharts.length; i++) {
+ tmp = linecharts[i].id.split("_");
+ tdataID = linecharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID,true);
+ if(colorSlice.length > 0) {
+ if(ChartData.length == colorSlice.length) {
+ setLineChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ }
+}
+// canvas chart extension
+
+// invisible select extension
+function setListPos(opt) {
+ if(!isIE) { //(degrade IE)
+ var ref = document.getElementById('list');
+ var x = findPosX(ref); var y = findPosY(ref);
+ var obj = document.getElementById('jumplist');
+ obj.style.position = 'fixed'; obj.style.left = x + 'px'; obj.style.top = y + 'px';
+ var vol = document.getElementById('volumelist');
+ ref = document.getElementById('volume');
+ x = findPosX(ref); if(isOp) {y = window.innerHeight-imgHeight; }else {y = findPosY(ref);}
+ vol.style.position = 'fixed'; vol.style.left = x + 'px'; vol.style.top = y + 'px';
+ var del = document.getElementById('delaylist');
+ ref = document.getElementById('delay');
+ x = findPosX(ref); if(isOp) {y = window.innerHeight-imgHeight; }else {y = findPosY(ref);}
+ del.style.position = 'fixed'; del.style.left = x + 'px'; del.style.top = y + 'px';
+ var pnl = document.getElementById('navLinks');
+ }
+ if(opt) {
+ if(isIE) {
+ //(degrade IE)
+ //pnl.style.filter = "alpha(opacity=80)";
+ }else {
+ obj.style.opacity = 0.0;
+ vol.style.opacity = 0.0;
+ del.style.opacity = 0.0;
+ pnl.style.opacity = 0.8;
+ }
+ }
+}
+// invisible select extension
+
+// transition extension
+function switchFade() {
+ if(tranSitions && s5mode && fadeModus) {
+ fadeModus = false;
+ playDelay = playDelay-(2*fadeDuration);
+ var obj = document.getElementById('fade'); changeClass(obj,'isoff');
+ }else if(tranSitions && s5mode && !fadeModus) {
+ fadeModus = true;
+ playDelay = playDelay+(2*fadeDuration);
+ var obj = document.getElementById('fade'); changeClass(obj,'ison');
+ }
+ currentSlide();
+}
+function opacity(ids, opacStart, opacEnd, millisec) {
+ var speed = Math.round(millisec / 100);
+ var timer = 0;
+ if(opacStart > opacEnd) {
+ for(var i = opacStart; i >= opacEnd; i--) {
+ window.setTimeout("changeOpac(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ } else if(opacStart < opacEnd) {
+ for(var i = opacStart; i <= opacEnd; i++) {
+ window.setTimeout("changeOpac(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ }
+}
+function changeOpac(opacity, ids) {
+ var obj = document.getElementById(ids);
+ if (isIE) {
+ obj.style.filter = "alpha(opacity=" + opacity + ")";
+ } else {
+ obj.style.opacity = (opacity / 100);
+ }
+}
+function shiftOpacity(ids, millisec) {
+ if(document.getElementById(ids).style.opacity != '') {
+ var currentOpac = document.getElementById(ids).style.opacity * 100;
+ } else {
+ var currentOpac = 0;
+ }
+ if(currentOpac == 0) {
+ opacity(ids, currentOpac, 100, millisec);
+ } else if(currentOpac > 0) {
+ opacity(ids, currentOpac, 0, millisec);
+ }
+}
+// transition extension
+
+// autoplay extension
+function autoPlay() {
+ if (s5mode && autoMatic && !playPause) {
+ if ((snum >= (smax-1)) && playLoop) {
+ goTo(0);
+ autoRun = setTimeout('autoPlay();',playDelay);
+ }else if ((snum >= (smax-1)) && !playLoop) {
+ stopPlay();
+ }else {
+ if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ if (incrementals[snum].length >0) {
+ clearTimeout(autoRun); autoRun = null;
+ incrDelay = parseInt(playDelay/(incrementals[snum].length+1));
+ remainDer = parseInt(playDelay-(incrDelay*incrementals[snum].length));
+ autoRun = setTimeout('autoPlayIncr()',incrDelay);
+ }
+ } else {
+ clearTimeout(autoRun); autoRun = null;
+ incrDelay = incrDuration;
+ remainDer = parseInt(playDelay-(incrementals[snum].length*incrDuration));
+ autoRun = setTimeout('autoPlayIncr()',incrDelay);
+ }
+ autoRun = setTimeout('autoPlay();',playDelay);
+ }
+ }
+}
+function autoPlayIncr() {
+ if (incpos < incrementals[snum].length) {
+ subgo(1);
+ autoRun = setTimeout('autoPlayIncr();',incrDelay);
+ }else {
+ autoRun = setTimeout('nop();',remainDer);
+ }
+}
+function nop() {
+ // no operation dummy
+}
+function togglePlay() {
+ if (autoRun && s5mode) {
+ stopPlay();
+ }else if (!autoRun && s5mode) {
+ startPlay();
+ }
+}
+function stopPlay() {
+ if (autoRun && s5mode) {
+ clearTimeout(autoRun); autoRun = null;
+ autoMatic = false; playPause = false; var obj = '';
+ obj = document.getElementById('auto'); changeClass(obj,'isoff');
+ obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ currentSlide();
+ }
+}
+function startPlay() {
+ if (!autoRun && s5mode) {
+ playPause = false; autoMatic = true; var obj = '';
+ obj = document.getElementById('auto'); changeClass(obj,'ison');
+ obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ autoRun = setTimeout('autoPlay();',playDelay);
+ currentSlide();
+ }
+}
+function pausePlay() {
+ if (s5mode && autoMatic) {
+ if (playPause) {
+ playPause = false; autoRun = setTimeout('autoPlay();',playDelay);
+ var obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ }else {
+ if (autoRun){
+ clearTimeout(autoRun); autoRun = null; playPause = true;
+ var obj = document.getElementById('pause'); changeClass(obj,'ison');
+ }
+ }
+ currentSlide();
+ }
+}
+function switchLoop() {
+ if(s5mode) {
+ if (playLoop) {
+ playLoop = false;
+ var obj = document.getElementById('loop'); changeClass(obj,'isoff');
+ }else {
+ playLoop = true;
+ var obj = document.getElementById('loop'); changeClass(obj,'ison');
+ }
+ }
+ currentSlide();
+}
+function setDelay() {
+ var val = document.getElementById('delaylist');
+ if(s5mode) {
+ var delay = Math.max(5,Math.min(parseInt(val.value),300));
+ playDelay = (fadeModus == true) ? ((delay*1000)+(2*fadeDuration)) : (delay*1000);
+ }
+}
+// autoplay extension
+
+// audio extension
+function createSoundManagerScript() {
+ if(typeof soundManager=="undefined") {
+ onerrorSM2();
+ }else {
+ var script=document.createElement('SCRIPT');
+ var tx=document.createTextNode("soundManager.createMovie();");
+ script.appendChild(tx);
+ document.getElementsByTagName("body")[0].appendChild(script);
+ }
+}
+//soundManager.onload = function() {
+function onloadSM2() {
+ if(!isIE) { //(degrade IE)
+ swfUnloaded = false;
+ preloadSounds();
+ }
+}
+//soundManager.onerror = function() {
+function onerrorSM2() {
+ if(typeof soundManager!="undefined") {
+ soundManager.destruct;
+ delete soundManager;
+ }
+ audioSupport = false; swfUnloaded = true;
+ if(audioError && !isIE && !isOp) {
+ var dv = document.createElement('div'); dv.id = "guru";
+ var d2=document.createElement('div'); dv.appendChild(d2);
+ var tx=document.createTextNode('Guru Meditation - SoundManager failed to load/initialize!');
+ d2.appendChild(tx); document.getElementById('slide0').appendChild(dv);
+ }
+}
+function audioSetup() {
+ if(sound[0]) {
+ playSound(0);
+ }else if(sound[bgSoundItem] && !sound[0]) {
+ playSound(bgSoundItem);
+ }
+}
+function fadeoutSound(ids, option) {
+ if(curSoundID >= 0 && !swfUnloaded) {
+ if(isNaN(ids)) {
+ if(ids == "bgSound") {
+ var cnum = parseInt(bgSoundItem);
+ }else {
+ for (var i = 0; i < (sound.length-1); i++) {
+ if(sound[i] && ids == sound[i]["id"]) {
+ var cnum = i;
+ break;
+ }
+ }
+ }
+ }else {
+ var cnum = ids;
+ ids = sound[cnum]["id"];
+ }
+ var vol = getMaxVolume(sound[cnum]["volume"]);
+ var millisec = fadeDuration;
+ var speed = Math.round(millisec / vol);
+ var timer = 0;
+ for(var i = vol; i > 0; i--) {
+ setTimeout("fadeout(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ if(option) setTimeout("stopSound('"+ids+"')",millisec);
+ }
+}
+function fadeout(volume, id) {
+ soundManager.setVolume(id,volume);
+}
+function stopSound(ids) {
+ var sid;
+ if(isNaN(ids)) {
+ sid = ids;
+ }else if(sound[ids]) {
+ sid = sound[ids]["id"];
+ }
+ if(sid!='' && curSoundID >= 0) {
+ soundManager.stop(sid);
+ curSoundID = -1;
+ }
+}
+function toggleSounds() {
+ if(audioSupport && !swfUnloaded) {
+ stopAllSounds();
+ }else if (!audioSupport && !swfUnloaded) {
+ allowSounds();
+ }
+}
+function allowSounds() {
+ if(!swfUnloaded) {
+ audioSupport = true;
+ var obj = document.getElementById('audio'); changeClass(obj,'ison');
+ currentSlide();
+ }
+}
+function stopAllSounds() {
+ if(curSoundID >= 0) {
+ stopSound(curSoundID);
+ }else {
+ soundManager.stopAll();
+ curSoundID = -1;
+ }
+ audioSupport = false;
+ var obj = document.getElementById('audio'); changeClass(obj,'isoff');
+ currentSlide();
+}
+function playSound(id) {
+ if(audioSupport && !swfUnloaded) {
+ var url, sid, vol, lps, cnum;
+ if(isNaN(id)) {
+ sid = id;
+ if(sid == "bgSound") {
+ cnum = parseInt(bgSoundItem);
+ }else {
+ cnum = getSoundID(id);
+ }
+ }else {
+ cnum = parseInt(id);
+ if(sound[cnum]) sid = sound[cnum]["id"];
+ }
+ if(sound[cnum] && sound[cnum]["url"]!='' && sid!=''){
+ url = sound[cnum]["url"];
+ vol = (sound[cnum]["volume"]!='')?getMaxVolume(sound[cnum]["volume"]):getMaxVolume(100);
+ lps = (sound[cnum]["loops"])?true:false;
+ if(lps) {
+ soundManager.play(sid,{volume:vol,onplay:function(){curSoundID=cnum;},onfinish:function(){soundManager.play(sid,{volume:getMaxVolume(sound[cnum]["volume"])});}});
+ }else {
+ soundManager.play(sid,{volume:vol,onplay:function(){curSoundID=cnum;},onfinish:function(){curSoundID=-1;}});
+ }
+ }
+ }
+}
+function getSoundID(str) {
+ for (var i = 0; i < (sound.length-1); i++) {
+ if(sound[i] && str == sound[i]["id"]) {
+ var id = i;
+ break;
+ }
+ }
+ return id;
+}
+function setVolume() {
+ var vol = document.getElementById('volumelist');
+ if(audioSupport && !swfUnloaded) {
+ audioVolume = parseInt(vol.value);
+ if(curSoundID >= 0) {
+ if(sound[curSoundID]) {
+ var sid = sound[curSoundID]["id"];
+ var vid = getMaxVolume(sound[curSoundID]["volume"]);
+ soundManager.setVolume(sid,vid);
+ }
+ }
+ }
+}
+function getMaxVolume(value) {
+ if(audioVolume>0) {var factor = audioVolume/100;}else {var factor = 0;}
+ return Math.max(0,Math.min(parseInt(value*factor),audioVolume));
+}
+function preloadSounds() {
+ var temp = ''; var parm = ''; var t = ''; var cl = '';
+ var objects = document.getElementsByTagName('object');
+ for (var i=0; i < objects.length; i++) {
+ if(objects[i].type.toLowerCase() == 'audio/mp3' && objects[i].data != '') {
+ objects[i].width = 0; objects[i].height = 0;
+ if(objects[i].parentNode.tagName == 'DIV') {
+ cl = objects[i].parentNode.className.toLowerCase();
+ if(cl == 'presentation' || cl == 'slide') {
+ if(cl == 'presentation') {
+ t = parseInt(bgSoundItem);
+ }else {
+ t = parseInt(objects[i].parentNode.id.slice(5, objects[i].parentNode.id.length));
+ }
+ sound[t] = new Object();
+ if(t < bgSoundItem) {
+ sound[t]["id"] = objects[i].parentNode.id;
+ }else {
+ sound[t]["id"] = "bgSound";
+ }
+ sound[t]["url"] = objects[i].data;
+ sound[t]["volume"] = 100; sound[t]["loops"] = false;
+ if(objects[i].archive != '') {
+ parm = objects[i].archive.toLowerCase().split(',');
+ for (var j=0; j < parm.length; j++) {
+ if(parm[j] == 'loop') sound[t]["loops"] = true;
+ if(parm[j].search(/^volume/) != -1) {
+ var tmp = parm[j].split(':');
+ sound[t]["volume"] = parseInt(tmp[1]);
+ }
+ }
+ }
+ soundManager.createSound(sound[t]["id"],sound[t]["url"]);
+ }
+ }
+ }
+ }
+}
+// audio extension
+
+// help extension
+function createHelpReq() {
+ if(!document.getElementById("HelpReq")) {
+ var obj = document.getElementsByTagName("body")[0].firstChild;
+ var pg = document.createElement('div');
+ pg.id = "HelpReq";
+ if (pg.addEventListener) {
+ pg.addEventListener("onclick",dumpHelpReq,false);
+ } else if (pg.attachEvent) {
+ pg.attachEvent("onclick",dumpHelpReq);
+ }
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=90)";
+ } else {
+ pg.style.opacity = 0.9;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(64,64,64)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/help.jpg)";
+ document.getElementsByTagName("body")[0].insertBefore(pg,obj);
+ if(document.getElementById("HelpReq")) {
+ helpmode = true;
+ }
+ }
+}
+function dumpHelpReq() {
+ if(document.getElementById("HelpReq")) {
+ document.body.removeChild(document.getElementById('HelpReq'));
+ helpmode = false;
+ }
+}
+// help extension
+
+//DEBUG
+function ConsoleLog(value) {
+ if(window.console) {
+ window.console.log(value);
+ }
+}
+//DEBUG
+
+document.write('<style type="text/css" media="screen" id="blockStyle">.presentation, .layout {display: none; }</style>');
+
+if(!isIE && !isOp) {
+ document.write('<script type="text/javascript" src="'+s5Path+'ui/audio_support/soundmanager2.js"></script>');
+ if(typeof soundManager!="undefined") {
+ var allMetas = document.getElementsByTagName('meta');
+ for (var i = 0; i< allMetas.length; i++) {
+ if (allMetas[i].name == 'audioDebug') {
+ var audioDebug = (allMetas[i].content == "true") ? true : false;
+ soundManager.defaultOptions.debugMode = audioDebug;
+ }
+ }
+ }
+}
+
+window.onload = createSlideShow;
+window.onresize = function(){setTimeout('windowChange()',5);} \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/toggle_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/toggle_link.png
new file mode 100644
index 000000000..4588e2514
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/toggle_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/toggle_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/toggle_over.png
new file mode 100644
index 000000000..400f613b6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/toggle_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/triangle.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/triangle.png
new file mode 100644
index 000000000..79a243385
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/triangle.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/volume_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/volume_link.png
new file mode 100644
index 000000000..f362acd1f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/volume_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/wrap.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/wrap.css
new file mode 100644
index 000000000..a37315552
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/wrap.css
@@ -0,0 +1,410 @@
+/********************************************************************
+Screen and Print Styles for the Wrap Plugin
+********************************************************************/
+
+/* tables in columns and boxes should span the whole width */
+.wrap_column table,
+.wrap_left table, .wrap_right table, .wrap_center table,
+.wrap_box table,
+.wrap_info table, .wrap_important table, .wrap_alert table, .wrap_tip table, .wrap_help table, .wrap_todo table, .wrap_download table {
+ width: 100%;
+}
+/* emulate a headline */
+.wrap_column em strong,
+.wrap_left em strong, .wrap_right em strong, .wrap_center em strong,
+.wrap_box em strong,
+.wrap_info em strong, .wrap_important em strong, .wrap_alert em strong, .wrap_tip em strong, .wrap_help em strong, .wrap_todo em strong, .wrap_download em strong {
+ font-size: 130%;
+ font-weight: bold;
+ font-style: normal;
+ display: block;
+}
+/* emulate a bigger headline with a bottom border */
+.wrap_column em strong em.u,
+.wrap_left em strong em.u, .wrap_right em strong em.u, .wrap_center em strong em.u,
+.wrap_box em strong em.u,
+.wrap_info em strong em.u, .wrap_important em strong em.u, .wrap_alert em strong em.u, .wrap_tip em strong em.u, .wrap_help em strong em.u, .wrap_todo em strong em.u, .wrap_download em strong em.u {
+ font-size: 115%;
+ border-bottom: 1px solid __border__;
+ font-style: normal;
+ text-decoration: none;
+ display: block;
+}
+/* different bigger headline for safety notes */
+.wrap_danger em strong em.u, .wrap_warning em strong em.u, .wrap_caution em strong em.u, .wrap_notice em strong em.u, .wrap_safety em strong em.u {
+ font-size: 115%;
+ font-style: normal;
+ text-decoration: none;
+ display: block;
+ text-transform: uppercase;
+ border-bottom-width: 0;
+}
+/* change border colour of emulated headlines inside boxes to something more neutral
+ (to match all the different background colours) */
+.wrap_box em strong em.u,
+.wrap_info em strong em.u, .wrap_important em strong em.u, .wrap_alert em strong em.u, .wrap_tip em strong em.u, .wrap_help em strong em.u, .wrap_todo em strong em.u, .wrap_download em strong em.u {
+ border-bottom-color: #999;
+}
+
+
+/* columns
+********************************************************************/
+
+.wrap_left,
+.wrap_column {
+ float: left;
+ margin-right: 1.5em;
+}
+.wrap_right {
+ float: right;
+ margin-left: 1.5em;
+}
+.wrap_center {
+ display: block;
+ margin-left: auto;
+ margin-right: auto;
+}
+/*
+.wrap_column,
+.wrap_left,
+.wrap_right {
+ overflow: hidden;
+}
+*/
+.wrap_container {
+ margin-right: -1.5em;
+}
+
+
+/* alignments
+********************************************************************/
+
+.wrap_leftalign {
+ text-align: left;
+}
+.wrap_centeralign {
+ text-align: center;
+}
+.wrap_rightalign {
+ text-align: right;
+}
+.wrap_justify {
+ text-align: justify;
+}
+
+
+/* box
+********************************************************************/
+
+/* see styles for boxes and notes with icons in style.css */
+
+/*____________ rounded corners ____________*/
+/* (only for modern browsers) */
+
+div.wrap_round {
+ border-radius: 20px;
+ -moz-border-radius: 20px;
+ -webkit-border-radius: 20px;
+ -khtml-border-radius: 20px;
+}
+span.wrap_round {
+ border-radius: 2px;
+ -moz-border-radius: 2px;
+ -webkit-border-radius: 2px;
+ -khtml-border-radius: 2px;
+}
+
+
+/* mark
+********************************************************************/
+
+div.wrap_lo {
+ color: __text_neu__;
+ font-size: 85%;
+}
+div.wrap_em {
+ color: #c00;
+ font-weight: bold;
+}
+
+/* see styles for highlighted text in style.css */
+
+
+/* typography
+********************************************************************/
+
+/*____________ fonts ____________*/
+
+div.wrap_sansserif {
+ font-family: Verdana,Tahoma,Geneva,"DejaVu Sans","Bitstream Vera Sans","Liberation Sans", Arial,Helvetica,FreeSans,"Liberation Sans","Nimbus Sans L", sans-serif;
+}
+div.wrap_serif {
+ font-family: Georgia,Garamond,"Palatino Linotype","Book Antiqua",Palatino,Palladio,"URW Palladio L","Liberation Serif",Didot,Gentium,"Bitstream Charter","Century Schoolbook L", "Times New Roman",Times,"Nimbus Roman No9 L","FreeSerif", serif;
+}
+div.wrap_monospace {
+ font-family: Courier,"Courier New",FreeMono,"Nimbus Mono L","Liberation Mono", "Lucida Console",Monaco,"DejaVu Sans Mono","Bitstream Vera Sans Mono", monospace;
+}
+
+/*____________ font size ____________*/
+
+div.wrap_bigger {
+ font-size: 125%;
+}
+div.wrap_muchbigger {
+ font-size: 200%;
+}
+div.wrap_smaller {
+ font-size: 75%;
+}
+
+/*____________ font colours ____________*/
+
+div.wrap_fgred {
+ color: #900;
+}
+div.wrap_fggreen {
+ color: #090;
+}
+div.wrap_fgblue {
+ color: #009;
+}
+div.wrap_fgcyan {
+ color: #099;
+}
+div.wrap_fgviolet {
+ color: #909;
+}
+div.wrap_fgyellow {
+ color: #990;
+}
+div.wrap_fggrey {
+ color: #666;
+}
+div.wrap_fgblack {
+ color: #000;
+}
+
+/* see styles for background colours and white font colour in style.css */
+
+
+/* miscellaneous
+********************************************************************/
+
+/*____________ indent ____________*/
+
+div.wrap_indent {
+ padding-left: 1.5em;
+}
+
+/*____________ outdent ____________*/
+
+div.wrap_outdent {
+ margin-left: -1.5em;
+}
+
+/*____________ word wrapping in pre ____________*/
+
+divdiv.wrap_prewrap pre {
+ white-space: pre-wrap;
+ word-wrap: break-word;/* for IE < 8 */
+ /* white-space: -moz-pre-wrap; for FF < 3 */
+}
+
+/*____________ spoiler ____________*/
+
+divdiv.wrap_spoiler {
+ margin-bottom: 1.5em;
+}
+/* see rest of spoiler styles in style.css */
+
+/*____________ clear float ____________*/
+
+div.wrap_clear {
+ clear: both;
+ line-height: 0;
+ height: 0;
+ font-size: 1px;
+ visibility: hidden;
+ overflow: hidden;
+}
+
+/*____________ hide ____________*/
+
+div.wrap_hide {
+ display: none;
+}
+
+
+/* STYLE.CSS */
+
+/* box
+********************************************************************/
+
+.wrap_box {
+ background: __background_alt__;
+ color: __text__;
+/*
+ overflow: hidden;
+*/
+}
+div.wrap_box,
+div.wrap_danger, div.wrap_warning, div.wrap_caution, div.wrap_notice, div.wrap_safety {
+ padding: 1em 1em .5em;
+ margin-bottom: 1.5em;
+}
+span.wrap_box,
+span.wrap_danger, span.wrap_warning, span.wrap_caution, span.wrap_notice, span.wrap_safety {
+ padding: 0 .3em;
+}
+
+/*____________ notes with icons ____________*/
+
+/* general styles for all note divs */
+div.wrap_info, div.wrap_important, div.wrap_alert, div.wrap_tip, div.wrap_help, div.wrap_todo, div.wrap_download {
+ padding: 1em 1em .5em 70px;
+ margin-bottom: 1.5em;
+ min-height: 68px;
+ background-position: 10px 50%;
+ background-repeat: no-repeat;
+ color: #000;
+}
+/* special treatment for IE6 */
+* html div.wrap_info, * html div.wrap_important, * html div.wrap_alert, * html div.wrap_tip, * html div.wrap_help, * html div.wrap_todo, * html div.wrap_download {
+ height: 68px;
+}
+/* general styles for all note spans */
+span.wrap_info, span.wrap_important, span.wrap_alert, span.wrap_tip, span.wrap_help, span.wrap_todo, span.wrap_download {
+ padding: 0 2px 0 20px;
+ min-height: 20px;
+ background-position: 2px 50%;
+ background-repeat: no-repeat;
+ color: #000;
+}
+/* special treatment for IE6 */
+* html span.wrap_info, * html span.wrap_important, * html span.wrap_alert, * html span.wrap_tip, * html span.wrap_help, * html span.wrap_todo, * html span.wrap_download {
+ height: 20px;
+}
+
+/*____________ info ____________*/
+.wrap_info { background-color: #d1d7df; }
+div.wrap_info { background-image: url(../../../wrap/images/note/48/info.png); }
+span.wrap_info { background-image: url(../../../wrap/images/note/16/info.png); }
+
+/*____________ important ____________*/
+.wrap_important { background-color: #ffd39f; }
+div.wrap_important { background-image: url(../../../wrap/images/note/48/important.png); }
+span.wrap_important { background-image: url(../../../wrap/images/note/16/important.png); }
+
+/*____________ alert ____________*/
+.wrap_alert { background-color: #ffbcaf; }
+div.wrap_alert { background-image: url(../../../wrap/images/note/48/alert.png); }
+span.wrap_alert { background-image: url(../../../wrap/images/note/16/alert.png); }
+
+/*____________ tip ____________*/
+.wrap_tip { background-color: #fff79f; }
+div.wrap_tip { background-image: url(../../../wrap/images/note/48/tip.png); }
+span.wrap_tip { background-image: url(../../../wrap/images/note/16/tip.png); }
+
+/*____________ help ____________*/
+.wrap_help { background-color: #dcc2ef; }
+div.wrap_help { background-image: url(../../../wrap/images/note/48/help.png); }
+span.wrap_help { background-image: url(../../../wrap/images/note/16/help.png); }
+
+/*____________ todo ____________*/
+.wrap_todo { background-color: #c2efdd; }
+div.wrap_todo { background-image: url(../../../wrap/images/note/48/todo.png); }
+span.wrap_todo { background-image: url(../../../wrap/images/note/16/todo.png); }
+
+/*____________ download ____________*/
+.wrap_download { background-color: #d6efc2; }
+div.wrap_download { background-image: url(../../../wrap/images/note/48/download.png); }
+span.wrap_download { background-image: url(../../../wrap/images/note/16/download.png); }
+
+
+/*____________ safety notes ____________*/
+
+.wrap_danger {
+ background-color: #c00;
+ color: #fff;
+}
+.wrap_warning {
+ background-color: #f60;
+ color: #000;
+}
+.wrap_caution {
+ background-color: #ff0;
+ color: #000;
+}
+.wrap_notice {
+ background-color: #06f;
+ color: #fff;
+}
+.wrap_safety {
+ background-color: #090;
+ color: #fff;
+}
+
+
+/* mark
+********************************************************************/
+
+div.wrap_hi {
+ background-color: #ff9;
+}
+
+/* typography
+********************************************************************/
+
+/*____________ font colours ____________*/
+
+div.wrap_fgwhite {
+ color: #fff;
+}
+
+/*____________ background colours ____________*/
+
+div.wrap_bgred {
+ background-color: #fcc;
+}
+div.wrap_bggreen {
+ background-color: #cfc;
+}
+div.wrap_bgblue {
+ background-color: #ccf;
+}
+div.wrap_bgcyan {
+ background-color: #9ff;
+}
+div.wrap_bgviolet {
+ background-color: #f9f;
+}
+div.wrap_bgyellow {
+ background-color: #ff9;
+}
+div.wrap_bggrey {
+ background-color: #ccc;
+}
+div.wrap_bgwhite {
+ background-color: #fff;
+}
+div.wrap_bgblack {
+ background-color: #000;
+}
+
+
+/* miscellaneous
+********************************************************************/
+
+/*____________ spoiler ____________*/
+
+div.wrap_spoiler {
+ background-color: __background__ !important;
+ color: __background__ !important;
+ border: 1px dotted red;
+}
+
+/*____________ only print ____________*/
+
+div.wrap_onlyprint {
+ display: none;
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/zero_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/zero_link.png
new file mode 100644
index 000000000..5610884d9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/zero_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/zero_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/zero_over.png
new file mode 100644
index 000000000..3a14a577e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/school/zero_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/audio_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/audio_link.png
new file mode 100644
index 000000000..daa740c40
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/audio_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/audio_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/audio_on.png
new file mode 100644
index 000000000..cd590aae9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/audio_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/audio_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/audio_over.png
new file mode 100644
index 000000000..cf537a008
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/audio_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/auto_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/auto_link.png
new file mode 100644
index 000000000..1c2ca4817
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/auto_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/auto_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/auto_on.png
new file mode 100644
index 000000000..4b0c923b8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/auto_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/auto_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/auto_over.png
new file mode 100644
index 000000000..dbea88975
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/auto_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/backgrnd.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/backgrnd.png
new file mode 100644
index 000000000..e28d6f102
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/backgrnd.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/bank.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/bank.png
new file mode 100644
index 000000000..063973f7f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/bank.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/blank.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/blank.gif
new file mode 100755
index 000000000..75b945d25
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/blank.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/delay_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/delay_link.png
new file mode 100644
index 000000000..c4d99cd57
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/delay_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/exit_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/exit_link.png
new file mode 100644
index 000000000..1bfd38790
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/exit_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/exit_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/exit_over.png
new file mode 100644
index 000000000..0fdd1c605
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/exit_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/fade_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/fade_link.png
new file mode 100644
index 000000000..482e4d53e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/fade_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/fade_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/fade_on.png
new file mode 100644
index 000000000..e12561fb2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/fade_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/fade_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/fade_over.png
new file mode 100644
index 000000000..fb8943c98
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/fade_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/footer.jpg b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/footer.jpg
new file mode 100644
index 000000000..49370dbba
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/footer.jpg
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/footer.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/footer.png
new file mode 100644
index 000000000..4f3ba14c5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/footer.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/framing.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/framing.css
new file mode 100644
index 000000000..5f0f5daa4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/framing.css
@@ -0,0 +1,22 @@
+/* The following styles size, place, and layer the slide components.
+ Edit these if you want to change the overall slide layout.
+ The commented lines can be uncommented (and modified, if necessary)
+ to help you with the rearrangement process. */
+
+/* target = 1024x768 */
+
+div#header, div#footer, .slide {width: 100%; top: 0; left: 0;}
+div#header {top: 0; height: 3em; z-index: 1;}
+div#footer {top: auto; bottom: 0; height: 2.5em; z-index: 5;}
+.slide {top: 0; width: 92%; padding: 3.5em 4% 4%; z-index: 2; list-style: none;}
+div#controls {left: 50%; bottom: 0; width: 50%; z-index: 100;}
+div#controls form {text-align: right; width: 100%; margin: 0;}
+#currentSlide {position: absolute; width: 14%; left: 43%; bottom: 1em; z-index: 10;}
+html>body #currentSlide {position: fixed;}
+
+/*
+div#header {background: #FCC;}
+div#footer {background: #CCF;}
+div#controls {background: #BBD;}
+div#currentSlide {background: #FFC;}
+*/
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/header.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/header.png
new file mode 100644
index 000000000..929dabba1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/header.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/iepngfix.htc b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/iepngfix.htc
new file mode 100755
index 000000000..bba2db756
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/iepngfix.htc
@@ -0,0 +1,42 @@
+<public:component>
+<public:attach event="onpropertychange" onevent="doFix()" />
+
+<script>
+
+// IE5.5+ PNG Alpha Fix v1.0 by Angus Turnbull http://www.twinhelix.com
+// Free usage permitted as long as this notice remains intact.
+
+// This must be a path to a blank image. That's all the configuration you need here.
+var blankImg = 'ui/default/blank.gif';
+
+var f = 'DXImageTransform.Microsoft.AlphaImageLoader';
+
+function filt(s, m) {
+ if (filters[f]) {
+ filters[f].enabled = s ? true : false;
+ if (s) with (filters[f]) { src = s; sizingMethod = m }
+ } else if (s) style.filter = 'progid:'+f+'(src="'+s+'",sizingMethod="'+m+'")';
+}
+
+function doFix() {
+ if ((parseFloat(navigator.userAgent.match(/MSIE (\S+)/)[1]) < 5.5) ||
+ (event && !/(background|src)/.test(event.propertyName))) return;
+
+ if (tagName == 'IMG') {
+ if ((/\.png$/i).test(src)) {
+ filt(src, 'image'); // was 'scale'
+ src = blankImg;
+ } else if (src.indexOf(blankImg) < 0) filt();
+ } else if (style.backgroundImage) {
+ if (style.backgroundImage.match(/^url[("']+(.*\.png)[)"']+$/i)) {
+ var s = RegExp.$1;
+ style.backgroundImage = '';
+ filt(s, 'crop');
+ } else filt();
+ }
+}
+
+doFix();
+
+</script>
+</public:component> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/index.html b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/index.html
new file mode 100755
index 000000000..39d8f46ad
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/index.html
@@ -0,0 +1,100 @@
+<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Strict//EN"
+ "http://www.w3.org/TR/xhtml1/DTD/xhtml1-strict.dtd">
+
+<html xmlns="http://www.w3.org/1999/xhtml" xml:lang="es" lang="es-es" >
+
+
+<head>
+
+ <title>[T铆tulo de la presentaci贸n aqu铆]</title>
+ <!-- metadata -->
+ <meta http-equiv="content-type" content="text/html; charset=utf-8" />
+ <meta http-equiv="content-language" content="es" />
+
+ <!--titulo y datos de publicaci贸n de la presentaci贸n-->
+
+ <meta name="description" content="[T铆tulo de la presentaci贸n aqu铆]" />
+
+ <meta name="keywords" content="[keywords aqu铆]" />
+ <meta name="presdate" content="[Aqu铆 la fecha de publicaci贸n en formato aaaammdd]" />
+
+ <meta name="author" lang="es" content="[Nombre del autor de la presentaci贸n aqu铆]" />
+ <meta name="company" content="[Organizaci贸n del autor de la presentaci贸n aqu铆]" />
+
+ <link rel="shortcut icon" href="ui/default/pics/favicon.ico" />
+
+ <meta name="generator" content="S5" />
+ <meta name="progid" content="quanta+" />
+ <meta name="version" content="S5 路 jEsuSdA 路 1.1" />
+ <!--Versi贸n adaptada del estandard S5 1.1 por jEsuSdA-->
+
+ <meta name="robots" content="all" />
+
+ <!-- configuration parameters -->
+ <meta name="defaultView" content="slideshow" />
+ <meta name="controlVis" content="hidden" />
+
+ <!-- style sheet links -->
+ <link rel="stylesheet" href="ui/default/slides.css" type="text/css" media="projection" id="slideProj" />
+ <link rel="stylesheet" href="ui/default/outline.css" type="text/css" media="screen" id="outlineStyle" />
+ <link rel="stylesheet" href="ui/default/print.css" type="text/css" media="print" id="slidePrint" />
+ <link rel="stylesheet" href="ui/default/opera.css" type="text/css" media="projection" id="operaFix" />
+
+ <!-- S5 JS -->
+ <script src="ui/default/slides.js" type="text/javascript"></script>
+
+</head>
+
+<body>
+
+ <div class="layout">
+ <div id="controls"><!-- NO EDITAR --></div>
+ <div id="currentSlide"><!-- NO EDITAR --></div>
+
+ <div id="header">
+ <div id="logo"><!-- NO EDITAR --></div>
+ </div>
+
+ </div>
+
+ <div id="footer">
+ <h1>[Lugar/Fecha de la presentaci贸n aqu铆]</h1>
+ <h2>[T铆tulo de la presentaci贸n aqu铆]</h2>
+ </div>
+
+
+
+
+<div class="presentation">
+
+ <div class="slide">
+ <h1>[T铆tulo de la presentaci贸n aqu铆]</h1>
+ <h3>[Nombre del ponente aqu铆]</h3>
+ <h4>[Datos extra aqu铆]</h4>
+ </div>
+
+
+ <div class="slide">
+ <h1>[T铆tulo de la diapositiva]</h1>
+
+ <ul>
+ <li>[Punto uno]</li>
+ <li>[Punto dos]</li>
+ <li>[Punto tres]</li>
+ <li>[Punto cuatro]</li>
+ <li>[Punto cinco]</li>
+ </ul>
+
+ <div class="handout">
+
+ [A帽ade aqu铆 cualquier nota o informaci贸n que desees mostrar en la versi贸n impresa, pero no en la versi贸n en pantalla]
+
+ </div>
+
+ </div>
+ <!--fin slide-->
+
+</div>
+
+</body>
+</html>
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/last_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/last_link.png
new file mode 100644
index 000000000..4f158d35d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/last_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/last_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/last_over.png
new file mode 100644
index 000000000..a7b629995
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/last_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/list_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/list_link.png
new file mode 100644
index 000000000..7e299bb50
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/list_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/loop_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/loop_link.png
new file mode 100644
index 000000000..41e12cb15
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/loop_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/loop_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/loop_on.png
new file mode 100644
index 000000000..2ffcacae1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/loop_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/loop_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/loop_over.png
new file mode 100644
index 000000000..9fe420153
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/loop_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/next_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/next_link.png
new file mode 100644
index 000000000..2b9f44c4d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/next_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/next_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/next_over.png
new file mode 100644
index 000000000..25788124d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/next_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/notes_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/notes_link.png
new file mode 100644
index 000000000..bb4a8ae37
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/notes_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/notes_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/notes_over.png
new file mode 100644
index 000000000..c6bfe69fc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/notes_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/opera.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/opera.css
new file mode 100644
index 000000000..9e9d2a3c5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/opera.css
@@ -0,0 +1,7 @@
+/* DO NOT CHANGE THESE unless you really want to break Opera Show */
+.slide {
+ visibility: visible !important;
+ position: static !important;
+ page-break-before: always;
+}
+#slide0 {page-break-before: avoid;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/outline.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/outline.css
new file mode 100644
index 000000000..c04f5006a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/outline.css
@@ -0,0 +1,14 @@
+/* don't change this unless you want the layout stuff to show up in the outline view! */
+
+.hide, .layout div, #footer *, #controlForm * {display: none;}
+#footer, #controls, #controlForm, #navLinks, #sheet {display: block; visibility: visible; margin: 0; padding: 0;}
+#sheet {float: right; padding: 0.5em;}
+html>body #sheet {position: fixed; top: 0; right: 0;}
+
+
+/* making the outline look pretty-ish */
+
+#slide0 h1, #slide0 h2, #slide0 h3, #slide0 h4 {border: none; margin: 0;}
+#slide0 h1 {padding-top: 1.5em;}
+.slide h1 {margin: 1.5em 0 0; padding-top: 0.25em; border-top: 1px solid #888; border-bottom: 1px solid #AAA;}
+#sheet {border: 1px solid; border-width: 0 0 1px 1px; background: #FFF;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/pattern.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/pattern.png
new file mode 100644
index 000000000..6258f024b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/pattern.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/pause_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/pause_link.png
new file mode 100644
index 000000000..2561cb4a4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/pause_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/pause_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/pause_on.png
new file mode 100644
index 000000000..2fc9c4a4a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/pause_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/pause_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/pause_over.png
new file mode 100644
index 000000000..7a7c80ddc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/pause_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/pretty.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/pretty.css
new file mode 100755
index 000000000..866b03735
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/pretty.css
@@ -0,0 +1,163 @@
+/* Following are the presentation styles -- edit away! */
+/* S5 1.3beta7 (29-Mar-2007) advanced version by C. Effenberger */
+
+body {background: #FFF url(backgrnd.png) repeat-x fixed; color: #333; font-family: Georgia, "Times New Roman", Times, serif; font-size: 2.25em;}
+a:link, a:visited {text-decoration: none; color: #F93; text-shadow: 0.1em 0.1em 0.1em #000;}
+a:focus, a:hover {color: #f33 !important;}
+
+h1, h2, h3, h4 {font-size: 100%; margin: 0; padding: 0; font-weight: inherit;}
+h1 {text-shadow: 0.1em 0.1em 0.1em #333; background-color: transparent;}
+
+ul, pre {margin: 0; line-height: 1em;}
+html, body {margin: 0; padding: 0;}
+
+blockquote, q {font-style: italic;}
+blockquote {padding: 0 2em 0.5em; margin: 0 1.5em 0.5em; text-align: center; font-size: 1em;}
+blockquote p {margin: 0;}
+blockquote i {font-style: normal;}
+blockquote b {display: block; margin-top: 0.5em; font-weight: normal; font-size: smaller; font-style: normal;}
+blockquote b i {font-style: italic;}
+
+img {border: 0; }
+kbd {font-weight: bold; font-size: 1em;}
+sup {font-size: smaller; line-height: 1px;}
+
+.slide code {padding: 2px 0.25em; font-weight: bold; color: green;}
+.slide code.bad, code del {color: red;}
+.slide code.old {color: silver;}
+.slide pre {padding: 0; margin: 0.25em 0 0.5em 0.5em; color: green; font-size: 90%;}
+.slide pre code {display: block;}
+.slide ul {margin-left: 5%; margin-right: 7%; list-style: disc;}
+.slide li {margin-top: 0.75em; margin-right: 0;}
+.slide ul ul {line-height: 1;}
+.slide ul ul li {margin: .2em; font-size: 85%; list-style: square;}
+.slide img.leader {display: block; margin: 0 auto;}
+
+div#header, div#footer {background: transparent; color: #AAA; font-family: Verdana, Arial, Helvetica, sans-serif;}
+div#header {line-height: 1px;}
+div#footer {background: transparent url(footer.png) top; color: #fff; font-size: 0.5em; font-weight: bold; padding: 1em 0;}
+#footer h1, #footer h2 {display: block; padding: 0; position:absolute; bottom:0.5em;}
+#footer h1 {left:1em; text-shadow: 0.2em 0.2em #000;}
+#footer h2 {right:1em; text-shadow: 0.2em 0.2em #000; font-style: italic;}
+
+div#logo
+{
+ position:absolute;
+ top:0%;
+ left:0%;
+ background:url(sun.png) 50% 50% no-repeat;
+ height:256px;
+ width:256px;
+}
+div#logo_bottom
+{
+ position:absolute;
+ bottom:50%;
+ right:0%;
+ background:url(bank.png) 50% 50% no-repeat;
+ height:205px;
+ width:138px;
+}
+
+div.long {font-size: 0.75em;}
+.slide h1 {position: absolute; top: 0.9em; left: 1.25em; z-index: 1; margin: 0; padding: 0.35em 0 0 50px; white-space: nowrap; font: bold 150%/1em Verdana, Arial, Helvetica, sans-serif; text-transform: capitalize; color: #DDD; background-color: transparent;}
+.slide h3 {font-size: 130%;}
+h1 abbr {font-variant: small-caps;}
+
+div#controls {position: absolute; left: 0; bottom: 0; width: 100%; text-align: center; font: bold 1em Verdana, Arial, Helvetica, sans-serif;}
+html>body div#controls {background: transparent; position: fixed; padding: 0; top: auto; text-align: center;}
+#controls #sheet {display: none;}
+#controls #controlForm {height: 32px; width: 100%; text-align: center; vertical-align: middle;}
+#controls #navLinks {border-top: 1px solid #999; overflow: hidden; padding: 0; margin: 0; height: 32px; width: 100%; background-color: #808080; text-align: center; vertical-align: middle; white-space: nowrap;}
+#controls #navLinks ul {margin: 0 auto; padding: 0; height: 32px; width: 500px; white-space: nowrap; text-align: center;}
+#controls #navLinks ul li {list-style: none; display: inline; margin: 0; padding: 0; float: left; height: 32px; width: 32px; text-align: center; background-color: transparent;}
+#controls #navLinks ul li.vr {list-style: none; display: inline; margin: 0px 2px; padding: 0; float: left; height: 32px; width: 1px; text-align: center; background-color: #606060;}
+#controls #navLinks ul li a {margin: 0; padding: 0; float: left; height: 32px; width: 32px; background-color: transparent;}
+
+#controls #navLinks ul li#exit {background: url(exit_link.png) no-repeat top left;}
+#controls #navLinks ul li#exit a:hover {background: url(exit_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#toggle {background: url(toggle_link.png) no-repeat top left;}
+#controls #navLinks ul li#toggle a:hover {background: url(toggle_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#show-notes {background: url(notes_link.png) no-repeat top left;}
+#controls #navLinks ul li#show-notes a:hover {background: url(notes_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#zero {background: url(zero_link.png) no-repeat top left;}
+#controls #navLinks ul li#zero a:hover {background: url(zero_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#prev {background: url(prev_link.png) no-repeat top left;}
+#controls #navLinks ul li#prev a:hover {background: url(prev_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#next {background: url(next_link.png) no-repeat top left;}
+#controls #navLinks ul li#next a:hover {background: url(next_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#last {background: url(last_link.png) no-repeat top left;}
+#controls #navLinks ul li#last a:hover {background: url(last_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#lst {background: url(list_link.png) no-repeat top left;}
+
+#controls #navLinks ul li#fade a.isoff {background: url(fade_link.png) no-repeat top left;}
+#controls #navLinks ul li#fade a.ison {background: url(fade_on.png) no-repeat top right;}
+#controls #navLinks ul li#fade a:hover {background: url(fade_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#audio {background: url(audio_link.png) no-repeat top left;}
+#controls #navLinks ul li#audio a.isoff {background: url(audio_link.png) no-repeat top left;}
+#controls #navLinks ul li#audio a.ison {background: url(audio_on.png) no-repeat top right;}
+#controls #navLinks ul li#audio a:hover {background: url(audio_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#vol {background: url(volume_link.png) no-repeat top left;}
+
+#controls #navLinks ul li#auto a.isoff {background: url(auto_link.png) no-repeat top left;}
+#controls #navLinks ul li#auto a.ison {background: url(auto_on.png) no-repeat top right;}
+#controls #navLinks ul li#auto a:hover {background: url(auto_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#pause a.isoff {background: url(pause_link.png) no-repeat top left;}
+#controls #navLinks ul li#pause a.ison {background: url(pause_on.png) no-repeat top right;}
+#controls #navLinks ul li#pause a:hover {background: url(pause_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#loop a.isoff {background: url(loop_link.png) no-repeat top left;}
+#controls #navLinks ul li#loop a.ison {background: url(loop_on.png) no-repeat top right;}
+#controls #navLinks ul li#loop a:hover {background: url(loop_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#del {background: url(delay_link.png) no-repeat top left;}
+
+#jumplist, #volumelist, #delaylist {padding: 0; margin: 0; width: 32px; height: 32px; cursor: n-resize;}
+
+#currentSlide {white-space: nowrap; text-align: center; margin-bottom: -0.5em; font-size: 0.5em; background-color: #7BB81B; color: #fff;}
+
+#guru {position: absolute; visibility: visible; left: 0px; top: 0px; padding: 4px; width: 99%; height: auto; text-align: center; background-color: black; z-index: 10;}
+#guru div {border: solid 3px red; padding: 4px; font-family: monospace; font-size: 60%; width: auto; height: auto; color: red; text-align: center;}
+
+#slide0 {padding-top: 3.5em; font-size: 90%;}
+#slide0 h1 {position: static; margin: 1em 0 0; padding: 0; font: bold 3em Verdana, Arial, Helvetica, sans-serif; font-variant: small-caps; text-shadow: 0.1em 0.1em 0.1em #666; white-space: normal; color: #222; background-color: transparent;}
+#slide0 h2 {font: bold italic 1em Arial, Helvetica, sans-serif; margin: 0.25em;}
+#slide0 h3 {margin-top: 1.5em; font-size: 1.5em;}
+#slide0 h4 {margin-top: 0; font-size: 1em;}
+
+ul.urls {list-style: none; display: inline; margin: 0;}
+.urls li {display: inline; margin: 0;}
+.note {display: none;}
+.external {border-bottom: 1px dotted gray;}
+html>body .external {border-bottom: none;}
+.external:after {content: " \274F"; font-size: smaller; color: #F93;}
+
+.incremental, .incremental *, .incremental *:after {color: #39f; visibility: visible;}
+img.incremental, canvas.incremental {visibility: hidden;}
+.slide .current {color: #B02;}
+
+/* diagnostics
+li:after {content: " [" attr(class) "]"; color: #F88;}
+*/
+
+table.piechart, table.barchart, table.linechart { border-spacing: 0.3em 0.15em; }
+table.piechart tr th, table.barchart tr th, table.linechart tr th { white-space: nowrap; }
+table.piechart tr td, table.barchart tr td, table.linechart tr td { vertical-align: top; white-space: nowrap; }
+table.piechart tr td.col, table.barchart tr td.col, table.linechart tr td.col { border-bottom: 1px solid #555; border-right: 1px solid #555; }
+table.fs90 tr td, table.fs90 tr th, div.fs90, pre.fs90, p.fs90 ,ul.fs90 {font-size: 0.9em; }
+table.fs75 tr td, table.fs75 tr th, div.fs75, pre.fs75, p.fs75 ,ul.fs75 {font-size: 0.75em; }
+table.fs66 tr td, table.fs66 tr th, div.fs66, pre.fs66, p.fs66 ,ul.fs66 {font-size: 0.66em; }
+table.fs50 tr td, table.fs50 tr th, div.fs50, pre.fs50, p.fs50 ,ul.fs50 {font-size: 0.5em; }
+
+#soundmanager-debug {position:fixed; top:0px; right:0px; width:30em; height:20em; overflow:auto; border:1px solid red; padding:1em; margin:2em; font-family:"sans serif"; font-size: 12px;color: black; background-color:#f6f6f6; z-index: 100;}
+#soundmanager-debug code {font-size: 11px;} \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/prev_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/prev_link.png
new file mode 100644
index 000000000..c31d21350
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/prev_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/prev_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/prev_over.png
new file mode 100644
index 000000000..6fef4fa52
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/prev_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/print.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/print.css
new file mode 100644
index 000000000..5affe5da6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/print.css
@@ -0,0 +1,3 @@
+/* The following rule is necessary to have all slides appear in print! DO NOT REMOVE IT! */ .slide, ul, p {page-break-inside: avoid; visibility: visible !important;} h1 {page-break-after: avoid;}
+img {page-break-inside: avoid; page-break-after: avoid;}
+/*.slide {page-break-after: always;}*/ body {font-size: 12pt; background: white;} * {color: black;} #slide0 h1 {font-size: 200%; border: none; margin: 0.5em 0 0.25em;} #slide0 h3 {margin: 0; padding: 0;} #slide0 h4 {margin: 0 0 0.5em; padding: 0;} #slide0 {margin-bottom: 3em;} h1 {border-top: 2pt solid gray; border-bottom: 1px dotted silver;} .extra {background: transparent !important;} div.extra, pre.extra, .example {font-size: 10pt; color: #333;} ul.extra a {font-weight: bold;} p.example {display: none;} #header {display: none;} #footer h1 {margin: 0; border-bottom: 1px solid; color: gray; font-style: italic;} #footer h2, #controls {display: none;} /* The following rule keeps the layout stuff out of print. Remove at your own risk! */ .hide, .layout, .layout * {display: none !important;} \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/s5-core.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/s5-core.css
new file mode 100644
index 000000000..8ed9c1e20
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/s5-core.css
@@ -0,0 +1,8 @@
+/* Do not edit or override these styles! The system will likely break if you do. */
+
+div#header, div#footer, div#controls, .slide {position: absolute;}
+html>body div#header, html>body div#footer, html>body div#controls, html>body .slide {position: fixed;}
+.handout, .notes, .hide {display: none;}
+.layout {display: block;}
+.slide, .hideme, .incremental {visibility: hidden;}
+#slide0 {visibility: visible;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/slides.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/slides.css
new file mode 100644
index 000000000..0786d7dbd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/slides.css
@@ -0,0 +1,3 @@
+@import url(s5-core.css); /* required to make the slide show run at all */
+@import url(framing.css); /* sets basic placement and size of slide components */
+@import url(pretty.css); /* stuff that makes the slides look better than blah */ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/slides.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/slides.js
new file mode 100644
index 000000000..510a04c0e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/slides.js
@@ -0,0 +1,2812 @@
+// S5 1.3beta7 (18-Apr-2007) advanced version by C. Effenberger
+// Please see http://s5.netzgesta.de/ for more information
+// based on S5 v1.2a1 slides.js -- released into the Public Domain
+// Please see http://www.meyerweb.com/eric/tools/s5/credits.html for information
+// about all the wonderful and talented contributors to this code!
+// audio extension: soundmanager2 is NOT Public Domain
+// Please see http://www.schillmania.com/projects/soundmanager2/ for information
+
+var undef;
+var slideCSS = '';
+var snum = 0;
+var smax = 1;
+var incpos = 0;
+var number = undef;
+var firstTime = 1;
+var s5mode = true;
+var helpmode = false;
+var defaultView = 'slideshow'; //outline
+var controlVis = 'visible';
+var s5Path = 'lib/plugins/s5reloaded/';
+
+// scalable images extension
+var empx = 0;
+var images = new Array();
+var canvas = new Array();
+var medias = new Array();
+var piecharts = new Array();
+var barcharts = new Array();
+var linecharts = new Array();
+// scalable images extension
+
+// transition extension
+var tranSitions = false;
+var fadeModus = false;
+var fadeDuration = 500;
+var incrDuration = 250;
+var opac = 1;
+var cid = '';
+var nid = '';
+var tid = '';
+var jl = '';
+// transition extension
+
+// autoplay extension
+var autoMatic = false;
+var playLoop = false;
+var playPause = false;
+var autoRun = false;
+var playDelay = 5000;
+var remainDer = 0;
+var incrDelay = 0;
+// autoplay extension
+
+// audio extension
+var sound = new Array();
+var audioSupport = false;
+var audioVolume = 100;
+var audioError = false;
+var swfUnloaded = true;
+var bgSoundItem = 9999;
+var curSoundID = -1;
+// audio extension
+
+// panel extension
+var imgWidth = 32;
+var imgHeight = 32;
+// panel extension
+
+// canvas chart extension
+var canvasSupport = false;
+var ChartData = new Array();
+var colorSlice = new Array();
+var font = document.createElement("img");
+font.setAttribute("src", s5Path+"ui/graphic_support/numeric.png");
+signs = {
+ '0': {sx: 0, sy: 0, sw: 48, sh: 64},
+ '1': {sx: 48, sy: 0, sw: 48, sh: 64},
+ '2': {sx: 96, sy: 0, sw: 48, sh: 64},
+ '3': {sx: 144, sy: 0, sw: 48, sh: 64},
+ '4': {sx: 192, sy: 0, sw: 48, sh: 64},
+ '5': {sx: 240, sy: 0, sw: 48, sh: 64},
+ '6': {sx: 288, sy: 0, sw: 48, sh: 64},
+ '7': {sx: 336, sy: 0, sw: 48, sh: 64},
+ '8': {sx: 384, sy: 0, sw: 48, sh: 64},
+ '9': {sx: 432, sy: 0, sw: 48, sh: 64},
+ '%': {sx: 480, sy: 0, sw: 48, sh: 64},
+ '.': {sx: 528, sy: 0, sw: 24, sh: 64}
+};
+var colorNames= new Array();
+colorNames["black"]="#000000"; colorNames["maroon"]="#800000";
+colorNames["green"]="#008000"; colorNames["olive"]="#808000";
+colorNames["navy"]="#000080"; colorNames["purple"]="#800080";
+colorNames["teal"]="#008080"; colorNames["gray"]="#808080";
+colorNames["silver"]="#C0C0C0"; colorNames["red"]="#FF0000";
+colorNames["lime"]="#00FF00"; colorNames["yellow"]="#FFFF00";
+colorNames["blue"]="#0000FF"; colorNames["fuchsia"]="#FF00FF";
+colorNames["aqua"]="#00FFFF"; colorNames["white"]="#FFFFFF";
+colorNames["aliceblue"]="#F0F8FF"; colorNames["antiquewhite"]="#FAEBD7";
+colorNames["aquamarine"]="#7FFFD4"; colorNames["azure"]="#F0FFFF";
+colorNames["beige"]="#F5F5DC"; colorNames["blueviolet"]="#8A2BE2";
+colorNames["brown"]="#A52A2A"; colorNames["burlywood"]="#DEB887";
+colorNames["cadetblue"]="#5F9EA0"; colorNames["chartreuse"]="#7FFF00";
+colorNames["chocolate"]="#D2691E"; colorNames["coral"]="#FF7F50";
+colorNames["cornflowerblue"]="#6495ED"; colorNames["cornsilk"]="#FFF8DC";
+colorNames["crimson"]="#DC143C"; colorNames["darkblue"]="#00008B";
+colorNames["darkcyan"]="#008B8B"; colorNames["darkgoldenrod"]="#B8860B";
+colorNames["darkgray"]="#A9A9A9"; colorNames["darkgreen"]="#006400";
+colorNames["darkkhaki"]="#BDB76B"; colorNames["darkmagenta"]="#8B008B";
+colorNames["darkolivegreen"]="#556B2F"; colorNames["darkorange"]="#FF8C00";
+colorNames["darkorchid"]="#9932CC"; colorNames["darkred"]="#8B0000";
+colorNames["darksalmon"]="#E9967A"; colorNames["darkseagreen"]="#8FBC8F";
+colorNames["darkslateblue"]="#483D8B"; colorNames["darkslategray"]="#2F4F4F";
+colorNames["darkturquoise"]="#00CED1"; colorNames["darkviolet"]="#9400D3";
+colorNames["deeppink"]="#FF1493"; colorNames["deepskyblue"]="#00BFFF";
+colorNames["dimgray"]="#696969"; colorNames["dodgerblue"]="#1E90FF";
+colorNames["firebrick"]="#B22222"; colorNames["floralwhite"]="#FFFAF0";
+colorNames["forestgreen"]="#228B22"; colorNames["gainsboro"]="#DCDCDC";
+colorNames["ghostwhite"]="#F8F8FF"; colorNames["gold"]="#FFD700";
+colorNames["goldenrod"]="#DAA520"; colorNames["greenyellow"]="#ADFF2F";
+colorNames["honeydew"]="#F0FFF0"; colorNames["hotpink"]="#FF69B4";
+colorNames["indianred"]="#CD5C5C"; colorNames["indigo"]="#4B0082";
+colorNames["ivory"]="#FFFFF0"; colorNames["khaki"]="#F0E68C";
+colorNames["lavender"]="#E6E6FA"; colorNames["lavenderblush"]="#FFF0F5";
+colorNames["lawngreen"]="#7CFC00"; colorNames["lemonchiffon"]="#FFFACD";
+colorNames["lightblue"]="#ADD8E6"; colorNames["lightcoral"]="#F08080";
+colorNames["lightcyan"]="#E0FFFF"; colorNames["lightgoldenrodyellow"]="#FAFAD2";
+colorNames["lightgreen"]="#90EE90"; colorNames["lightgrey"]="#D3D3D3";
+colorNames["lightpink"]="#FFB6C1"; colorNames["lightsalmon"]="#FFA07A";
+colorNames["lightseagreen"]="#20B2AA"; colorNames["lightskyblue"]="#87CEFA";
+colorNames["lightslategray"]="#778899"; colorNames["lightsteelblue"]="#B0C4DE";
+colorNames["lightyellow"]="#FFFFE0"; colorNames["limegreen"]="#32CD32";
+colorNames["linen"]="#FAF0E6"; colorNames["mediumaquamarine"]="#66CDAA";
+colorNames["mediumblue"]="#0000CD"; colorNames["mediumorchid"]="#BA55D3";
+colorNames["ediumpurple"]="#9370D"; colorNames["mediumseagreen"]="#3CB371";
+colorNames["mediumslateblue"]="#7B68EE"; colorNames["mediumspringgreen"]="#00FA9A";
+colorNames["mediumturquoise"]="#48D1CC"; colorNames["mediumvioletred"]="#C71585";
+colorNames["midnightblue"]="#191970"; colorNames["mintcream"]="#F5FFFA";
+colorNames["mistyrose"]="#FFE4E1"; colorNames["moccasin"]="#FFE4B5";
+colorNames["navajowhite"]="#FFDEAD"; colorNames["oldlace"]="#FDF5E6";
+colorNames["olivedrab"]="#6B8E23"; colorNames["orange"]="#FFA500";
+colorNames["orangered"]="#FF4500"; colorNames["orchid"]="#DA70D6";
+colorNames["palegoldenrod"]="#EEE8AA"; colorNames["palegreen"]="#98FB98";
+colorNames["paleturquoise"]="#AFEEEE"; colorNames["palevioletred"]="#DB7093";
+colorNames["papayawhip"]="#FFEFD5"; colorNames["peachpuff"]="#FFDAB9";
+colorNames["peru"]="#CD853F"; colorNames["pink"]="#FFC0CB";
+colorNames["plum"]="#DDA0DD"; colorNames["powderblue"]="#B0E0E6";
+colorNames["rosybrown"]="#BC8F8F"; colorNames["royalblue"]="#4169E1";
+colorNames["saddlebrown"]="#8B4513"; colorNames["salmon"]="#FA8072";
+colorNames["sandybrown"]="#F4A460"; colorNames["seagreen"]="#2E8B57";
+colorNames["seashell"]="#FFF5EE"; colorNames["sienna"]="#A0522D";
+colorNames["skyblue"]="#87CEEB"; colorNames["slateblue"]="#6A5ACD";
+colorNames["slategray"]="#708090"; colorNames["snow"]="#FFFAFA";
+colorNames["springgreen"]="#00FF7F"; colorNames["steelblue"]="#4682B4";
+colorNames["tan"]="#D2B48C"; colorNames["thistle"]="#D8BFD8";
+colorNames["tomato"]="#FF6347"; colorNames["turquoise"]="#40E0D0";
+colorNames["violet"]="#EE82EE"; colorNames["wheat"]="#F5DEB3";
+colorNames["whitesmoke"]="#F5F5F5"; colorNames["yellowgreen"]="#9ACD32";
+var canvas_bgcolor = "";
+var canvas_width = 200;
+var canvas_height = 200;
+var canvas_noshade = 0;
+var canvas_nofill = 0;
+var canvas_noshadow = 0;
+var canvas_htmltext = 0;
+var canvas_imgtext = 0;
+var canvas_notext = 0;
+// canvas chart extension
+
+var s5NotesWindow;
+var s5NotesWindowLoaded = false;
+var previousSlide = 0;
+var presentationStart = new Date();
+var slideStart = new Date();
+
+var countdown = {
+ timer: 0,
+ state: 'pause',
+ start: new Date(),
+ end: 0,
+ remaining: 0
+};
+
+var isIE = navigator.appName == 'Microsoft Internet Explorer' && navigator.userAgent.indexOf('Opera') < 1 ? 1 : 0;
+if(isIE) var notIE7 = parseInt(navigator.appVersion) < 7 ? 1 : 0;
+var isOp = navigator.userAgent.indexOf('Opera') > -1 ? 1 : 0;
+var isGe = navigator.userAgent.indexOf('Gecko') > -1 && navigator.userAgent.indexOf('Safari') < 1 ? 1 : 0;
+var isS2 = navigator.userAgent.indexOf('Safari') >= 2 ? 1 : 0;
+
+function hasClass(object, className) {
+ if (!object.className) return false;
+ return (object.className.search('(^|\\s)' + className + '(\\s|$)') != -1);
+}
+
+function hasValue(object, value) {
+ if (!object) return false;
+ return (object.search('(^|\\s)' + value + '(\\s|$)') != -1);
+}
+
+function removeClass(object,className) {
+ if (!object || !hasClass(object,className)) return;
+ object.className = object.className.replace(new RegExp('(^|\\s)'+className+'(\\s|$)'), RegExp.$1+RegExp.$2);
+}
+
+function addClass(object,className) {
+ if (!object || hasClass(object, className)) return;
+ if (object.className) {
+ object.className += ' '+className;
+ } else {
+ object.className = className;
+ }
+}
+
+function changeClass(object,className) {
+ if (!object) return;
+ object.firstChild.className = className;
+}
+
+function GetElementsWithClassName(elementName,className) {
+ var allElements = document.getElementsByTagName(elementName);
+ var elemColl = new Array();
+ for (var i = 0; i< allElements.length; i++) {
+ if (hasClass(allElements[i], className)) {
+ elemColl[elemColl.length] = allElements[i];
+ }
+ }
+ return elemColl;
+}
+
+function isParentOrSelf(element, id) {
+ if (element == null || element.nodeName=='BODY') return false;
+ else if (element.id == id) return true;
+ else return isParentOrSelf(element.parentNode, id);
+}
+
+function nodeValue(node) {
+ var result = "";
+ if (node.nodeType == 1) {
+ var children = node.childNodes;
+ for (var i = 0; i < children.length; ++i) {
+ result += nodeValue(children[i]);
+ }
+ }
+ else if (node.nodeType == 3) {
+ result = node.nodeValue;
+ }
+ return(result);
+}
+
+function slideLabel() {
+ var slideColl = GetElementsWithClassName('*','slide');
+ var list = document.getElementById('jumplist');
+ smax = slideColl.length;
+ for (var n = 0; n < smax; n++) {
+ var obj = slideColl[n];
+ var did = 'slide' + n.toString();
+ obj.setAttribute('id',did);
+ var otext = '';
+ var menu = obj.firstChild;
+ if (!menu) continue; // to cope with empty slides
+ while (menu && menu.nodeType == 3) {
+ menu = menu.nextSibling;
+ }
+ if (!menu) continue; // to cope with slides with only text nodes
+ var menunodes = menu.childNodes;
+ for (var o = 0; o < menunodes.length; o++) {
+ otext += nodeValue(menunodes[o]);
+ }
+ list.options[list.length] = new Option(n + ' : ' + otext, n);
+ }
+}
+
+function currentSlide() {
+ var cs, at, fd, ss;
+ if (document.getElementById) {
+ cs = document.getElementById('currentSlide');
+ } else {
+ cs = document.currentSlide;
+ }
+ fd = fadeModus?"F":"&ndash;";
+ ss = audioSupport?"S":"&ndash;";
+ at = (autoMatic?(playPause?"||":(playLoop?"&gt;0":"&gt;|")):"&ndash;&ndash;");
+ cs.innerHTML = '<div id="plink" nowrap="nowrap">' +
+ '<span id="csFade">[' + fd + ss + ']<\/span>&nbsp;' +
+ '<span id="csHere"><strong>' + snum + '<\/strong><\/span>' +
+ '<span id="csSep">\/<\/span>' +
+ '<span id="csTotal">' + (smax-1) + '<\/span>&nbsp;' +
+ '<span id="csAuto">[' + at + ']<\/span>' +
+ '<\/div>';
+
+ if (snum == 0) {
+ cs.style.visibility = 'hidden';
+ } else {
+ cs.style.visibility = 'visible';
+ }
+}
+
+function go(step) {
+ if (document.getElementById('slideProj').disabled || step == 0) return;
+ jl = document.getElementById('jumplist');
+ cid = 'slide' + snum;
+ var ce = document.getElementById(cid);
+ if (incrementals[snum].length > 0) {
+ for (var i = 0; i < incrementals[snum].length; i++) {
+ removeClass(incrementals[snum][i], 'current');
+ removeClass(incrementals[snum][i], 'incremental');
+ }
+ }
+ if (step != 'j') {
+ snum += step;
+ lmax = smax - 1;
+ if (snum > lmax) snum = lmax;
+ if (snum < 0) snum = 0;
+ }else {
+ snum = parseInt(jl.value);
+ }
+ nid = 'slide' + snum;
+ var ne = document.getElementById(nid);
+ if (!ne) {
+ ne = document.getElementById('slide0');
+ nid = 'slide0';
+ snum = 0;
+ }
+ if (step < 0) {
+ incpos = incrementals[snum].length
+ }else {
+ incpos = 0;
+ }
+ if (incrementals[snum].length > 0 && incpos == 0) {
+ for (var i = 0; i < incrementals[snum].length; i++) {
+ if (hasClass(incrementals[snum][i], 'current')) {
+ incpos = i + 1;
+ }else {
+ addClass(incrementals[snum][i], 'incremental');
+ }
+ }
+ }
+ if (incrementals[snum].length > 0 && incpos > 0) {
+ addClass(incrementals[snum][incpos - 1], 'current');
+ }
+ var guru = document.getElementById('guru');
+ if(guru && snum==0) {
+ guru.style.visibility = 'visible';
+ }else if(guru && snum>0) {
+ guru.style.visibility = 'hidden';
+ }
+ if(tranSitions && s5mode && fadeModus) {
+ if(curSoundID != getSoundID(nid)) {
+ if(curSoundID == bgSoundItem && !sound[getSoundID(nid)]) {
+ }else {fadeoutSound(curSoundID,true); } // audio support
+ }
+ changeOpac(0,nid);
+ changeOpac(100,cid);
+ ce.style.visibility = 'visible';
+ shiftOpacity(cid,fadeDuration);
+ window.setTimeout("changeSlides()",fadeDuration);
+ }else {
+ if(curSoundID != getSoundID(nid)) {
+ if(curSoundID == bgSoundItem && !sound[getSoundID(nid)]) {
+ }else {stopSound(curSoundID); } // audio support
+ }
+ ce.style.visibility = 'hidden';
+ if (isOp) location.hash = nid;
+ ne.style.visibility = 'visible';
+ finishSlides();
+ }
+}
+
+function changeSlides() {
+ if(nid != cid) changeOpac(100,cid);
+ document.getElementById(cid).style.visibility = 'hidden';
+ document.getElementById(nid).style.visibility = 'visible';
+ if (isOp) location.hash = nid;
+ shiftOpacity(nid,fadeDuration);
+ window.setTimeout("finishSlides()",fadeDuration);
+}
+
+function finishSlides() {
+ jl.selectedIndex = snum;
+ currentSlide();
+ loadNote();
+ permaLink();
+ number = undef;
+ if(sound[getSoundID(nid)]) {
+ playSound(nid); // audio support
+ }else if(sound[bgSoundItem] && curSoundID != bgSoundItem) {
+ playSound(bgSoundItem); // audio support
+ }
+}
+
+function goTo(target) {
+ if (target >= smax || target == snum) return;
+ go(target - snum);
+}
+
+function subgo(step) {
+ if (step > 0) {
+ removeClass(incrementals[snum][incpos - 1],'current');
+ removeClass(incrementals[snum][incpos], 'incremental');
+ if(tranSitions && s5mode && fadeModus) {
+ if(!incrementals[snum][incpos].id) {
+ var tmp = new Date(); tid = "inc" + String(tmp.getTime());
+ incrementals[snum][incpos].id = tid;
+ }else {
+ tid = incrementals[snum][incpos].id;
+ }
+ if(typeof(incrementals[snum][incpos].src) != "undefined" || incrementals[snum][incpos].getContext) {
+ changeOpac(0,tid);
+ addClass(incrementals[snum][incpos],'current');
+ shiftOpacity(tid,incrDuration);
+ setTimeout("nextInc()",incrDuration);
+ }else {
+ addClass(incrementals[snum][incpos],'current');
+ nextInc();
+ }
+ }else {
+ addClass(incrementals[snum][incpos],'current');
+ nextInc();
+ }
+ } else {
+ incpos--;
+ removeClass(incrementals[snum][incpos],'current');
+ addClass(incrementals[snum][incpos], 'incremental');
+ addClass(incrementals[snum][incpos - 1],'current');
+ loadNote();
+ }
+}
+
+function nextInc() {
+ incpos++;
+ loadNote();
+}
+
+function toggle() {
+ var slideColl = GetElementsWithClassName('*','slide');
+ var slides = document.getElementById('slideProj');
+ var outline = document.getElementById('outlineStyle');
+ var guru = document.getElementById('guru');
+ if (!slides.disabled) {
+ stopPlay();
+ if(audioSupport && !swfUnloaded) stopAllSounds();
+ slides.disabled = true;
+ outline.disabled = false;
+ s5mode = false;
+ fontSize(1,'em');
+ for (var n = 0; n < smax; n++) {
+ var slide = slideColl[n];
+ slide.style.visibility = 'visible';
+ }
+ if(guru) guru.style.visibility = 'hidden';
+ } else {
+ slides.disabled = false;
+ outline.disabled = true;
+ s5mode = true;
+ fontScale();
+ for (var n = 0; n < smax; n++) {
+ var slide = slideColl[n];
+ slide.style.visibility = 'hidden';
+ }
+ slideColl[snum].style.visibility = 'visible';
+ if(guru && snum==0) guru.style.visibility = 'visible';
+ }
+}
+
+function showHide(action) {
+ var obj = GetElementsWithClassName('*','hideme')[0];
+ switch (action) {
+ case 's':
+ obj.style.visibility = 'visible';
+ break;
+ case 'h':
+ obj.style.visibility = 'hidden';
+ break;
+ case 'k':
+ if (obj.style.visibility != 'visible') {
+ obj.style.visibility = 'visible';
+ } else {
+ obj.style.visibility = 'hidden';
+ }
+ break;
+ }
+}
+
+function keys(key) {
+ if (!key) {
+ key = event;
+ key.which = key.keyCode;
+ }
+ if (helpmode) {
+ dumpHelpReq();
+ return;
+ }
+ if (key.which == 84 && !isOp) {
+ toggle();
+ return;
+ }
+ if (s5mode) {
+ if (autoMatic) {
+ switch (key.which) {
+ case 70: // f/ading on/off
+ switchFade();
+ break;
+ case 83: // s/ound on/off
+ toggleSounds();
+ break;
+ case 67: // c
+ showHide('k');
+ break;
+ case 65: // a/utoplay on/off
+ stopPlay();
+ break;
+ case 76: // l/ooping on/off
+ switchLoop();
+ break;
+ case 80: // p/ause
+ case 32: // spacebar
+ pausePlay();
+ break;
+ }
+ }else {
+ switch (key.which) {
+ case 8: // backspace = HELP
+ createHelpReq();
+ break;
+ case 10: // return
+ case 13: // enter
+ if (window.event && isParentOrSelf(window.event.srcElement, 'controls')) return;
+ if (key.target && isParentOrSelf(key.target, 'controls')) return;
+ if(number != undef) {
+ goTo(number);
+ break;
+ }
+ case 32: // spacebar
+ case 34: // page down
+ case 39: // rightkey
+ case 40: // downkey
+ if(number != undef) {
+ go(number);
+ } else if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ } else {
+ subgo(1);
+ }
+ break;
+ case 33: // page up
+ case 37: // leftkey
+ case 38: // upkey
+ if(number != undef) {
+ go(-1 * number);
+ } else if (!incrementals[snum] || incpos <= 0) {
+ go(-1);
+ } else {
+ subgo(-1);
+ }
+ break;
+ case 65: // a/utoplay
+ startPlay();
+ break;
+ case 72: // h
+ case 36: // home
+ goTo(0);
+ break;
+ case 69: // e
+ case 35: // end
+ goTo(smax-1);
+ break;
+ case 70: // f/ade transitions on/off
+ switchFade();
+ break;
+ case 76: // l/ooping on/off
+ switchLoop();
+ break;
+ case 83: // s/ound support on/off
+ toggleSounds();
+ break;
+ case 27: // escape
+ case 81: // q
+ if(!isOp) byby();
+ break;
+ case 67: // c
+ showHide('k');
+ break;
+ case 78: // n
+ createNotesWindow();
+ break;
+ }
+ if (key.which < 48 || key.which > 57) {
+ number = undef;
+ } else {
+ if (window.event && isParentOrSelf(window.event.srcElement, 'controls')) return;
+ if (key.target && isParentOrSelf(key.target, 'controls')) return;
+ number = (((number != undef) ? number : 0) * 10) + (key.which - 48);
+ }
+ }
+ }
+ return false;
+}
+
+function clicker(e) {
+ number = undef;
+ var target;
+ if (window.event) {
+ target = window.event.srcElement;
+ e = window.event;
+ } else {
+ target = e.target;
+ }
+ if (target.href != null || hasValue(target.rel, 'external') || isParentOrSelf(target, 'controls') || isParentOrSelf(target,'embed') || isParentOrSelf(target,'object')) return true;
+ if (!helpmode) {
+ if (!e.which || e.which == 1) {
+ if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ } else {
+ subgo(1);
+ }
+ }
+ } else {
+ dumpHelpReq();
+ }
+}
+
+function findSlide(hash) {
+ var target = null;
+ var slides = GetElementsWithClassName('*','slide');
+ for (var i = 0; i < slides.length; i++) {
+ var targetSlide = slides[i];
+ if ( (targetSlide.name && targetSlide.name == hash)
+ || (targetSlide.id && targetSlide.id == hash) ) {
+ target = targetSlide;
+ break;
+ }
+ }
+ while(target != null && target.nodeName != 'BODY') {
+ if (hasClass(target, 'slide')) {
+ return parseInt(target.id.slice(5));
+ }
+ target = target.parentNode;
+ }
+ return null;
+}
+
+function slideJump() {
+ if (window.location.hash == null) return;
+ var sregex = /^#slide(\d+)$/;
+ var matches = sregex.exec(window.location.hash);
+ var dest = null;
+ if (matches != null) {
+ dest = parseInt(matches[1]);
+ } else {
+ dest = findSlide(window.location.hash.slice(1));
+ }
+ if (dest != null)
+ go(dest - snum);
+}
+
+function fixLinks() {
+ var thisUri = window.location.href;
+ thisUri = thisUri.slice(0, thisUri.length - window.location.hash.length);
+ var aelements = document.getElementsByTagName('A');
+ for (var i = 0; i < aelements.length; i++) {
+ var a = aelements[i].href;
+ var slideID = a.match('\#slide[0-9]{1,2}');
+ if ((slideID) && (slideID[0].slice(0,1) == '#')) {
+ var dest = findSlide(slideID[0].slice(1));
+ if (dest != null) {
+ if (aelements[i].addEventListener) {
+ aelements[i].addEventListener("click", new Function("e",
+ "if (document.getElementById('slideProj').disabled) return;" +
+ "go("+dest+" - snum); " +
+ "if (e.preventDefault) e.preventDefault();"), true);
+ } else if (aelements[i].attachEvent) {
+ aelements[i].attachEvent("onclick", new Function("",
+ "if (document.getElementById('slideProj').disabled) return;" +
+ "go("+dest+" - snum); " +
+ "event.returnValue = false;"));
+ }
+ }
+ }
+ }
+}
+
+function externalLinks() {
+ if (!document.getElementsByTagName) return;
+ var anchors = document.getElementsByTagName('a');
+ for (var i=0; i<anchors.length; i++) {
+ var anchor = anchors[i];
+ if (anchor.getAttribute('href') && hasValue(anchor.rel, 'external')) {
+ anchor.target = '_blank';
+ addClass(anchor,'external');
+ }
+ }
+}
+
+function permaLink() {
+ document.getElementById('plink').href = window.location.pathname + '#slide' + snum;
+}
+
+function createControls() {
+ var controlsDiv = document.getElementById("controls");
+ if (!controlsDiv) return;
+ var hider = ' onmouseover="showHide(\'s\');" onmouseout="showHide(\'h\');"';
+ var hideDiv, hideList = '';
+ if (controlVis == 'hidden') {
+ hideDiv = hider;
+ } else {
+ hideList = hider;
+ }
+ if(isOp) {
+ var str = '';
+ }else {
+ var str = '<a accesskey="t" id="sheet" title="toggle CSS" href="javascript:toggle();">&plusmn;<\/a>';
+ }
+ if(isIE) {
+ var tmp = "move around&xA0;until the color&xA0;change to red!";
+ }else if(isS2) {
+ var tmp = "move around\r\nuntil the color\r\nchange to red!";
+ }else {
+ var tmp = "move around until color change to red!";
+ }
+ if(isIE) {
+ controlsDiv.innerHTML = str +
+ '<form action="#" id="controlForm"' + hideDiv + '>' +
+ '<div id="navLinks" title="press [backspace] for keyboard help!"><ul>' +
+ '<li id="show-notes"><a href="javascript:createNotesWindow();" accesskey="n" title="show Notes"><\/a><\/li>' +
+ '<li id="toggle"><a href="javascript:toggle();" accesskey="t" title="toggle CSS"><\/a><\/li>' +
+ '<li id="zero"><a href="javascript:goTo(0);" accesskey="h" title="goto Start Slide"><\/a><\/li>' +
+ '<li id="prev"><a href="javascript:go(-1);" accesskey="y" title="previous Slide"><\/a><\/li>' +
+ '<li id="next"><a href="javascript:go(1);" accesskey="x" title="next Slide"><\/a><\/li>' +
+ '<li id="last"><a href="javascript:goTo(smax-1);" accesskey="e" title="goto Last Slide"><\/a><\/li>' +
+ '<li id="lst" style="vertical-align: middle; width: 160px;"><select style="cursor: auto; width: 160px; height: auto;" id="jumplist" title="select named Slide" onchange="go(\'j\');"><\/select><\/li>' +
+ '<li id="auto"><a class="isoff" href="javascript:togglePlay();" accesskey="a" title="Auto Play"><\/a><\/li>' +
+ '<li id="pause"><a class="isoff" href="javascript:pausePlay();" accesskey="p" title="Pause"><\/a><\/li>' +
+ '<li id="loop"><a class="isoff" href="javascript:switchLoop();" accesskey="l" title="Loop"><\/a><\/li>' +
+ '<li id="del" style="vertical-align: middle; width: 52px;"><select style="cursor: auto; width: 52px; height: auto;" id="delaylist" title="select Delay" onchange="setDelay();"><option value="90">90<\/option><option value="75">75<\/option><option value="60">60<\/option><option value="45">45<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="15">15<\/option><option value="10">10<\/option><option value="5">5<\/option><\/select><\/li>' +
+ '<\/ul><\/div><\/form>';
+ }else {
+ controlsDiv.innerHTML = str +
+ '<form action="#" id="controlForm"' + hideDiv + '>' +
+ '<div id="navLinks" title="press [backspace] or double click this area for keyboard help!" ondblclick="createHelpReq();"><ul>' +
+ '<li id="exit"><a href="javascript:byby();" accesskey="q" title="exit Show"><\/a><\/li>' +
+ '<li id="show-notes"><a href="javascript:createNotesWindow();" accesskey="n" title="show Notes"><\/a><\/li>' +
+ '<li id="toggle"><a href="javascript:toggle();" accesskey="t" title="toggle CSS"><\/a><\/li>' +
+ '<li class="vr"><\/li>' +
+ '<li id="zero"><a href="javascript:goTo(0);" accesskey="h" title="goto Start Slide"><\/a><\/li>' +
+ '<li id="prev"><a href="javascript:go(-1);" accesskey="y" title="previous Slide"><\/a><\/li>' +
+ '<li id="next"><a href="javascript:go(1);" accesskey="x" title="next Slide"><\/a><\/li>' +
+ '<li id="last"><a href="javascript:goTo(smax-1);" accesskey="e" title="goto Last Slide"><\/a><\/li>' +
+ '<li id="lst"><a id="list" style="cursor:wait;" title="' + tmp + '"><\/a><select id="jumplist" title="select named Slide" onchange="go(\'j\');"><\/select><\/li>' +
+ '<li id="fadeLinks" class="vr"><\/li>' +
+ '<li id="fade"><a class="isoff" href="javascript:switchFade();" accesskey="f" title="Transions"><\/a><\/li>' +
+ '<li id="audioLinks" class="vr"><\/li>' +
+ '<li id="audio"><a class="isoff" href="javascript:toggleSounds();" accesskey="s" title="Sounds" ><\/a><\/li>' +
+ '<li id="vol"><a id="volume" style="cursor:wait;" title="' + tmp + '"><\/a><select id="volumelist" title="select Volume" onchange="setVolume();"><option value="100">100<\/option><option value="90">90<\/option><option value="80">80<\/option><option value="70">70<\/option><option value="60">60<\/option><option value="50">50<\/option><option value="40">40<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="10">10<\/option><option value="0">0<\/option><\/select><\/li>' +
+ '<li id="autoLinks" class="vr"><\/li>' +
+ '<li id="auto"><a class="isoff" href="javascript:togglePlay();" accesskey="a" title="Auto Play"><\/a><\/li>' +
+ '<li id="pause"><a class="isoff" href="javascript:pausePlay();" accesskey="p" title="Pause"><\/a><\/li>' +
+ '<li id="loop"><a class="isoff" href="javascript:switchLoop();" accesskey="l" title="Loop"><\/a><\/li>' +
+ '<li id="del"><a id="delay" style="cursor:wait;" title="' + tmp + '"><\/a><select id="delaylist" title="select Delay" onchange="setDelay();"><option value="90">90<\/option><option value="75">75<\/option><option value="60">60<\/option><option value="45">45<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="15">15<\/option><option value="10">10<\/option><option value="5">5<\/option><\/select><\/li>' +
+ '<\/ul>' +
+ '<a href="http://s5.netzgesta.de" target="_blank" title="S5 Reloaded"><img style="position: absolute; right: 0; bottom: 0;" src="data:image/png;charset=utf-8;base64,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" width="20" height="32" alt="S5" \/><\/a>' +
+ '<\/div><\/form>';
+ }
+ if (controlVis == 'hidden') {
+ var hidden = document.getElementById('navLinks');
+ } else {
+ var hidden = document.getElementById('jumplist');
+ }
+ addClass(hidden,'hideme');
+}
+
+function fontScale() { // causes layout problems in FireFox that get fixed if browser's Reload is used; same may be true of other Gecko-based browsers
+ if (!s5mode && !isOp) return false;
+ var hScreen = screen.width; var vScreen = screen.height;
+ var vWindow = window.outerHeight; var hWindow = window.outerWidth;
+ if (isOp && s5mode && defaultView=='slideshow' && ((hScreen != hWindow) || (vScreen != vWindow))) {
+ toggle();
+ return false;
+ }
+ if (isOp && !s5mode && ((hScreen != hWindow) || (vScreen != vWindow))) return false;
+ if (isOp && !s5mode && (hScreen == hWindow) && (vScreen == vWindow)) toggle();
+ var vScale = 48; // both yield 16 (the usual browser default) at 1024x768
+ var hScale = 64; // perhaps should auto-calculate based on theme's declared value?
+ if (window.innerHeight) {
+ var vSize = window.innerHeight;
+ var hSize = window.innerWidth;
+ } else if (document.documentElement.clientHeight) {
+ var vSize = document.documentElement.clientHeight;
+ var hSize = document.documentElement.clientWidth;
+ } else if (document.body.clientHeight) {
+ var vSize = document.body.clientHeight;
+ var hSize = document.body.clientWidth;
+ } else {
+ var vSize = 700; // assuming 1024x768, minus chrome and such equals 8:5
+ var hSize = 1024; // these do not account for kiosk mode or Opera Show
+ }
+ var newSize = Math.min(Math.round(vSize/vScale),Math.round(hSize/hScale));
+ extendImgSizes(newSize); // scalable images extension
+ extendCanSizes(newSize); // scalable canvas extension
+ extendObjSizes(newSize); // scalable object extension
+ fontSize(newSize,"px");
+ if(!isS2 || firstTime==0) {
+ generateCanvas(); // dynamic canvas extension
+ }
+ if (isGe) { // hack to counter incremental reflow bugs
+ var obj = document.getElementsByTagName('body')[0];
+ obj.style.visibility = 'hidden';
+ obj.style.display = 'none';
+ obj.style.display = 'block';
+ obj.style.visibility = 'visible';
+ changeOpac(100,'slide' + snum);
+ shiftOpacity('slide' + snum,10);
+ window.setTimeout("fixReflow()",10);
+ }else {
+ setListPos(); // invisible select extension
+ }
+}
+
+function fixReflow() {
+ shiftOpacity('slide' + snum,10);
+ window.setTimeout("finishReflow()",10);
+}
+function finishReflow() {
+ setListPos(); // invisible select extension
+}
+
+function fontSize(val,fmt) {
+ var value = val + fmt;
+ if (!(s5ss = document.getElementById('s5ss'))) {
+ if (!document.createStyleSheet) {
+ document.getElementsByTagName('head')[0].appendChild(s5ss = document.createElement('style'));
+ s5ss.setAttribute('media','screen, projection');
+ s5ss.setAttribute('id','s5ss');
+ } else {
+ document.createStyleSheet();
+ document.s5ss = document.styleSheets[document.styleSheets.length - 1];
+ }
+ }
+ if (!(document.s5ss && document.s5ss.addRule)) {
+ while (s5ss.lastChild) s5ss.removeChild(s5ss.lastChild);
+ s5ss.appendChild(document.createTextNode('html {font-size: ' + value + ' !important;}'));
+ } else {
+ document.s5ss.addRule('html','font-size: ' + value + ' !important;');
+ }
+}
+
+function windowChange() {
+ fontScale();
+}
+
+function notOperaFix() {
+ slideCSS = document.getElementById('slideProj').href;
+ var slides = document.getElementById('slideProj');
+ var outline = document.getElementById('outlineStyle');
+ slides.setAttribute('media','screen');
+ outline.disabled = true;
+ if (isGe) {
+ slides.setAttribute('href','null'); // Gecko fix
+ slides.setAttribute('href',slideCSS); // Gecko fix
+ }
+ if ((isIE && notIE7) && document.styleSheets && document.styleSheets[0]) {
+ document.styleSheets[0].addRule('img', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ document.styleSheets[0].addRule('div', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ document.styleSheets[0].addRule('.slide', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ }
+}
+
+function getIncrementals(obj) {
+ var incrementals = new Array();
+ if (!obj)
+ return incrementals;
+ var children = obj.childNodes;
+ for (var i = 0; i < children.length; i++) {
+ var child = children[i];
+ if (hasClass(child, 'incremental')) {
+ if (child.nodeName == 'OL' || child.nodeName == 'UL') {
+ removeClass(child, 'incremental');
+ for (var j = 0; j < child.childNodes.length; j++) {
+ if (child.childNodes[j].nodeType == 1) {
+ addClass(child.childNodes[j], 'incremental');
+ }
+ }
+ } else {
+ incrementals[incrementals.length] = child;
+ removeClass(child,'incremental');
+ }
+ }
+ if (hasClass(child, 'show-first')) {
+ if (child.nodeName == 'OL' || child.nodeName == 'UL') {
+ removeClass(child, 'show-first');
+ if (child.childNodes[isGe].nodeType == 1) {
+ removeClass(child.childNodes[isGe], 'incremental');
+ }
+ } else {
+ incrementals[incrementals.length] = child;
+ }
+ }
+ incrementals = incrementals.concat(getIncrementals(child));
+ }
+ return incrementals;
+}
+
+function createIncrementals() {
+ var incrementals = new Array();
+ for (var i = 0; i < smax; i++) {
+ incrementals[i] = getIncrementals(document.getElementById('slide'+i));
+ }
+ return incrementals;
+}
+
+function trap(e) {
+ if (!e) {
+ e = event;
+ e.which = e.keyCode;
+ }
+ try {
+ modifierKey = e.ctrlKey || e.altKey || e.metaKey;
+ }
+ catch(e) {
+ modifierKey = false;
+ }
+ return modifierKey || e.which == 0;
+}
+
+// notes extension
+function noteLabel() { // Gives notes id's to match parent slides
+ var notes = GetElementsWithClassName('div','notes');
+ for (var i = 0; i < notes.length; i++) {
+ var note = notes[i];
+ var id = 'note' + note.parentNode.id.substring(5);
+ note.setAttribute('id',id);
+ }
+ resetElapsedSlide();
+ resetRemainingTime();
+ window.setInterval('updateElaspedTime()', 1000);
+}
+
+function createNotesWindow() { // creates a window for our notes
+ if (!s5NotesWindow || s5NotesWindow.closed) { // Create the window if it doesn't exist
+ s5NotesWindowLoaded = false;
+ // Note: Safari has a tendency to ignore window options preferring to default to the settings of the parent window, grr.
+ s5NotesWindow = window.open(s5Path+'ui/s5-notes.html', 's5NotesWindow', 'top=0,left=0');
+ }
+ if (s5NotesWindowLoaded) { // Load the current note if the Note HTML has loaded
+ loadNote();
+ } else { // Keep trying...
+ window.setTimeout('createNotesWindow()', 50);
+ }
+}
+
+function loadNote() {
+// Loads a note into the note window
+ var notes = nextNotes = '<em class="disclaimer">There are no notes for this slide.</em>';
+ if (document.getElementById('note' + snum)) {
+ notes = document.getElementById('note' + snum).innerHTML;
+ }
+ if (document.getElementById('note' + (snum + 1))) {
+ nextNotes = document.getElementById('note' + (snum + 1)).innerHTML;
+ }
+
+ var jl = document.getElementById('jumplist');
+ var slideTitle = jl.options[jl.selectedIndex].text.replace(/^\d+\s+:\s+/, '') + ((jl.selectedIndex) ? ' (' + jl.selectedIndex + '/' + (smax - 1) + ')' : '');
+ if (incrementals[snum].length > 0) {
+ slideTitle += ' <small>[' + incpos + '/' + incrementals[snum].length + ']</small>';
+ }
+ if (jl.selectedIndex < smax - 1) {
+ var nextTitle = jl.options[jl.selectedIndex + 1].text.replace(/^\d+\s+:\s+/, '') + ((jl.selectedIndex + 1) ? ' (' + (jl.selectedIndex + 1) + '/' + (smax - 1) + ')' : '');
+ } else {
+ var nextTitle = '[end of slide show]';
+ }
+
+ if (s5NotesWindow && !s5NotesWindow.closed && s5NotesWindow.document) {
+ s5NotesWindow.document.getElementById('slide').innerHTML = slideTitle;
+ s5NotesWindow.document.getElementById('notes').innerHTML = notes;
+ s5NotesWindow.document.getElementById('next').innerHTML = nextTitle;
+ s5NotesWindow.document.getElementById('nextnotes').innerHTML = nextNotes;
+ }
+ resetElapsedSlide();
+}
+
+function minimizeTimer(id) {
+ var obj = s5NotesWindow.document.getElementById(id);
+ if (hasClass(obj,'collapsed')) {
+ removeClass(obj,'collapsed');
+ } else {
+ addClass(obj,'collapsed');
+ }
+}
+
+function resetElapsedTime() {
+ presentationStart = new Date();
+ slideStart = new Date();
+ updateElaspedTime();
+}
+
+function resetElapsedSlide() {
+ if (snum != previousSlide) {
+ slideStart = new Date();
+ previousSlide = snum;
+ updateElaspedTime();
+ }
+}
+
+function updateElaspedTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var now = new Date();
+ var ep = s5NotesWindow.document.getElementById('elapsed-presentation');
+ var es = s5NotesWindow.document.getElementById('elapsed-slide');
+ ep.innerHTML = formatTime(now.valueOf() - presentationStart.valueOf());
+ es.innerHTML = formatTime(now.valueOf() - slideStart.valueOf());
+}
+
+function resetRemainingTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var startField = s5NotesWindow.document.getElementById('startFrom');
+ startFrom = readTime(startField.value);
+ countdown.remaining = startFrom * 60000; // convert to msecs
+ countdown.start = new Date().valueOf();
+ countdown.end = countdown.start + countdown.remaining;
+ var tl = s5NotesWindow.document.getElementById('timeLeft');
+ var timeLeft = formatTime(countdown.remaining);
+ tl.innerHTML = timeLeft;
+}
+
+function updateRemainingTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var tl = s5NotesWindow.document.getElementById('timeLeft');
+ var now = new Date();
+ if (countdown.state == 'run') {
+ countdown.remaining = countdown.end - now;
+ }
+ tl.style.color = '';
+ tl.style.backgroundColor = '';
+ if (countdown.remaining >= 0) {
+ var timeLeft = formatTime(countdown.remaining);
+ removeClass(tl,'overtime');
+ if (countdown.remaining < 300000) {
+ tl.style.color = 'rgb(' + (255-Math.round(countdown.remaining/2000)) + ',0,0)';
+ tl.style.backgroundColor = 'rgb(255,255,' + (Math.round(countdown.remaining/2000)) + ')';
+ }
+ } else {
+ var timeLeft = '-' + formatTime(-countdown.remaining);
+ addClass(tl,'overtime');
+ }
+ tl.innerHTML = timeLeft;
+}
+
+function toggleRemainingTime() {
+ if (countdown.state == 'pause') countdown.state = 'run'; else countdown.state = 'pause';
+ if (countdown.state == 'pause') {
+ window.clearInterval(countdown.timer);
+ }
+ if (countdown.state == 'run') {
+ countdown.start = new Date().valueOf();
+ countdown.end = countdown.start + countdown.remaining;
+ countdown.timer = window.setInterval('updateRemainingTime()', 1000);
+ }
+}
+
+function alterRemainingTime(amt) {
+ var change = amt * 60000; // convert to msecs
+ countdown.end += change;
+ countdown.remaining += change;
+ updateRemainingTime();
+}
+
+function formatTime(msecs) {
+ var time = new Date(msecs);
+
+ var hrs = time.getUTCHours() + ((time.getUTCDate() -1) * 24); // I doubt anyone will spend more than 24 hours on a presentation or single slide but just in case...
+ hrs = (hrs < 10) ? '0'+hrs : hrs;
+ if (hrs == 'NaN' || isNaN(hrs)) hrs = '--';
+
+ var min = time.getUTCMinutes();
+ min = (min < 10) ? '0'+min : min;
+ if (min == 'NaN' || isNaN(min)) min = '--';
+
+ var sec = time.getUTCSeconds();
+ sec = (sec < 10) ? '0'+sec : sec;
+ if (sec == 'NaN' || isNaN(sec)) sec = '--';
+
+ return hrs + ':' + min + ':' + sec;
+}
+
+function readTime(val) {
+ var sregex = /:/;
+ var matches = sregex.exec(val);
+ if (matches == null) {
+ return val;
+ } else {
+ var times = val.split(':');
+ var hours = parseInt(times[0]);
+ var mins = parseInt(times[1]);
+ var total = (hours * 60) + mins;
+ return total;
+ }
+}
+// notes extension
+
+// startup process
+function createSlideShow() {
+ defaultCheck();
+ if(!isIE) createDetector(); // (degrade IE) scalable images extension
+ if(opac!=0 || isIE) { // &&!isIE (degrade IE)
+ tranSitions = false;
+ fadeModus = false;
+ }
+ if(tranSitions && document.getElementById && document.createElement){
+ createProgress();
+ var nop=document.getElementById('StartupControl');
+ nop.onload = dumpProgress;
+ }else {
+ startup();
+ showAll();
+ setListPos(true); // invisible select extension
+ panelSetup();
+ audioSetup(); // audio extension
+ if(isS2 && firstTime>=1) {
+ generateCanvas(); // dynamic canvas extension
+ } firstTime = 0;
+ }
+}
+
+function defaultCheck() {
+ var allMetas = document.getElementsByTagName('meta');
+ for (var i = 0; i< allMetas.length; i++) {
+ if (allMetas[i].name == 'defaultView') {
+ defaultView = allMetas[i].content;
+ }
+ if (allMetas[i].name == 'controlVis') {
+ controlVis = allMetas[i].content;
+ }
+ if (allMetas[i].name == 'tranSitions') {
+ tranSitions = (allMetas[i].content == "true") ? true : false;
+ fadeModus = (tranSitions == true) ? true : false;
+ }
+ if (allMetas[i].name == 'fadeDuration') {
+ var tmp = parseInt(allMetas[i].content);
+ fadeDuration = Math.max(200,Math.min(tmp,2000));
+ }
+ if (allMetas[i].name == 'incrDuration') {
+ var tmp = parseInt(allMetas[i].content);
+ incrDuration = Math.max(50,Math.min(tmp,500));
+ }
+ if (allMetas[i].name == 'autoMatic') {
+ autoMatic = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'playLoop') {
+ playLoop = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'playDelay') {
+ var tmp = parseInt(allMetas[i].content);
+ playDelay = Math.max(5,Math.min(tmp,90))*1000;
+ playDelay = (fadeModus == true) ? (playDelay+(2*fadeDuration)) : playDelay;
+ }
+ if (allMetas[i].name == 'audioSupport') {
+ audioSupport = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'audioVolume') {
+ var tmp = parseInt(allMetas[i].content);
+ audioVolume = Math.max(0,Math.min(tmp,100));
+ }
+ if (allMetas[i].name == 'audioError') {
+ audioError = (allMetas[i].content == "true") ? true : false;
+ }
+ }
+}
+
+function createProgress() {
+ var obj = document.getElementsByTagName("body")[0].firstChild;
+ var pg = document.createElement('div');
+ pg.id = "StartupProgress";
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=100)";
+ }else {
+ pg.style.opacity = 1.0;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(255, 255, 255)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/progress.gif)";
+ document.getElementsByTagName("body")[0].insertBefore(pg,obj);
+
+ var im = document.createElement('img');
+ im.id = "StartupControl";
+ im.src = s5Path+"ui/graphic_support/blank.gif?" + new Date().valueOf();
+ document.getElementsByTagName("body")[0].appendChild(im);
+}
+
+function startup() {
+ createControls(); // hallvord
+ slideLabel();
+ incrementals = createIncrementals();
+ noteLabel(); // [SI:060104] must follow slideLabel()
+ loadNote();
+ fixLinks();
+ externalLinks();
+ fontScale();
+ if (!isOp) {
+ if(isIE) {
+ document.getElementById('lst').style.backgroundImage = 'none';
+ document.getElementById('del').style.backgroundImage = 'none';
+ }else {
+ document.getElementById('audio').style.backgroundImage = 'none';
+ }
+ notOperaFix();
+ }else if(isOp) {
+ var obj = document.getElementById('exit');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('exit').style.opacity = 0.5;
+ obj = document.getElementById('toggle');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('toggle').style.opacity = 0.5;
+ document.getElementById('jumplist').style.visibility = 'hidden';
+ document.getElementById('list').style.visibility = 'hidden';
+ document.getElementById('lst').style.opacity = 0.5;
+ obj = document.getElementById('audio');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('audio').style.opacity = 0.5;
+ document.getElementById('volumelist').style.visibility = 'hidden';
+ document.getElementById('volume').style.visibility = 'hidden';
+ document.getElementById('vol').style.opacity = 0.5;
+ document.getElementById('delaylist').style.visibility = 'hidden';
+ document.getElementById('delay').style.visibility = 'hidden';
+ document.getElementById('del').style.opacity = 0.5;
+ }
+ slideJump();
+ if (defaultView == 'outline') toggle();
+ document.onkeyup = keys;
+ document.onkeypress = trap;
+ document.onclick = clicker;
+}
+
+function preloadImgages() {
+ var temp = '';
+ var objects = document.getElementsByTagName('img');
+ for (var i=0; i < objects.length; i++) {
+ if(objects[i].src != '') {
+ temp = new Image();
+ temp.src = objects[i].src;
+ }
+ }
+}
+
+function showAll() {
+ var obj1 = GetElementsWithClassName('div','presentation')[0];
+ if(!obj1) var obj1 = GetElementsWithClassName('ol','presentation')[0];
+ var obj2 = GetElementsWithClassName('div','layout')[0];
+ if(!obj1){}else {obj1.style.display = 'block'};
+ if(!obj2){}else {obj2.style.display = 'block'};
+}
+
+function dumpProgress() {
+ document.body.removeChild(document.getElementById('StartupControl'));
+ startup();
+ preloadImgages();
+ showAll();
+ createSoundManagerScript();
+ shiftOpacity('StartupProgress',1000);
+ window.setTimeout("removeProgress()",1000);
+}
+
+function removeProgress() {
+ document.body.removeChild(document.getElementById('StartupProgress'));
+ setListPos(true); // invisible select extension
+ panelSetup();
+ audioSetup(); // audio extension
+ if(isS2 && firstTime>=1) {
+ generateCanvas(); // dynamic canvas extension
+ } firstTime = 0;
+}
+function panelSetup() {
+ if(playPause) {
+ var obj = document.getElementById('pause'); changeClass(obj,'ison');
+ }
+ if(playLoop) {
+ var obj = document.getElementById('loop'); changeClass(obj,'ison');
+ }
+ if(audioSupport && !isIE && !isOp) {
+ var obj = document.getElementById('audio'); changeClass(obj,'ison');
+ }
+ if(fadeModus && !isIE) {
+ var obj = document.getElementById('fade'); changeClass(obj,'ison');
+ }
+ if(autoMatic) {
+ var obj = document.getElementById('auto'); changeClass(obj,'ison');
+ startPlay();
+ }
+ if(audioVolume && !isIE && !isOp) {
+ var idx = 0;
+ if(audioVolume >= 95 && audioVolume <= 100) {idx = 0;}
+ else if(audioVolume >= 85 && audioVolume < 95) {idx = 1;}
+ else if(audioVolume >= 75 && audioVolume < 85) {idx = 2;}
+ else if(audioVolume >= 65 && audioVolume < 75) {idx = 3;}
+ else if(audioVolume >= 55 && audioVolume < 65) {idx = 4;}
+ else if(audioVolume >= 45 && audioVolume < 55) {idx = 5;}
+ else if(audioVolume >= 35 && audioVolume < 45) {idx = 6;}
+ else if(audioVolume >= 25 && audioVolume < 35) {idx = 7;}
+ else if(audioVolume >= 15 && audioVolume < 25) {idx = 8;}
+ else if(audioVolume >= 5 && audioVolume < 15) {idx = 9;}
+ else {idx = 10;}
+ document.getElementById('volumelist').selectedIndex = idx;
+ }
+ if(playDelay && !isOp) {
+ var idx = 0; var vol = parseInt(playDelay/1000);
+ if(vol >= 83 && vol <= 90) {idx = 0;}
+ else if(vol >= 68 && vol < 83) {idx = 1;}
+ else if(vol >= 53 && vol < 68) {idx = 2;}
+ else if(vol >= 38 && vol < 53) {idx = 3;}
+ else if(vol >= 25 && vol < 38) {idx = 4;}
+ else if(vol >= 18 && vol < 25) {idx = 5;}
+ else if(vol >= 13 && vol < 18) {idx = 6;}
+ else if(vol >= 8 && vol < 13) {idx = 7;}
+ else {idx = 8;}
+ document.getElementById('delaylist').selectedIndex = idx;
+ }
+}
+// startup process
+
+// shutdown process
+function byby() {
+ stopPlay();
+ if(tranSitions && fadeModus && s5mode && !isOp) {
+ fadeoutSound(curSoundID,true); // audio support
+ var pg = document.createElement('div');
+ pg.id = "GoodBy";
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=0)";
+ }else {
+ pg.style.opacity = 0.0;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(255, 255, 255)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/finish.gif)";
+ document.getElementsByTagName("body")[0].appendChild(pg);
+ shiftOpacity('GoodBy',1000);
+ window.setTimeout("history.back()",1000);
+ }else {
+ stopSound(curSoundID);
+ history.back();
+ }
+}
+// shutdown process
+
+// scalable images extension
+function createDetector() {
+ var em = document.createElement('div');
+ em.id='EMSizeControl'; em.style.position="absolute"; em.style.left="-999px";
+ em.style.width="1em"; em.style.height="1em"; em.style.opacity=0.0;
+ document.getElementsByTagName("body")[0].appendChild(em);
+ var nop=document.getElementById('EMSizeControl');
+ if(!nop||findPosX(nop)!=-999) {}else {
+ opac=document.getElementById('EMSizeControl').style.opacity;
+ empx=document.getElementById('EMSizeControl').offsetHeight;
+ document.body.removeChild(document.getElementById('EMSizeControl'));
+ var objects = document.getElementsByTagName('img');
+ var j = 0; var i = 0; var k = 0; var d; var obj;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ images[j] = objects[i];
+ ++j;
+ }
+ }
+ var objects = document.getElementsByTagName('canvas'); j = 0;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ canvas[objects[i].id] = objects[i];
+ if(j==0) {
+ if(objects[i].getContext) {
+ canvasSupport = true;
+ }
+ ++j;
+ }
+ }
+ }
+ if(canvasSupport!=true) {
+ for (d in canvas) {
+ try {
+ canvas[d].setAttribute("width",1);
+ canvas[d].setAttribute("height",1);
+ } catch (e) {
+ }
+ }
+ }
+ var objects = document.getElementsByTagName('table');
+ j = 0; k = 0; l = 0; var w; var h; var tmp; var cnt;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^piechart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ piecharts[j] = objects[i];
+ em = piecharts[j].getAttribute("summary");
+ if(em != "") em = "," + em;
+ piecharts[j].setAttribute("summary", w + "," + h + em);
+ ++j;
+ }
+ }
+ }
+ if(objects[i].className.match(/^barchart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ barcharts[k] = objects[i];
+ em = barcharts[k].getAttribute("summary");
+ if(em != "") em = "," + em;
+ barcharts[k].setAttribute("summary", w + "," + h + em);
+ ++k;
+ }
+ }
+ }
+ if(objects[i].className.match(/^linechart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ linecharts[l] = objects[i];
+ em = linecharts[l].getAttribute("summary");
+ if(em != "") em = "," + em;
+ linecharts[l].setAttribute("summary", w + "," + h + em);
+ ++l;
+ }
+ }
+ }
+ }
+ objects = document.getElementsByTagName('object'); j = 0; i = 0;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ medias[j] = objects[i]; ++j;
+ if(!isIE) {
+ if(objects[i].getAttributeNode("classid")) objects[i].removeAttributeNode(objects[i].getAttributeNode("classid"));
+ if(objects[i].getAttributeNode("codebase")) objects[i].removeAttributeNode(objects[i].getAttributeNode("codebase"));
+ }
+ }
+ }
+ }
+}
+function extendImgSizes(f) {
+ if(empx>0) {
+ var q = (f/empx); var w = 0; var h = 0;
+ for(var i=0; i < images.length; i++) {
+ w=images[i].getAttribute("width",0);
+ h=images[i].getAttribute("height",0);
+ if(w>0&&h>0) {
+ images[i].style.width=Math.floor(w*q)+"px";
+ images[i].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+}
+
+function extendCanSizes(f) {
+ if(empx>0 && canvasSupport) {
+ var q = (f/empx); var w = 0; var h = 0; var tmp = ""; var cnt; var obj;
+ for(var i=0; i < piecharts.length; i++) {
+ if(piecharts[i].getAttribute("summary")) {
+ tmp = piecharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = piecharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ for(var i=0; i < barcharts.length; i++) {
+ if(barcharts[i].getAttribute("summary")) {
+ tmp = barcharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = barcharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ for(var i=0; i < linecharts.length; i++) {
+ if(linecharts[i].getAttribute("summary")) {
+ tmp = linecharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = linecharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ }
+}
+function extendObjSizes(f) {
+ if(empx>0) {
+ var q = (f/empx); var w = 0; var h = 0;
+ for(var i=0; i < medias.length; i++) {
+ w=medias[i].getAttribute("width",0);
+ h=medias[i].getAttribute("height",0);
+ if(w>0&&h>0) {
+ medias[i].style.width=Math.floor(w*q)+"px";
+ medias[i].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+}
+function findPosX(obj) {
+ var posLeft = 0;
+ while (obj.offsetParent) {
+ posLeft += obj.offsetLeft;
+ obj = obj.offsetParent;
+ }
+ return posLeft;
+}
+function findPosY(obj) {
+ var posTop = 0;
+ while (obj.offsetParent) {
+ posTop += obj.offsetTop;
+ obj = obj.offsetParent;
+ }
+ return posTop;
+}
+// scalable images extension
+
+// canvas chart extension
+function deg2rad(degrees) {
+ return Math.PI *degrees/180;
+}
+function rad2deg(radians) {
+ return 180.0 *radians/Math.PI;
+}
+function circle_point_x(radians, diameter) {
+ var x = Math.cos(radians)*(diameter/2);
+ return x;
+}
+function circle_point_y(radians, diameter) {
+ var y = Math.sin(radians)*(diameter/2);
+ return y;
+}
+function roundTo(val,dig) {
+ var num = val;
+ if (val > 8191 && val < 10485) {
+ val = val-5000;
+ num = Math.round(val*Math.pow(10,dig))/Math.pow(10,dig);
+ num = num+5000;
+ } else {
+ num = Math.round(val*Math.pow(10,dig))/Math.pow(10,dig);
+ }
+ return num;
+}
+function searchColor(value) {
+ for (var dat in colorNames) {
+ if(dat==value) return colorNames[dat];
+ }
+ return false;
+}
+function scanColor(value) {
+ if(value.match(/^#[0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ var val1 = value.substr(1,1).toLowerCase();
+ var val2 = value.substr(2,1).toLowerCase();
+ var val3 = value.substr(3,1).toLowerCase();
+ value = '#' + val1 + val1 + val2 + val2 + val3 + val3;
+ }
+ if(!value.match(/^#[0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ var tmp = searchColor(value.toLowerCase());
+ if(!tmp) {}else{value = tmp;}
+ }
+ if(!value.match(/^#[0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ value = '#000000';
+ }
+ return value.toLowerCase();
+}
+function hex2rgb(val,trans) {
+ if(val.length==7) {
+ var tp1 = Math.max(0,Math.min(parseInt(val.substr(1,2),16),255));
+ var tp2 = Math.max(0,Math.min(parseInt(val.substr(3,2),16),255));
+ var tp3 = Math.max(0,Math.min(parseInt(val.substr(5,2),16),255));
+ return 'rgba(' + tp1 + ',' + tp2 + ',' + tp3 + ',' + trans + ')';
+ }
+}
+function trim(str) {
+ return (str.replace(/\s+$/,"").replace(/^\s+/,""));
+}
+function roundedRect(ctx,x,y,width,height,radius){
+ ctx.beginPath();
+ ctx.moveTo(x,y+radius);
+ ctx.lineTo(x,y+height-radius);
+ ctx.quadraticCurveTo(x,y+height,x+radius,y+height);
+ ctx.lineTo(x+width-radius,y+height);
+ ctx.quadraticCurveTo(x+width,y+height,x+width,y+height-radius);
+ ctx.lineTo(x+width,y+radius);
+ ctx.quadraticCurveTo(x+width,y,x+width-radius,y);
+ ctx.lineTo(x+radius,y);
+ ctx.quadraticCurveTo(x,y,x,y+radius);
+ ctx.closePath();
+}
+function drawString(ctx, text, fc, tx, ty) {
+ var xp = 0; var c = "";
+ ctx.beginPath();
+ for (var i = 0; i < text.length; i++) {
+ c = text[i];
+ ctx.drawImage(font, signs[c].sx, signs[c].sy, signs[c].sw, signs[c].sh, tx+xp, ty, signs[c].sw*fc, signs[c].sh*fc);
+ xp += (signs[c].sw*fc);
+ }
+ ctx.closePath();
+}
+function strokeString(ctx, txt, col, fh, tx, ty) {
+ var fw = fh*0.666666; var lw = fh*0.125;
+ var ls = lw/2; var cr = lw; var xp = 0;
+ ctx.lineCap = "round"; ctx.lineJoin = "round"
+ ctx.lineWidth = lw; ctx.strokeStyle = col;
+ for (var i = 0; i < txt.length; i++) {
+ strokeSymbol(ctx, txt[i], ls, tx+xp, ty, fw, fh);
+ xp += (txt[i]!="."?fw+cr:(fw/2)+cr);
+ }
+}
+function strokeSymbol(ctx, symbol, fc, cx, cy, cw, ch) {
+ ctx.beginPath();
+ switch (symbol) {
+ case "0":
+ ctx.moveTo(cx+fc,cy+(ch*0.333333));
+ ctx.arc(cx+(cw/2),cy+(cw/2),(cw/2)-fc,deg2rad(180),0, false);
+ ctx.arc(cx+(cw/2),(cy+ch)-(cw/2),(cw/2)-fc,0,deg2rad(180), false);
+ ctx.closePath();
+ break;
+ case "1":
+ ctx.moveTo(cx+(cw*0.1)+fc,cy+ch-fc);
+ ctx.lineTo(cx+cw-fc,cy+ch-fc);
+ ctx.moveTo(cx+(cw*0.666666),cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.666666),cy+fc);
+ ctx.lineTo(cx+(cw*0.25),cy+(ch*0.25));
+ break;
+ case "2":
+ ctx.moveTo(cx+cw-fc,cy+(ch*0.8));
+ ctx.lineTo(cx+cw-fc,cy+ch-fc);
+ ctx.lineTo(cx+fc,cy+ch-fc);
+ ctx.arc(cx+(cw/2),cy+(cw*0.425),(cw*0.425)-fc,deg2rad(45),deg2rad(-180), true);
+ break;
+ case "3":
+ ctx.moveTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.9)-fc,cy+fc);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-90),deg2rad(180), false);
+ break;
+ case "4":
+ ctx.moveTo(cx+(cw*0.75),cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.75),cy+fc);
+ ctx.moveTo(cx+cw-fc,cy+(ch*0.666666));
+ ctx.lineTo(cx+fc,cy+(ch*0.666666));
+ ctx.lineTo(cx+(cw*0.75),cy+fc);
+ ctx.moveTo(cx+cw-fc,cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.5),cy+ch-fc);
+ break;
+ case "5":
+ ctx.moveTo(cx+(cw*0.9)-fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+(ch*0.333333));
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-80),deg2rad(180), false);
+ break;
+ case "6":
+ ctx.moveTo(cx+fc,cy+ch-(cw*0.5)-fc);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-180),deg2rad(180), false);
+ ctx.bezierCurveTo(cx+fc,cy+fc,cx+fc,cy+fc,cx+(cw*0.9)-fc,cy+fc);
+ ctx.moveTo(cx+(cw*0.9)-fc,cy+fc);
+ break;
+ case "7":
+ ctx.moveTo(cx+(cw*0.5),cy+ch-fc);
+ ctx.lineTo(cx+cw-fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+(ch*0.25)-fc);
+ break;
+ case "8":
+ ctx.moveTo(cx+(cw*0.92)-fc,cy+(cw*0.59));
+ ctx.arc(cx+(cw/2),cy+(cw*0.45),(cw*0.45)-fc,deg2rad(25),deg2rad(-205), true);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-135),deg2rad(-45), true);
+ ctx.closePath();
+ ctx.moveTo(cx+(cw*0.79),cy+(ch*0.47));
+ ctx.lineTo(cx+(cw*0.21),cy+(ch*0.47));
+ break;
+ case "9":
+ ctx.moveTo(cx+cw-fc,cy+(cw*0.5));
+ ctx.arc(cx+(cw/2),cy+(cw*0.5),(cw*0.5)-fc,deg2rad(0),deg2rad(360), false);
+ ctx.bezierCurveTo(cx+cw-fc,cy+ch-fc,cx+cw-fc,cy+ch-fc,cx+(cw*0.1)+fc,cy+ch-fc);
+ break;
+ case "%":
+ ctx.moveTo(cx+fc,cy+(ch*0.75));
+ ctx.lineTo(cx+cw-fc,cy+(ch*0.25));
+ ctx.moveTo(cx+(cw*0.505),cy+(cw*0.3));
+ ctx.arc(cx+(cw*0.3),cy+(cw*0.3),(cw*0.3)-fc,deg2rad(0),deg2rad(360), false);
+ ctx.moveTo(cx+(cw*0.905),cy+ch-(cw*0.3));
+ ctx.arc(cx+(cw*0.7),cy+ch-(cw*0.3),(cw*0.3)-fc,deg2rad(0),deg2rad(360), false);
+ break;
+ case ".":
+ ctx.moveTo(cx+(cw*0.25),cy+ch-fc-fc);
+ ctx.arc(cx+(cw*0.25),cy+ch-fc-fc,fc,deg2rad(0),deg2rad(360), false);
+ ctx.closePath();
+ break;
+ default:
+ break;
+ }
+ ctx.stroke();
+}
+function drawBar(ctx,x,y,width,height,color,value,textdiv){
+ var rw = width/2; var rh = rw/2;
+ height = Math.max(height,rw);
+ var dh = Math.max(height-(2*rh),0.1); var S2L;
+ var xx = rw/8; var yy = rh/4;
+ var yo = rh/2; y = y - yy;
+ if(canvas_noshadow <= 0 && canvas_noshade <= 0) {
+ ctx.save();
+ S2L = ctx.createRadialGradient(x+rw+rh,y+height-rw+yy+rh,0,x+rw,y+height-rw+yy,rw);
+ S2L.addColorStop(0, 'rgba(0,0,0,0.5)');
+ S2L.addColorStop(0.7, 'rgba(0,0,0,0.25)');
+ S2L.addColorStop(0.9, 'rgba(0,0,0,0.1)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.fillStyle = S2L;
+ ctx.scale(1,0.5);
+ ctx.translate(xx,y+height+yy);
+ if(isOp){
+ ctx.fillRect(x,y+yy+height-width,width,width);
+ }else {
+ ctx.arc(x+rw,y+yy+height-width,width,0,deg2rad(360), false);
+ ctx.fill();
+ }
+ ctx.restore();
+ }else if(canvas_noshadow <= 0 && canvas_noshade >= 1) {
+ ctx.fillStyle = 'rgba(0,0,0,0.2)';
+ ctx.fillRect(x+yo,y+rh+yo+yo,width,dh);
+ }
+
+ if(canvas_noshade <= 0) {
+ ctx.beginPath();
+ ctx.moveTo(x,y+rh);
+ ctx.lineTo(x,y+rh+dh);
+ ctx.bezierCurveTo(x,y+height,x+width,y+height,x+width,y+rh+dh)
+ ctx.lineTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = color;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x,y+(height/2),x+width,y+(height/2));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.75)');
+ S2L.addColorStop(0.2, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.3, 'rgba(0,0,0,0)');
+ S2L.addColorStop(0.5, 'rgba(0,0,0,0.1)');
+ S2L.addColorStop(0.9, 'rgba(0,0,0,0.35)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.3)');
+ ctx.beginPath();
+ ctx.moveTo(x,y+rh);
+ ctx.lineTo(x,y+rh+dh);
+ ctx.bezierCurveTo(x,y+height,x+width,y+height,x+width,y+rh+dh)
+ ctx.lineTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = S2L;
+ ctx.fill();
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = color;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x+rw-(width*0.15),y-2,x+rw+(width*0.15),y+(2.1*rh));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.9)');
+ S2L.addColorStop(0.5, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.6, 'rgba(0,0,0,0)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.3)');
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = S2L;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x+rw-(width*0.15),y-2,x+rw+(width*0.15),y+(2.1*rh));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.9)');
+ S2L.addColorStop(0.5, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.6, 'rgba(0,0,0,0)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.1)');
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.strokeStyle = S2L;
+ ctx.stroke();
+ }else {
+ ctx.fillStyle = color;
+ ctx.fillRect(x,y+rh+yo,width,dh);
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = 1;
+ ctx.fillStyle = 'rgba(255,255,255,0.5)';
+ var bw = width; var bh = bw/4;
+ var th = roundTo(bh*0.75,0); var tf = bh/80;
+ var c; var w;
+ if(canvas_notext <= 0) {
+ if(canvas_htmltext <= 0) {
+ w = '"' + per + '"';
+ if(w.indexOf(".")!=-1) {
+ c = w.length+0.5;
+ w = parseFloat(c-2)*parseFloat(48*tf);
+ bw = parseFloat(c-1)*parseFloat(48*tf);
+ }else {
+ c = w.length;
+ w = parseFloat(c-1)*parseFloat(48*tf);
+ bw = parseFloat(c)*parseFloat(48*tf);
+ }
+ roundedRect(ctx,x+(width/2)-(bw/2),y,bw,bh,bh/4);
+ ctx.fill();
+ if(canvas_imgtext <= 0) {
+ strokeString(ctx, value + "%","rgba(48,48,48,1)", th, x+(width/2)-(w/2), y+((bh-th)/2));
+ }else {
+ drawString(ctx, value + "%", tf, x+(width/2)-(w/2), y+((bh-th)/2.2));
+ }
+ }else {
+ var bh = bw/3; var th = roundTo(bh*0.75,0);
+ roundedRect(ctx,x,y,bw,bh,bh/4);
+ ctx.fill();
+ var obj = document.createElement('div');
+ obj.style.position = "absolute";
+ obj.style.overflow = "hidden";
+ obj.style.textAlign = "center";
+ obj.style.width = bw + "px";
+ obj.style.left = x + "px";
+ obj.style.top = y+((bh-th)/2.2) + "px";
+ obj.appendChild(document.createTextNode(per + "%"));
+ textdiv.appendChild(obj);
+ }
+
+ }
+}
+function drawLine(ctx,x,y,width,height,dist,array,factor,color,fill){
+ if(fill <= 0){
+ var style = hex2rgb(color,0.5);
+ ctx.lineJoin = "miter";
+ ctx.beginPath();
+ ctx.moveTo(x,y+height);
+ for (var i = 0; i < array.length; i++) {
+ ctx.lineTo(x+(i*dist),y+height-(array[i]*factor));
+ }
+ ctx.lineTo(x+width,y+height);
+ ctx.lineTo(x,y+height);
+ ctx.closePath();
+ ctx.fillStyle = style;
+ ctx.fill();
+ }
+ ctx.lineJoin = "round";
+ ctx.beginPath();
+ ctx.moveTo(x,y+height-(array[0]*factor));
+ for (var i = 0; i < array.length; i++) {
+ ctx.lineTo(x+(i*dist),y+height-(array[i]*factor));
+ }
+ ctx.strokeStyle = color;
+ ctx.stroke();
+}
+function setDataURL(cid) {
+ if(cid.toDataURL) {
+ var obj = document.getElementById(cid.id + "_link");
+ if(obj) {
+ obj.setAttribute("title", "To Data URL");
+ obj.setAttribute("target", "_blank");
+ obj.setAttribute("href", cid.toDataURL());
+ }
+ }
+}
+function get_input(dataobj,canvasobj,linechart) {
+ var table = document.getElementById(dataobj);
+ var canvas = document.getElementById(canvasobj);
+ var row; var clm; var cnt = 0; var val = 0; var nme = ""; var col = "";
+ if(canvas.getContext) {
+ canvas_width = parseInt(canvas.style.width);
+ canvas_height = parseInt(canvas.style.height);
+ if(canvas_width >= 16 && canvas_height >= 16) {
+ var tmp = table.getAttribute("summary");
+ canvas_noshade = 0; canvas_noshadow = 0;
+ canvas_notext = 0; canvas_imgtext = 0;
+ canvas_htmltext = 0; canvas_nofill = 0;
+ if(tmp.search(/noshadow/) != -1) canvas_noshadow = 1;
+ if(tmp.search(/noshade/) != -1) canvas_noshade = 1;
+ if(tmp.search(/nofill/) != -1) canvas_nofill = 1;
+ if(tmp.search(/notext/) != -1) canvas_notext = 1;
+ if(tmp.search(/htmltext/) != -1) canvas_htmltext = 1;
+ if(tmp.search(/imgtext/) != -1) canvas_imgtext = 1;
+ if(table.getAttribute("bgcolor")) {
+ canvas_bgcolor = scanColor(table.getAttribute("bgcolor"));
+ }
+ ChartData = new Array(); colorSlice = new Array();
+ if(table.getElementsByTagName("tr")[0].getElementsByTagName("th")[0]) cnt = 1;
+ for(var r = cnt; r < table.getElementsByTagName("tr").length; r++) {
+ row = table.getElementsByTagName("tr")[r];
+ clm = row.getElementsByTagName("td").length;
+ if(row.getElementsByTagName("td")[0].getAttribute("bgcolor")) {
+ col = scanColor(row.getElementsByTagName("td")[0].getAttribute("bgcolor")); val = 0;
+ nme = trim(row.getElementsByTagName("td")[1].innerHTML.replace(/<[^>]+>/g,""));
+ if(!linechart) {
+ if(clm > 3) {
+ for(var z = 2; z < clm; z++) {
+ val += parseFloat(row.getElementsByTagName("td")[z].innerHTML.replace(/<[^>]+>/g,""));
+ }
+ }else {
+ val = parseFloat(row.getElementsByTagName("td")[2].innerHTML.replace(/<[^>]+>/g,""));
+ }
+ if(!isNaN(val) && val > 0 && nme != '') {
+ colorSlice[r-cnt] = col; ChartData[nme] = Math.abs(val);
+ }else {
+ break;
+ }
+ }else {
+ val = 0; i = 0;
+ if(clm > 3 && nme != '') {
+ ChartData[r-cnt] = new Array();
+ colorSlice[r-cnt] = col;
+ for(var z = 2; z < clm; z++) {
+ val = Math.abs(parseFloat(row.getElementsByTagName("td")[z].innerHTML.replace(/<[^>]+>/g,"")));
+ if(!isNaN(val) && val >= 0) {
+ ChartData[r-cnt][i] = val; i++;
+ }else {
+ ChartData[r-cnt][i] = 0; i++;
+ }
+ }
+ }else {
+ break;
+ }
+ }
+ }else {
+ break;
+ }
+ }
+ }
+ }
+}
+function setPieChart(canvasobj,textobj) {
+ var cd = (Math.min(canvas_width,canvas_height)/110)*100;
+ var cp = cd*0.1; var cr = cd/2;
+ var sr = cr*0.93; var cw = cd+cp; var ch = cw;
+ var cx = (cd/2)+(cp/2); var cy = cx; var ct = 0;
+ for (var data in ChartData) {
+ ct += ChartData[data];
+ }
+ var poc = ct/100;
+ var canvas = document.getElementById(canvasobj);
+ if(canvas_htmltext >= 1) {
+ if(document.getElementById(textobj)) {
+ canvas.parentNode.removeChild(canvas.parentNode.lastChild);
+ }
+ }
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,cw,ch);
+ }else {
+ ctx.clearRect(0,0,cw,ch);
+ }
+ if(canvas_noshadow <= 0) {
+ if(!isOp) {
+ ctx.beginPath();
+ var B2B = ctx.createRadialGradient(cx+(cr*0.1),cy+(cr*0.1),cr,cx+(cr*0.1),cy+(cr*0.1),sr*0.9);
+ B2B.addColorStop(0, 'rgba(0,0,0,0)');
+ B2B.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.arc(cx+(cr*0.1),cy+(cr*0.1),cr,0,deg2rad(360), false);
+ ctx.closePath();
+ ctx.fillStyle = B2B;
+ ctx.fill();
+ }else {
+ var B2B = ctx.createRadialGradient(cx+(cr*0.1),cy+(cr*0.1),0,cx+(cr*0.1),cy+(cr*0.1),cr);
+ B2B.addColorStop(0, 'rgba(0,0,0,0.5)');
+ B2B.addColorStop(0.87, 'rgba(0,0,0,0.5)');
+ B2B.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.fillStyle = B2B;
+ ctx.fillRect(0,0,cw,ch);
+ }
+ }
+ var val = 0; var deg = 0; var idx = 0; var data;
+ for (data in ChartData) {
+ val = ChartData[data]; sdeg = deg;
+ deg += (val/ct)*deg2rad(360); edeg = deg;
+ ctx.beginPath();
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr,sdeg,edeg, false);
+ ctx.lineTo(cx,cy);
+ ctx.closePath();
+ ctx.fillStyle = colorSlice[(idx++)];
+ ctx.fill();
+ }
+ if(canvas_noshade <= 0) {
+ ctx.beginPath();
+ var W2T = ctx.createLinearGradient(cp*2,cp*2,cx,cy);
+ W2T.addColorStop(0, 'rgba(255,255,255,0.8)');
+ W2T.addColorStop(1, 'rgba(255,255,255,0)');
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,sr,deg2rad(135),deg2rad(315), false);
+ ctx.closePath();
+ ctx.fillStyle = W2T;
+ ctx.fill();
+ ctx.beginPath();
+ var T2B = ctx.createLinearGradient(cx,cy,cw-(cp*2),ch-(cp*2));
+ T2B.addColorStop(0, 'rgba(0,0,0,0)');
+ T2B.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,sr,deg2rad(-45),deg2rad(135), false);
+ ctx.closePath();
+ ctx.fillStyle = T2B;
+ ctx.fill();
+ ctx.beginPath();
+ var B2T = ctx.createLinearGradient(cx,cy,cw-(cp*1.9),ch-(cp*1.9));
+ B2T.addColorStop(0, 'rgba(0,0,0,0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.6)');
+ ctx.lineWidth = cr*0.07;
+ ctx.lineCap = "round";
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr*0.965,deg2rad(-45),deg2rad(135), false);
+ ctx.closePath();
+ ctx.strokeStyle = B2T;
+ ctx.stroke();
+ ctx.beginPath();
+ var T2W = ctx.createLinearGradient(cx,cy,(cp*1.9),(cp*1.9));
+ T2W.addColorStop(0, 'rgba(255,255,255,0)');
+ T2W.addColorStop(1, 'rgba(255,255,255,0.6)');
+ ctx.lineWidth = cr*0.07;
+ ctx.lineCap = "round";
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr*0.965,deg2rad(135),deg2rad(315), false);
+ ctx.closePath();
+ ctx.strokeStyle = T2W;
+ ctx.stroke();
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = 1;
+ ctx.fillStyle = 'rgba(255,255,255,0.5)';
+ var mpos = 0; var per = 0; var gx = 0;
+ var gy = 0; var tx = 0; var ty = 0;
+ var obj = ""; var w = 0; var c = 0;
+ var bw = cr*0.45; var bh = cr*0.125;
+ var th = roundTo(bh*0.75,0); var tf = bh/80;
+ if(canvas_notext <= 0) {
+ if(canvas_htmltext >= 1) {
+ bw = (cr*0.365);
+ canvas.parentNode.style.position = "relative";
+ if(!document.getElementById(textobj)) {
+ obj = document.createElement('div');
+ obj.id = textobj;
+ obj.style.color = "rgb(0,0,0)";
+ obj.style.fontFamily = "Arial,sans-serif";
+ obj.style.fontSize = th + "px";
+ obj.style.zIndex = 11;
+ canvas.parentNode.appendChild(obj);
+ }
+ var textdiv = document.getElementById(obj.id);
+ }
+ for (data in ChartData) {
+ val = ChartData[data];
+ sdeg = deg; mpos = deg+(((val/2)/ct)*deg2rad(360));
+ deg += (val/ct)*deg2rad(360); edeg = deg;
+ per = roundTo(val/poc,2);
+ gx = circle_point_x(mpos, cd);
+ gy = circle_point_y(mpos, cd);
+ tx = parseFloat((cx+(2*Math.floor(cx + gx)))/3);
+ ty = (cy+(2*Math.floor(cy + gy)))/3;
+ if(canvas_htmltext <= 0) {
+ w = '"' + per + '"';
+ if(w.indexOf(".")!=-1) {
+ c = w.length+0.5;
+ w = parseFloat(c-2)*parseFloat(48*tf);
+ bw = parseFloat(c-1)*parseFloat(48*tf);
+ }else {
+ c = w.length;
+ w = parseFloat(c-1)*parseFloat(48*tf);
+ bw = parseFloat(c)*parseFloat(48*tf);
+ }
+ roundedRect(ctx,(tx-(bw/2)),ty-(bh/2),bw,bh,bh/4);
+ ctx.fill();
+ if(canvas_imgtext >= 1) {
+ drawString(ctx, per + "%", tf, tx-(w/2), ty-(th/1.8));
+ }else {
+ strokeString(ctx, per + "%","rgba(48,48,48,1)", th, tx-(w/2), ty-(th/2));
+ }
+ }else {
+ roundedRect(ctx,(tx-(bw/2)),ty-(bh/2),bw,bh,bh/4);
+ ctx.fill();
+ obj = document.createElement('div');
+ obj.style.position = "absolute";
+ obj.style.overflow = "hidden";
+ obj.style.textAlign = "center";
+ obj.style.width = bw + "px";
+ obj.style.left = (tx-(bw/2)) + "px";
+ obj.style.top = ty-(th/1.8) + "px";
+ obj.appendChild(document.createTextNode(per + "%"));
+ textdiv.appendChild(obj);
+ }
+ }
+ }
+ }
+}
+function setBarChart(canvasobj,textobj) {
+ var iw = canvas_width*0.9; var ih = canvas_height*0.9;
+ var pw = canvas_width*0.05; var ph = canvas_height*0.05;
+ var cm = 0; var ct = 0;
+ for (var data in ChartData) {
+ cm = Math.max(cm,ChartData[data]);
+ ct += ChartData[data];
+ }
+ var hf = ih/cm; var bs = colorSlice.length;
+ var bw = iw/(bs*1.1); var poc = ct/100;
+ var th = roundTo((bw/3)*0.75,0);
+ var canvas = document.getElementById(canvasobj);
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,canvas_width,canvas_height);
+ }else {
+ ctx.clearRect(0,0,canvas_width,canvas_height);
+ }
+ if(canvas_htmltext >= 1) {
+ if(document.getElementById(textobj)) {
+ canvas.parentNode.removeChild(canvas.parentNode.lastChild);
+ }
+ canvas.parentNode.style.position = "relative";
+ if(!document.getElementById(textobj)) {
+ var obj = document.createElement('div');
+ obj.id = textobj;
+ obj.style.color = "rgb(0,0,0)";
+ obj.style.fontFamily = "Arial,sans-serif";
+ obj.style.fontSize = th + "px";
+ obj.style.zIndex = 11;
+ canvas.parentNode.appendChild(obj);
+ }
+ var textdiv = document.getElementById(obj.id);
+ }
+ var cc = ""; var bh = 0; var idx = 0; var t = 0; per = 0;
+ for (var data in ChartData) {
+ per = roundTo(ChartData[data]/poc,2);
+ bh = ChartData[data]*hf;
+ drawBar(ctx,pw+(t*(bw*1.1)),ph+ih-bh,bw,bh,colorSlice[(idx++)],per,textdiv);
+ t++;
+ }
+ }
+}
+function setLineChart(canvasobj,textobj) {
+ var iw = canvas_width*0.9;
+ var ih = canvas_height*0.9;
+ var pw = canvas_width*0.05;
+ var ph = canvas_height*0.05;
+ var cm = 0;
+ for (var i = 0; i < ChartData.length; i++) {
+ for (var j = 0; j < ChartData[i].length; j++) {
+ cm = Math.max(cm,ChartData[i][j]);
+ }
+ }
+ var hf = (ih*0.95)/cm; var bw = iw;
+ var dw = iw/(ChartData[0].length-1)
+ var dh = ih/(ChartData[0].length-1)
+ var ps = Math.min(pw,ph); lw = ps/4;
+ var B2T;
+ var canvas = document.getElementById(canvasobj);
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,canvas_width,canvas_height);
+ }else {
+ ctx.clearRect(0,0,canvas_width,canvas_height);
+ }
+ if(canvas_noshadow <= 0) {
+ B2T = ctx.createLinearGradient(pw+ps,ph+ih+1,pw+ps+ps,ph+ih+1);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+ps,ph+ih);
+ ctx.lineTo(pw+ps,ph+ih+ps);
+ ctx.lineTo(pw+ps+ps,ph+ih);
+ ctx.closePath();
+ ctx.fill();
+ B2T = ctx.createLinearGradient(pw+ps+ps,ph+ih,pw+ps+ps,ph+ih+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.5)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.0)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+ps+ps,ph+ih);
+ ctx.lineTo(pw+iw,ph+ih);
+ ctx.lineTo(pw+ps+iw,ph+ih+ps);
+ ctx.lineTo(pw+ps,ph+ih+ps);
+ ctx.closePath();
+ ctx.fill();
+ var B2T = ctx.createLinearGradient(pw+iw+1,ph+ps,pw+iw+1,ph+ps+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.35)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+iw,ph+ps);
+ ctx.lineTo(pw+iw,ph+ps+ps);
+ ctx.lineTo(pw+iw+ps,ph+ps);
+ ctx.closePath();
+ ctx.fill();
+ B2T = ctx.createLinearGradient(pw+iw,ph+ps+ps,pw+iw+ps,ph+ps+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.35)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.0)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+iw,ph+ps+ps);
+ ctx.lineTo(pw+iw,ph+ih);
+ ctx.lineTo(pw+iw+ps,ph+ih+ps);
+ ctx.lineTo(pw+iw+ps,ph+ps);
+ ctx.closePath();
+ ctx.fill();
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = lw/2;
+ ctx.strokeStyle = "rgba(255,255,255,0.25)";
+ if(canvas_nofill <= 0) {
+ ctx.fillStyle = "rgba(240,240,240,0.8)";
+ ctx.strokeStyle = "rgba(255,255,255,1)";
+ ctx.fillRect(pw,ph,iw,ih);
+ }
+ for (var i = 0; i < ChartData[0].length-1; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw+(i*dw),ph);
+ ctx.lineTo(pw+(i*dw),ph+ih);
+ ctx.stroke();
+ }
+ for (var i = 1; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw,ph+(i*dh));
+ ctx.lineTo(pw+iw,ph+(i*dh));
+ ctx.stroke();
+ }
+ ctx.lineWidth = lw;
+ var cc = ""; var bh = 0; var idx = 0; var t = 0;
+ for (var i = 0; i < ChartData.length; i++) {
+ for (var j = 0; j < ChartData[i].length; j++) {
+ t = Math.max(t,ChartData[i][j]);
+ }
+ bh = t*hf; cc = colorSlice[(idx++)];
+ drawLine(ctx,pw,ph+ih-bh,bw,bh,dw,ChartData[i],hf,cc,canvas_nofill);
+ }
+ ctx.beginPath();
+ ctx.moveTo(pw,ph);
+ ctx.lineTo(pw,ph+ih);
+ ctx.lineTo(pw+iw+(lw/2),ph+ih);
+ ctx.strokeStyle = "rgba(48,48,48,1)";
+ ctx.stroke();
+ ctx.lineWidth = lw/2;
+ B2T = ctx.createLinearGradient(pw,ph+ih,pw,ph+ih+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,1)');
+ B2T.addColorStop(0.5, 'rgba(0,0,0,1)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.strokeStyle = B2T;
+ for (var i = 0; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw+(i*dw),ph+ih);
+ ctx.lineTo(pw+(i*dw),ph+ih+ps);
+ ctx.stroke();
+ }
+ B2T = ctx.createLinearGradient(pw-ps,ph,pw,ph);
+ B2T.addColorStop(0, 'rgba(0,0,0,0)');
+ B2T.addColorStop(0.5, 'rgba(0,0,0,1)');
+ B2T.addColorStop(1, 'rgba(0,0,0,1)');
+ ctx.strokeStyle = B2T;
+ for (var i = 1; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw-ps,ph+(i*dh));
+ ctx.lineTo(pw,ph+(i*dh));
+ ctx.stroke();
+ }
+ }
+}
+function generateCanvas() {
+ if(canvasSupport) {
+ var canvasID; var tdataID; var textID;
+ var tmp; var dat; var i; var j;
+ for(i=0; i < piecharts.length; i++) {
+ tmp = piecharts[i].id.split("_");
+ tdataID = piecharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID);
+ if(colorSlice.length > 0) {
+ j = 0; dat = ""; for(dat in ChartData) {j++; }
+ if(j > 0 && j == colorSlice.length) {
+ setPieChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ for(i=0; i < barcharts.length; i++) {
+ tmp = barcharts[i].id.split("_");
+ tdataID = barcharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID);
+ if(colorSlice.length > 0) {
+ j = 0; dat = ""; for(dat in ChartData) {j++; }
+ if(j > 0 && j == colorSlice.length) {
+ setBarChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ for(i=0; i < linecharts.length; i++) {
+ tmp = linecharts[i].id.split("_");
+ tdataID = linecharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID,true);
+ if(colorSlice.length > 0) {
+ if(ChartData.length == colorSlice.length) {
+ setLineChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ }
+}
+// canvas chart extension
+
+// invisible select extension
+function setListPos(opt) {
+ if(!isIE) { //(degrade IE)
+ var ref = document.getElementById('list');
+ var x = findPosX(ref); var y = findPosY(ref);
+ var obj = document.getElementById('jumplist');
+ obj.style.position = 'fixed'; obj.style.left = x + 'px'; obj.style.top = y + 'px';
+ var vol = document.getElementById('volumelist');
+ ref = document.getElementById('volume');
+ x = findPosX(ref); if(isOp) {y = window.innerHeight-imgHeight; }else {y = findPosY(ref);}
+ vol.style.position = 'fixed'; vol.style.left = x + 'px'; vol.style.top = y + 'px';
+ var del = document.getElementById('delaylist');
+ ref = document.getElementById('delay');
+ x = findPosX(ref); if(isOp) {y = window.innerHeight-imgHeight; }else {y = findPosY(ref);}
+ del.style.position = 'fixed'; del.style.left = x + 'px'; del.style.top = y + 'px';
+ var pnl = document.getElementById('navLinks');
+ }
+ if(opt) {
+ if(isIE) {
+ //(degrade IE)
+ //pnl.style.filter = "alpha(opacity=80)";
+ }else {
+ obj.style.opacity = 0.0;
+ vol.style.opacity = 0.0;
+ del.style.opacity = 0.0;
+ pnl.style.opacity = 0.8;
+ }
+ }
+}
+// invisible select extension
+
+// transition extension
+function switchFade() {
+ if(tranSitions && s5mode && fadeModus) {
+ fadeModus = false;
+ playDelay = playDelay-(2*fadeDuration);
+ var obj = document.getElementById('fade'); changeClass(obj,'isoff');
+ }else if(tranSitions && s5mode && !fadeModus) {
+ fadeModus = true;
+ playDelay = playDelay+(2*fadeDuration);
+ var obj = document.getElementById('fade'); changeClass(obj,'ison');
+ }
+ currentSlide();
+}
+function opacity(ids, opacStart, opacEnd, millisec) {
+ var speed = Math.round(millisec / 100);
+ var timer = 0;
+ if(opacStart > opacEnd) {
+ for(var i = opacStart; i >= opacEnd; i--) {
+ window.setTimeout("changeOpac(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ } else if(opacStart < opacEnd) {
+ for(var i = opacStart; i <= opacEnd; i++) {
+ window.setTimeout("changeOpac(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ }
+}
+function changeOpac(opacity, ids) {
+ var obj = document.getElementById(ids);
+ if (isIE) {
+ obj.style.filter = "alpha(opacity=" + opacity + ")";
+ } else {
+ obj.style.opacity = (opacity / 100);
+ }
+}
+function shiftOpacity(ids, millisec) {
+ if(document.getElementById(ids).style.opacity != '') {
+ var currentOpac = document.getElementById(ids).style.opacity * 100;
+ } else {
+ var currentOpac = 0;
+ }
+ if(currentOpac == 0) {
+ opacity(ids, currentOpac, 100, millisec);
+ } else if(currentOpac > 0) {
+ opacity(ids, currentOpac, 0, millisec);
+ }
+}
+// transition extension
+
+// autoplay extension
+function autoPlay() {
+ if (s5mode && autoMatic && !playPause) {
+ if ((snum >= (smax-1)) && playLoop) {
+ goTo(0);
+ autoRun = setTimeout('autoPlay();',playDelay);
+ }else if ((snum >= (smax-1)) && !playLoop) {
+ stopPlay();
+ }else {
+ if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ if (incrementals[snum].length >0) {
+ clearTimeout(autoRun); autoRun = null;
+ incrDelay = parseInt(playDelay/(incrementals[snum].length+1));
+ remainDer = parseInt(playDelay-(incrDelay*incrementals[snum].length));
+ autoRun = setTimeout('autoPlayIncr()',incrDelay);
+ }
+ } else {
+ clearTimeout(autoRun); autoRun = null;
+ incrDelay = incrDuration;
+ remainDer = parseInt(playDelay-(incrementals[snum].length*incrDuration));
+ autoRun = setTimeout('autoPlayIncr()',incrDelay);
+ }
+ autoRun = setTimeout('autoPlay();',playDelay);
+ }
+ }
+}
+function autoPlayIncr() {
+ if (incpos < incrementals[snum].length) {
+ subgo(1);
+ autoRun = setTimeout('autoPlayIncr();',incrDelay);
+ }else {
+ autoRun = setTimeout('nop();',remainDer);
+ }
+}
+function nop() {
+ // no operation dummy
+}
+function togglePlay() {
+ if (autoRun && s5mode) {
+ stopPlay();
+ }else if (!autoRun && s5mode) {
+ startPlay();
+ }
+}
+function stopPlay() {
+ if (autoRun && s5mode) {
+ clearTimeout(autoRun); autoRun = null;
+ autoMatic = false; playPause = false; var obj = '';
+ obj = document.getElementById('auto'); changeClass(obj,'isoff');
+ obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ currentSlide();
+ }
+}
+function startPlay() {
+ if (!autoRun && s5mode) {
+ playPause = false; autoMatic = true; var obj = '';
+ obj = document.getElementById('auto'); changeClass(obj,'ison');
+ obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ autoRun = setTimeout('autoPlay();',playDelay);
+ currentSlide();
+ }
+}
+function pausePlay() {
+ if (s5mode && autoMatic) {
+ if (playPause) {
+ playPause = false; autoRun = setTimeout('autoPlay();',playDelay);
+ var obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ }else {
+ if (autoRun){
+ clearTimeout(autoRun); autoRun = null; playPause = true;
+ var obj = document.getElementById('pause'); changeClass(obj,'ison');
+ }
+ }
+ currentSlide();
+ }
+}
+function switchLoop() {
+ if(s5mode) {
+ if (playLoop) {
+ playLoop = false;
+ var obj = document.getElementById('loop'); changeClass(obj,'isoff');
+ }else {
+ playLoop = true;
+ var obj = document.getElementById('loop'); changeClass(obj,'ison');
+ }
+ }
+ currentSlide();
+}
+function setDelay() {
+ var val = document.getElementById('delaylist');
+ if(s5mode) {
+ var delay = Math.max(5,Math.min(parseInt(val.value),300));
+ playDelay = (fadeModus == true) ? ((delay*1000)+(2*fadeDuration)) : (delay*1000);
+ }
+}
+// autoplay extension
+
+// audio extension
+function createSoundManagerScript() {
+ if(typeof soundManager=="undefined") {
+ onerrorSM2();
+ }else {
+ var script=document.createElement('SCRIPT');
+ var tx=document.createTextNode("soundManager.createMovie();");
+ script.appendChild(tx);
+ document.getElementsByTagName("body")[0].appendChild(script);
+ }
+}
+//soundManager.onload = function() {
+function onloadSM2() {
+ if(!isIE) { //(degrade IE)
+ swfUnloaded = false;
+ preloadSounds();
+ }
+}
+//soundManager.onerror = function() {
+function onerrorSM2() {
+ if(typeof soundManager!="undefined") {
+ soundManager.destruct;
+ delete soundManager;
+ }
+ audioSupport = false; swfUnloaded = true;
+ if(audioError && !isIE && !isOp) {
+ var dv = document.createElement('div'); dv.id = "guru";
+ var d2=document.createElement('div'); dv.appendChild(d2);
+ var tx=document.createTextNode('Guru Meditation - SoundManager failed to load/initialize!');
+ d2.appendChild(tx); document.getElementById('slide0').appendChild(dv);
+ }
+}
+function audioSetup() {
+ if(sound[0]) {
+ playSound(0);
+ }else if(sound[bgSoundItem] && !sound[0]) {
+ playSound(bgSoundItem);
+ }
+}
+function fadeoutSound(ids, option) {
+ if(curSoundID >= 0 && !swfUnloaded) {
+ if(isNaN(ids)) {
+ if(ids == "bgSound") {
+ var cnum = parseInt(bgSoundItem);
+ }else {
+ for (var i = 0; i < (sound.length-1); i++) {
+ if(sound[i] && ids == sound[i]["id"]) {
+ var cnum = i;
+ break;
+ }
+ }
+ }
+ }else {
+ var cnum = ids;
+ ids = sound[cnum]["id"];
+ }
+ var vol = getMaxVolume(sound[cnum]["volume"]);
+ var millisec = fadeDuration;
+ var speed = Math.round(millisec / vol);
+ var timer = 0;
+ for(var i = vol; i > 0; i--) {
+ setTimeout("fadeout(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ if(option) setTimeout("stopSound('"+ids+"')",millisec);
+ }
+}
+function fadeout(volume, id) {
+ soundManager.setVolume(id,volume);
+}
+function stopSound(ids) {
+ var sid;
+ if(isNaN(ids)) {
+ sid = ids;
+ }else if(sound[ids]) {
+ sid = sound[ids]["id"];
+ }
+ if(sid!='' && curSoundID >= 0) {
+ soundManager.stop(sid);
+ curSoundID = -1;
+ }
+}
+function toggleSounds() {
+ if(audioSupport && !swfUnloaded) {
+ stopAllSounds();
+ }else if (!audioSupport && !swfUnloaded) {
+ allowSounds();
+ }
+}
+function allowSounds() {
+ if(!swfUnloaded) {
+ audioSupport = true;
+ var obj = document.getElementById('audio'); changeClass(obj,'ison');
+ currentSlide();
+ }
+}
+function stopAllSounds() {
+ if(curSoundID >= 0) {
+ stopSound(curSoundID);
+ }else {
+ soundManager.stopAll();
+ curSoundID = -1;
+ }
+ audioSupport = false;
+ var obj = document.getElementById('audio'); changeClass(obj,'isoff');
+ currentSlide();
+}
+function playSound(id) {
+ if(audioSupport && !swfUnloaded) {
+ var url, sid, vol, lps, cnum;
+ if(isNaN(id)) {
+ sid = id;
+ if(sid == "bgSound") {
+ cnum = parseInt(bgSoundItem);
+ }else {
+ cnum = getSoundID(id);
+ }
+ }else {
+ cnum = parseInt(id);
+ if(sound[cnum]) sid = sound[cnum]["id"];
+ }
+ if(sound[cnum] && sound[cnum]["url"]!='' && sid!=''){
+ url = sound[cnum]["url"];
+ vol = (sound[cnum]["volume"]!='')?getMaxVolume(sound[cnum]["volume"]):getMaxVolume(100);
+ lps = (sound[cnum]["loops"])?true:false;
+ if(lps) {
+ soundManager.play(sid,{volume:vol,onplay:function(){curSoundID=cnum;},onfinish:function(){soundManager.play(sid,{volume:getMaxVolume(sound[cnum]["volume"])});}});
+ }else {
+ soundManager.play(sid,{volume:vol,onplay:function(){curSoundID=cnum;},onfinish:function(){curSoundID=-1;}});
+ }
+ }
+ }
+}
+function getSoundID(str) {
+ for (var i = 0; i < (sound.length-1); i++) {
+ if(sound[i] && str == sound[i]["id"]) {
+ var id = i;
+ break;
+ }
+ }
+ return id;
+}
+function setVolume() {
+ var vol = document.getElementById('volumelist');
+ if(audioSupport && !swfUnloaded) {
+ audioVolume = parseInt(vol.value);
+ if(curSoundID >= 0) {
+ if(sound[curSoundID]) {
+ var sid = sound[curSoundID]["id"];
+ var vid = getMaxVolume(sound[curSoundID]["volume"]);
+ soundManager.setVolume(sid,vid);
+ }
+ }
+ }
+}
+function getMaxVolume(value) {
+ if(audioVolume>0) {var factor = audioVolume/100;}else {var factor = 0;}
+ return Math.max(0,Math.min(parseInt(value*factor),audioVolume));
+}
+function preloadSounds() {
+ var temp = ''; var parm = ''; var t = ''; var cl = '';
+ var objects = document.getElementsByTagName('object');
+ for (var i=0; i < objects.length; i++) {
+ if(objects[i].type.toLowerCase() == 'audio/mp3' && objects[i].data != '') {
+ objects[i].width = 0; objects[i].height = 0;
+ if(objects[i].parentNode.tagName == 'DIV') {
+ cl = objects[i].parentNode.className.toLowerCase();
+ if(cl == 'presentation' || cl == 'slide') {
+ if(cl == 'presentation') {
+ t = parseInt(bgSoundItem);
+ }else {
+ t = parseInt(objects[i].parentNode.id.slice(5, objects[i].parentNode.id.length));
+ }
+ sound[t] = new Object();
+ if(t < bgSoundItem) {
+ sound[t]["id"] = objects[i].parentNode.id;
+ }else {
+ sound[t]["id"] = "bgSound";
+ }
+ sound[t]["url"] = objects[i].data;
+ sound[t]["volume"] = 100; sound[t]["loops"] = false;
+ if(objects[i].archive != '') {
+ parm = objects[i].archive.toLowerCase().split(',');
+ for (var j=0; j < parm.length; j++) {
+ if(parm[j] == 'loop') sound[t]["loops"] = true;
+ if(parm[j].search(/^volume/) != -1) {
+ var tmp = parm[j].split(':');
+ sound[t]["volume"] = parseInt(tmp[1]);
+ }
+ }
+ }
+ soundManager.createSound(sound[t]["id"],sound[t]["url"]);
+ }
+ }
+ }
+ }
+}
+// audio extension
+
+// help extension
+function createHelpReq() {
+ if(!document.getElementById("HelpReq")) {
+ var obj = document.getElementsByTagName("body")[0].firstChild;
+ var pg = document.createElement('div');
+ pg.id = "HelpReq";
+ if (pg.addEventListener) {
+ pg.addEventListener("onclick",dumpHelpReq,false);
+ } else if (pg.attachEvent) {
+ pg.attachEvent("onclick",dumpHelpReq);
+ }
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=90)";
+ } else {
+ pg.style.opacity = 0.9;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(64,64,64)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/help.jpg)";
+ document.getElementsByTagName("body")[0].insertBefore(pg,obj);
+ if(document.getElementById("HelpReq")) {
+ helpmode = true;
+ }
+ }
+}
+function dumpHelpReq() {
+ if(document.getElementById("HelpReq")) {
+ document.body.removeChild(document.getElementById('HelpReq'));
+ helpmode = false;
+ }
+}
+// help extension
+
+//DEBUG
+function ConsoleLog(value) {
+ if(window.console) {
+ window.console.log(value);
+ }
+}
+//DEBUG
+
+document.write('<style type="text/css" media="screen" id="blockStyle">.presentation, .layout {display: none; }</style>');
+
+if(!isIE && !isOp) {
+ document.write('<script type="text/javascript" src="'+s5Path+'ui/audio_support/soundmanager2.js"></script>');
+ if(typeof soundManager!="undefined") {
+ var allMetas = document.getElementsByTagName('meta');
+ for (var i = 0; i< allMetas.length; i++) {
+ if (allMetas[i].name == 'audioDebug') {
+ var audioDebug = (allMetas[i].content == "true") ? true : false;
+ soundManager.defaultOptions.debugMode = audioDebug;
+ }
+ }
+ }
+}
+
+window.onload = createSlideShow;
+window.onresize = function(){setTimeout('windowChange()',5);} \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/sun.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/sun.png
new file mode 100644
index 000000000..f06b1d393
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/sun.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/toggle_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/toggle_link.png
new file mode 100644
index 000000000..4588e2514
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/toggle_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/toggle_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/toggle_over.png
new file mode 100644
index 000000000..400f613b6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/toggle_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/volume_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/volume_link.png
new file mode 100644
index 000000000..f362acd1f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/volume_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/wrap.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/wrap.css
new file mode 100644
index 000000000..a37315552
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/wrap.css
@@ -0,0 +1,410 @@
+/********************************************************************
+Screen and Print Styles for the Wrap Plugin
+********************************************************************/
+
+/* tables in columns and boxes should span the whole width */
+.wrap_column table,
+.wrap_left table, .wrap_right table, .wrap_center table,
+.wrap_box table,
+.wrap_info table, .wrap_important table, .wrap_alert table, .wrap_tip table, .wrap_help table, .wrap_todo table, .wrap_download table {
+ width: 100%;
+}
+/* emulate a headline */
+.wrap_column em strong,
+.wrap_left em strong, .wrap_right em strong, .wrap_center em strong,
+.wrap_box em strong,
+.wrap_info em strong, .wrap_important em strong, .wrap_alert em strong, .wrap_tip em strong, .wrap_help em strong, .wrap_todo em strong, .wrap_download em strong {
+ font-size: 130%;
+ font-weight: bold;
+ font-style: normal;
+ display: block;
+}
+/* emulate a bigger headline with a bottom border */
+.wrap_column em strong em.u,
+.wrap_left em strong em.u, .wrap_right em strong em.u, .wrap_center em strong em.u,
+.wrap_box em strong em.u,
+.wrap_info em strong em.u, .wrap_important em strong em.u, .wrap_alert em strong em.u, .wrap_tip em strong em.u, .wrap_help em strong em.u, .wrap_todo em strong em.u, .wrap_download em strong em.u {
+ font-size: 115%;
+ border-bottom: 1px solid __border__;
+ font-style: normal;
+ text-decoration: none;
+ display: block;
+}
+/* different bigger headline for safety notes */
+.wrap_danger em strong em.u, .wrap_warning em strong em.u, .wrap_caution em strong em.u, .wrap_notice em strong em.u, .wrap_safety em strong em.u {
+ font-size: 115%;
+ font-style: normal;
+ text-decoration: none;
+ display: block;
+ text-transform: uppercase;
+ border-bottom-width: 0;
+}
+/* change border colour of emulated headlines inside boxes to something more neutral
+ (to match all the different background colours) */
+.wrap_box em strong em.u,
+.wrap_info em strong em.u, .wrap_important em strong em.u, .wrap_alert em strong em.u, .wrap_tip em strong em.u, .wrap_help em strong em.u, .wrap_todo em strong em.u, .wrap_download em strong em.u {
+ border-bottom-color: #999;
+}
+
+
+/* columns
+********************************************************************/
+
+.wrap_left,
+.wrap_column {
+ float: left;
+ margin-right: 1.5em;
+}
+.wrap_right {
+ float: right;
+ margin-left: 1.5em;
+}
+.wrap_center {
+ display: block;
+ margin-left: auto;
+ margin-right: auto;
+}
+/*
+.wrap_column,
+.wrap_left,
+.wrap_right {
+ overflow: hidden;
+}
+*/
+.wrap_container {
+ margin-right: -1.5em;
+}
+
+
+/* alignments
+********************************************************************/
+
+.wrap_leftalign {
+ text-align: left;
+}
+.wrap_centeralign {
+ text-align: center;
+}
+.wrap_rightalign {
+ text-align: right;
+}
+.wrap_justify {
+ text-align: justify;
+}
+
+
+/* box
+********************************************************************/
+
+/* see styles for boxes and notes with icons in style.css */
+
+/*____________ rounded corners ____________*/
+/* (only for modern browsers) */
+
+div.wrap_round {
+ border-radius: 20px;
+ -moz-border-radius: 20px;
+ -webkit-border-radius: 20px;
+ -khtml-border-radius: 20px;
+}
+span.wrap_round {
+ border-radius: 2px;
+ -moz-border-radius: 2px;
+ -webkit-border-radius: 2px;
+ -khtml-border-radius: 2px;
+}
+
+
+/* mark
+********************************************************************/
+
+div.wrap_lo {
+ color: __text_neu__;
+ font-size: 85%;
+}
+div.wrap_em {
+ color: #c00;
+ font-weight: bold;
+}
+
+/* see styles for highlighted text in style.css */
+
+
+/* typography
+********************************************************************/
+
+/*____________ fonts ____________*/
+
+div.wrap_sansserif {
+ font-family: Verdana,Tahoma,Geneva,"DejaVu Sans","Bitstream Vera Sans","Liberation Sans", Arial,Helvetica,FreeSans,"Liberation Sans","Nimbus Sans L", sans-serif;
+}
+div.wrap_serif {
+ font-family: Georgia,Garamond,"Palatino Linotype","Book Antiqua",Palatino,Palladio,"URW Palladio L","Liberation Serif",Didot,Gentium,"Bitstream Charter","Century Schoolbook L", "Times New Roman",Times,"Nimbus Roman No9 L","FreeSerif", serif;
+}
+div.wrap_monospace {
+ font-family: Courier,"Courier New",FreeMono,"Nimbus Mono L","Liberation Mono", "Lucida Console",Monaco,"DejaVu Sans Mono","Bitstream Vera Sans Mono", monospace;
+}
+
+/*____________ font size ____________*/
+
+div.wrap_bigger {
+ font-size: 125%;
+}
+div.wrap_muchbigger {
+ font-size: 200%;
+}
+div.wrap_smaller {
+ font-size: 75%;
+}
+
+/*____________ font colours ____________*/
+
+div.wrap_fgred {
+ color: #900;
+}
+div.wrap_fggreen {
+ color: #090;
+}
+div.wrap_fgblue {
+ color: #009;
+}
+div.wrap_fgcyan {
+ color: #099;
+}
+div.wrap_fgviolet {
+ color: #909;
+}
+div.wrap_fgyellow {
+ color: #990;
+}
+div.wrap_fggrey {
+ color: #666;
+}
+div.wrap_fgblack {
+ color: #000;
+}
+
+/* see styles for background colours and white font colour in style.css */
+
+
+/* miscellaneous
+********************************************************************/
+
+/*____________ indent ____________*/
+
+div.wrap_indent {
+ padding-left: 1.5em;
+}
+
+/*____________ outdent ____________*/
+
+div.wrap_outdent {
+ margin-left: -1.5em;
+}
+
+/*____________ word wrapping in pre ____________*/
+
+divdiv.wrap_prewrap pre {
+ white-space: pre-wrap;
+ word-wrap: break-word;/* for IE < 8 */
+ /* white-space: -moz-pre-wrap; for FF < 3 */
+}
+
+/*____________ spoiler ____________*/
+
+divdiv.wrap_spoiler {
+ margin-bottom: 1.5em;
+}
+/* see rest of spoiler styles in style.css */
+
+/*____________ clear float ____________*/
+
+div.wrap_clear {
+ clear: both;
+ line-height: 0;
+ height: 0;
+ font-size: 1px;
+ visibility: hidden;
+ overflow: hidden;
+}
+
+/*____________ hide ____________*/
+
+div.wrap_hide {
+ display: none;
+}
+
+
+/* STYLE.CSS */
+
+/* box
+********************************************************************/
+
+.wrap_box {
+ background: __background_alt__;
+ color: __text__;
+/*
+ overflow: hidden;
+*/
+}
+div.wrap_box,
+div.wrap_danger, div.wrap_warning, div.wrap_caution, div.wrap_notice, div.wrap_safety {
+ padding: 1em 1em .5em;
+ margin-bottom: 1.5em;
+}
+span.wrap_box,
+span.wrap_danger, span.wrap_warning, span.wrap_caution, span.wrap_notice, span.wrap_safety {
+ padding: 0 .3em;
+}
+
+/*____________ notes with icons ____________*/
+
+/* general styles for all note divs */
+div.wrap_info, div.wrap_important, div.wrap_alert, div.wrap_tip, div.wrap_help, div.wrap_todo, div.wrap_download {
+ padding: 1em 1em .5em 70px;
+ margin-bottom: 1.5em;
+ min-height: 68px;
+ background-position: 10px 50%;
+ background-repeat: no-repeat;
+ color: #000;
+}
+/* special treatment for IE6 */
+* html div.wrap_info, * html div.wrap_important, * html div.wrap_alert, * html div.wrap_tip, * html div.wrap_help, * html div.wrap_todo, * html div.wrap_download {
+ height: 68px;
+}
+/* general styles for all note spans */
+span.wrap_info, span.wrap_important, span.wrap_alert, span.wrap_tip, span.wrap_help, span.wrap_todo, span.wrap_download {
+ padding: 0 2px 0 20px;
+ min-height: 20px;
+ background-position: 2px 50%;
+ background-repeat: no-repeat;
+ color: #000;
+}
+/* special treatment for IE6 */
+* html span.wrap_info, * html span.wrap_important, * html span.wrap_alert, * html span.wrap_tip, * html span.wrap_help, * html span.wrap_todo, * html span.wrap_download {
+ height: 20px;
+}
+
+/*____________ info ____________*/
+.wrap_info { background-color: #d1d7df; }
+div.wrap_info { background-image: url(../../../wrap/images/note/48/info.png); }
+span.wrap_info { background-image: url(../../../wrap/images/note/16/info.png); }
+
+/*____________ important ____________*/
+.wrap_important { background-color: #ffd39f; }
+div.wrap_important { background-image: url(../../../wrap/images/note/48/important.png); }
+span.wrap_important { background-image: url(../../../wrap/images/note/16/important.png); }
+
+/*____________ alert ____________*/
+.wrap_alert { background-color: #ffbcaf; }
+div.wrap_alert { background-image: url(../../../wrap/images/note/48/alert.png); }
+span.wrap_alert { background-image: url(../../../wrap/images/note/16/alert.png); }
+
+/*____________ tip ____________*/
+.wrap_tip { background-color: #fff79f; }
+div.wrap_tip { background-image: url(../../../wrap/images/note/48/tip.png); }
+span.wrap_tip { background-image: url(../../../wrap/images/note/16/tip.png); }
+
+/*____________ help ____________*/
+.wrap_help { background-color: #dcc2ef; }
+div.wrap_help { background-image: url(../../../wrap/images/note/48/help.png); }
+span.wrap_help { background-image: url(../../../wrap/images/note/16/help.png); }
+
+/*____________ todo ____________*/
+.wrap_todo { background-color: #c2efdd; }
+div.wrap_todo { background-image: url(../../../wrap/images/note/48/todo.png); }
+span.wrap_todo { background-image: url(../../../wrap/images/note/16/todo.png); }
+
+/*____________ download ____________*/
+.wrap_download { background-color: #d6efc2; }
+div.wrap_download { background-image: url(../../../wrap/images/note/48/download.png); }
+span.wrap_download { background-image: url(../../../wrap/images/note/16/download.png); }
+
+
+/*____________ safety notes ____________*/
+
+.wrap_danger {
+ background-color: #c00;
+ color: #fff;
+}
+.wrap_warning {
+ background-color: #f60;
+ color: #000;
+}
+.wrap_caution {
+ background-color: #ff0;
+ color: #000;
+}
+.wrap_notice {
+ background-color: #06f;
+ color: #fff;
+}
+.wrap_safety {
+ background-color: #090;
+ color: #fff;
+}
+
+
+/* mark
+********************************************************************/
+
+div.wrap_hi {
+ background-color: #ff9;
+}
+
+/* typography
+********************************************************************/
+
+/*____________ font colours ____________*/
+
+div.wrap_fgwhite {
+ color: #fff;
+}
+
+/*____________ background colours ____________*/
+
+div.wrap_bgred {
+ background-color: #fcc;
+}
+div.wrap_bggreen {
+ background-color: #cfc;
+}
+div.wrap_bgblue {
+ background-color: #ccf;
+}
+div.wrap_bgcyan {
+ background-color: #9ff;
+}
+div.wrap_bgviolet {
+ background-color: #f9f;
+}
+div.wrap_bgyellow {
+ background-color: #ff9;
+}
+div.wrap_bggrey {
+ background-color: #ccc;
+}
+div.wrap_bgwhite {
+ background-color: #fff;
+}
+div.wrap_bgblack {
+ background-color: #000;
+}
+
+
+/* miscellaneous
+********************************************************************/
+
+/*____________ spoiler ____________*/
+
+div.wrap_spoiler {
+ background-color: __background__ !important;
+ color: __background__ !important;
+ border: 1px dotted red;
+}
+
+/*____________ only print ____________*/
+
+div.wrap_onlyprint {
+ display: none;
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/zero_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/zero_link.png
new file mode 100644
index 000000000..5610884d9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/zero_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/zero_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/zero_over.png
new file mode 100644
index 000000000..3a14a577e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/sunny/zero_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/LEEME.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/LEEME.txt
new file mode 100755
index 000000000..db32b3854
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/LEEME.txt
@@ -0,0 +1,31 @@
+TANGO
+
+Tema para S5, creado originalmente por jEsuSdA 8)
+http://www.jesusda.com
+
+M谩s temas en: http://www.jesusda.com/projects/presentaciones_s5
+
+
+
+Para customizar este tema modifica el archivo CSS:
+
+s5-ultraviolet/ui/default/pretty.css
+
+
+
+Los archivos gr谩ficos referentes al tema est谩n en la carpeta:
+
+/ui/default/pics
+
+
+Puedes personalizar el favicon copiando el tuyo en la carpeta:
+
+/ui/default/pics
+
+con el nombre favicon.ico
+
+
+
+Para cualquier sugerencia e idea, por favor, contacta conmigo a trav茅s de mi web. ;)
+
+--jEsuSdA 8) \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/audio_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/audio_link.png
new file mode 100644
index 000000000..daa740c40
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/audio_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/audio_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/audio_on.png
new file mode 100644
index 000000000..cd590aae9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/audio_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/audio_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/audio_over.png
new file mode 100644
index 000000000..cf537a008
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/audio_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/auto_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/auto_link.png
new file mode 100644
index 000000000..1c2ca4817
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/auto_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/auto_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/auto_on.png
new file mode 100644
index 000000000..4b0c923b8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/auto_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/auto_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/auto_over.png
new file mode 100644
index 000000000..dbea88975
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/auto_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/backgrnd.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/backgrnd.png
new file mode 100644
index 000000000..0e48413d3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/backgrnd.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/blank.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/blank.gif
new file mode 100755
index 000000000..75b945d25
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/blank.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/delay_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/delay_link.png
new file mode 100644
index 000000000..c4d99cd57
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/delay_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/exit_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/exit_link.png
new file mode 100644
index 000000000..1bfd38790
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/exit_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/exit_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/exit_over.png
new file mode 100644
index 000000000..0fdd1c605
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/exit_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/fade_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/fade_link.png
new file mode 100644
index 000000000..482e4d53e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/fade_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/fade_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/fade_on.png
new file mode 100644
index 000000000..e12561fb2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/fade_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/fade_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/fade_over.png
new file mode 100644
index 000000000..fb8943c98
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/fade_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/footer.jpg b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/footer.jpg
new file mode 100644
index 000000000..49370dbba
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/footer.jpg
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/framing.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/framing.css
new file mode 100755
index 000000000..14d8509e9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/framing.css
@@ -0,0 +1,23 @@
+/* The following styles size, place, and layer the slide components.
+ Edit these if you want to change the overall slide layout.
+ The commented lines can be uncommented (and modified, if necessary)
+ to help you with the rearrangement process. */
+
+/* target = 1024x768 */
+
+div#header, div#footer, .slide {width: 100%; top: 0; left: 0;}
+div#header {top: 0; height: 3em; z-index: 1;}
+div#footer {top: auto; bottom: 0; height: 2.5em; z-index: 5;}
+.slide {top: 0; width: 92%; padding: 3.5em 4% 4%; z-index: 2; list-style: none;}
+div#controls {left: 50%; bottom: 0; width: 50%; z-index: 100;}
+div#controls form {position: absolute; bottom: 0; right: 0; width: 100%;
+ margin: 0;}
+#currentSlide {position: absolute; width: 10%; left: 45%; bottom: 1em; z-index: 10;}
+html>body #currentSlide {position: fixed;}
+
+/*
+div#header {background: #FCC;}
+div#footer {background: #CCF;}
+div#controls {background: #BBD;}
+div#currentSlide {background: #FFC;}
+*/
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/header.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/header.png
new file mode 100644
index 000000000..929dabba1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/header.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/iepngfix.htc b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/iepngfix.htc
new file mode 100755
index 000000000..bba2db756
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/iepngfix.htc
@@ -0,0 +1,42 @@
+<public:component>
+<public:attach event="onpropertychange" onevent="doFix()" />
+
+<script>
+
+// IE5.5+ PNG Alpha Fix v1.0 by Angus Turnbull http://www.twinhelix.com
+// Free usage permitted as long as this notice remains intact.
+
+// This must be a path to a blank image. That's all the configuration you need here.
+var blankImg = 'ui/default/blank.gif';
+
+var f = 'DXImageTransform.Microsoft.AlphaImageLoader';
+
+function filt(s, m) {
+ if (filters[f]) {
+ filters[f].enabled = s ? true : false;
+ if (s) with (filters[f]) { src = s; sizingMethod = m }
+ } else if (s) style.filter = 'progid:'+f+'(src="'+s+'",sizingMethod="'+m+'")';
+}
+
+function doFix() {
+ if ((parseFloat(navigator.userAgent.match(/MSIE (\S+)/)[1]) < 5.5) ||
+ (event && !/(background|src)/.test(event.propertyName))) return;
+
+ if (tagName == 'IMG') {
+ if ((/\.png$/i).test(src)) {
+ filt(src, 'image'); // was 'scale'
+ src = blankImg;
+ } else if (src.indexOf(blankImg) < 0) filt();
+ } else if (style.backgroundImage) {
+ if (style.backgroundImage.match(/^url[("']+(.*\.png)[)"']+$/i)) {
+ var s = RegExp.$1;
+ style.backgroundImage = '';
+ filt(s, 'crop');
+ } else filt();
+ }
+}
+
+doFix();
+
+</script>
+</public:component> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/index.html b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/index.html
new file mode 100755
index 000000000..39d8f46ad
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/index.html
@@ -0,0 +1,100 @@
+<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Strict//EN"
+ "http://www.w3.org/TR/xhtml1/DTD/xhtml1-strict.dtd">
+
+<html xmlns="http://www.w3.org/1999/xhtml" xml:lang="es" lang="es-es" >
+
+
+<head>
+
+ <title>[T铆tulo de la presentaci贸n aqu铆]</title>
+ <!-- metadata -->
+ <meta http-equiv="content-type" content="text/html; charset=utf-8" />
+ <meta http-equiv="content-language" content="es" />
+
+ <!--titulo y datos de publicaci贸n de la presentaci贸n-->
+
+ <meta name="description" content="[T铆tulo de la presentaci贸n aqu铆]" />
+
+ <meta name="keywords" content="[keywords aqu铆]" />
+ <meta name="presdate" content="[Aqu铆 la fecha de publicaci贸n en formato aaaammdd]" />
+
+ <meta name="author" lang="es" content="[Nombre del autor de la presentaci贸n aqu铆]" />
+ <meta name="company" content="[Organizaci贸n del autor de la presentaci贸n aqu铆]" />
+
+ <link rel="shortcut icon" href="ui/default/pics/favicon.ico" />
+
+ <meta name="generator" content="S5" />
+ <meta name="progid" content="quanta+" />
+ <meta name="version" content="S5 路 jEsuSdA 路 1.1" />
+ <!--Versi贸n adaptada del estandard S5 1.1 por jEsuSdA-->
+
+ <meta name="robots" content="all" />
+
+ <!-- configuration parameters -->
+ <meta name="defaultView" content="slideshow" />
+ <meta name="controlVis" content="hidden" />
+
+ <!-- style sheet links -->
+ <link rel="stylesheet" href="ui/default/slides.css" type="text/css" media="projection" id="slideProj" />
+ <link rel="stylesheet" href="ui/default/outline.css" type="text/css" media="screen" id="outlineStyle" />
+ <link rel="stylesheet" href="ui/default/print.css" type="text/css" media="print" id="slidePrint" />
+ <link rel="stylesheet" href="ui/default/opera.css" type="text/css" media="projection" id="operaFix" />
+
+ <!-- S5 JS -->
+ <script src="ui/default/slides.js" type="text/javascript"></script>
+
+</head>
+
+<body>
+
+ <div class="layout">
+ <div id="controls"><!-- NO EDITAR --></div>
+ <div id="currentSlide"><!-- NO EDITAR --></div>
+
+ <div id="header">
+ <div id="logo"><!-- NO EDITAR --></div>
+ </div>
+
+ </div>
+
+ <div id="footer">
+ <h1>[Lugar/Fecha de la presentaci贸n aqu铆]</h1>
+ <h2>[T铆tulo de la presentaci贸n aqu铆]</h2>
+ </div>
+
+
+
+
+<div class="presentation">
+
+ <div class="slide">
+ <h1>[T铆tulo de la presentaci贸n aqu铆]</h1>
+ <h3>[Nombre del ponente aqu铆]</h3>
+ <h4>[Datos extra aqu铆]</h4>
+ </div>
+
+
+ <div class="slide">
+ <h1>[T铆tulo de la diapositiva]</h1>
+
+ <ul>
+ <li>[Punto uno]</li>
+ <li>[Punto dos]</li>
+ <li>[Punto tres]</li>
+ <li>[Punto cuatro]</li>
+ <li>[Punto cinco]</li>
+ </ul>
+
+ <div class="handout">
+
+ [A帽ade aqu铆 cualquier nota o informaci贸n que desees mostrar en la versi贸n impresa, pero no en la versi贸n en pantalla]
+
+ </div>
+
+ </div>
+ <!--fin slide-->
+
+</div>
+
+</body>
+</html>
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/last_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/last_link.png
new file mode 100644
index 000000000..4f158d35d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/last_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/last_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/last_over.png
new file mode 100644
index 000000000..a7b629995
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/last_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/list_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/list_link.png
new file mode 100644
index 000000000..7e299bb50
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/list_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/loop_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/loop_link.png
new file mode 100644
index 000000000..41e12cb15
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/loop_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/loop_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/loop_on.png
new file mode 100644
index 000000000..2ffcacae1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/loop_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/loop_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/loop_over.png
new file mode 100644
index 000000000..9fe420153
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/loop_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/next_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/next_link.png
new file mode 100644
index 000000000..2b9f44c4d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/next_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/next_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/next_over.png
new file mode 100644
index 000000000..25788124d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/next_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/notes_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/notes_link.png
new file mode 100644
index 000000000..bb4a8ae37
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/notes_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/notes_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/notes_over.png
new file mode 100644
index 000000000..c6bfe69fc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/notes_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/opera.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/opera.css
new file mode 100755
index 000000000..9e9d2a3c5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/opera.css
@@ -0,0 +1,7 @@
+/* DO NOT CHANGE THESE unless you really want to break Opera Show */
+.slide {
+ visibility: visible !important;
+ position: static !important;
+ page-break-before: always;
+}
+#slide0 {page-break-before: avoid;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/outline.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/outline.css
new file mode 100755
index 000000000..62db519ed
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/outline.css
@@ -0,0 +1,15 @@
+/* don't change this unless you want the layout stuff to show up in the outline view! */
+
+.layout div, #footer *, #controlForm * {display: none;}
+#footer, #controls, #controlForm, #navLinks, #toggle {
+ display: block; visibility: visible; margin: 0; padding: 0;}
+#toggle {float: right; padding: 0.5em;}
+html>body #toggle {position: fixed; top: 0; right: 0;}
+
+/* making the outline look pretty-ish */
+
+#slide0 h1, #slide0 h2, #slide0 h3, #slide0 h4 {border: none; margin: 0;}
+#slide0 h1 {padding-top: 1.5em;}
+.slide h1 {margin: 1.5em 0 0; padding-top: 0.25em;
+ border-top: 1px solid #888; border-bottom: 1px solid #AAA;}
+#toggle {border: 1px solid; border-width: 0 0 1px 1px; background: #FFF;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pause_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pause_link.png
new file mode 100644
index 000000000..2561cb4a4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pause_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pause_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pause_on.png
new file mode 100644
index 000000000..2fc9c4a4a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pause_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pause_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pause_over.png
new file mode 100644
index 000000000..7a7c80ddc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pause_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/back-handout-print.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/back-handout-print.png
new file mode 100755
index 000000000..9e49dd625
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/back-handout-print.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/back-slide-print.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/back-slide-print.png
new file mode 100755
index 000000000..e8b5f9d82
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/back-slide-print.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/back_console.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/back_console.png
new file mode 100755
index 000000000..ac1708379
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/back_console.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/background-foot.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/background-foot.png
new file mode 100755
index 000000000..c44e44f2c
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/background-foot.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/background-head.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/background-head.png
new file mode 100755
index 000000000..713900b92
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/background-head.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/background-main.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/background-main.png
new file mode 100755
index 000000000..c7e1d71b7
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/background-main.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/favicon.ico b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/favicon.ico
new file mode 100755
index 000000000..138568ed4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/favicon.ico
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/logo.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/logo.png
new file mode 100755
index 000000000..662b919f1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/logo.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/table-background.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/table-background.png
new file mode 100755
index 000000000..89a7e4fa2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/table-background.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/table-header.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/table-header.png
new file mode 100755
index 000000000..4c3a86b44
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pics/table-header.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pretty.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pretty.css
new file mode 100755
index 000000000..8e807b108
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/pretty.css
@@ -0,0 +1,583 @@
+/* Following are the presentation styles -- edit away! */
+
+body
+{
+ background: #FFF url(pics/background-main.png) top left repeat;
+ color: #000; font-size: 2em;
+/* font-family: Trebuchet MS, Arial, Helvetica, sans-serif; */
+ font-family: Arial, Helvetica, sans-serif;
+
+}
+
+:link, :visited
+{
+ text-decoration: none;
+ color: #00C;
+}
+
+
+
+h1, h2, h3, h4
+{
+ font-size: 100%;
+ margin: 0;
+ padding: 0;
+ font-weight: inherit;
+}
+
+h1 abbr
+{
+ font-variant: small-caps;
+}
+
+ul, pre
+{
+ margin: 0;
+ line-height: 1em;
+}
+
+html, body
+{
+ margin: 0;
+ padding: 0;
+}
+
+blockquote, q
+{
+ font-style: italic;
+}
+
+blockquote
+{
+ padding: 0 2em 0.5em;
+ margin: 0 1.5em 0.5em;
+ text-align: center;
+ font-size: 1em;
+}
+
+blockquote p
+{
+ margin: 0;
+}
+
+blockquote i
+{
+ font-style: normal;
+}
+
+blockquote b
+{
+ display: block;
+ margin-top: 0.5em;
+ font-weight: normal;
+ font-size: smaller;
+ font-style: normal;
+}
+
+blockquote b i
+{
+ font-style: italic;
+}
+
+kbd
+{
+ font-weight: bold;
+ font-size: 1em;
+}
+
+sup
+{
+ font-size: smaller;
+ line-height: 1px;
+}
+
+
+ul.urls
+{
+ list-style: none;
+ display: inline;
+ margin: 0;
+}
+
+.urls li
+{
+ display: inline;
+ margin: 0;
+}
+
+.notes
+{
+ display: none;
+}
+
+.external
+{
+ border-bottom: 1px dotted gray;
+}
+
+html>body .external
+{
+ border-bottom: none;
+}
+
+.external:after
+{
+ content: " \274F";
+ font-size: smaller;
+ color: #fff;
+}
+
+.incremental, .incremental *, .incremental *:after
+{
+ color: #fff;
+ visibility: visible;
+}
+
+img.incremental
+{
+ visibility: hidden;
+}
+
+.slide .current
+{
+ color: #fff;
+}
+
+
+/* ============== */
+/* === SLIDES === */
+/* ============== */
+
+.slide code
+{
+ padding: 2px 0.25em;
+ font-weight: bold;
+}
+
+.slide code.bad, code del
+{
+ color: red;
+}
+
+.slide code.old
+{
+ color: silver;
+}
+
+.slide pre
+{
+
+ color: #ffca00;
+ display:block;
+ font-weight:bold;
+ font-family: Arial, Sans-Serif !important;
+ font-size:41.5%;
+ line-height:1.5em;
+ background:#2e3436 url(pics/back_console.png) right bottom no-repeat ;
+ border:5px solid #ccc;
+ padding:1em 1em 1em 1em;
+
+
+}
+
+.slide pre code
+{
+ font-family: Arial, Sans-Serif !important;
+ display:block;
+ margin:0;
+ padding:0;
+}
+
+
+
+.slide ul
+{
+ margin-left: 5%;
+ margin-right: 7%;
+ list-style: disc;
+}
+
+.slide li
+{
+ margin-top: 0.75em;
+ margin-right: 0;
+}
+
+.slide ul ul
+{
+ line-height: 1;
+}
+
+.slide ul ul li
+{
+ margin: .2em;
+ font-size: 85%;
+ list-style: square;
+}
+
+.slide img.leader
+{
+ display: block;
+ margin: 0 auto;
+}
+
+
+/* ========================= */
+/* === HEADER AND FOOTER === */
+/* ========================= */
+
+div#logo
+{
+ position:absolute;
+ top:0%;
+ right:0%;
+ background:url(pics/logo.png) 50% 50% no-repeat;
+ height:200%;
+ width:150px;
+}
+
+div#header, div#footer
+{
+ background: #a80231;
+ color: #FFF;
+}
+
+
+div#header
+{
+ background:#7ea1c9 url(pics/background-head.png) bottom left repeat-x;
+ line-height: 1px;
+}
+
+div#footer
+{
+ background:#7ea1c9 url(pics/background-foot.png) top left repeat-x;
+ font-size: 0.5em;
+ font-weight: bold;
+ padding: 1em 0;
+}
+
+
+
+
+
+#footer h1, #footer h2
+{
+ display: block;
+ padding: 0 1em;
+}
+
+#footer h2
+{
+ font-style: italic;
+}
+
+
+
+/* ============== */
+/* === CLASES === */
+/* ============== */
+
+div.long
+{
+ font-size: 0.75em;
+}
+
+.slide h1
+{
+ position: absolute;
+ top: 0.7em;
+ left: 87px;
+ z-index: 1;
+ margin: 0;
+ padding: 0.3em 0 0 50px;
+ white-space: nowrap;
+ font-weight: bold;
+ font-size: 150%/1em;
+
+ text-transform: capitalize;
+ color: #fff;
+ background: transparent;
+}
+
+.slide h3
+{
+ font-size: 130%;
+}
+
+/* ================ */
+/* === CONTROLS === */
+/* ================ */
+
+#currentSlide
+{
+ text-align: center;
+ font-size: 0.6em;
+ font-weight:bold;
+ color: #fff;
+}
+
+#slide0
+{
+ padding-top: 3.5em;
+ font-size: 90%;
+}
+
+#slide0 h1
+{
+ position: static;
+ margin: 1em 0 0;
+ padding: 0;
+/* font: bold 2em Helvetica, sans-serif; */
+ font-weight: bold;
+ font-size:2em;
+ white-space: normal;
+ color: #000;
+ background: transparent;
+}
+
+#slide0 h2
+{
+ font-weight: bold;
+ font-style: italic;
+ font-size: 1em;
+ margin: 0.25em;
+}
+
+#slide0 h3
+{
+ margin-top: 1.5em;
+ font-size: 1.5em;
+}
+
+#slide0 h4
+{
+ margin-top: 0;
+ font-size: 1em;
+}
+
+/* ================ */
+/* === JESUSDA === */
+/* ================ */
+
+.first-pic
+{
+
+ float:right;
+ margin-top:-2em;
+}
+
+/* ================ */
+
+.align-center
+{
+ text-align:center;
+}
+/* ================ */
+
+.float-left , .left-column
+{
+ float:left !important;
+ width:49% !important;
+}
+
+.float-right , .right-column
+{
+ float:right !important;
+ width:49% !important;
+}
+/* ================ */
+.fullscreen
+{
+ z-index:1000 !important;
+ position:fixed !important;
+ top:0;
+ left:0;
+ width:100% !important;
+ height:100% !important;
+
+ background: #fff url(pics/background-main.png) top left no-repeat;
+
+ text-align:center;
+ margin-left:-1.65em;
+
+}
+
+.fullscreen h1
+{
+ display:none;
+}
+
+.rotulo
+{
+ font-size:800%;
+ text-align:center;
+ margin-top:-0.05em;
+
+}
+
+.rotulo2
+{
+ font-size:200%;
+ text-align:center;
+ font-weight:bolder;
+ margin-top:-0.05em;
+
+}
+
+.align-center img
+{
+ margin:auto;
+ text-align:center;
+ margin:0;
+}
+
+/* ========================= */
+/* === TABLAS === */
+/* ========================= */
+
+table
+{
+ background:url(pics/table-background.png) bottom left repeat-x #fff;
+ margin-right:1em;
+ font-size:70%;
+ border:1px solid #31447b !important;
+ border-spacing: 0;
+ text-align:center;
+}
+
+table td
+{
+ padding:0.5em;
+ font-size:90%;
+ border:1px solid #31447b !important;
+ border-spacing: 0;
+ text-align:center;
+}
+
+th
+{
+ background:url(pics/table-header.png) top left repeat-x #7ea1c9;
+ color:#fff;
+}
+
+th a
+{
+ color:#fff !important;
+}
+
+
+
+table caption
+{
+ margin-bottom:1em;
+ margin-top:1em;
+ margin-left:-7em;
+
+
+}
+
+
+display-none
+{
+ display:none;
+}
+
+div#controls {position: absolute; left: 0; bottom: 0; width: 100%; text-align: center; font: bold 1em Verdana, Arial, Helvetica, sans-serif;}
+html>body div#controls {background: transparent; position: fixed; padding: 0; top: auto; text-align: center;}
+#controls #sheet {display: none;}
+#controls #controlForm {height: 32px; width: 100%; text-align: center; vertical-align: middle;}
+#controls #navLinks {border-top: 1px solid #999; overflow: hidden; padding: 0; margin: 0; height: 32px; width: 100%; background-color: #808080; text-align: center; vertical-align: middle; white-space: nowrap;}
+#controls #navLinks ul {margin: 0 auto; padding: 0; height: 32px; width: 500px; white-space: nowrap; text-align: center;}
+#controls #navLinks ul li {list-style: none; display: inline; margin: 0; padding: 0; float: left; height: 32px; width: 32px; text-align: center; background-color: transparent;}
+#controls #navLinks ul li.vr {list-style: none; display: inline; margin: 0px 2px; padding: 0; float: left; height: 32px; width: 1px; text-align: center; background-color: #606060;}
+#controls #navLinks ul li a {margin: 0; padding: 0; float: left; height: 32px; width: 32px; background-color: transparent;}
+
+#controls #navLinks ul li#exit {background: url(exit_link.png) no-repeat top left;}
+#controls #navLinks ul li#exit a:hover {background: url(exit_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#toggle {background: url(toggle_link.png) no-repeat top left;}
+#controls #navLinks ul li#toggle a:hover {background: url(toggle_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#show-notes {background: url(notes_link.png) no-repeat top left;}
+#controls #navLinks ul li#show-notes a:hover {background: url(notes_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#zero {background: url(zero_link.png) no-repeat top left;}
+#controls #navLinks ul li#zero a:hover {background: url(zero_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#prev {background: url(prev_link.png) no-repeat top left;}
+#controls #navLinks ul li#prev a:hover {background: url(prev_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#next {background: url(next_link.png) no-repeat top left;}
+#controls #navLinks ul li#next a:hover {background: url(next_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#last {background: url(last_link.png) no-repeat top left;}
+#controls #navLinks ul li#last a:hover {background: url(last_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#lst {background: url(list_link.png) no-repeat top left;}
+
+#controls #navLinks ul li#fade a.isoff {background: url(fade_link.png) no-repeat top left;}
+#controls #navLinks ul li#fade a.ison {background: url(fade_on.png) no-repeat top right;}
+#controls #navLinks ul li#fade a:hover {background: url(fade_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#audio {background: url(audio_link.png) no-repeat top left;}
+#controls #navLinks ul li#audio a.isoff {background: url(audio_link.png) no-repeat top left;}
+#controls #navLinks ul li#audio a.ison {background: url(audio_on.png) no-repeat top right;}
+#controls #navLinks ul li#audio a:hover {background: url(audio_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#vol {background: url(volume_link.png) no-repeat top left;}
+
+#controls #navLinks ul li#auto a.isoff {background: url(auto_link.png) no-repeat top left;}
+#controls #navLinks ul li#auto a.ison {background: url(auto_on.png) no-repeat top right;}
+#controls #navLinks ul li#auto a:hover {background: url(auto_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#pause a.isoff {background: url(pause_link.png) no-repeat top left;}
+#controls #navLinks ul li#pause a.ison {background: url(pause_on.png) no-repeat top right;}
+#controls #navLinks ul li#pause a:hover {background: url(pause_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#loop a.isoff {background: url(loop_link.png) no-repeat top left;}
+#controls #navLinks ul li#loop a.ison {background: url(loop_on.png) no-repeat top right;}
+#controls #navLinks ul li#loop a:hover {background: url(loop_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#del {background: url(delay_link.png) no-repeat top left;}
+
+#jumplist, #volumelist, #delaylist {padding: 0; margin: 0; width: 32px; height: 32px; cursor: n-resize;}
+
+#guru {position: absolute; visibility: visible; left: 0px; top: 0px; padding: 4px; width: 99%; height: auto; text-align: center; background-color: black; z-index: 10;}
+#guru div {border: solid 3px red; padding: 4px; font-family: monospace; font-size: 60%; width: auto; height: auto; color: red; text-align: center;}
+
+/*
+#currentSlide {white-space: nowrap; text-align: center; margin-bottom: -0.5em; font-size: 0.5em; background-color: transparent; color: #999;}
+
+#slide0 {padding-top: 3.5em; font-size: 90%;}
+#slide0 h1 {position: static; margin: 1em 0 0; padding: 0; font: bold 2em Verdana, Arial, Helvetica, sans-serif; font-variant: small-caps; text-shadow: 0.1em 0.1em 0.1em #666; white-space: normal; color: #222; background-color: transparent;}
+#slide0 h2 {font: bold italic 1em Arial, Helvetica, sans-serif; margin: 0.25em;}
+#slide0 h3 {margin-top: 1.5em; font-size: 1.5em;}
+#slide0 h4 {margin-top: 0; font-size: 1em;}
+*/
+
+ul.urls {list-style: none; display: inline; margin: 0;}
+.urls li {display: inline; margin: 0;}
+.external {border-bottom: 1px dotted gray;}
+html>body .external {border-bottom: none;}
+.external:after {content: " \274F"; font-size: smaller; color: #999;}
+
+.incremental, .incremental *, .incremental *:after {color: #ccc; visibility: visible;}
+img.incremental, canvas.incremental {visibility: hidden;}
+.slide .current {color: #B02;}
+
+/* diagnostics
+li:after {content: " [" attr(class) "]"; color: #F88;}
+*/
+
+table.piechart, table.barchart, table.linechart { border-spacing: 0.3em 0.15em; }
+table.piechart tr th, table.barchart tr th, table.linechart tr th { white-space: nowrap; }
+table.piechart tr td, table.barchart tr td, table.linechart tr td { vertical-align: top; white-space: nowrap; }
+table.piechart tr td.col, table.barchart tr td.col, table.linechart tr td.col { border-bottom: 1px solid #555; border-right: 1px solid #555; }
+table.fs90 tr td, table.fs90 tr th, div.fs90, pre.fs90, p.fs90 ,ul.fs90 {font-size: 0.9em; }
+table.fs75 tr td, table.fs75 tr th, div.fs75, pre.fs75, p.fs75 ,ul.fs75 {font-size: 0.75em; }
+table.fs66 tr td, table.fs66 tr th, div.fs66, pre.fs66, p.fs66 ,ul.fs66 {font-size: 0.66em; }
+table.fs50 tr td, table.fs50 tr th, div.fs50, pre.fs50, p.fs50 ,ul.fs50 {font-size: 0.5em; }
+
+#soundmanager-debug {position:fixed; top:0px; right:0px; width:30em; height:20em; overflow:auto; border:1px solid red; padding:1em; margin:2em; font-family:"sans serif"; font-size: 12px;color: black; background-color:#f6f6f6; z-index: 100;}
+#soundmanager-debug code {font-size: 11px;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/prev_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/prev_link.png
new file mode 100644
index 000000000..c31d21350
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/prev_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/prev_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/prev_over.png
new file mode 100644
index 000000000..6fef4fa52
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/prev_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/print.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/print.css
new file mode 100755
index 000000000..220083260
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/print.css
@@ -0,0 +1 @@
+/* The following rule is necessary to have all slides appear in print! DO NOT REMOVE IT! */ .slide, ul { page-break-inside: avoid; visibility: visible !important; } h1 { page-break-after: avoid; } html { width:100%; overflow:hidden; } body { font-size: 12pt; background: white; width:100%; } * { color: black; } #slide0 h1 { font-size: 200%; border: none; margin: 0.5em 0 0.25em; } #slide0 h3 { margin: 0; padding: 0; } #slide0 h4 { margin: 0 0 0.5em; padding: 0; } #slide0 { margin-bottom: 3em; } h1 { border-top: 2pt solid gray; border-bottom: 1px dotted silver; padding:0.2em 0 0.3em 0; } .extra { background: transparent !important; } div.extra, pre.extra, .example { font-size: 10pt; color: #333; } ul.extra a { font-weight: bold; } p.example { display: none; } #header { display: none; } #footer h1 { margin: 0; border-bottom: 1px solid; color: gray; font-style: italic; } #footer h2, #controls { display: none; } div.handout { display:block; border-top: 2px dotted #ccc; background:url(pics/back-handout-print.png) top right no-repeat #fff; min-height:6em; padding-right:6em; margin-bottom:1em; } .slide { display:block; background:url(pics/back-slide-print.png) top right no-repeat #fff; min-height:6em; margin-bottom:1em; } table { display:block; /* display:none; */ font-size:90%; overflow:hidden; margin-top:1em; margin-bottom:1em; } pre , code { margin:0; font-size:1pt; overflow:auto; } img { max-width:100% !important; } /* The following rule keeps the layout stuff out of print. Remove at your own risk! */ .layout, .layout * { display: none !important; } \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/s5-core.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/s5-core.css
new file mode 100755
index 000000000..86444e041
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/s5-core.css
@@ -0,0 +1,9 @@
+/* Do not edit or override these styles! The system will likely break if you do. */
+
+div#header, div#footer, div#controls, .slide {position: absolute;}
+html>body div#header, html>body div#footer,
+ html>body div#controls, html>body .slide {position: fixed;}
+.handout {display: none;}
+.layout {display: block;}
+.slide, .hideme, .incremental {visibility: hidden;}
+#slide0 {visibility: visible;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/slides.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/slides.css
new file mode 100755
index 000000000..0786d7dbd
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/slides.css
@@ -0,0 +1,3 @@
+@import url(s5-core.css); /* required to make the slide show run at all */
+@import url(framing.css); /* sets basic placement and size of slide components */
+@import url(pretty.css); /* stuff that makes the slides look better than blah */ \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/slides.js b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/slides.js
new file mode 100644
index 000000000..510a04c0e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/slides.js
@@ -0,0 +1,2812 @@
+// S5 1.3beta7 (18-Apr-2007) advanced version by C. Effenberger
+// Please see http://s5.netzgesta.de/ for more information
+// based on S5 v1.2a1 slides.js -- released into the Public Domain
+// Please see http://www.meyerweb.com/eric/tools/s5/credits.html for information
+// about all the wonderful and talented contributors to this code!
+// audio extension: soundmanager2 is NOT Public Domain
+// Please see http://www.schillmania.com/projects/soundmanager2/ for information
+
+var undef;
+var slideCSS = '';
+var snum = 0;
+var smax = 1;
+var incpos = 0;
+var number = undef;
+var firstTime = 1;
+var s5mode = true;
+var helpmode = false;
+var defaultView = 'slideshow'; //outline
+var controlVis = 'visible';
+var s5Path = 'lib/plugins/s5reloaded/';
+
+// scalable images extension
+var empx = 0;
+var images = new Array();
+var canvas = new Array();
+var medias = new Array();
+var piecharts = new Array();
+var barcharts = new Array();
+var linecharts = new Array();
+// scalable images extension
+
+// transition extension
+var tranSitions = false;
+var fadeModus = false;
+var fadeDuration = 500;
+var incrDuration = 250;
+var opac = 1;
+var cid = '';
+var nid = '';
+var tid = '';
+var jl = '';
+// transition extension
+
+// autoplay extension
+var autoMatic = false;
+var playLoop = false;
+var playPause = false;
+var autoRun = false;
+var playDelay = 5000;
+var remainDer = 0;
+var incrDelay = 0;
+// autoplay extension
+
+// audio extension
+var sound = new Array();
+var audioSupport = false;
+var audioVolume = 100;
+var audioError = false;
+var swfUnloaded = true;
+var bgSoundItem = 9999;
+var curSoundID = -1;
+// audio extension
+
+// panel extension
+var imgWidth = 32;
+var imgHeight = 32;
+// panel extension
+
+// canvas chart extension
+var canvasSupport = false;
+var ChartData = new Array();
+var colorSlice = new Array();
+var font = document.createElement("img");
+font.setAttribute("src", s5Path+"ui/graphic_support/numeric.png");
+signs = {
+ '0': {sx: 0, sy: 0, sw: 48, sh: 64},
+ '1': {sx: 48, sy: 0, sw: 48, sh: 64},
+ '2': {sx: 96, sy: 0, sw: 48, sh: 64},
+ '3': {sx: 144, sy: 0, sw: 48, sh: 64},
+ '4': {sx: 192, sy: 0, sw: 48, sh: 64},
+ '5': {sx: 240, sy: 0, sw: 48, sh: 64},
+ '6': {sx: 288, sy: 0, sw: 48, sh: 64},
+ '7': {sx: 336, sy: 0, sw: 48, sh: 64},
+ '8': {sx: 384, sy: 0, sw: 48, sh: 64},
+ '9': {sx: 432, sy: 0, sw: 48, sh: 64},
+ '%': {sx: 480, sy: 0, sw: 48, sh: 64},
+ '.': {sx: 528, sy: 0, sw: 24, sh: 64}
+};
+var colorNames= new Array();
+colorNames["black"]="#000000"; colorNames["maroon"]="#800000";
+colorNames["green"]="#008000"; colorNames["olive"]="#808000";
+colorNames["navy"]="#000080"; colorNames["purple"]="#800080";
+colorNames["teal"]="#008080"; colorNames["gray"]="#808080";
+colorNames["silver"]="#C0C0C0"; colorNames["red"]="#FF0000";
+colorNames["lime"]="#00FF00"; colorNames["yellow"]="#FFFF00";
+colorNames["blue"]="#0000FF"; colorNames["fuchsia"]="#FF00FF";
+colorNames["aqua"]="#00FFFF"; colorNames["white"]="#FFFFFF";
+colorNames["aliceblue"]="#F0F8FF"; colorNames["antiquewhite"]="#FAEBD7";
+colorNames["aquamarine"]="#7FFFD4"; colorNames["azure"]="#F0FFFF";
+colorNames["beige"]="#F5F5DC"; colorNames["blueviolet"]="#8A2BE2";
+colorNames["brown"]="#A52A2A"; colorNames["burlywood"]="#DEB887";
+colorNames["cadetblue"]="#5F9EA0"; colorNames["chartreuse"]="#7FFF00";
+colorNames["chocolate"]="#D2691E"; colorNames["coral"]="#FF7F50";
+colorNames["cornflowerblue"]="#6495ED"; colorNames["cornsilk"]="#FFF8DC";
+colorNames["crimson"]="#DC143C"; colorNames["darkblue"]="#00008B";
+colorNames["darkcyan"]="#008B8B"; colorNames["darkgoldenrod"]="#B8860B";
+colorNames["darkgray"]="#A9A9A9"; colorNames["darkgreen"]="#006400";
+colorNames["darkkhaki"]="#BDB76B"; colorNames["darkmagenta"]="#8B008B";
+colorNames["darkolivegreen"]="#556B2F"; colorNames["darkorange"]="#FF8C00";
+colorNames["darkorchid"]="#9932CC"; colorNames["darkred"]="#8B0000";
+colorNames["darksalmon"]="#E9967A"; colorNames["darkseagreen"]="#8FBC8F";
+colorNames["darkslateblue"]="#483D8B"; colorNames["darkslategray"]="#2F4F4F";
+colorNames["darkturquoise"]="#00CED1"; colorNames["darkviolet"]="#9400D3";
+colorNames["deeppink"]="#FF1493"; colorNames["deepskyblue"]="#00BFFF";
+colorNames["dimgray"]="#696969"; colorNames["dodgerblue"]="#1E90FF";
+colorNames["firebrick"]="#B22222"; colorNames["floralwhite"]="#FFFAF0";
+colorNames["forestgreen"]="#228B22"; colorNames["gainsboro"]="#DCDCDC";
+colorNames["ghostwhite"]="#F8F8FF"; colorNames["gold"]="#FFD700";
+colorNames["goldenrod"]="#DAA520"; colorNames["greenyellow"]="#ADFF2F";
+colorNames["honeydew"]="#F0FFF0"; colorNames["hotpink"]="#FF69B4";
+colorNames["indianred"]="#CD5C5C"; colorNames["indigo"]="#4B0082";
+colorNames["ivory"]="#FFFFF0"; colorNames["khaki"]="#F0E68C";
+colorNames["lavender"]="#E6E6FA"; colorNames["lavenderblush"]="#FFF0F5";
+colorNames["lawngreen"]="#7CFC00"; colorNames["lemonchiffon"]="#FFFACD";
+colorNames["lightblue"]="#ADD8E6"; colorNames["lightcoral"]="#F08080";
+colorNames["lightcyan"]="#E0FFFF"; colorNames["lightgoldenrodyellow"]="#FAFAD2";
+colorNames["lightgreen"]="#90EE90"; colorNames["lightgrey"]="#D3D3D3";
+colorNames["lightpink"]="#FFB6C1"; colorNames["lightsalmon"]="#FFA07A";
+colorNames["lightseagreen"]="#20B2AA"; colorNames["lightskyblue"]="#87CEFA";
+colorNames["lightslategray"]="#778899"; colorNames["lightsteelblue"]="#B0C4DE";
+colorNames["lightyellow"]="#FFFFE0"; colorNames["limegreen"]="#32CD32";
+colorNames["linen"]="#FAF0E6"; colorNames["mediumaquamarine"]="#66CDAA";
+colorNames["mediumblue"]="#0000CD"; colorNames["mediumorchid"]="#BA55D3";
+colorNames["ediumpurple"]="#9370D"; colorNames["mediumseagreen"]="#3CB371";
+colorNames["mediumslateblue"]="#7B68EE"; colorNames["mediumspringgreen"]="#00FA9A";
+colorNames["mediumturquoise"]="#48D1CC"; colorNames["mediumvioletred"]="#C71585";
+colorNames["midnightblue"]="#191970"; colorNames["mintcream"]="#F5FFFA";
+colorNames["mistyrose"]="#FFE4E1"; colorNames["moccasin"]="#FFE4B5";
+colorNames["navajowhite"]="#FFDEAD"; colorNames["oldlace"]="#FDF5E6";
+colorNames["olivedrab"]="#6B8E23"; colorNames["orange"]="#FFA500";
+colorNames["orangered"]="#FF4500"; colorNames["orchid"]="#DA70D6";
+colorNames["palegoldenrod"]="#EEE8AA"; colorNames["palegreen"]="#98FB98";
+colorNames["paleturquoise"]="#AFEEEE"; colorNames["palevioletred"]="#DB7093";
+colorNames["papayawhip"]="#FFEFD5"; colorNames["peachpuff"]="#FFDAB9";
+colorNames["peru"]="#CD853F"; colorNames["pink"]="#FFC0CB";
+colorNames["plum"]="#DDA0DD"; colorNames["powderblue"]="#B0E0E6";
+colorNames["rosybrown"]="#BC8F8F"; colorNames["royalblue"]="#4169E1";
+colorNames["saddlebrown"]="#8B4513"; colorNames["salmon"]="#FA8072";
+colorNames["sandybrown"]="#F4A460"; colorNames["seagreen"]="#2E8B57";
+colorNames["seashell"]="#FFF5EE"; colorNames["sienna"]="#A0522D";
+colorNames["skyblue"]="#87CEEB"; colorNames["slateblue"]="#6A5ACD";
+colorNames["slategray"]="#708090"; colorNames["snow"]="#FFFAFA";
+colorNames["springgreen"]="#00FF7F"; colorNames["steelblue"]="#4682B4";
+colorNames["tan"]="#D2B48C"; colorNames["thistle"]="#D8BFD8";
+colorNames["tomato"]="#FF6347"; colorNames["turquoise"]="#40E0D0";
+colorNames["violet"]="#EE82EE"; colorNames["wheat"]="#F5DEB3";
+colorNames["whitesmoke"]="#F5F5F5"; colorNames["yellowgreen"]="#9ACD32";
+var canvas_bgcolor = "";
+var canvas_width = 200;
+var canvas_height = 200;
+var canvas_noshade = 0;
+var canvas_nofill = 0;
+var canvas_noshadow = 0;
+var canvas_htmltext = 0;
+var canvas_imgtext = 0;
+var canvas_notext = 0;
+// canvas chart extension
+
+var s5NotesWindow;
+var s5NotesWindowLoaded = false;
+var previousSlide = 0;
+var presentationStart = new Date();
+var slideStart = new Date();
+
+var countdown = {
+ timer: 0,
+ state: 'pause',
+ start: new Date(),
+ end: 0,
+ remaining: 0
+};
+
+var isIE = navigator.appName == 'Microsoft Internet Explorer' && navigator.userAgent.indexOf('Opera') < 1 ? 1 : 0;
+if(isIE) var notIE7 = parseInt(navigator.appVersion) < 7 ? 1 : 0;
+var isOp = navigator.userAgent.indexOf('Opera') > -1 ? 1 : 0;
+var isGe = navigator.userAgent.indexOf('Gecko') > -1 && navigator.userAgent.indexOf('Safari') < 1 ? 1 : 0;
+var isS2 = navigator.userAgent.indexOf('Safari') >= 2 ? 1 : 0;
+
+function hasClass(object, className) {
+ if (!object.className) return false;
+ return (object.className.search('(^|\\s)' + className + '(\\s|$)') != -1);
+}
+
+function hasValue(object, value) {
+ if (!object) return false;
+ return (object.search('(^|\\s)' + value + '(\\s|$)') != -1);
+}
+
+function removeClass(object,className) {
+ if (!object || !hasClass(object,className)) return;
+ object.className = object.className.replace(new RegExp('(^|\\s)'+className+'(\\s|$)'), RegExp.$1+RegExp.$2);
+}
+
+function addClass(object,className) {
+ if (!object || hasClass(object, className)) return;
+ if (object.className) {
+ object.className += ' '+className;
+ } else {
+ object.className = className;
+ }
+}
+
+function changeClass(object,className) {
+ if (!object) return;
+ object.firstChild.className = className;
+}
+
+function GetElementsWithClassName(elementName,className) {
+ var allElements = document.getElementsByTagName(elementName);
+ var elemColl = new Array();
+ for (var i = 0; i< allElements.length; i++) {
+ if (hasClass(allElements[i], className)) {
+ elemColl[elemColl.length] = allElements[i];
+ }
+ }
+ return elemColl;
+}
+
+function isParentOrSelf(element, id) {
+ if (element == null || element.nodeName=='BODY') return false;
+ else if (element.id == id) return true;
+ else return isParentOrSelf(element.parentNode, id);
+}
+
+function nodeValue(node) {
+ var result = "";
+ if (node.nodeType == 1) {
+ var children = node.childNodes;
+ for (var i = 0; i < children.length; ++i) {
+ result += nodeValue(children[i]);
+ }
+ }
+ else if (node.nodeType == 3) {
+ result = node.nodeValue;
+ }
+ return(result);
+}
+
+function slideLabel() {
+ var slideColl = GetElementsWithClassName('*','slide');
+ var list = document.getElementById('jumplist');
+ smax = slideColl.length;
+ for (var n = 0; n < smax; n++) {
+ var obj = slideColl[n];
+ var did = 'slide' + n.toString();
+ obj.setAttribute('id',did);
+ var otext = '';
+ var menu = obj.firstChild;
+ if (!menu) continue; // to cope with empty slides
+ while (menu && menu.nodeType == 3) {
+ menu = menu.nextSibling;
+ }
+ if (!menu) continue; // to cope with slides with only text nodes
+ var menunodes = menu.childNodes;
+ for (var o = 0; o < menunodes.length; o++) {
+ otext += nodeValue(menunodes[o]);
+ }
+ list.options[list.length] = new Option(n + ' : ' + otext, n);
+ }
+}
+
+function currentSlide() {
+ var cs, at, fd, ss;
+ if (document.getElementById) {
+ cs = document.getElementById('currentSlide');
+ } else {
+ cs = document.currentSlide;
+ }
+ fd = fadeModus?"F":"&ndash;";
+ ss = audioSupport?"S":"&ndash;";
+ at = (autoMatic?(playPause?"||":(playLoop?"&gt;0":"&gt;|")):"&ndash;&ndash;");
+ cs.innerHTML = '<div id="plink" nowrap="nowrap">' +
+ '<span id="csFade">[' + fd + ss + ']<\/span>&nbsp;' +
+ '<span id="csHere"><strong>' + snum + '<\/strong><\/span>' +
+ '<span id="csSep">\/<\/span>' +
+ '<span id="csTotal">' + (smax-1) + '<\/span>&nbsp;' +
+ '<span id="csAuto">[' + at + ']<\/span>' +
+ '<\/div>';
+
+ if (snum == 0) {
+ cs.style.visibility = 'hidden';
+ } else {
+ cs.style.visibility = 'visible';
+ }
+}
+
+function go(step) {
+ if (document.getElementById('slideProj').disabled || step == 0) return;
+ jl = document.getElementById('jumplist');
+ cid = 'slide' + snum;
+ var ce = document.getElementById(cid);
+ if (incrementals[snum].length > 0) {
+ for (var i = 0; i < incrementals[snum].length; i++) {
+ removeClass(incrementals[snum][i], 'current');
+ removeClass(incrementals[snum][i], 'incremental');
+ }
+ }
+ if (step != 'j') {
+ snum += step;
+ lmax = smax - 1;
+ if (snum > lmax) snum = lmax;
+ if (snum < 0) snum = 0;
+ }else {
+ snum = parseInt(jl.value);
+ }
+ nid = 'slide' + snum;
+ var ne = document.getElementById(nid);
+ if (!ne) {
+ ne = document.getElementById('slide0');
+ nid = 'slide0';
+ snum = 0;
+ }
+ if (step < 0) {
+ incpos = incrementals[snum].length
+ }else {
+ incpos = 0;
+ }
+ if (incrementals[snum].length > 0 && incpos == 0) {
+ for (var i = 0; i < incrementals[snum].length; i++) {
+ if (hasClass(incrementals[snum][i], 'current')) {
+ incpos = i + 1;
+ }else {
+ addClass(incrementals[snum][i], 'incremental');
+ }
+ }
+ }
+ if (incrementals[snum].length > 0 && incpos > 0) {
+ addClass(incrementals[snum][incpos - 1], 'current');
+ }
+ var guru = document.getElementById('guru');
+ if(guru && snum==0) {
+ guru.style.visibility = 'visible';
+ }else if(guru && snum>0) {
+ guru.style.visibility = 'hidden';
+ }
+ if(tranSitions && s5mode && fadeModus) {
+ if(curSoundID != getSoundID(nid)) {
+ if(curSoundID == bgSoundItem && !sound[getSoundID(nid)]) {
+ }else {fadeoutSound(curSoundID,true); } // audio support
+ }
+ changeOpac(0,nid);
+ changeOpac(100,cid);
+ ce.style.visibility = 'visible';
+ shiftOpacity(cid,fadeDuration);
+ window.setTimeout("changeSlides()",fadeDuration);
+ }else {
+ if(curSoundID != getSoundID(nid)) {
+ if(curSoundID == bgSoundItem && !sound[getSoundID(nid)]) {
+ }else {stopSound(curSoundID); } // audio support
+ }
+ ce.style.visibility = 'hidden';
+ if (isOp) location.hash = nid;
+ ne.style.visibility = 'visible';
+ finishSlides();
+ }
+}
+
+function changeSlides() {
+ if(nid != cid) changeOpac(100,cid);
+ document.getElementById(cid).style.visibility = 'hidden';
+ document.getElementById(nid).style.visibility = 'visible';
+ if (isOp) location.hash = nid;
+ shiftOpacity(nid,fadeDuration);
+ window.setTimeout("finishSlides()",fadeDuration);
+}
+
+function finishSlides() {
+ jl.selectedIndex = snum;
+ currentSlide();
+ loadNote();
+ permaLink();
+ number = undef;
+ if(sound[getSoundID(nid)]) {
+ playSound(nid); // audio support
+ }else if(sound[bgSoundItem] && curSoundID != bgSoundItem) {
+ playSound(bgSoundItem); // audio support
+ }
+}
+
+function goTo(target) {
+ if (target >= smax || target == snum) return;
+ go(target - snum);
+}
+
+function subgo(step) {
+ if (step > 0) {
+ removeClass(incrementals[snum][incpos - 1],'current');
+ removeClass(incrementals[snum][incpos], 'incremental');
+ if(tranSitions && s5mode && fadeModus) {
+ if(!incrementals[snum][incpos].id) {
+ var tmp = new Date(); tid = "inc" + String(tmp.getTime());
+ incrementals[snum][incpos].id = tid;
+ }else {
+ tid = incrementals[snum][incpos].id;
+ }
+ if(typeof(incrementals[snum][incpos].src) != "undefined" || incrementals[snum][incpos].getContext) {
+ changeOpac(0,tid);
+ addClass(incrementals[snum][incpos],'current');
+ shiftOpacity(tid,incrDuration);
+ setTimeout("nextInc()",incrDuration);
+ }else {
+ addClass(incrementals[snum][incpos],'current');
+ nextInc();
+ }
+ }else {
+ addClass(incrementals[snum][incpos],'current');
+ nextInc();
+ }
+ } else {
+ incpos--;
+ removeClass(incrementals[snum][incpos],'current');
+ addClass(incrementals[snum][incpos], 'incremental');
+ addClass(incrementals[snum][incpos - 1],'current');
+ loadNote();
+ }
+}
+
+function nextInc() {
+ incpos++;
+ loadNote();
+}
+
+function toggle() {
+ var slideColl = GetElementsWithClassName('*','slide');
+ var slides = document.getElementById('slideProj');
+ var outline = document.getElementById('outlineStyle');
+ var guru = document.getElementById('guru');
+ if (!slides.disabled) {
+ stopPlay();
+ if(audioSupport && !swfUnloaded) stopAllSounds();
+ slides.disabled = true;
+ outline.disabled = false;
+ s5mode = false;
+ fontSize(1,'em');
+ for (var n = 0; n < smax; n++) {
+ var slide = slideColl[n];
+ slide.style.visibility = 'visible';
+ }
+ if(guru) guru.style.visibility = 'hidden';
+ } else {
+ slides.disabled = false;
+ outline.disabled = true;
+ s5mode = true;
+ fontScale();
+ for (var n = 0; n < smax; n++) {
+ var slide = slideColl[n];
+ slide.style.visibility = 'hidden';
+ }
+ slideColl[snum].style.visibility = 'visible';
+ if(guru && snum==0) guru.style.visibility = 'visible';
+ }
+}
+
+function showHide(action) {
+ var obj = GetElementsWithClassName('*','hideme')[0];
+ switch (action) {
+ case 's':
+ obj.style.visibility = 'visible';
+ break;
+ case 'h':
+ obj.style.visibility = 'hidden';
+ break;
+ case 'k':
+ if (obj.style.visibility != 'visible') {
+ obj.style.visibility = 'visible';
+ } else {
+ obj.style.visibility = 'hidden';
+ }
+ break;
+ }
+}
+
+function keys(key) {
+ if (!key) {
+ key = event;
+ key.which = key.keyCode;
+ }
+ if (helpmode) {
+ dumpHelpReq();
+ return;
+ }
+ if (key.which == 84 && !isOp) {
+ toggle();
+ return;
+ }
+ if (s5mode) {
+ if (autoMatic) {
+ switch (key.which) {
+ case 70: // f/ading on/off
+ switchFade();
+ break;
+ case 83: // s/ound on/off
+ toggleSounds();
+ break;
+ case 67: // c
+ showHide('k');
+ break;
+ case 65: // a/utoplay on/off
+ stopPlay();
+ break;
+ case 76: // l/ooping on/off
+ switchLoop();
+ break;
+ case 80: // p/ause
+ case 32: // spacebar
+ pausePlay();
+ break;
+ }
+ }else {
+ switch (key.which) {
+ case 8: // backspace = HELP
+ createHelpReq();
+ break;
+ case 10: // return
+ case 13: // enter
+ if (window.event && isParentOrSelf(window.event.srcElement, 'controls')) return;
+ if (key.target && isParentOrSelf(key.target, 'controls')) return;
+ if(number != undef) {
+ goTo(number);
+ break;
+ }
+ case 32: // spacebar
+ case 34: // page down
+ case 39: // rightkey
+ case 40: // downkey
+ if(number != undef) {
+ go(number);
+ } else if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ } else {
+ subgo(1);
+ }
+ break;
+ case 33: // page up
+ case 37: // leftkey
+ case 38: // upkey
+ if(number != undef) {
+ go(-1 * number);
+ } else if (!incrementals[snum] || incpos <= 0) {
+ go(-1);
+ } else {
+ subgo(-1);
+ }
+ break;
+ case 65: // a/utoplay
+ startPlay();
+ break;
+ case 72: // h
+ case 36: // home
+ goTo(0);
+ break;
+ case 69: // e
+ case 35: // end
+ goTo(smax-1);
+ break;
+ case 70: // f/ade transitions on/off
+ switchFade();
+ break;
+ case 76: // l/ooping on/off
+ switchLoop();
+ break;
+ case 83: // s/ound support on/off
+ toggleSounds();
+ break;
+ case 27: // escape
+ case 81: // q
+ if(!isOp) byby();
+ break;
+ case 67: // c
+ showHide('k');
+ break;
+ case 78: // n
+ createNotesWindow();
+ break;
+ }
+ if (key.which < 48 || key.which > 57) {
+ number = undef;
+ } else {
+ if (window.event && isParentOrSelf(window.event.srcElement, 'controls')) return;
+ if (key.target && isParentOrSelf(key.target, 'controls')) return;
+ number = (((number != undef) ? number : 0) * 10) + (key.which - 48);
+ }
+ }
+ }
+ return false;
+}
+
+function clicker(e) {
+ number = undef;
+ var target;
+ if (window.event) {
+ target = window.event.srcElement;
+ e = window.event;
+ } else {
+ target = e.target;
+ }
+ if (target.href != null || hasValue(target.rel, 'external') || isParentOrSelf(target, 'controls') || isParentOrSelf(target,'embed') || isParentOrSelf(target,'object')) return true;
+ if (!helpmode) {
+ if (!e.which || e.which == 1) {
+ if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ } else {
+ subgo(1);
+ }
+ }
+ } else {
+ dumpHelpReq();
+ }
+}
+
+function findSlide(hash) {
+ var target = null;
+ var slides = GetElementsWithClassName('*','slide');
+ for (var i = 0; i < slides.length; i++) {
+ var targetSlide = slides[i];
+ if ( (targetSlide.name && targetSlide.name == hash)
+ || (targetSlide.id && targetSlide.id == hash) ) {
+ target = targetSlide;
+ break;
+ }
+ }
+ while(target != null && target.nodeName != 'BODY') {
+ if (hasClass(target, 'slide')) {
+ return parseInt(target.id.slice(5));
+ }
+ target = target.parentNode;
+ }
+ return null;
+}
+
+function slideJump() {
+ if (window.location.hash == null) return;
+ var sregex = /^#slide(\d+)$/;
+ var matches = sregex.exec(window.location.hash);
+ var dest = null;
+ if (matches != null) {
+ dest = parseInt(matches[1]);
+ } else {
+ dest = findSlide(window.location.hash.slice(1));
+ }
+ if (dest != null)
+ go(dest - snum);
+}
+
+function fixLinks() {
+ var thisUri = window.location.href;
+ thisUri = thisUri.slice(0, thisUri.length - window.location.hash.length);
+ var aelements = document.getElementsByTagName('A');
+ for (var i = 0; i < aelements.length; i++) {
+ var a = aelements[i].href;
+ var slideID = a.match('\#slide[0-9]{1,2}');
+ if ((slideID) && (slideID[0].slice(0,1) == '#')) {
+ var dest = findSlide(slideID[0].slice(1));
+ if (dest != null) {
+ if (aelements[i].addEventListener) {
+ aelements[i].addEventListener("click", new Function("e",
+ "if (document.getElementById('slideProj').disabled) return;" +
+ "go("+dest+" - snum); " +
+ "if (e.preventDefault) e.preventDefault();"), true);
+ } else if (aelements[i].attachEvent) {
+ aelements[i].attachEvent("onclick", new Function("",
+ "if (document.getElementById('slideProj').disabled) return;" +
+ "go("+dest+" - snum); " +
+ "event.returnValue = false;"));
+ }
+ }
+ }
+ }
+}
+
+function externalLinks() {
+ if (!document.getElementsByTagName) return;
+ var anchors = document.getElementsByTagName('a');
+ for (var i=0; i<anchors.length; i++) {
+ var anchor = anchors[i];
+ if (anchor.getAttribute('href') && hasValue(anchor.rel, 'external')) {
+ anchor.target = '_blank';
+ addClass(anchor,'external');
+ }
+ }
+}
+
+function permaLink() {
+ document.getElementById('plink').href = window.location.pathname + '#slide' + snum;
+}
+
+function createControls() {
+ var controlsDiv = document.getElementById("controls");
+ if (!controlsDiv) return;
+ var hider = ' onmouseover="showHide(\'s\');" onmouseout="showHide(\'h\');"';
+ var hideDiv, hideList = '';
+ if (controlVis == 'hidden') {
+ hideDiv = hider;
+ } else {
+ hideList = hider;
+ }
+ if(isOp) {
+ var str = '';
+ }else {
+ var str = '<a accesskey="t" id="sheet" title="toggle CSS" href="javascript:toggle();">&plusmn;<\/a>';
+ }
+ if(isIE) {
+ var tmp = "move around&xA0;until the color&xA0;change to red!";
+ }else if(isS2) {
+ var tmp = "move around\r\nuntil the color\r\nchange to red!";
+ }else {
+ var tmp = "move around until color change to red!";
+ }
+ if(isIE) {
+ controlsDiv.innerHTML = str +
+ '<form action="#" id="controlForm"' + hideDiv + '>' +
+ '<div id="navLinks" title="press [backspace] for keyboard help!"><ul>' +
+ '<li id="show-notes"><a href="javascript:createNotesWindow();" accesskey="n" title="show Notes"><\/a><\/li>' +
+ '<li id="toggle"><a href="javascript:toggle();" accesskey="t" title="toggle CSS"><\/a><\/li>' +
+ '<li id="zero"><a href="javascript:goTo(0);" accesskey="h" title="goto Start Slide"><\/a><\/li>' +
+ '<li id="prev"><a href="javascript:go(-1);" accesskey="y" title="previous Slide"><\/a><\/li>' +
+ '<li id="next"><a href="javascript:go(1);" accesskey="x" title="next Slide"><\/a><\/li>' +
+ '<li id="last"><a href="javascript:goTo(smax-1);" accesskey="e" title="goto Last Slide"><\/a><\/li>' +
+ '<li id="lst" style="vertical-align: middle; width: 160px;"><select style="cursor: auto; width: 160px; height: auto;" id="jumplist" title="select named Slide" onchange="go(\'j\');"><\/select><\/li>' +
+ '<li id="auto"><a class="isoff" href="javascript:togglePlay();" accesskey="a" title="Auto Play"><\/a><\/li>' +
+ '<li id="pause"><a class="isoff" href="javascript:pausePlay();" accesskey="p" title="Pause"><\/a><\/li>' +
+ '<li id="loop"><a class="isoff" href="javascript:switchLoop();" accesskey="l" title="Loop"><\/a><\/li>' +
+ '<li id="del" style="vertical-align: middle; width: 52px;"><select style="cursor: auto; width: 52px; height: auto;" id="delaylist" title="select Delay" onchange="setDelay();"><option value="90">90<\/option><option value="75">75<\/option><option value="60">60<\/option><option value="45">45<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="15">15<\/option><option value="10">10<\/option><option value="5">5<\/option><\/select><\/li>' +
+ '<\/ul><\/div><\/form>';
+ }else {
+ controlsDiv.innerHTML = str +
+ '<form action="#" id="controlForm"' + hideDiv + '>' +
+ '<div id="navLinks" title="press [backspace] or double click this area for keyboard help!" ondblclick="createHelpReq();"><ul>' +
+ '<li id="exit"><a href="javascript:byby();" accesskey="q" title="exit Show"><\/a><\/li>' +
+ '<li id="show-notes"><a href="javascript:createNotesWindow();" accesskey="n" title="show Notes"><\/a><\/li>' +
+ '<li id="toggle"><a href="javascript:toggle();" accesskey="t" title="toggle CSS"><\/a><\/li>' +
+ '<li class="vr"><\/li>' +
+ '<li id="zero"><a href="javascript:goTo(0);" accesskey="h" title="goto Start Slide"><\/a><\/li>' +
+ '<li id="prev"><a href="javascript:go(-1);" accesskey="y" title="previous Slide"><\/a><\/li>' +
+ '<li id="next"><a href="javascript:go(1);" accesskey="x" title="next Slide"><\/a><\/li>' +
+ '<li id="last"><a href="javascript:goTo(smax-1);" accesskey="e" title="goto Last Slide"><\/a><\/li>' +
+ '<li id="lst"><a id="list" style="cursor:wait;" title="' + tmp + '"><\/a><select id="jumplist" title="select named Slide" onchange="go(\'j\');"><\/select><\/li>' +
+ '<li id="fadeLinks" class="vr"><\/li>' +
+ '<li id="fade"><a class="isoff" href="javascript:switchFade();" accesskey="f" title="Transions"><\/a><\/li>' +
+ '<li id="audioLinks" class="vr"><\/li>' +
+ '<li id="audio"><a class="isoff" href="javascript:toggleSounds();" accesskey="s" title="Sounds" ><\/a><\/li>' +
+ '<li id="vol"><a id="volume" style="cursor:wait;" title="' + tmp + '"><\/a><select id="volumelist" title="select Volume" onchange="setVolume();"><option value="100">100<\/option><option value="90">90<\/option><option value="80">80<\/option><option value="70">70<\/option><option value="60">60<\/option><option value="50">50<\/option><option value="40">40<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="10">10<\/option><option value="0">0<\/option><\/select><\/li>' +
+ '<li id="autoLinks" class="vr"><\/li>' +
+ '<li id="auto"><a class="isoff" href="javascript:togglePlay();" accesskey="a" title="Auto Play"><\/a><\/li>' +
+ '<li id="pause"><a class="isoff" href="javascript:pausePlay();" accesskey="p" title="Pause"><\/a><\/li>' +
+ '<li id="loop"><a class="isoff" href="javascript:switchLoop();" accesskey="l" title="Loop"><\/a><\/li>' +
+ '<li id="del"><a id="delay" style="cursor:wait;" title="' + tmp + '"><\/a><select id="delaylist" title="select Delay" onchange="setDelay();"><option value="90">90<\/option><option value="75">75<\/option><option value="60">60<\/option><option value="45">45<\/option><option value="30">30<\/option><option value="20">20<\/option><option value="15">15<\/option><option value="10">10<\/option><option value="5">5<\/option><\/select><\/li>' +
+ '<\/ul>' +
+ '<a href="http://s5.netzgesta.de" target="_blank" title="S5 Reloaded"><img style="position: absolute; right: 0; bottom: 0;" src="data:image/png;charset=utf-8;base64,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" width="20" height="32" alt="S5" \/><\/a>' +
+ '<\/div><\/form>';
+ }
+ if (controlVis == 'hidden') {
+ var hidden = document.getElementById('navLinks');
+ } else {
+ var hidden = document.getElementById('jumplist');
+ }
+ addClass(hidden,'hideme');
+}
+
+function fontScale() { // causes layout problems in FireFox that get fixed if browser's Reload is used; same may be true of other Gecko-based browsers
+ if (!s5mode && !isOp) return false;
+ var hScreen = screen.width; var vScreen = screen.height;
+ var vWindow = window.outerHeight; var hWindow = window.outerWidth;
+ if (isOp && s5mode && defaultView=='slideshow' && ((hScreen != hWindow) || (vScreen != vWindow))) {
+ toggle();
+ return false;
+ }
+ if (isOp && !s5mode && ((hScreen != hWindow) || (vScreen != vWindow))) return false;
+ if (isOp && !s5mode && (hScreen == hWindow) && (vScreen == vWindow)) toggle();
+ var vScale = 48; // both yield 16 (the usual browser default) at 1024x768
+ var hScale = 64; // perhaps should auto-calculate based on theme's declared value?
+ if (window.innerHeight) {
+ var vSize = window.innerHeight;
+ var hSize = window.innerWidth;
+ } else if (document.documentElement.clientHeight) {
+ var vSize = document.documentElement.clientHeight;
+ var hSize = document.documentElement.clientWidth;
+ } else if (document.body.clientHeight) {
+ var vSize = document.body.clientHeight;
+ var hSize = document.body.clientWidth;
+ } else {
+ var vSize = 700; // assuming 1024x768, minus chrome and such equals 8:5
+ var hSize = 1024; // these do not account for kiosk mode or Opera Show
+ }
+ var newSize = Math.min(Math.round(vSize/vScale),Math.round(hSize/hScale));
+ extendImgSizes(newSize); // scalable images extension
+ extendCanSizes(newSize); // scalable canvas extension
+ extendObjSizes(newSize); // scalable object extension
+ fontSize(newSize,"px");
+ if(!isS2 || firstTime==0) {
+ generateCanvas(); // dynamic canvas extension
+ }
+ if (isGe) { // hack to counter incremental reflow bugs
+ var obj = document.getElementsByTagName('body')[0];
+ obj.style.visibility = 'hidden';
+ obj.style.display = 'none';
+ obj.style.display = 'block';
+ obj.style.visibility = 'visible';
+ changeOpac(100,'slide' + snum);
+ shiftOpacity('slide' + snum,10);
+ window.setTimeout("fixReflow()",10);
+ }else {
+ setListPos(); // invisible select extension
+ }
+}
+
+function fixReflow() {
+ shiftOpacity('slide' + snum,10);
+ window.setTimeout("finishReflow()",10);
+}
+function finishReflow() {
+ setListPos(); // invisible select extension
+}
+
+function fontSize(val,fmt) {
+ var value = val + fmt;
+ if (!(s5ss = document.getElementById('s5ss'))) {
+ if (!document.createStyleSheet) {
+ document.getElementsByTagName('head')[0].appendChild(s5ss = document.createElement('style'));
+ s5ss.setAttribute('media','screen, projection');
+ s5ss.setAttribute('id','s5ss');
+ } else {
+ document.createStyleSheet();
+ document.s5ss = document.styleSheets[document.styleSheets.length - 1];
+ }
+ }
+ if (!(document.s5ss && document.s5ss.addRule)) {
+ while (s5ss.lastChild) s5ss.removeChild(s5ss.lastChild);
+ s5ss.appendChild(document.createTextNode('html {font-size: ' + value + ' !important;}'));
+ } else {
+ document.s5ss.addRule('html','font-size: ' + value + ' !important;');
+ }
+}
+
+function windowChange() {
+ fontScale();
+}
+
+function notOperaFix() {
+ slideCSS = document.getElementById('slideProj').href;
+ var slides = document.getElementById('slideProj');
+ var outline = document.getElementById('outlineStyle');
+ slides.setAttribute('media','screen');
+ outline.disabled = true;
+ if (isGe) {
+ slides.setAttribute('href','null'); // Gecko fix
+ slides.setAttribute('href',slideCSS); // Gecko fix
+ }
+ if ((isIE && notIE7) && document.styleSheets && document.styleSheets[0]) {
+ document.styleSheets[0].addRule('img', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ document.styleSheets[0].addRule('div', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ document.styleSheets[0].addRule('.slide', 'behavior: url('+s5Path+'ui/graphic_support/iepngfix.htc)');
+ }
+}
+
+function getIncrementals(obj) {
+ var incrementals = new Array();
+ if (!obj)
+ return incrementals;
+ var children = obj.childNodes;
+ for (var i = 0; i < children.length; i++) {
+ var child = children[i];
+ if (hasClass(child, 'incremental')) {
+ if (child.nodeName == 'OL' || child.nodeName == 'UL') {
+ removeClass(child, 'incremental');
+ for (var j = 0; j < child.childNodes.length; j++) {
+ if (child.childNodes[j].nodeType == 1) {
+ addClass(child.childNodes[j], 'incremental');
+ }
+ }
+ } else {
+ incrementals[incrementals.length] = child;
+ removeClass(child,'incremental');
+ }
+ }
+ if (hasClass(child, 'show-first')) {
+ if (child.nodeName == 'OL' || child.nodeName == 'UL') {
+ removeClass(child, 'show-first');
+ if (child.childNodes[isGe].nodeType == 1) {
+ removeClass(child.childNodes[isGe], 'incremental');
+ }
+ } else {
+ incrementals[incrementals.length] = child;
+ }
+ }
+ incrementals = incrementals.concat(getIncrementals(child));
+ }
+ return incrementals;
+}
+
+function createIncrementals() {
+ var incrementals = new Array();
+ for (var i = 0; i < smax; i++) {
+ incrementals[i] = getIncrementals(document.getElementById('slide'+i));
+ }
+ return incrementals;
+}
+
+function trap(e) {
+ if (!e) {
+ e = event;
+ e.which = e.keyCode;
+ }
+ try {
+ modifierKey = e.ctrlKey || e.altKey || e.metaKey;
+ }
+ catch(e) {
+ modifierKey = false;
+ }
+ return modifierKey || e.which == 0;
+}
+
+// notes extension
+function noteLabel() { // Gives notes id's to match parent slides
+ var notes = GetElementsWithClassName('div','notes');
+ for (var i = 0; i < notes.length; i++) {
+ var note = notes[i];
+ var id = 'note' + note.parentNode.id.substring(5);
+ note.setAttribute('id',id);
+ }
+ resetElapsedSlide();
+ resetRemainingTime();
+ window.setInterval('updateElaspedTime()', 1000);
+}
+
+function createNotesWindow() { // creates a window for our notes
+ if (!s5NotesWindow || s5NotesWindow.closed) { // Create the window if it doesn't exist
+ s5NotesWindowLoaded = false;
+ // Note: Safari has a tendency to ignore window options preferring to default to the settings of the parent window, grr.
+ s5NotesWindow = window.open(s5Path+'ui/s5-notes.html', 's5NotesWindow', 'top=0,left=0');
+ }
+ if (s5NotesWindowLoaded) { // Load the current note if the Note HTML has loaded
+ loadNote();
+ } else { // Keep trying...
+ window.setTimeout('createNotesWindow()', 50);
+ }
+}
+
+function loadNote() {
+// Loads a note into the note window
+ var notes = nextNotes = '<em class="disclaimer">There are no notes for this slide.</em>';
+ if (document.getElementById('note' + snum)) {
+ notes = document.getElementById('note' + snum).innerHTML;
+ }
+ if (document.getElementById('note' + (snum + 1))) {
+ nextNotes = document.getElementById('note' + (snum + 1)).innerHTML;
+ }
+
+ var jl = document.getElementById('jumplist');
+ var slideTitle = jl.options[jl.selectedIndex].text.replace(/^\d+\s+:\s+/, '') + ((jl.selectedIndex) ? ' (' + jl.selectedIndex + '/' + (smax - 1) + ')' : '');
+ if (incrementals[snum].length > 0) {
+ slideTitle += ' <small>[' + incpos + '/' + incrementals[snum].length + ']</small>';
+ }
+ if (jl.selectedIndex < smax - 1) {
+ var nextTitle = jl.options[jl.selectedIndex + 1].text.replace(/^\d+\s+:\s+/, '') + ((jl.selectedIndex + 1) ? ' (' + (jl.selectedIndex + 1) + '/' + (smax - 1) + ')' : '');
+ } else {
+ var nextTitle = '[end of slide show]';
+ }
+
+ if (s5NotesWindow && !s5NotesWindow.closed && s5NotesWindow.document) {
+ s5NotesWindow.document.getElementById('slide').innerHTML = slideTitle;
+ s5NotesWindow.document.getElementById('notes').innerHTML = notes;
+ s5NotesWindow.document.getElementById('next').innerHTML = nextTitle;
+ s5NotesWindow.document.getElementById('nextnotes').innerHTML = nextNotes;
+ }
+ resetElapsedSlide();
+}
+
+function minimizeTimer(id) {
+ var obj = s5NotesWindow.document.getElementById(id);
+ if (hasClass(obj,'collapsed')) {
+ removeClass(obj,'collapsed');
+ } else {
+ addClass(obj,'collapsed');
+ }
+}
+
+function resetElapsedTime() {
+ presentationStart = new Date();
+ slideStart = new Date();
+ updateElaspedTime();
+}
+
+function resetElapsedSlide() {
+ if (snum != previousSlide) {
+ slideStart = new Date();
+ previousSlide = snum;
+ updateElaspedTime();
+ }
+}
+
+function updateElaspedTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var now = new Date();
+ var ep = s5NotesWindow.document.getElementById('elapsed-presentation');
+ var es = s5NotesWindow.document.getElementById('elapsed-slide');
+ ep.innerHTML = formatTime(now.valueOf() - presentationStart.valueOf());
+ es.innerHTML = formatTime(now.valueOf() - slideStart.valueOf());
+}
+
+function resetRemainingTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var startField = s5NotesWindow.document.getElementById('startFrom');
+ startFrom = readTime(startField.value);
+ countdown.remaining = startFrom * 60000; // convert to msecs
+ countdown.start = new Date().valueOf();
+ countdown.end = countdown.start + countdown.remaining;
+ var tl = s5NotesWindow.document.getElementById('timeLeft');
+ var timeLeft = formatTime(countdown.remaining);
+ tl.innerHTML = timeLeft;
+}
+
+function updateRemainingTime() {
+ if (!s5NotesWindowLoaded || !s5NotesWindow || s5NotesWindow.closed) return;
+ var tl = s5NotesWindow.document.getElementById('timeLeft');
+ var now = new Date();
+ if (countdown.state == 'run') {
+ countdown.remaining = countdown.end - now;
+ }
+ tl.style.color = '';
+ tl.style.backgroundColor = '';
+ if (countdown.remaining >= 0) {
+ var timeLeft = formatTime(countdown.remaining);
+ removeClass(tl,'overtime');
+ if (countdown.remaining < 300000) {
+ tl.style.color = 'rgb(' + (255-Math.round(countdown.remaining/2000)) + ',0,0)';
+ tl.style.backgroundColor = 'rgb(255,255,' + (Math.round(countdown.remaining/2000)) + ')';
+ }
+ } else {
+ var timeLeft = '-' + formatTime(-countdown.remaining);
+ addClass(tl,'overtime');
+ }
+ tl.innerHTML = timeLeft;
+}
+
+function toggleRemainingTime() {
+ if (countdown.state == 'pause') countdown.state = 'run'; else countdown.state = 'pause';
+ if (countdown.state == 'pause') {
+ window.clearInterval(countdown.timer);
+ }
+ if (countdown.state == 'run') {
+ countdown.start = new Date().valueOf();
+ countdown.end = countdown.start + countdown.remaining;
+ countdown.timer = window.setInterval('updateRemainingTime()', 1000);
+ }
+}
+
+function alterRemainingTime(amt) {
+ var change = amt * 60000; // convert to msecs
+ countdown.end += change;
+ countdown.remaining += change;
+ updateRemainingTime();
+}
+
+function formatTime(msecs) {
+ var time = new Date(msecs);
+
+ var hrs = time.getUTCHours() + ((time.getUTCDate() -1) * 24); // I doubt anyone will spend more than 24 hours on a presentation or single slide but just in case...
+ hrs = (hrs < 10) ? '0'+hrs : hrs;
+ if (hrs == 'NaN' || isNaN(hrs)) hrs = '--';
+
+ var min = time.getUTCMinutes();
+ min = (min < 10) ? '0'+min : min;
+ if (min == 'NaN' || isNaN(min)) min = '--';
+
+ var sec = time.getUTCSeconds();
+ sec = (sec < 10) ? '0'+sec : sec;
+ if (sec == 'NaN' || isNaN(sec)) sec = '--';
+
+ return hrs + ':' + min + ':' + sec;
+}
+
+function readTime(val) {
+ var sregex = /:/;
+ var matches = sregex.exec(val);
+ if (matches == null) {
+ return val;
+ } else {
+ var times = val.split(':');
+ var hours = parseInt(times[0]);
+ var mins = parseInt(times[1]);
+ var total = (hours * 60) + mins;
+ return total;
+ }
+}
+// notes extension
+
+// startup process
+function createSlideShow() {
+ defaultCheck();
+ if(!isIE) createDetector(); // (degrade IE) scalable images extension
+ if(opac!=0 || isIE) { // &&!isIE (degrade IE)
+ tranSitions = false;
+ fadeModus = false;
+ }
+ if(tranSitions && document.getElementById && document.createElement){
+ createProgress();
+ var nop=document.getElementById('StartupControl');
+ nop.onload = dumpProgress;
+ }else {
+ startup();
+ showAll();
+ setListPos(true); // invisible select extension
+ panelSetup();
+ audioSetup(); // audio extension
+ if(isS2 && firstTime>=1) {
+ generateCanvas(); // dynamic canvas extension
+ } firstTime = 0;
+ }
+}
+
+function defaultCheck() {
+ var allMetas = document.getElementsByTagName('meta');
+ for (var i = 0; i< allMetas.length; i++) {
+ if (allMetas[i].name == 'defaultView') {
+ defaultView = allMetas[i].content;
+ }
+ if (allMetas[i].name == 'controlVis') {
+ controlVis = allMetas[i].content;
+ }
+ if (allMetas[i].name == 'tranSitions') {
+ tranSitions = (allMetas[i].content == "true") ? true : false;
+ fadeModus = (tranSitions == true) ? true : false;
+ }
+ if (allMetas[i].name == 'fadeDuration') {
+ var tmp = parseInt(allMetas[i].content);
+ fadeDuration = Math.max(200,Math.min(tmp,2000));
+ }
+ if (allMetas[i].name == 'incrDuration') {
+ var tmp = parseInt(allMetas[i].content);
+ incrDuration = Math.max(50,Math.min(tmp,500));
+ }
+ if (allMetas[i].name == 'autoMatic') {
+ autoMatic = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'playLoop') {
+ playLoop = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'playDelay') {
+ var tmp = parseInt(allMetas[i].content);
+ playDelay = Math.max(5,Math.min(tmp,90))*1000;
+ playDelay = (fadeModus == true) ? (playDelay+(2*fadeDuration)) : playDelay;
+ }
+ if (allMetas[i].name == 'audioSupport') {
+ audioSupport = (allMetas[i].content == "true") ? true : false;
+ }
+ if (allMetas[i].name == 'audioVolume') {
+ var tmp = parseInt(allMetas[i].content);
+ audioVolume = Math.max(0,Math.min(tmp,100));
+ }
+ if (allMetas[i].name == 'audioError') {
+ audioError = (allMetas[i].content == "true") ? true : false;
+ }
+ }
+}
+
+function createProgress() {
+ var obj = document.getElementsByTagName("body")[0].firstChild;
+ var pg = document.createElement('div');
+ pg.id = "StartupProgress";
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=100)";
+ }else {
+ pg.style.opacity = 1.0;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(255, 255, 255)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/progress.gif)";
+ document.getElementsByTagName("body")[0].insertBefore(pg,obj);
+
+ var im = document.createElement('img');
+ im.id = "StartupControl";
+ im.src = s5Path+"ui/graphic_support/blank.gif?" + new Date().valueOf();
+ document.getElementsByTagName("body")[0].appendChild(im);
+}
+
+function startup() {
+ createControls(); // hallvord
+ slideLabel();
+ incrementals = createIncrementals();
+ noteLabel(); // [SI:060104] must follow slideLabel()
+ loadNote();
+ fixLinks();
+ externalLinks();
+ fontScale();
+ if (!isOp) {
+ if(isIE) {
+ document.getElementById('lst').style.backgroundImage = 'none';
+ document.getElementById('del').style.backgroundImage = 'none';
+ }else {
+ document.getElementById('audio').style.backgroundImage = 'none';
+ }
+ notOperaFix();
+ }else if(isOp) {
+ var obj = document.getElementById('exit');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('exit').style.opacity = 0.5;
+ obj = document.getElementById('toggle');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('toggle').style.opacity = 0.5;
+ document.getElementById('jumplist').style.visibility = 'hidden';
+ document.getElementById('list').style.visibility = 'hidden';
+ document.getElementById('lst').style.opacity = 0.5;
+ obj = document.getElementById('audio');
+ obj.firstChild.style.visibility = 'hidden';
+ document.getElementById('audio').style.opacity = 0.5;
+ document.getElementById('volumelist').style.visibility = 'hidden';
+ document.getElementById('volume').style.visibility = 'hidden';
+ document.getElementById('vol').style.opacity = 0.5;
+ document.getElementById('delaylist').style.visibility = 'hidden';
+ document.getElementById('delay').style.visibility = 'hidden';
+ document.getElementById('del').style.opacity = 0.5;
+ }
+ slideJump();
+ if (defaultView == 'outline') toggle();
+ document.onkeyup = keys;
+ document.onkeypress = trap;
+ document.onclick = clicker;
+}
+
+function preloadImgages() {
+ var temp = '';
+ var objects = document.getElementsByTagName('img');
+ for (var i=0; i < objects.length; i++) {
+ if(objects[i].src != '') {
+ temp = new Image();
+ temp.src = objects[i].src;
+ }
+ }
+}
+
+function showAll() {
+ var obj1 = GetElementsWithClassName('div','presentation')[0];
+ if(!obj1) var obj1 = GetElementsWithClassName('ol','presentation')[0];
+ var obj2 = GetElementsWithClassName('div','layout')[0];
+ if(!obj1){}else {obj1.style.display = 'block'};
+ if(!obj2){}else {obj2.style.display = 'block'};
+}
+
+function dumpProgress() {
+ document.body.removeChild(document.getElementById('StartupControl'));
+ startup();
+ preloadImgages();
+ showAll();
+ createSoundManagerScript();
+ shiftOpacity('StartupProgress',1000);
+ window.setTimeout("removeProgress()",1000);
+}
+
+function removeProgress() {
+ document.body.removeChild(document.getElementById('StartupProgress'));
+ setListPos(true); // invisible select extension
+ panelSetup();
+ audioSetup(); // audio extension
+ if(isS2 && firstTime>=1) {
+ generateCanvas(); // dynamic canvas extension
+ } firstTime = 0;
+}
+function panelSetup() {
+ if(playPause) {
+ var obj = document.getElementById('pause'); changeClass(obj,'ison');
+ }
+ if(playLoop) {
+ var obj = document.getElementById('loop'); changeClass(obj,'ison');
+ }
+ if(audioSupport && !isIE && !isOp) {
+ var obj = document.getElementById('audio'); changeClass(obj,'ison');
+ }
+ if(fadeModus && !isIE) {
+ var obj = document.getElementById('fade'); changeClass(obj,'ison');
+ }
+ if(autoMatic) {
+ var obj = document.getElementById('auto'); changeClass(obj,'ison');
+ startPlay();
+ }
+ if(audioVolume && !isIE && !isOp) {
+ var idx = 0;
+ if(audioVolume >= 95 && audioVolume <= 100) {idx = 0;}
+ else if(audioVolume >= 85 && audioVolume < 95) {idx = 1;}
+ else if(audioVolume >= 75 && audioVolume < 85) {idx = 2;}
+ else if(audioVolume >= 65 && audioVolume < 75) {idx = 3;}
+ else if(audioVolume >= 55 && audioVolume < 65) {idx = 4;}
+ else if(audioVolume >= 45 && audioVolume < 55) {idx = 5;}
+ else if(audioVolume >= 35 && audioVolume < 45) {idx = 6;}
+ else if(audioVolume >= 25 && audioVolume < 35) {idx = 7;}
+ else if(audioVolume >= 15 && audioVolume < 25) {idx = 8;}
+ else if(audioVolume >= 5 && audioVolume < 15) {idx = 9;}
+ else {idx = 10;}
+ document.getElementById('volumelist').selectedIndex = idx;
+ }
+ if(playDelay && !isOp) {
+ var idx = 0; var vol = parseInt(playDelay/1000);
+ if(vol >= 83 && vol <= 90) {idx = 0;}
+ else if(vol >= 68 && vol < 83) {idx = 1;}
+ else if(vol >= 53 && vol < 68) {idx = 2;}
+ else if(vol >= 38 && vol < 53) {idx = 3;}
+ else if(vol >= 25 && vol < 38) {idx = 4;}
+ else if(vol >= 18 && vol < 25) {idx = 5;}
+ else if(vol >= 13 && vol < 18) {idx = 6;}
+ else if(vol >= 8 && vol < 13) {idx = 7;}
+ else {idx = 8;}
+ document.getElementById('delaylist').selectedIndex = idx;
+ }
+}
+// startup process
+
+// shutdown process
+function byby() {
+ stopPlay();
+ if(tranSitions && fadeModus && s5mode && !isOp) {
+ fadeoutSound(curSoundID,true); // audio support
+ var pg = document.createElement('div');
+ pg.id = "GoodBy";
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=0)";
+ }else {
+ pg.style.opacity = 0.0;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(255, 255, 255)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/finish.gif)";
+ document.getElementsByTagName("body")[0].appendChild(pg);
+ shiftOpacity('GoodBy',1000);
+ window.setTimeout("history.back()",1000);
+ }else {
+ stopSound(curSoundID);
+ history.back();
+ }
+}
+// shutdown process
+
+// scalable images extension
+function createDetector() {
+ var em = document.createElement('div');
+ em.id='EMSizeControl'; em.style.position="absolute"; em.style.left="-999px";
+ em.style.width="1em"; em.style.height="1em"; em.style.opacity=0.0;
+ document.getElementsByTagName("body")[0].appendChild(em);
+ var nop=document.getElementById('EMSizeControl');
+ if(!nop||findPosX(nop)!=-999) {}else {
+ opac=document.getElementById('EMSizeControl').style.opacity;
+ empx=document.getElementById('EMSizeControl').offsetHeight;
+ document.body.removeChild(document.getElementById('EMSizeControl'));
+ var objects = document.getElementsByTagName('img');
+ var j = 0; var i = 0; var k = 0; var d; var obj;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ images[j] = objects[i];
+ ++j;
+ }
+ }
+ var objects = document.getElementsByTagName('canvas'); j = 0;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ canvas[objects[i].id] = objects[i];
+ if(j==0) {
+ if(objects[i].getContext) {
+ canvasSupport = true;
+ }
+ ++j;
+ }
+ }
+ }
+ if(canvasSupport!=true) {
+ for (d in canvas) {
+ try {
+ canvas[d].setAttribute("width",1);
+ canvas[d].setAttribute("height",1);
+ } catch (e) {
+ }
+ }
+ }
+ var objects = document.getElementsByTagName('table');
+ j = 0; k = 0; l = 0; var w; var h; var tmp; var cnt;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^piechart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ piecharts[j] = objects[i];
+ em = piecharts[j].getAttribute("summary");
+ if(em != "") em = "," + em;
+ piecharts[j].setAttribute("summary", w + "," + h + em);
+ ++j;
+ }
+ }
+ }
+ if(objects[i].className.match(/^barchart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ barcharts[k] = objects[i];
+ em = barcharts[k].getAttribute("summary");
+ if(em != "") em = "," + em;
+ barcharts[k].setAttribute("summary", w + "," + h + em);
+ ++k;
+ }
+ }
+ }
+ if(objects[i].className.match(/^linechart/i)) {
+ tmp = objects[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ if(canvas[obj]) {
+ w = canvas[obj].getAttribute("width");
+ h = canvas[obj].getAttribute("height");
+ if(w>0&&h>0) {
+ linecharts[l] = objects[i];
+ em = linecharts[l].getAttribute("summary");
+ if(em != "") em = "," + em;
+ linecharts[l].setAttribute("summary", w + "," + h + em);
+ ++l;
+ }
+ }
+ }
+ }
+ objects = document.getElementsByTagName('object'); j = 0; i = 0;
+ for (i=0; i < objects.length; i++) {
+ if(objects[i].className.match(/^scale/i)) {
+ medias[j] = objects[i]; ++j;
+ if(!isIE) {
+ if(objects[i].getAttributeNode("classid")) objects[i].removeAttributeNode(objects[i].getAttributeNode("classid"));
+ if(objects[i].getAttributeNode("codebase")) objects[i].removeAttributeNode(objects[i].getAttributeNode("codebase"));
+ }
+ }
+ }
+ }
+}
+function extendImgSizes(f) {
+ if(empx>0) {
+ var q = (f/empx); var w = 0; var h = 0;
+ for(var i=0; i < images.length; i++) {
+ w=images[i].getAttribute("width",0);
+ h=images[i].getAttribute("height",0);
+ if(w>0&&h>0) {
+ images[i].style.width=Math.floor(w*q)+"px";
+ images[i].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+}
+
+function extendCanSizes(f) {
+ if(empx>0 && canvasSupport) {
+ var q = (f/empx); var w = 0; var h = 0; var tmp = ""; var cnt; var obj;
+ for(var i=0; i < piecharts.length; i++) {
+ if(piecharts[i].getAttribute("summary")) {
+ tmp = piecharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = piecharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ for(var i=0; i < barcharts.length; i++) {
+ if(barcharts[i].getAttribute("summary")) {
+ tmp = barcharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = barcharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ for(var i=0; i < linecharts.length; i++) {
+ if(linecharts[i].getAttribute("summary")) {
+ tmp = linecharts[i].getAttribute("summary");
+ cnt = tmp.split(",");
+ if(cnt[0].match(/^[1-9][0-9]+/)) w = parseInt(cnt[0]);
+ if(cnt[1].match(/^[1-9][0-9]+/)) h = parseInt(cnt[1]);
+ if(w>0&&h>0) {
+ tmp = linecharts[i].id;
+ cnt = tmp.split("_");
+ obj = cnt[0] + "_canvas";
+ canvas[obj].setAttribute("width",Math.floor(w*q));
+ canvas[obj].setAttribute("height",Math.floor(h*q));
+ canvas[obj].style.width=Math.floor(w*q)+"px";
+ canvas[obj].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+ }
+}
+function extendObjSizes(f) {
+ if(empx>0) {
+ var q = (f/empx); var w = 0; var h = 0;
+ for(var i=0; i < medias.length; i++) {
+ w=medias[i].getAttribute("width",0);
+ h=medias[i].getAttribute("height",0);
+ if(w>0&&h>0) {
+ medias[i].style.width=Math.floor(w*q)+"px";
+ medias[i].style.height=Math.floor(h*q)+"px";
+ }
+ }
+ }
+}
+function findPosX(obj) {
+ var posLeft = 0;
+ while (obj.offsetParent) {
+ posLeft += obj.offsetLeft;
+ obj = obj.offsetParent;
+ }
+ return posLeft;
+}
+function findPosY(obj) {
+ var posTop = 0;
+ while (obj.offsetParent) {
+ posTop += obj.offsetTop;
+ obj = obj.offsetParent;
+ }
+ return posTop;
+}
+// scalable images extension
+
+// canvas chart extension
+function deg2rad(degrees) {
+ return Math.PI *degrees/180;
+}
+function rad2deg(radians) {
+ return 180.0 *radians/Math.PI;
+}
+function circle_point_x(radians, diameter) {
+ var x = Math.cos(radians)*(diameter/2);
+ return x;
+}
+function circle_point_y(radians, diameter) {
+ var y = Math.sin(radians)*(diameter/2);
+ return y;
+}
+function roundTo(val,dig) {
+ var num = val;
+ if (val > 8191 && val < 10485) {
+ val = val-5000;
+ num = Math.round(val*Math.pow(10,dig))/Math.pow(10,dig);
+ num = num+5000;
+ } else {
+ num = Math.round(val*Math.pow(10,dig))/Math.pow(10,dig);
+ }
+ return num;
+}
+function searchColor(value) {
+ for (var dat in colorNames) {
+ if(dat==value) return colorNames[dat];
+ }
+ return false;
+}
+function scanColor(value) {
+ if(value.match(/^#[0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ var val1 = value.substr(1,1).toLowerCase();
+ var val2 = value.substr(2,1).toLowerCase();
+ var val3 = value.substr(3,1).toLowerCase();
+ value = '#' + val1 + val1 + val2 + val2 + val3 + val3;
+ }
+ if(!value.match(/^#[0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ var tmp = searchColor(value.toLowerCase());
+ if(!tmp) {}else{value = tmp;}
+ }
+ if(!value.match(/^#[0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f][0-9a-f]$/i)) {
+ value = '#000000';
+ }
+ return value.toLowerCase();
+}
+function hex2rgb(val,trans) {
+ if(val.length==7) {
+ var tp1 = Math.max(0,Math.min(parseInt(val.substr(1,2),16),255));
+ var tp2 = Math.max(0,Math.min(parseInt(val.substr(3,2),16),255));
+ var tp3 = Math.max(0,Math.min(parseInt(val.substr(5,2),16),255));
+ return 'rgba(' + tp1 + ',' + tp2 + ',' + tp3 + ',' + trans + ')';
+ }
+}
+function trim(str) {
+ return (str.replace(/\s+$/,"").replace(/^\s+/,""));
+}
+function roundedRect(ctx,x,y,width,height,radius){
+ ctx.beginPath();
+ ctx.moveTo(x,y+radius);
+ ctx.lineTo(x,y+height-radius);
+ ctx.quadraticCurveTo(x,y+height,x+radius,y+height);
+ ctx.lineTo(x+width-radius,y+height);
+ ctx.quadraticCurveTo(x+width,y+height,x+width,y+height-radius);
+ ctx.lineTo(x+width,y+radius);
+ ctx.quadraticCurveTo(x+width,y,x+width-radius,y);
+ ctx.lineTo(x+radius,y);
+ ctx.quadraticCurveTo(x,y,x,y+radius);
+ ctx.closePath();
+}
+function drawString(ctx, text, fc, tx, ty) {
+ var xp = 0; var c = "";
+ ctx.beginPath();
+ for (var i = 0; i < text.length; i++) {
+ c = text[i];
+ ctx.drawImage(font, signs[c].sx, signs[c].sy, signs[c].sw, signs[c].sh, tx+xp, ty, signs[c].sw*fc, signs[c].sh*fc);
+ xp += (signs[c].sw*fc);
+ }
+ ctx.closePath();
+}
+function strokeString(ctx, txt, col, fh, tx, ty) {
+ var fw = fh*0.666666; var lw = fh*0.125;
+ var ls = lw/2; var cr = lw; var xp = 0;
+ ctx.lineCap = "round"; ctx.lineJoin = "round"
+ ctx.lineWidth = lw; ctx.strokeStyle = col;
+ for (var i = 0; i < txt.length; i++) {
+ strokeSymbol(ctx, txt[i], ls, tx+xp, ty, fw, fh);
+ xp += (txt[i]!="."?fw+cr:(fw/2)+cr);
+ }
+}
+function strokeSymbol(ctx, symbol, fc, cx, cy, cw, ch) {
+ ctx.beginPath();
+ switch (symbol) {
+ case "0":
+ ctx.moveTo(cx+fc,cy+(ch*0.333333));
+ ctx.arc(cx+(cw/2),cy+(cw/2),(cw/2)-fc,deg2rad(180),0, false);
+ ctx.arc(cx+(cw/2),(cy+ch)-(cw/2),(cw/2)-fc,0,deg2rad(180), false);
+ ctx.closePath();
+ break;
+ case "1":
+ ctx.moveTo(cx+(cw*0.1)+fc,cy+ch-fc);
+ ctx.lineTo(cx+cw-fc,cy+ch-fc);
+ ctx.moveTo(cx+(cw*0.666666),cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.666666),cy+fc);
+ ctx.lineTo(cx+(cw*0.25),cy+(ch*0.25));
+ break;
+ case "2":
+ ctx.moveTo(cx+cw-fc,cy+(ch*0.8));
+ ctx.lineTo(cx+cw-fc,cy+ch-fc);
+ ctx.lineTo(cx+fc,cy+ch-fc);
+ ctx.arc(cx+(cw/2),cy+(cw*0.425),(cw*0.425)-fc,deg2rad(45),deg2rad(-180), true);
+ break;
+ case "3":
+ ctx.moveTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.9)-fc,cy+fc);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-90),deg2rad(180), false);
+ break;
+ case "4":
+ ctx.moveTo(cx+(cw*0.75),cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.75),cy+fc);
+ ctx.moveTo(cx+cw-fc,cy+(ch*0.666666));
+ ctx.lineTo(cx+fc,cy+(ch*0.666666));
+ ctx.lineTo(cx+(cw*0.75),cy+fc);
+ ctx.moveTo(cx+cw-fc,cy+ch-fc);
+ ctx.lineTo(cx+(cw*0.5),cy+ch-fc);
+ break;
+ case "5":
+ ctx.moveTo(cx+(cw*0.9)-fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+(ch*0.333333));
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-80),deg2rad(180), false);
+ break;
+ case "6":
+ ctx.moveTo(cx+fc,cy+ch-(cw*0.5)-fc);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-180),deg2rad(180), false);
+ ctx.bezierCurveTo(cx+fc,cy+fc,cx+fc,cy+fc,cx+(cw*0.9)-fc,cy+fc);
+ ctx.moveTo(cx+(cw*0.9)-fc,cy+fc);
+ break;
+ case "7":
+ ctx.moveTo(cx+(cw*0.5),cy+ch-fc);
+ ctx.lineTo(cx+cw-fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+fc);
+ ctx.lineTo(cx+(cw*0.1)+fc,cy+(ch*0.25)-fc);
+ break;
+ case "8":
+ ctx.moveTo(cx+(cw*0.92)-fc,cy+(cw*0.59));
+ ctx.arc(cx+(cw/2),cy+(cw*0.45),(cw*0.45)-fc,deg2rad(25),deg2rad(-205), true);
+ ctx.arc(cx+(cw/2),cy+ch-(cw*0.5),(cw*0.5)-fc,deg2rad(-135),deg2rad(-45), true);
+ ctx.closePath();
+ ctx.moveTo(cx+(cw*0.79),cy+(ch*0.47));
+ ctx.lineTo(cx+(cw*0.21),cy+(ch*0.47));
+ break;
+ case "9":
+ ctx.moveTo(cx+cw-fc,cy+(cw*0.5));
+ ctx.arc(cx+(cw/2),cy+(cw*0.5),(cw*0.5)-fc,deg2rad(0),deg2rad(360), false);
+ ctx.bezierCurveTo(cx+cw-fc,cy+ch-fc,cx+cw-fc,cy+ch-fc,cx+(cw*0.1)+fc,cy+ch-fc);
+ break;
+ case "%":
+ ctx.moveTo(cx+fc,cy+(ch*0.75));
+ ctx.lineTo(cx+cw-fc,cy+(ch*0.25));
+ ctx.moveTo(cx+(cw*0.505),cy+(cw*0.3));
+ ctx.arc(cx+(cw*0.3),cy+(cw*0.3),(cw*0.3)-fc,deg2rad(0),deg2rad(360), false);
+ ctx.moveTo(cx+(cw*0.905),cy+ch-(cw*0.3));
+ ctx.arc(cx+(cw*0.7),cy+ch-(cw*0.3),(cw*0.3)-fc,deg2rad(0),deg2rad(360), false);
+ break;
+ case ".":
+ ctx.moveTo(cx+(cw*0.25),cy+ch-fc-fc);
+ ctx.arc(cx+(cw*0.25),cy+ch-fc-fc,fc,deg2rad(0),deg2rad(360), false);
+ ctx.closePath();
+ break;
+ default:
+ break;
+ }
+ ctx.stroke();
+}
+function drawBar(ctx,x,y,width,height,color,value,textdiv){
+ var rw = width/2; var rh = rw/2;
+ height = Math.max(height,rw);
+ var dh = Math.max(height-(2*rh),0.1); var S2L;
+ var xx = rw/8; var yy = rh/4;
+ var yo = rh/2; y = y - yy;
+ if(canvas_noshadow <= 0 && canvas_noshade <= 0) {
+ ctx.save();
+ S2L = ctx.createRadialGradient(x+rw+rh,y+height-rw+yy+rh,0,x+rw,y+height-rw+yy,rw);
+ S2L.addColorStop(0, 'rgba(0,0,0,0.5)');
+ S2L.addColorStop(0.7, 'rgba(0,0,0,0.25)');
+ S2L.addColorStop(0.9, 'rgba(0,0,0,0.1)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.fillStyle = S2L;
+ ctx.scale(1,0.5);
+ ctx.translate(xx,y+height+yy);
+ if(isOp){
+ ctx.fillRect(x,y+yy+height-width,width,width);
+ }else {
+ ctx.arc(x+rw,y+yy+height-width,width,0,deg2rad(360), false);
+ ctx.fill();
+ }
+ ctx.restore();
+ }else if(canvas_noshadow <= 0 && canvas_noshade >= 1) {
+ ctx.fillStyle = 'rgba(0,0,0,0.2)';
+ ctx.fillRect(x+yo,y+rh+yo+yo,width,dh);
+ }
+
+ if(canvas_noshade <= 0) {
+ ctx.beginPath();
+ ctx.moveTo(x,y+rh);
+ ctx.lineTo(x,y+rh+dh);
+ ctx.bezierCurveTo(x,y+height,x+width,y+height,x+width,y+rh+dh)
+ ctx.lineTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = color;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x,y+(height/2),x+width,y+(height/2));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.75)');
+ S2L.addColorStop(0.2, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.3, 'rgba(0,0,0,0)');
+ S2L.addColorStop(0.5, 'rgba(0,0,0,0.1)');
+ S2L.addColorStop(0.9, 'rgba(0,0,0,0.35)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.3)');
+ ctx.beginPath();
+ ctx.moveTo(x,y+rh);
+ ctx.lineTo(x,y+rh+dh);
+ ctx.bezierCurveTo(x,y+height,x+width,y+height,x+width,y+rh+dh)
+ ctx.lineTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = S2L;
+ ctx.fill();
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = color;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x+rw-(width*0.15),y-2,x+rw+(width*0.15),y+(2.1*rh));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.9)');
+ S2L.addColorStop(0.5, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.6, 'rgba(0,0,0,0)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.3)');
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.fillStyle = S2L;
+ ctx.fill();
+ S2L = ctx.createLinearGradient(x+rw-(width*0.15),y-2,x+rw+(width*0.15),y+(2.1*rh));
+ S2L.addColorStop(0, 'rgba(255,255,255,0.9)');
+ S2L.addColorStop(0.5, 'rgba(255,255,255,0)');
+ S2L.addColorStop(0.6, 'rgba(0,0,0,0)');
+ S2L.addColorStop(1, 'rgba(0,0,0,0.1)');
+ ctx.beginPath();
+ ctx.moveTo(x+width,y+rh);
+ ctx.bezierCurveTo(x+width,y,x,y,x,y+rh)
+ ctx.bezierCurveTo(x,y+(rh*2),x+width,y+(rh*2),x+width,y+rh)
+ ctx.closePath();
+ ctx.strokeStyle = S2L;
+ ctx.stroke();
+ }else {
+ ctx.fillStyle = color;
+ ctx.fillRect(x,y+rh+yo,width,dh);
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = 1;
+ ctx.fillStyle = 'rgba(255,255,255,0.5)';
+ var bw = width; var bh = bw/4;
+ var th = roundTo(bh*0.75,0); var tf = bh/80;
+ var c; var w;
+ if(canvas_notext <= 0) {
+ if(canvas_htmltext <= 0) {
+ w = '"' + per + '"';
+ if(w.indexOf(".")!=-1) {
+ c = w.length+0.5;
+ w = parseFloat(c-2)*parseFloat(48*tf);
+ bw = parseFloat(c-1)*parseFloat(48*tf);
+ }else {
+ c = w.length;
+ w = parseFloat(c-1)*parseFloat(48*tf);
+ bw = parseFloat(c)*parseFloat(48*tf);
+ }
+ roundedRect(ctx,x+(width/2)-(bw/2),y,bw,bh,bh/4);
+ ctx.fill();
+ if(canvas_imgtext <= 0) {
+ strokeString(ctx, value + "%","rgba(48,48,48,1)", th, x+(width/2)-(w/2), y+((bh-th)/2));
+ }else {
+ drawString(ctx, value + "%", tf, x+(width/2)-(w/2), y+((bh-th)/2.2));
+ }
+ }else {
+ var bh = bw/3; var th = roundTo(bh*0.75,0);
+ roundedRect(ctx,x,y,bw,bh,bh/4);
+ ctx.fill();
+ var obj = document.createElement('div');
+ obj.style.position = "absolute";
+ obj.style.overflow = "hidden";
+ obj.style.textAlign = "center";
+ obj.style.width = bw + "px";
+ obj.style.left = x + "px";
+ obj.style.top = y+((bh-th)/2.2) + "px";
+ obj.appendChild(document.createTextNode(per + "%"));
+ textdiv.appendChild(obj);
+ }
+
+ }
+}
+function drawLine(ctx,x,y,width,height,dist,array,factor,color,fill){
+ if(fill <= 0){
+ var style = hex2rgb(color,0.5);
+ ctx.lineJoin = "miter";
+ ctx.beginPath();
+ ctx.moveTo(x,y+height);
+ for (var i = 0; i < array.length; i++) {
+ ctx.lineTo(x+(i*dist),y+height-(array[i]*factor));
+ }
+ ctx.lineTo(x+width,y+height);
+ ctx.lineTo(x,y+height);
+ ctx.closePath();
+ ctx.fillStyle = style;
+ ctx.fill();
+ }
+ ctx.lineJoin = "round";
+ ctx.beginPath();
+ ctx.moveTo(x,y+height-(array[0]*factor));
+ for (var i = 0; i < array.length; i++) {
+ ctx.lineTo(x+(i*dist),y+height-(array[i]*factor));
+ }
+ ctx.strokeStyle = color;
+ ctx.stroke();
+}
+function setDataURL(cid) {
+ if(cid.toDataURL) {
+ var obj = document.getElementById(cid.id + "_link");
+ if(obj) {
+ obj.setAttribute("title", "To Data URL");
+ obj.setAttribute("target", "_blank");
+ obj.setAttribute("href", cid.toDataURL());
+ }
+ }
+}
+function get_input(dataobj,canvasobj,linechart) {
+ var table = document.getElementById(dataobj);
+ var canvas = document.getElementById(canvasobj);
+ var row; var clm; var cnt = 0; var val = 0; var nme = ""; var col = "";
+ if(canvas.getContext) {
+ canvas_width = parseInt(canvas.style.width);
+ canvas_height = parseInt(canvas.style.height);
+ if(canvas_width >= 16 && canvas_height >= 16) {
+ var tmp = table.getAttribute("summary");
+ canvas_noshade = 0; canvas_noshadow = 0;
+ canvas_notext = 0; canvas_imgtext = 0;
+ canvas_htmltext = 0; canvas_nofill = 0;
+ if(tmp.search(/noshadow/) != -1) canvas_noshadow = 1;
+ if(tmp.search(/noshade/) != -1) canvas_noshade = 1;
+ if(tmp.search(/nofill/) != -1) canvas_nofill = 1;
+ if(tmp.search(/notext/) != -1) canvas_notext = 1;
+ if(tmp.search(/htmltext/) != -1) canvas_htmltext = 1;
+ if(tmp.search(/imgtext/) != -1) canvas_imgtext = 1;
+ if(table.getAttribute("bgcolor")) {
+ canvas_bgcolor = scanColor(table.getAttribute("bgcolor"));
+ }
+ ChartData = new Array(); colorSlice = new Array();
+ if(table.getElementsByTagName("tr")[0].getElementsByTagName("th")[0]) cnt = 1;
+ for(var r = cnt; r < table.getElementsByTagName("tr").length; r++) {
+ row = table.getElementsByTagName("tr")[r];
+ clm = row.getElementsByTagName("td").length;
+ if(row.getElementsByTagName("td")[0].getAttribute("bgcolor")) {
+ col = scanColor(row.getElementsByTagName("td")[0].getAttribute("bgcolor")); val = 0;
+ nme = trim(row.getElementsByTagName("td")[1].innerHTML.replace(/<[^>]+>/g,""));
+ if(!linechart) {
+ if(clm > 3) {
+ for(var z = 2; z < clm; z++) {
+ val += parseFloat(row.getElementsByTagName("td")[z].innerHTML.replace(/<[^>]+>/g,""));
+ }
+ }else {
+ val = parseFloat(row.getElementsByTagName("td")[2].innerHTML.replace(/<[^>]+>/g,""));
+ }
+ if(!isNaN(val) && val > 0 && nme != '') {
+ colorSlice[r-cnt] = col; ChartData[nme] = Math.abs(val);
+ }else {
+ break;
+ }
+ }else {
+ val = 0; i = 0;
+ if(clm > 3 && nme != '') {
+ ChartData[r-cnt] = new Array();
+ colorSlice[r-cnt] = col;
+ for(var z = 2; z < clm; z++) {
+ val = Math.abs(parseFloat(row.getElementsByTagName("td")[z].innerHTML.replace(/<[^>]+>/g,"")));
+ if(!isNaN(val) && val >= 0) {
+ ChartData[r-cnt][i] = val; i++;
+ }else {
+ ChartData[r-cnt][i] = 0; i++;
+ }
+ }
+ }else {
+ break;
+ }
+ }
+ }else {
+ break;
+ }
+ }
+ }
+ }
+}
+function setPieChart(canvasobj,textobj) {
+ var cd = (Math.min(canvas_width,canvas_height)/110)*100;
+ var cp = cd*0.1; var cr = cd/2;
+ var sr = cr*0.93; var cw = cd+cp; var ch = cw;
+ var cx = (cd/2)+(cp/2); var cy = cx; var ct = 0;
+ for (var data in ChartData) {
+ ct += ChartData[data];
+ }
+ var poc = ct/100;
+ var canvas = document.getElementById(canvasobj);
+ if(canvas_htmltext >= 1) {
+ if(document.getElementById(textobj)) {
+ canvas.parentNode.removeChild(canvas.parentNode.lastChild);
+ }
+ }
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,cw,ch);
+ }else {
+ ctx.clearRect(0,0,cw,ch);
+ }
+ if(canvas_noshadow <= 0) {
+ if(!isOp) {
+ ctx.beginPath();
+ var B2B = ctx.createRadialGradient(cx+(cr*0.1),cy+(cr*0.1),cr,cx+(cr*0.1),cy+(cr*0.1),sr*0.9);
+ B2B.addColorStop(0, 'rgba(0,0,0,0)');
+ B2B.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.arc(cx+(cr*0.1),cy+(cr*0.1),cr,0,deg2rad(360), false);
+ ctx.closePath();
+ ctx.fillStyle = B2B;
+ ctx.fill();
+ }else {
+ var B2B = ctx.createRadialGradient(cx+(cr*0.1),cy+(cr*0.1),0,cx+(cr*0.1),cy+(cr*0.1),cr);
+ B2B.addColorStop(0, 'rgba(0,0,0,0.5)');
+ B2B.addColorStop(0.87, 'rgba(0,0,0,0.5)');
+ B2B.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.fillStyle = B2B;
+ ctx.fillRect(0,0,cw,ch);
+ }
+ }
+ var val = 0; var deg = 0; var idx = 0; var data;
+ for (data in ChartData) {
+ val = ChartData[data]; sdeg = deg;
+ deg += (val/ct)*deg2rad(360); edeg = deg;
+ ctx.beginPath();
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr,sdeg,edeg, false);
+ ctx.lineTo(cx,cy);
+ ctx.closePath();
+ ctx.fillStyle = colorSlice[(idx++)];
+ ctx.fill();
+ }
+ if(canvas_noshade <= 0) {
+ ctx.beginPath();
+ var W2T = ctx.createLinearGradient(cp*2,cp*2,cx,cy);
+ W2T.addColorStop(0, 'rgba(255,255,255,0.8)');
+ W2T.addColorStop(1, 'rgba(255,255,255,0)');
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,sr,deg2rad(135),deg2rad(315), false);
+ ctx.closePath();
+ ctx.fillStyle = W2T;
+ ctx.fill();
+ ctx.beginPath();
+ var T2B = ctx.createLinearGradient(cx,cy,cw-(cp*2),ch-(cp*2));
+ T2B.addColorStop(0, 'rgba(0,0,0,0)');
+ T2B.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,sr,deg2rad(-45),deg2rad(135), false);
+ ctx.closePath();
+ ctx.fillStyle = T2B;
+ ctx.fill();
+ ctx.beginPath();
+ var B2T = ctx.createLinearGradient(cx,cy,cw-(cp*1.9),ch-(cp*1.9));
+ B2T.addColorStop(0, 'rgba(0,0,0,0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.6)');
+ ctx.lineWidth = cr*0.07;
+ ctx.lineCap = "round";
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr*0.965,deg2rad(-45),deg2rad(135), false);
+ ctx.closePath();
+ ctx.strokeStyle = B2T;
+ ctx.stroke();
+ ctx.beginPath();
+ var T2W = ctx.createLinearGradient(cx,cy,(cp*1.9),(cp*1.9));
+ T2W.addColorStop(0, 'rgba(255,255,255,0)');
+ T2W.addColorStop(1, 'rgba(255,255,255,0.6)');
+ ctx.lineWidth = cr*0.07;
+ ctx.lineCap = "round";
+ ctx.moveTo(cx,cy);
+ ctx.arc(cx,cy,cr*0.965,deg2rad(135),deg2rad(315), false);
+ ctx.closePath();
+ ctx.strokeStyle = T2W;
+ ctx.stroke();
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = 1;
+ ctx.fillStyle = 'rgba(255,255,255,0.5)';
+ var mpos = 0; var per = 0; var gx = 0;
+ var gy = 0; var tx = 0; var ty = 0;
+ var obj = ""; var w = 0; var c = 0;
+ var bw = cr*0.45; var bh = cr*0.125;
+ var th = roundTo(bh*0.75,0); var tf = bh/80;
+ if(canvas_notext <= 0) {
+ if(canvas_htmltext >= 1) {
+ bw = (cr*0.365);
+ canvas.parentNode.style.position = "relative";
+ if(!document.getElementById(textobj)) {
+ obj = document.createElement('div');
+ obj.id = textobj;
+ obj.style.color = "rgb(0,0,0)";
+ obj.style.fontFamily = "Arial,sans-serif";
+ obj.style.fontSize = th + "px";
+ obj.style.zIndex = 11;
+ canvas.parentNode.appendChild(obj);
+ }
+ var textdiv = document.getElementById(obj.id);
+ }
+ for (data in ChartData) {
+ val = ChartData[data];
+ sdeg = deg; mpos = deg+(((val/2)/ct)*deg2rad(360));
+ deg += (val/ct)*deg2rad(360); edeg = deg;
+ per = roundTo(val/poc,2);
+ gx = circle_point_x(mpos, cd);
+ gy = circle_point_y(mpos, cd);
+ tx = parseFloat((cx+(2*Math.floor(cx + gx)))/3);
+ ty = (cy+(2*Math.floor(cy + gy)))/3;
+ if(canvas_htmltext <= 0) {
+ w = '"' + per + '"';
+ if(w.indexOf(".")!=-1) {
+ c = w.length+0.5;
+ w = parseFloat(c-2)*parseFloat(48*tf);
+ bw = parseFloat(c-1)*parseFloat(48*tf);
+ }else {
+ c = w.length;
+ w = parseFloat(c-1)*parseFloat(48*tf);
+ bw = parseFloat(c)*parseFloat(48*tf);
+ }
+ roundedRect(ctx,(tx-(bw/2)),ty-(bh/2),bw,bh,bh/4);
+ ctx.fill();
+ if(canvas_imgtext >= 1) {
+ drawString(ctx, per + "%", tf, tx-(w/2), ty-(th/1.8));
+ }else {
+ strokeString(ctx, per + "%","rgba(48,48,48,1)", th, tx-(w/2), ty-(th/2));
+ }
+ }else {
+ roundedRect(ctx,(tx-(bw/2)),ty-(bh/2),bw,bh,bh/4);
+ ctx.fill();
+ obj = document.createElement('div');
+ obj.style.position = "absolute";
+ obj.style.overflow = "hidden";
+ obj.style.textAlign = "center";
+ obj.style.width = bw + "px";
+ obj.style.left = (tx-(bw/2)) + "px";
+ obj.style.top = ty-(th/1.8) + "px";
+ obj.appendChild(document.createTextNode(per + "%"));
+ textdiv.appendChild(obj);
+ }
+ }
+ }
+ }
+}
+function setBarChart(canvasobj,textobj) {
+ var iw = canvas_width*0.9; var ih = canvas_height*0.9;
+ var pw = canvas_width*0.05; var ph = canvas_height*0.05;
+ var cm = 0; var ct = 0;
+ for (var data in ChartData) {
+ cm = Math.max(cm,ChartData[data]);
+ ct += ChartData[data];
+ }
+ var hf = ih/cm; var bs = colorSlice.length;
+ var bw = iw/(bs*1.1); var poc = ct/100;
+ var th = roundTo((bw/3)*0.75,0);
+ var canvas = document.getElementById(canvasobj);
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,canvas_width,canvas_height);
+ }else {
+ ctx.clearRect(0,0,canvas_width,canvas_height);
+ }
+ if(canvas_htmltext >= 1) {
+ if(document.getElementById(textobj)) {
+ canvas.parentNode.removeChild(canvas.parentNode.lastChild);
+ }
+ canvas.parentNode.style.position = "relative";
+ if(!document.getElementById(textobj)) {
+ var obj = document.createElement('div');
+ obj.id = textobj;
+ obj.style.color = "rgb(0,0,0)";
+ obj.style.fontFamily = "Arial,sans-serif";
+ obj.style.fontSize = th + "px";
+ obj.style.zIndex = 11;
+ canvas.parentNode.appendChild(obj);
+ }
+ var textdiv = document.getElementById(obj.id);
+ }
+ var cc = ""; var bh = 0; var idx = 0; var t = 0; per = 0;
+ for (var data in ChartData) {
+ per = roundTo(ChartData[data]/poc,2);
+ bh = ChartData[data]*hf;
+ drawBar(ctx,pw+(t*(bw*1.1)),ph+ih-bh,bw,bh,colorSlice[(idx++)],per,textdiv);
+ t++;
+ }
+ }
+}
+function setLineChart(canvasobj,textobj) {
+ var iw = canvas_width*0.9;
+ var ih = canvas_height*0.9;
+ var pw = canvas_width*0.05;
+ var ph = canvas_height*0.05;
+ var cm = 0;
+ for (var i = 0; i < ChartData.length; i++) {
+ for (var j = 0; j < ChartData[i].length; j++) {
+ cm = Math.max(cm,ChartData[i][j]);
+ }
+ }
+ var hf = (ih*0.95)/cm; var bw = iw;
+ var dw = iw/(ChartData[0].length-1)
+ var dh = ih/(ChartData[0].length-1)
+ var ps = Math.min(pw,ph); lw = ps/4;
+ var B2T;
+ var canvas = document.getElementById(canvasobj);
+ if(canvas.getContext) {
+ var ctx = canvas.getContext('2d');
+ if(canvas_bgcolor != "") {
+ ctx.fillStyle = canvas_bgcolor;
+ ctx.fillRect(0,0,canvas_width,canvas_height);
+ }else {
+ ctx.clearRect(0,0,canvas_width,canvas_height);
+ }
+ if(canvas_noshadow <= 0) {
+ B2T = ctx.createLinearGradient(pw+ps,ph+ih+1,pw+ps+ps,ph+ih+1);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.5)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+ps,ph+ih);
+ ctx.lineTo(pw+ps,ph+ih+ps);
+ ctx.lineTo(pw+ps+ps,ph+ih);
+ ctx.closePath();
+ ctx.fill();
+ B2T = ctx.createLinearGradient(pw+ps+ps,ph+ih,pw+ps+ps,ph+ih+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.5)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.0)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+ps+ps,ph+ih);
+ ctx.lineTo(pw+iw,ph+ih);
+ ctx.lineTo(pw+ps+iw,ph+ih+ps);
+ ctx.lineTo(pw+ps,ph+ih+ps);
+ ctx.closePath();
+ ctx.fill();
+ var B2T = ctx.createLinearGradient(pw+iw+1,ph+ps,pw+iw+1,ph+ps+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.0)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.35)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+iw,ph+ps);
+ ctx.lineTo(pw+iw,ph+ps+ps);
+ ctx.lineTo(pw+iw+ps,ph+ps);
+ ctx.closePath();
+ ctx.fill();
+ B2T = ctx.createLinearGradient(pw+iw,ph+ps+ps,pw+iw+ps,ph+ps+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,0.35)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0.0)');
+ ctx.fillStyle = B2T;
+ ctx.beginPath();
+ ctx.moveTo(pw+iw,ph+ps+ps);
+ ctx.lineTo(pw+iw,ph+ih);
+ ctx.lineTo(pw+iw+ps,ph+ih+ps);
+ ctx.lineTo(pw+iw+ps,ph+ps);
+ ctx.closePath();
+ ctx.fill();
+ }
+ ctx.lineCap = "butt";
+ ctx.lineWidth = lw/2;
+ ctx.strokeStyle = "rgba(255,255,255,0.25)";
+ if(canvas_nofill <= 0) {
+ ctx.fillStyle = "rgba(240,240,240,0.8)";
+ ctx.strokeStyle = "rgba(255,255,255,1)";
+ ctx.fillRect(pw,ph,iw,ih);
+ }
+ for (var i = 0; i < ChartData[0].length-1; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw+(i*dw),ph);
+ ctx.lineTo(pw+(i*dw),ph+ih);
+ ctx.stroke();
+ }
+ for (var i = 1; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw,ph+(i*dh));
+ ctx.lineTo(pw+iw,ph+(i*dh));
+ ctx.stroke();
+ }
+ ctx.lineWidth = lw;
+ var cc = ""; var bh = 0; var idx = 0; var t = 0;
+ for (var i = 0; i < ChartData.length; i++) {
+ for (var j = 0; j < ChartData[i].length; j++) {
+ t = Math.max(t,ChartData[i][j]);
+ }
+ bh = t*hf; cc = colorSlice[(idx++)];
+ drawLine(ctx,pw,ph+ih-bh,bw,bh,dw,ChartData[i],hf,cc,canvas_nofill);
+ }
+ ctx.beginPath();
+ ctx.moveTo(pw,ph);
+ ctx.lineTo(pw,ph+ih);
+ ctx.lineTo(pw+iw+(lw/2),ph+ih);
+ ctx.strokeStyle = "rgba(48,48,48,1)";
+ ctx.stroke();
+ ctx.lineWidth = lw/2;
+ B2T = ctx.createLinearGradient(pw,ph+ih,pw,ph+ih+ps);
+ B2T.addColorStop(0, 'rgba(0,0,0,1)');
+ B2T.addColorStop(0.5, 'rgba(0,0,0,1)');
+ B2T.addColorStop(1, 'rgba(0,0,0,0)');
+ ctx.strokeStyle = B2T;
+ for (var i = 0; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw+(i*dw),ph+ih);
+ ctx.lineTo(pw+(i*dw),ph+ih+ps);
+ ctx.stroke();
+ }
+ B2T = ctx.createLinearGradient(pw-ps,ph,pw,ph);
+ B2T.addColorStop(0, 'rgba(0,0,0,0)');
+ B2T.addColorStop(0.5, 'rgba(0,0,0,1)');
+ B2T.addColorStop(1, 'rgba(0,0,0,1)');
+ ctx.strokeStyle = B2T;
+ for (var i = 1; i < ChartData[0].length; i++) {
+ ctx.beginPath();
+ ctx.moveTo(pw-ps,ph+(i*dh));
+ ctx.lineTo(pw,ph+(i*dh));
+ ctx.stroke();
+ }
+ }
+}
+function generateCanvas() {
+ if(canvasSupport) {
+ var canvasID; var tdataID; var textID;
+ var tmp; var dat; var i; var j;
+ for(i=0; i < piecharts.length; i++) {
+ tmp = piecharts[i].id.split("_");
+ tdataID = piecharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID);
+ if(colorSlice.length > 0) {
+ j = 0; dat = ""; for(dat in ChartData) {j++; }
+ if(j > 0 && j == colorSlice.length) {
+ setPieChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ for(i=0; i < barcharts.length; i++) {
+ tmp = barcharts[i].id.split("_");
+ tdataID = barcharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID);
+ if(colorSlice.length > 0) {
+ j = 0; dat = ""; for(dat in ChartData) {j++; }
+ if(j > 0 && j == colorSlice.length) {
+ setBarChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ for(i=0; i < linecharts.length; i++) {
+ tmp = linecharts[i].id.split("_");
+ tdataID = linecharts[i].id;
+ canvasID = tmp[0] + "_canvas";
+ textID = tmp[0] + "_text";
+ if(document.getElementById(tdataID)) {
+ get_input(tdataID,canvasID,true);
+ if(colorSlice.length > 0) {
+ if(ChartData.length == colorSlice.length) {
+ setLineChart(canvasID,textID);
+ }
+ }
+ }
+ }
+ }
+}
+// canvas chart extension
+
+// invisible select extension
+function setListPos(opt) {
+ if(!isIE) { //(degrade IE)
+ var ref = document.getElementById('list');
+ var x = findPosX(ref); var y = findPosY(ref);
+ var obj = document.getElementById('jumplist');
+ obj.style.position = 'fixed'; obj.style.left = x + 'px'; obj.style.top = y + 'px';
+ var vol = document.getElementById('volumelist');
+ ref = document.getElementById('volume');
+ x = findPosX(ref); if(isOp) {y = window.innerHeight-imgHeight; }else {y = findPosY(ref);}
+ vol.style.position = 'fixed'; vol.style.left = x + 'px'; vol.style.top = y + 'px';
+ var del = document.getElementById('delaylist');
+ ref = document.getElementById('delay');
+ x = findPosX(ref); if(isOp) {y = window.innerHeight-imgHeight; }else {y = findPosY(ref);}
+ del.style.position = 'fixed'; del.style.left = x + 'px'; del.style.top = y + 'px';
+ var pnl = document.getElementById('navLinks');
+ }
+ if(opt) {
+ if(isIE) {
+ //(degrade IE)
+ //pnl.style.filter = "alpha(opacity=80)";
+ }else {
+ obj.style.opacity = 0.0;
+ vol.style.opacity = 0.0;
+ del.style.opacity = 0.0;
+ pnl.style.opacity = 0.8;
+ }
+ }
+}
+// invisible select extension
+
+// transition extension
+function switchFade() {
+ if(tranSitions && s5mode && fadeModus) {
+ fadeModus = false;
+ playDelay = playDelay-(2*fadeDuration);
+ var obj = document.getElementById('fade'); changeClass(obj,'isoff');
+ }else if(tranSitions && s5mode && !fadeModus) {
+ fadeModus = true;
+ playDelay = playDelay+(2*fadeDuration);
+ var obj = document.getElementById('fade'); changeClass(obj,'ison');
+ }
+ currentSlide();
+}
+function opacity(ids, opacStart, opacEnd, millisec) {
+ var speed = Math.round(millisec / 100);
+ var timer = 0;
+ if(opacStart > opacEnd) {
+ for(var i = opacStart; i >= opacEnd; i--) {
+ window.setTimeout("changeOpac(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ } else if(opacStart < opacEnd) {
+ for(var i = opacStart; i <= opacEnd; i++) {
+ window.setTimeout("changeOpac(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ }
+}
+function changeOpac(opacity, ids) {
+ var obj = document.getElementById(ids);
+ if (isIE) {
+ obj.style.filter = "alpha(opacity=" + opacity + ")";
+ } else {
+ obj.style.opacity = (opacity / 100);
+ }
+}
+function shiftOpacity(ids, millisec) {
+ if(document.getElementById(ids).style.opacity != '') {
+ var currentOpac = document.getElementById(ids).style.opacity * 100;
+ } else {
+ var currentOpac = 0;
+ }
+ if(currentOpac == 0) {
+ opacity(ids, currentOpac, 100, millisec);
+ } else if(currentOpac > 0) {
+ opacity(ids, currentOpac, 0, millisec);
+ }
+}
+// transition extension
+
+// autoplay extension
+function autoPlay() {
+ if (s5mode && autoMatic && !playPause) {
+ if ((snum >= (smax-1)) && playLoop) {
+ goTo(0);
+ autoRun = setTimeout('autoPlay();',playDelay);
+ }else if ((snum >= (smax-1)) && !playLoop) {
+ stopPlay();
+ }else {
+ if (!incrementals[snum] || incpos >= incrementals[snum].length) {
+ go(1);
+ if (incrementals[snum].length >0) {
+ clearTimeout(autoRun); autoRun = null;
+ incrDelay = parseInt(playDelay/(incrementals[snum].length+1));
+ remainDer = parseInt(playDelay-(incrDelay*incrementals[snum].length));
+ autoRun = setTimeout('autoPlayIncr()',incrDelay);
+ }
+ } else {
+ clearTimeout(autoRun); autoRun = null;
+ incrDelay = incrDuration;
+ remainDer = parseInt(playDelay-(incrementals[snum].length*incrDuration));
+ autoRun = setTimeout('autoPlayIncr()',incrDelay);
+ }
+ autoRun = setTimeout('autoPlay();',playDelay);
+ }
+ }
+}
+function autoPlayIncr() {
+ if (incpos < incrementals[snum].length) {
+ subgo(1);
+ autoRun = setTimeout('autoPlayIncr();',incrDelay);
+ }else {
+ autoRun = setTimeout('nop();',remainDer);
+ }
+}
+function nop() {
+ // no operation dummy
+}
+function togglePlay() {
+ if (autoRun && s5mode) {
+ stopPlay();
+ }else if (!autoRun && s5mode) {
+ startPlay();
+ }
+}
+function stopPlay() {
+ if (autoRun && s5mode) {
+ clearTimeout(autoRun); autoRun = null;
+ autoMatic = false; playPause = false; var obj = '';
+ obj = document.getElementById('auto'); changeClass(obj,'isoff');
+ obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ currentSlide();
+ }
+}
+function startPlay() {
+ if (!autoRun && s5mode) {
+ playPause = false; autoMatic = true; var obj = '';
+ obj = document.getElementById('auto'); changeClass(obj,'ison');
+ obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ autoRun = setTimeout('autoPlay();',playDelay);
+ currentSlide();
+ }
+}
+function pausePlay() {
+ if (s5mode && autoMatic) {
+ if (playPause) {
+ playPause = false; autoRun = setTimeout('autoPlay();',playDelay);
+ var obj = document.getElementById('pause'); changeClass(obj,'isoff');
+ }else {
+ if (autoRun){
+ clearTimeout(autoRun); autoRun = null; playPause = true;
+ var obj = document.getElementById('pause'); changeClass(obj,'ison');
+ }
+ }
+ currentSlide();
+ }
+}
+function switchLoop() {
+ if(s5mode) {
+ if (playLoop) {
+ playLoop = false;
+ var obj = document.getElementById('loop'); changeClass(obj,'isoff');
+ }else {
+ playLoop = true;
+ var obj = document.getElementById('loop'); changeClass(obj,'ison');
+ }
+ }
+ currentSlide();
+}
+function setDelay() {
+ var val = document.getElementById('delaylist');
+ if(s5mode) {
+ var delay = Math.max(5,Math.min(parseInt(val.value),300));
+ playDelay = (fadeModus == true) ? ((delay*1000)+(2*fadeDuration)) : (delay*1000);
+ }
+}
+// autoplay extension
+
+// audio extension
+function createSoundManagerScript() {
+ if(typeof soundManager=="undefined") {
+ onerrorSM2();
+ }else {
+ var script=document.createElement('SCRIPT');
+ var tx=document.createTextNode("soundManager.createMovie();");
+ script.appendChild(tx);
+ document.getElementsByTagName("body")[0].appendChild(script);
+ }
+}
+//soundManager.onload = function() {
+function onloadSM2() {
+ if(!isIE) { //(degrade IE)
+ swfUnloaded = false;
+ preloadSounds();
+ }
+}
+//soundManager.onerror = function() {
+function onerrorSM2() {
+ if(typeof soundManager!="undefined") {
+ soundManager.destruct;
+ delete soundManager;
+ }
+ audioSupport = false; swfUnloaded = true;
+ if(audioError && !isIE && !isOp) {
+ var dv = document.createElement('div'); dv.id = "guru";
+ var d2=document.createElement('div'); dv.appendChild(d2);
+ var tx=document.createTextNode('Guru Meditation - SoundManager failed to load/initialize!');
+ d2.appendChild(tx); document.getElementById('slide0').appendChild(dv);
+ }
+}
+function audioSetup() {
+ if(sound[0]) {
+ playSound(0);
+ }else if(sound[bgSoundItem] && !sound[0]) {
+ playSound(bgSoundItem);
+ }
+}
+function fadeoutSound(ids, option) {
+ if(curSoundID >= 0 && !swfUnloaded) {
+ if(isNaN(ids)) {
+ if(ids == "bgSound") {
+ var cnum = parseInt(bgSoundItem);
+ }else {
+ for (var i = 0; i < (sound.length-1); i++) {
+ if(sound[i] && ids == sound[i]["id"]) {
+ var cnum = i;
+ break;
+ }
+ }
+ }
+ }else {
+ var cnum = ids;
+ ids = sound[cnum]["id"];
+ }
+ var vol = getMaxVolume(sound[cnum]["volume"]);
+ var millisec = fadeDuration;
+ var speed = Math.round(millisec / vol);
+ var timer = 0;
+ for(var i = vol; i > 0; i--) {
+ setTimeout("fadeout(" + i + ",'" + ids + "')",(timer * speed));
+ timer++;
+ }
+ if(option) setTimeout("stopSound('"+ids+"')",millisec);
+ }
+}
+function fadeout(volume, id) {
+ soundManager.setVolume(id,volume);
+}
+function stopSound(ids) {
+ var sid;
+ if(isNaN(ids)) {
+ sid = ids;
+ }else if(sound[ids]) {
+ sid = sound[ids]["id"];
+ }
+ if(sid!='' && curSoundID >= 0) {
+ soundManager.stop(sid);
+ curSoundID = -1;
+ }
+}
+function toggleSounds() {
+ if(audioSupport && !swfUnloaded) {
+ stopAllSounds();
+ }else if (!audioSupport && !swfUnloaded) {
+ allowSounds();
+ }
+}
+function allowSounds() {
+ if(!swfUnloaded) {
+ audioSupport = true;
+ var obj = document.getElementById('audio'); changeClass(obj,'ison');
+ currentSlide();
+ }
+}
+function stopAllSounds() {
+ if(curSoundID >= 0) {
+ stopSound(curSoundID);
+ }else {
+ soundManager.stopAll();
+ curSoundID = -1;
+ }
+ audioSupport = false;
+ var obj = document.getElementById('audio'); changeClass(obj,'isoff');
+ currentSlide();
+}
+function playSound(id) {
+ if(audioSupport && !swfUnloaded) {
+ var url, sid, vol, lps, cnum;
+ if(isNaN(id)) {
+ sid = id;
+ if(sid == "bgSound") {
+ cnum = parseInt(bgSoundItem);
+ }else {
+ cnum = getSoundID(id);
+ }
+ }else {
+ cnum = parseInt(id);
+ if(sound[cnum]) sid = sound[cnum]["id"];
+ }
+ if(sound[cnum] && sound[cnum]["url"]!='' && sid!=''){
+ url = sound[cnum]["url"];
+ vol = (sound[cnum]["volume"]!='')?getMaxVolume(sound[cnum]["volume"]):getMaxVolume(100);
+ lps = (sound[cnum]["loops"])?true:false;
+ if(lps) {
+ soundManager.play(sid,{volume:vol,onplay:function(){curSoundID=cnum;},onfinish:function(){soundManager.play(sid,{volume:getMaxVolume(sound[cnum]["volume"])});}});
+ }else {
+ soundManager.play(sid,{volume:vol,onplay:function(){curSoundID=cnum;},onfinish:function(){curSoundID=-1;}});
+ }
+ }
+ }
+}
+function getSoundID(str) {
+ for (var i = 0; i < (sound.length-1); i++) {
+ if(sound[i] && str == sound[i]["id"]) {
+ var id = i;
+ break;
+ }
+ }
+ return id;
+}
+function setVolume() {
+ var vol = document.getElementById('volumelist');
+ if(audioSupport && !swfUnloaded) {
+ audioVolume = parseInt(vol.value);
+ if(curSoundID >= 0) {
+ if(sound[curSoundID]) {
+ var sid = sound[curSoundID]["id"];
+ var vid = getMaxVolume(sound[curSoundID]["volume"]);
+ soundManager.setVolume(sid,vid);
+ }
+ }
+ }
+}
+function getMaxVolume(value) {
+ if(audioVolume>0) {var factor = audioVolume/100;}else {var factor = 0;}
+ return Math.max(0,Math.min(parseInt(value*factor),audioVolume));
+}
+function preloadSounds() {
+ var temp = ''; var parm = ''; var t = ''; var cl = '';
+ var objects = document.getElementsByTagName('object');
+ for (var i=0; i < objects.length; i++) {
+ if(objects[i].type.toLowerCase() == 'audio/mp3' && objects[i].data != '') {
+ objects[i].width = 0; objects[i].height = 0;
+ if(objects[i].parentNode.tagName == 'DIV') {
+ cl = objects[i].parentNode.className.toLowerCase();
+ if(cl == 'presentation' || cl == 'slide') {
+ if(cl == 'presentation') {
+ t = parseInt(bgSoundItem);
+ }else {
+ t = parseInt(objects[i].parentNode.id.slice(5, objects[i].parentNode.id.length));
+ }
+ sound[t] = new Object();
+ if(t < bgSoundItem) {
+ sound[t]["id"] = objects[i].parentNode.id;
+ }else {
+ sound[t]["id"] = "bgSound";
+ }
+ sound[t]["url"] = objects[i].data;
+ sound[t]["volume"] = 100; sound[t]["loops"] = false;
+ if(objects[i].archive != '') {
+ parm = objects[i].archive.toLowerCase().split(',');
+ for (var j=0; j < parm.length; j++) {
+ if(parm[j] == 'loop') sound[t]["loops"] = true;
+ if(parm[j].search(/^volume/) != -1) {
+ var tmp = parm[j].split(':');
+ sound[t]["volume"] = parseInt(tmp[1]);
+ }
+ }
+ }
+ soundManager.createSound(sound[t]["id"],sound[t]["url"]);
+ }
+ }
+ }
+ }
+}
+// audio extension
+
+// help extension
+function createHelpReq() {
+ if(!document.getElementById("HelpReq")) {
+ var obj = document.getElementsByTagName("body")[0].firstChild;
+ var pg = document.createElement('div');
+ pg.id = "HelpReq";
+ if (pg.addEventListener) {
+ pg.addEventListener("onclick",dumpHelpReq,false);
+ } else if (pg.attachEvent) {
+ pg.attachEvent("onclick",dumpHelpReq);
+ }
+ pg.style.position = 'absolute';
+ pg.style.left = 0 + 'px';
+ pg.style.top = 0 + 'px';
+ pg.style.width = 100 + '%';
+ pg.style.height = 100 + '%';
+ pg.style.margin = 0 + 'px';
+ pg.style.padding = 0 + 'px';
+ if (isIE) {
+ pg.style.filter = "alpha(opacity=90)";
+ } else {
+ pg.style.opacity = 0.9;
+ }
+ pg.style.zIndex = 9999;
+ pg.style.backgroundColor="rgb(64,64,64)";
+ pg.style.textAlign = "center";
+ pg.style.verticalAlign = "middle";
+ pg.style.backgroundPosition="center center";
+ pg.style.backgroundRepeat="no-repeat";
+ pg.style.backgroundImage="url("+s5Path+"ui/graphic_support/help.jpg)";
+ document.getElementsByTagName("body")[0].insertBefore(pg,obj);
+ if(document.getElementById("HelpReq")) {
+ helpmode = true;
+ }
+ }
+}
+function dumpHelpReq() {
+ if(document.getElementById("HelpReq")) {
+ document.body.removeChild(document.getElementById('HelpReq'));
+ helpmode = false;
+ }
+}
+// help extension
+
+//DEBUG
+function ConsoleLog(value) {
+ if(window.console) {
+ window.console.log(value);
+ }
+}
+//DEBUG
+
+document.write('<style type="text/css" media="screen" id="blockStyle">.presentation, .layout {display: none; }</style>');
+
+if(!isIE && !isOp) {
+ document.write('<script type="text/javascript" src="'+s5Path+'ui/audio_support/soundmanager2.js"></script>');
+ if(typeof soundManager!="undefined") {
+ var allMetas = document.getElementsByTagName('meta');
+ for (var i = 0; i< allMetas.length; i++) {
+ if (allMetas[i].name == 'audioDebug') {
+ var audioDebug = (allMetas[i].content == "true") ? true : false;
+ soundManager.defaultOptions.debugMode = audioDebug;
+ }
+ }
+ }
+}
+
+window.onload = createSlideShow;
+window.onresize = function(){setTimeout('windowChange()',5);} \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/toggle_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/toggle_link.png
new file mode 100644
index 000000000..4588e2514
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/toggle_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/toggle_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/toggle_over.png
new file mode 100644
index 000000000..400f613b6
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/toggle_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/volume_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/volume_link.png
new file mode 100644
index 000000000..f362acd1f
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/volume_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/wrap.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/wrap.css
new file mode 100644
index 000000000..a37315552
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/wrap.css
@@ -0,0 +1,410 @@
+/********************************************************************
+Screen and Print Styles for the Wrap Plugin
+********************************************************************/
+
+/* tables in columns and boxes should span the whole width */
+.wrap_column table,
+.wrap_left table, .wrap_right table, .wrap_center table,
+.wrap_box table,
+.wrap_info table, .wrap_important table, .wrap_alert table, .wrap_tip table, .wrap_help table, .wrap_todo table, .wrap_download table {
+ width: 100%;
+}
+/* emulate a headline */
+.wrap_column em strong,
+.wrap_left em strong, .wrap_right em strong, .wrap_center em strong,
+.wrap_box em strong,
+.wrap_info em strong, .wrap_important em strong, .wrap_alert em strong, .wrap_tip em strong, .wrap_help em strong, .wrap_todo em strong, .wrap_download em strong {
+ font-size: 130%;
+ font-weight: bold;
+ font-style: normal;
+ display: block;
+}
+/* emulate a bigger headline with a bottom border */
+.wrap_column em strong em.u,
+.wrap_left em strong em.u, .wrap_right em strong em.u, .wrap_center em strong em.u,
+.wrap_box em strong em.u,
+.wrap_info em strong em.u, .wrap_important em strong em.u, .wrap_alert em strong em.u, .wrap_tip em strong em.u, .wrap_help em strong em.u, .wrap_todo em strong em.u, .wrap_download em strong em.u {
+ font-size: 115%;
+ border-bottom: 1px solid __border__;
+ font-style: normal;
+ text-decoration: none;
+ display: block;
+}
+/* different bigger headline for safety notes */
+.wrap_danger em strong em.u, .wrap_warning em strong em.u, .wrap_caution em strong em.u, .wrap_notice em strong em.u, .wrap_safety em strong em.u {
+ font-size: 115%;
+ font-style: normal;
+ text-decoration: none;
+ display: block;
+ text-transform: uppercase;
+ border-bottom-width: 0;
+}
+/* change border colour of emulated headlines inside boxes to something more neutral
+ (to match all the different background colours) */
+.wrap_box em strong em.u,
+.wrap_info em strong em.u, .wrap_important em strong em.u, .wrap_alert em strong em.u, .wrap_tip em strong em.u, .wrap_help em strong em.u, .wrap_todo em strong em.u, .wrap_download em strong em.u {
+ border-bottom-color: #999;
+}
+
+
+/* columns
+********************************************************************/
+
+.wrap_left,
+.wrap_column {
+ float: left;
+ margin-right: 1.5em;
+}
+.wrap_right {
+ float: right;
+ margin-left: 1.5em;
+}
+.wrap_center {
+ display: block;
+ margin-left: auto;
+ margin-right: auto;
+}
+/*
+.wrap_column,
+.wrap_left,
+.wrap_right {
+ overflow: hidden;
+}
+*/
+.wrap_container {
+ margin-right: -1.5em;
+}
+
+
+/* alignments
+********************************************************************/
+
+.wrap_leftalign {
+ text-align: left;
+}
+.wrap_centeralign {
+ text-align: center;
+}
+.wrap_rightalign {
+ text-align: right;
+}
+.wrap_justify {
+ text-align: justify;
+}
+
+
+/* box
+********************************************************************/
+
+/* see styles for boxes and notes with icons in style.css */
+
+/*____________ rounded corners ____________*/
+/* (only for modern browsers) */
+
+div.wrap_round {
+ border-radius: 20px;
+ -moz-border-radius: 20px;
+ -webkit-border-radius: 20px;
+ -khtml-border-radius: 20px;
+}
+span.wrap_round {
+ border-radius: 2px;
+ -moz-border-radius: 2px;
+ -webkit-border-radius: 2px;
+ -khtml-border-radius: 2px;
+}
+
+
+/* mark
+********************************************************************/
+
+div.wrap_lo {
+ color: __text_neu__;
+ font-size: 85%;
+}
+div.wrap_em {
+ color: #c00;
+ font-weight: bold;
+}
+
+/* see styles for highlighted text in style.css */
+
+
+/* typography
+********************************************************************/
+
+/*____________ fonts ____________*/
+
+div.wrap_sansserif {
+ font-family: Verdana,Tahoma,Geneva,"DejaVu Sans","Bitstream Vera Sans","Liberation Sans", Arial,Helvetica,FreeSans,"Liberation Sans","Nimbus Sans L", sans-serif;
+}
+div.wrap_serif {
+ font-family: Georgia,Garamond,"Palatino Linotype","Book Antiqua",Palatino,Palladio,"URW Palladio L","Liberation Serif",Didot,Gentium,"Bitstream Charter","Century Schoolbook L", "Times New Roman",Times,"Nimbus Roman No9 L","FreeSerif", serif;
+}
+div.wrap_monospace {
+ font-family: Courier,"Courier New",FreeMono,"Nimbus Mono L","Liberation Mono", "Lucida Console",Monaco,"DejaVu Sans Mono","Bitstream Vera Sans Mono", monospace;
+}
+
+/*____________ font size ____________*/
+
+div.wrap_bigger {
+ font-size: 125%;
+}
+div.wrap_muchbigger {
+ font-size: 200%;
+}
+div.wrap_smaller {
+ font-size: 75%;
+}
+
+/*____________ font colours ____________*/
+
+div.wrap_fgred {
+ color: #900;
+}
+div.wrap_fggreen {
+ color: #090;
+}
+div.wrap_fgblue {
+ color: #009;
+}
+div.wrap_fgcyan {
+ color: #099;
+}
+div.wrap_fgviolet {
+ color: #909;
+}
+div.wrap_fgyellow {
+ color: #990;
+}
+div.wrap_fggrey {
+ color: #666;
+}
+div.wrap_fgblack {
+ color: #000;
+}
+
+/* see styles for background colours and white font colour in style.css */
+
+
+/* miscellaneous
+********************************************************************/
+
+/*____________ indent ____________*/
+
+div.wrap_indent {
+ padding-left: 1.5em;
+}
+
+/*____________ outdent ____________*/
+
+div.wrap_outdent {
+ margin-left: -1.5em;
+}
+
+/*____________ word wrapping in pre ____________*/
+
+divdiv.wrap_prewrap pre {
+ white-space: pre-wrap;
+ word-wrap: break-word;/* for IE < 8 */
+ /* white-space: -moz-pre-wrap; for FF < 3 */
+}
+
+/*____________ spoiler ____________*/
+
+divdiv.wrap_spoiler {
+ margin-bottom: 1.5em;
+}
+/* see rest of spoiler styles in style.css */
+
+/*____________ clear float ____________*/
+
+div.wrap_clear {
+ clear: both;
+ line-height: 0;
+ height: 0;
+ font-size: 1px;
+ visibility: hidden;
+ overflow: hidden;
+}
+
+/*____________ hide ____________*/
+
+div.wrap_hide {
+ display: none;
+}
+
+
+/* STYLE.CSS */
+
+/* box
+********************************************************************/
+
+.wrap_box {
+ background: __background_alt__;
+ color: __text__;
+/*
+ overflow: hidden;
+*/
+}
+div.wrap_box,
+div.wrap_danger, div.wrap_warning, div.wrap_caution, div.wrap_notice, div.wrap_safety {
+ padding: 1em 1em .5em;
+ margin-bottom: 1.5em;
+}
+span.wrap_box,
+span.wrap_danger, span.wrap_warning, span.wrap_caution, span.wrap_notice, span.wrap_safety {
+ padding: 0 .3em;
+}
+
+/*____________ notes with icons ____________*/
+
+/* general styles for all note divs */
+div.wrap_info, div.wrap_important, div.wrap_alert, div.wrap_tip, div.wrap_help, div.wrap_todo, div.wrap_download {
+ padding: 1em 1em .5em 70px;
+ margin-bottom: 1.5em;
+ min-height: 68px;
+ background-position: 10px 50%;
+ background-repeat: no-repeat;
+ color: #000;
+}
+/* special treatment for IE6 */
+* html div.wrap_info, * html div.wrap_important, * html div.wrap_alert, * html div.wrap_tip, * html div.wrap_help, * html div.wrap_todo, * html div.wrap_download {
+ height: 68px;
+}
+/* general styles for all note spans */
+span.wrap_info, span.wrap_important, span.wrap_alert, span.wrap_tip, span.wrap_help, span.wrap_todo, span.wrap_download {
+ padding: 0 2px 0 20px;
+ min-height: 20px;
+ background-position: 2px 50%;
+ background-repeat: no-repeat;
+ color: #000;
+}
+/* special treatment for IE6 */
+* html span.wrap_info, * html span.wrap_important, * html span.wrap_alert, * html span.wrap_tip, * html span.wrap_help, * html span.wrap_todo, * html span.wrap_download {
+ height: 20px;
+}
+
+/*____________ info ____________*/
+.wrap_info { background-color: #d1d7df; }
+div.wrap_info { background-image: url(../../../wrap/images/note/48/info.png); }
+span.wrap_info { background-image: url(../../../wrap/images/note/16/info.png); }
+
+/*____________ important ____________*/
+.wrap_important { background-color: #ffd39f; }
+div.wrap_important { background-image: url(../../../wrap/images/note/48/important.png); }
+span.wrap_important { background-image: url(../../../wrap/images/note/16/important.png); }
+
+/*____________ alert ____________*/
+.wrap_alert { background-color: #ffbcaf; }
+div.wrap_alert { background-image: url(../../../wrap/images/note/48/alert.png); }
+span.wrap_alert { background-image: url(../../../wrap/images/note/16/alert.png); }
+
+/*____________ tip ____________*/
+.wrap_tip { background-color: #fff79f; }
+div.wrap_tip { background-image: url(../../../wrap/images/note/48/tip.png); }
+span.wrap_tip { background-image: url(../../../wrap/images/note/16/tip.png); }
+
+/*____________ help ____________*/
+.wrap_help { background-color: #dcc2ef; }
+div.wrap_help { background-image: url(../../../wrap/images/note/48/help.png); }
+span.wrap_help { background-image: url(../../../wrap/images/note/16/help.png); }
+
+/*____________ todo ____________*/
+.wrap_todo { background-color: #c2efdd; }
+div.wrap_todo { background-image: url(../../../wrap/images/note/48/todo.png); }
+span.wrap_todo { background-image: url(../../../wrap/images/note/16/todo.png); }
+
+/*____________ download ____________*/
+.wrap_download { background-color: #d6efc2; }
+div.wrap_download { background-image: url(../../../wrap/images/note/48/download.png); }
+span.wrap_download { background-image: url(../../../wrap/images/note/16/download.png); }
+
+
+/*____________ safety notes ____________*/
+
+.wrap_danger {
+ background-color: #c00;
+ color: #fff;
+}
+.wrap_warning {
+ background-color: #f60;
+ color: #000;
+}
+.wrap_caution {
+ background-color: #ff0;
+ color: #000;
+}
+.wrap_notice {
+ background-color: #06f;
+ color: #fff;
+}
+.wrap_safety {
+ background-color: #090;
+ color: #fff;
+}
+
+
+/* mark
+********************************************************************/
+
+div.wrap_hi {
+ background-color: #ff9;
+}
+
+/* typography
+********************************************************************/
+
+/*____________ font colours ____________*/
+
+div.wrap_fgwhite {
+ color: #fff;
+}
+
+/*____________ background colours ____________*/
+
+div.wrap_bgred {
+ background-color: #fcc;
+}
+div.wrap_bggreen {
+ background-color: #cfc;
+}
+div.wrap_bgblue {
+ background-color: #ccf;
+}
+div.wrap_bgcyan {
+ background-color: #9ff;
+}
+div.wrap_bgviolet {
+ background-color: #f9f;
+}
+div.wrap_bgyellow {
+ background-color: #ff9;
+}
+div.wrap_bggrey {
+ background-color: #ccc;
+}
+div.wrap_bgwhite {
+ background-color: #fff;
+}
+div.wrap_bgblack {
+ background-color: #000;
+}
+
+
+/* miscellaneous
+********************************************************************/
+
+/*____________ spoiler ____________*/
+
+div.wrap_spoiler {
+ background-color: __background__ !important;
+ color: __background__ !important;
+ border: 1px dotted red;
+}
+
+/*____________ only print ____________*/
+
+div.wrap_onlyprint {
+ display: none;
+}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/zero_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/zero_link.png
new file mode 100644
index 000000000..5610884d9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/zero_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/zero_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/zero_over.png
new file mode 100644
index 000000000..3a14a577e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tango/zero_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/LEEME.txt b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/LEEME.txt
new file mode 100755
index 000000000..fb08e2004
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/LEEME.txt
@@ -0,0 +1,36 @@
+TANGO-DEVWEB
+
+Tema para S5, creado originalmente por jEsuSdA 8)
+http://www.jesusda.com
+
+Modificaciones a partir del tema TANGO por john Edisson Ortiz Roman (laguaza AT gmail.com)
+
+
+
+
+M醩 temas en: http://www.jesusda.com/projects/presentaciones_s5
+
+
+
+Para customizar este tema modifica el archivo CSS:
+
+s5-ultraviolet/ui/default/pretty.css
+
+
+
+Los archivos gr醘icos referentes al tema est醤 en la carpeta:
+
+/ui/default/pics
+
+
+Puedes personalizar el favicon copiando el tuyo en la carpeta:
+
+/ui/default/pics
+
+con el nombre favicon.ico
+
+
+
+Para cualquier sugerencia e idea, por favor, contacta conmigo a trav閟 de mi web. ;)
+
+--jEsuSdA 8) \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/audio_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/audio_link.png
new file mode 100644
index 000000000..daa740c40
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/audio_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/audio_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/audio_on.png
new file mode 100644
index 000000000..cd590aae9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/audio_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/audio_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/audio_over.png
new file mode 100644
index 000000000..cf537a008
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/audio_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/auto_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/auto_link.png
new file mode 100644
index 000000000..1c2ca4817
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/auto_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/auto_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/auto_on.png
new file mode 100644
index 000000000..4b0c923b8
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/auto_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/auto_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/auto_over.png
new file mode 100644
index 000000000..dbea88975
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/auto_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/backgrnd.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/backgrnd.png
new file mode 100644
index 000000000..0e48413d3
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/backgrnd.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/blank.gif b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/blank.gif
new file mode 100755
index 000000000..75b945d25
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/blank.gif
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/delay_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/delay_link.png
new file mode 100644
index 000000000..c4d99cd57
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/delay_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/exit_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/exit_link.png
new file mode 100644
index 000000000..1bfd38790
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/exit_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/exit_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/exit_over.png
new file mode 100644
index 000000000..0fdd1c605
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/exit_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/fade_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/fade_link.png
new file mode 100644
index 000000000..482e4d53e
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/fade_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/fade_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/fade_on.png
new file mode 100644
index 000000000..e12561fb2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/fade_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/fade_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/fade_over.png
new file mode 100644
index 000000000..fb8943c98
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/fade_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/footer.jpg b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/footer.jpg
new file mode 100644
index 000000000..49370dbba
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/footer.jpg
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/framing.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/framing.css
new file mode 100755
index 000000000..14d8509e9
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/framing.css
@@ -0,0 +1,23 @@
+/* The following styles size, place, and layer the slide components.
+ Edit these if you want to change the overall slide layout.
+ The commented lines can be uncommented (and modified, if necessary)
+ to help you with the rearrangement process. */
+
+/* target = 1024x768 */
+
+div#header, div#footer, .slide {width: 100%; top: 0; left: 0;}
+div#header {top: 0; height: 3em; z-index: 1;}
+div#footer {top: auto; bottom: 0; height: 2.5em; z-index: 5;}
+.slide {top: 0; width: 92%; padding: 3.5em 4% 4%; z-index: 2; list-style: none;}
+div#controls {left: 50%; bottom: 0; width: 50%; z-index: 100;}
+div#controls form {position: absolute; bottom: 0; right: 0; width: 100%;
+ margin: 0;}
+#currentSlide {position: absolute; width: 10%; left: 45%; bottom: 1em; z-index: 10;}
+html>body #currentSlide {position: fixed;}
+
+/*
+div#header {background: #FCC;}
+div#footer {background: #CCF;}
+div#controls {background: #BBD;}
+div#currentSlide {background: #FFC;}
+*/
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/header.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/header.png
new file mode 100644
index 000000000..929dabba1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/header.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/iepngfix.htc b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/iepngfix.htc
new file mode 100755
index 000000000..bba2db756
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/iepngfix.htc
@@ -0,0 +1,42 @@
+<public:component>
+<public:attach event="onpropertychange" onevent="doFix()" />
+
+<script>
+
+// IE5.5+ PNG Alpha Fix v1.0 by Angus Turnbull http://www.twinhelix.com
+// Free usage permitted as long as this notice remains intact.
+
+// This must be a path to a blank image. That's all the configuration you need here.
+var blankImg = 'ui/default/blank.gif';
+
+var f = 'DXImageTransform.Microsoft.AlphaImageLoader';
+
+function filt(s, m) {
+ if (filters[f]) {
+ filters[f].enabled = s ? true : false;
+ if (s) with (filters[f]) { src = s; sizingMethod = m }
+ } else if (s) style.filter = 'progid:'+f+'(src="'+s+'",sizingMethod="'+m+'")';
+}
+
+function doFix() {
+ if ((parseFloat(navigator.userAgent.match(/MSIE (\S+)/)[1]) < 5.5) ||
+ (event && !/(background|src)/.test(event.propertyName))) return;
+
+ if (tagName == 'IMG') {
+ if ((/\.png$/i).test(src)) {
+ filt(src, 'image'); // was 'scale'
+ src = blankImg;
+ } else if (src.indexOf(blankImg) < 0) filt();
+ } else if (style.backgroundImage) {
+ if (style.backgroundImage.match(/^url[("']+(.*\.png)[)"']+$/i)) {
+ var s = RegExp.$1;
+ style.backgroundImage = '';
+ filt(s, 'crop');
+ } else filt();
+ }
+}
+
+doFix();
+
+</script>
+</public:component> \ No newline at end of file
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/index.html b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/index.html
new file mode 100755
index 000000000..39d8f46ad
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/index.html
@@ -0,0 +1,100 @@
+<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Strict//EN"
+ "http://www.w3.org/TR/xhtml1/DTD/xhtml1-strict.dtd">
+
+<html xmlns="http://www.w3.org/1999/xhtml" xml:lang="es" lang="es-es" >
+
+
+<head>
+
+ <title>[T铆tulo de la presentaci贸n aqu铆]</title>
+ <!-- metadata -->
+ <meta http-equiv="content-type" content="text/html; charset=utf-8" />
+ <meta http-equiv="content-language" content="es" />
+
+ <!--titulo y datos de publicaci贸n de la presentaci贸n-->
+
+ <meta name="description" content="[T铆tulo de la presentaci贸n aqu铆]" />
+
+ <meta name="keywords" content="[keywords aqu铆]" />
+ <meta name="presdate" content="[Aqu铆 la fecha de publicaci贸n en formato aaaammdd]" />
+
+ <meta name="author" lang="es" content="[Nombre del autor de la presentaci贸n aqu铆]" />
+ <meta name="company" content="[Organizaci贸n del autor de la presentaci贸n aqu铆]" />
+
+ <link rel="shortcut icon" href="ui/default/pics/favicon.ico" />
+
+ <meta name="generator" content="S5" />
+ <meta name="progid" content="quanta+" />
+ <meta name="version" content="S5 路 jEsuSdA 路 1.1" />
+ <!--Versi贸n adaptada del estandard S5 1.1 por jEsuSdA-->
+
+ <meta name="robots" content="all" />
+
+ <!-- configuration parameters -->
+ <meta name="defaultView" content="slideshow" />
+ <meta name="controlVis" content="hidden" />
+
+ <!-- style sheet links -->
+ <link rel="stylesheet" href="ui/default/slides.css" type="text/css" media="projection" id="slideProj" />
+ <link rel="stylesheet" href="ui/default/outline.css" type="text/css" media="screen" id="outlineStyle" />
+ <link rel="stylesheet" href="ui/default/print.css" type="text/css" media="print" id="slidePrint" />
+ <link rel="stylesheet" href="ui/default/opera.css" type="text/css" media="projection" id="operaFix" />
+
+ <!-- S5 JS -->
+ <script src="ui/default/slides.js" type="text/javascript"></script>
+
+</head>
+
+<body>
+
+ <div class="layout">
+ <div id="controls"><!-- NO EDITAR --></div>
+ <div id="currentSlide"><!-- NO EDITAR --></div>
+
+ <div id="header">
+ <div id="logo"><!-- NO EDITAR --></div>
+ </div>
+
+ </div>
+
+ <div id="footer">
+ <h1>[Lugar/Fecha de la presentaci贸n aqu铆]</h1>
+ <h2>[T铆tulo de la presentaci贸n aqu铆]</h2>
+ </div>
+
+
+
+
+<div class="presentation">
+
+ <div class="slide">
+ <h1>[T铆tulo de la presentaci贸n aqu铆]</h1>
+ <h3>[Nombre del ponente aqu铆]</h3>
+ <h4>[Datos extra aqu铆]</h4>
+ </div>
+
+
+ <div class="slide">
+ <h1>[T铆tulo de la diapositiva]</h1>
+
+ <ul>
+ <li>[Punto uno]</li>
+ <li>[Punto dos]</li>
+ <li>[Punto tres]</li>
+ <li>[Punto cuatro]</li>
+ <li>[Punto cinco]</li>
+ </ul>
+
+ <div class="handout">
+
+ [A帽ade aqu铆 cualquier nota o informaci贸n que desees mostrar en la versi贸n impresa, pero no en la versi贸n en pantalla]
+
+ </div>
+
+ </div>
+ <!--fin slide-->
+
+</div>
+
+</body>
+</html>
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/last_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/last_link.png
new file mode 100644
index 000000000..4f158d35d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/last_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/last_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/last_over.png
new file mode 100644
index 000000000..a7b629995
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/last_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/list_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/list_link.png
new file mode 100644
index 000000000..7e299bb50
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/list_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/loop_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/loop_link.png
new file mode 100644
index 000000000..41e12cb15
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/loop_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/loop_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/loop_on.png
new file mode 100644
index 000000000..2ffcacae1
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/loop_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/loop_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/loop_over.png
new file mode 100644
index 000000000..9fe420153
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/loop_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/next_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/next_link.png
new file mode 100644
index 000000000..2b9f44c4d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/next_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/next_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/next_over.png
new file mode 100644
index 000000000..25788124d
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/next_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/notes_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/notes_link.png
new file mode 100644
index 000000000..bb4a8ae37
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/notes_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/notes_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/notes_over.png
new file mode 100644
index 000000000..c6bfe69fc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/notes_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/opera.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/opera.css
new file mode 100755
index 000000000..9e9d2a3c5
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/opera.css
@@ -0,0 +1,7 @@
+/* DO NOT CHANGE THESE unless you really want to break Opera Show */
+.slide {
+ visibility: visible !important;
+ position: static !important;
+ page-break-before: always;
+}
+#slide0 {page-break-before: avoid;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/outline.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/outline.css
new file mode 100755
index 000000000..62db519ed
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/outline.css
@@ -0,0 +1,15 @@
+/* don't change this unless you want the layout stuff to show up in the outline view! */
+
+.layout div, #footer *, #controlForm * {display: none;}
+#footer, #controls, #controlForm, #navLinks, #toggle {
+ display: block; visibility: visible; margin: 0; padding: 0;}
+#toggle {float: right; padding: 0.5em;}
+html>body #toggle {position: fixed; top: 0; right: 0;}
+
+/* making the outline look pretty-ish */
+
+#slide0 h1, #slide0 h2, #slide0 h3, #slide0 h4 {border: none; margin: 0;}
+#slide0 h1 {padding-top: 1.5em;}
+.slide h1 {margin: 1.5em 0 0; padding-top: 0.25em;
+ border-top: 1px solid #888; border-bottom: 1px solid #AAA;}
+#toggle {border: 1px solid; border-width: 0 0 1px 1px; background: #FFF;}
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pause_link.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pause_link.png
new file mode 100644
index 000000000..2561cb4a4
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pause_link.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pause_on.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pause_on.png
new file mode 100644
index 000000000..2fc9c4a4a
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pause_on.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pause_over.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pause_over.png
new file mode 100644
index 000000000..7a7c80ddc
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pause_over.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pics/background-foot.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pics/background-foot.png
new file mode 100755
index 000000000..5b29fb303
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pics/background-foot.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pics/background-head.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pics/background-head.png
new file mode 100755
index 000000000..f36ad1acb
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pics/background-head.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pics/background-main.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pics/background-main.png
new file mode 100755
index 000000000..cb5106987
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pics/background-main.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pics/favicon.ico b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pics/favicon.ico
new file mode 100755
index 000000000..8de7eb42b
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pics/favicon.ico
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pics/logo.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pics/logo.png
new file mode 100755
index 000000000..51e5173be
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pics/logo.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pics/table-background.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pics/table-background.png
new file mode 100755
index 000000000..89a7e4fa2
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pics/table-background.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pics/table-header.png b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pics/table-header.png
new file mode 100755
index 000000000..4c3a86b44
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pics/table-header.png
Binary files differ
diff --git a/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pretty.css b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pretty.css
new file mode 100755
index 000000000..8e807b108
--- /dev/null
+++ b/mod/dokuwiki/vendors/dokuwiki/lib/plugins/s5reloaded/ui/tangodevweb/pretty.css
@@ -0,0 +1,583 @@
+/* Following are the presentation styles -- edit away! */
+
+body
+{
+ background: #FFF url(pics/background-main.png) top left repeat;
+ color: #000; font-size: 2em;
+/* font-family: Trebuchet MS, Arial, Helvetica, sans-serif; */
+ font-family: Arial, Helvetica, sans-serif;
+
+}
+
+:link, :visited
+{
+ text-decoration: none;
+ color: #00C;
+}
+
+
+
+h1, h2, h3, h4
+{
+ font-size: 100%;
+ margin: 0;
+ padding: 0;
+ font-weight: inherit;
+}
+
+h1 abbr
+{
+ font-variant: small-caps;
+}
+
+ul, pre
+{
+ margin: 0;
+ line-height: 1em;
+}
+
+html, body
+{
+ margin: 0;
+ padding: 0;
+}
+
+blockquote, q
+{
+ font-style: italic;
+}
+
+blockquote
+{
+ padding: 0 2em 0.5em;
+ margin: 0 1.5em 0.5em;
+ text-align: center;
+ font-size: 1em;
+}
+
+blockquote p
+{
+ margin: 0;
+}
+
+blockquote i
+{
+ font-style: normal;
+}
+
+blockquote b
+{
+ display: block;
+ margin-top: 0.5em;
+ font-weight: normal;
+ font-size: smaller;
+ font-style: normal;
+}
+
+blockquote b i
+{
+ font-style: italic;
+}
+
+kbd
+{
+ font-weight: bold;
+ font-size: 1em;
+}
+
+sup
+{
+ font-size: smaller;
+ line-height: 1px;
+}
+
+
+ul.urls
+{
+ list-style: none;
+ display: inline;
+ margin: 0;
+}
+
+.urls li
+{
+ display: inline;
+ margin: 0;
+}
+
+.notes
+{
+ display: none;
+}
+
+.external
+{
+ border-bottom: 1px dotted gray;
+}
+
+html>body .external
+{
+ border-bottom: none;
+}
+
+.external:after
+{
+ content: " \274F";
+ font-size: smaller;
+ color: #fff;
+}
+
+.incremental, .incremental *, .incremental *:after
+{
+ color: #fff;
+ visibility: visible;
+}
+
+img.incremental
+{
+ visibility: hidden;
+}
+
+.slide .current
+{
+ color: #fff;
+}
+
+
+/* ============== */
+/* === SLIDES === */
+/* ============== */
+
+.slide code
+{
+ padding: 2px 0.25em;
+ font-weight: bold;
+}
+
+.slide code.bad, code del
+{
+ color: red;
+}
+
+.slide code.old
+{
+ color: silver;
+}
+
+.slide pre
+{
+
+ color: #ffca00;
+ display:block;
+ font-weight:bold;
+ font-family: Arial, Sans-Serif !important;
+ font-size:41.5%;
+ line-height:1.5em;
+ background:#2e3436 url(pics/back_console.png) right bottom no-repeat ;
+ border:5px solid #ccc;
+ padding:1em 1em 1em 1em;
+
+
+}
+
+.slide pre code
+{
+ font-family: Arial, Sans-Serif !important;
+ display:block;
+ margin:0;
+ padding:0;
+}
+
+
+
+.slide ul
+{
+ margin-left: 5%;
+ margin-right: 7%;
+ list-style: disc;
+}
+
+.slide li
+{
+ margin-top: 0.75em;
+ margin-right: 0;
+}
+
+.slide ul ul
+{
+ line-height: 1;
+}
+
+.slide ul ul li
+{
+ margin: .2em;
+ font-size: 85%;
+ list-style: square;
+}
+
+.slide img.leader
+{
+ display: block;
+ margin: 0 auto;
+}
+
+
+/* ========================= */
+/* === HEADER AND FOOTER === */
+/* ========================= */
+
+div#logo
+{
+ position:absolute;
+ top:0%;
+ right:0%;
+ background:url(pics/logo.png) 50% 50% no-repeat;
+ height:200%;
+ width:150px;
+}
+
+div#header, div#footer
+{
+ background: #a80231;
+ color: #FFF;
+}
+
+
+div#header
+{
+ background:#7ea1c9 url(pics/background-head.png) bottom left repeat-x;
+ line-height: 1px;
+}
+
+div#footer
+{
+ background:#7ea1c9 url(pics/background-foot.png) top left repeat-x;
+ font-size: 0.5em;
+ font-weight: bold;
+ padding: 1em 0;
+}
+
+
+
+
+
+#footer h1, #footer h2
+{
+ display: block;
+ padding: 0 1em;
+}
+
+#footer h2
+{
+ font-style: italic;
+}
+
+
+
+/* ============== */
+/* === CLASES === */
+/* ============== */
+
+div.long
+{
+ font-size: 0.75em;
+}
+
+.slide h1
+{
+ position: absolute;
+ top: 0.7em;
+ left: 87px;
+ z-index: 1;
+ margin: 0;
+ padding: 0.3em 0 0 50px;
+ white-space: nowrap;
+ font-weight: bold;
+ font-size: 150%/1em;
+
+ text-transform: capitalize;
+ color: #fff;
+ background: transparent;
+}
+
+.slide h3
+{
+ font-size: 130%;
+}
+
+/* ================ */
+/* === CONTROLS === */
+/* ================ */
+
+#currentSlide
+{
+ text-align: center;
+ font-size: 0.6em;
+ font-weight:bold;
+ color: #fff;
+}
+
+#slide0
+{
+ padding-top: 3.5em;
+ font-size: 90%;
+}
+
+#slide0 h1
+{
+ position: static;
+ margin: 1em 0 0;
+ padding: 0;
+/* font: bold 2em Helvetica, sans-serif; */
+ font-weight: bold;
+ font-size:2em;
+ white-space: normal;
+ color: #000;
+ background: transparent;
+}
+
+#slide0 h2
+{
+ font-weight: bold;
+ font-style: italic;
+ font-size: 1em;
+ margin: 0.25em;
+}
+
+#slide0 h3
+{
+ margin-top: 1.5em;
+ font-size: 1.5em;
+}
+
+#slide0 h4
+{
+ margin-top: 0;
+ font-size: 1em;
+}
+
+/* ================ */
+/* === JESUSDA === */
+/* ================ */
+
+.first-pic
+{
+
+ float:right;
+ margin-top:-2em;
+}
+
+/* ================ */
+
+.align-center
+{
+ text-align:center;
+}
+/* ================ */
+
+.float-left , .left-column
+{
+ float:left !important;
+ width:49% !important;
+}
+
+.float-right , .right-column
+{
+ float:right !important;
+ width:49% !important;
+}
+/* ================ */
+.fullscreen
+{
+ z-index:1000 !important;
+ position:fixed !important;
+ top:0;
+ left:0;
+ width:100% !important;
+ height:100% !important;
+
+ background: #fff url(pics/background-main.png) top left no-repeat;
+
+ text-align:center;
+ margin-left:-1.65em;
+
+}
+
+.fullscreen h1
+{
+ display:none;
+}
+
+.rotulo
+{
+ font-size:800%;
+ text-align:center;
+ margin-top:-0.05em;
+
+}
+
+.rotulo2
+{
+ font-size:200%;
+ text-align:center;
+ font-weight:bolder;
+ margin-top:-0.05em;
+
+}
+
+.align-center img
+{
+ margin:auto;
+ text-align:center;
+ margin:0;
+}
+
+/* ========================= */
+/* === TABLAS === */
+/* ========================= */
+
+table
+{
+ background:url(pics/table-background.png) bottom left repeat-x #fff;
+ margin-right:1em;
+ font-size:70%;
+ border:1px solid #31447b !important;
+ border-spacing: 0;
+ text-align:center;
+}
+
+table td
+{
+ padding:0.5em;
+ font-size:90%;
+ border:1px solid #31447b !important;
+ border-spacing: 0;
+ text-align:center;
+}
+
+th
+{
+ background:url(pics/table-header.png) top left repeat-x #7ea1c9;
+ color:#fff;
+}
+
+th a
+{
+ color:#fff !important;
+}
+
+
+
+table caption
+{
+ margin-bottom:1em;
+ margin-top:1em;
+ margin-left:-7em;
+
+
+}
+
+
+display-none
+{
+ display:none;
+}
+
+div#controls {position: absolute; left: 0; bottom: 0; width: 100%; text-align: center; font: bold 1em Verdana, Arial, Helvetica, sans-serif;}
+html>body div#controls {background: transparent; position: fixed; padding: 0; top: auto; text-align: center;}
+#controls #sheet {display: none;}
+#controls #controlForm {height: 32px; width: 100%; text-align: center; vertical-align: middle;}
+#controls #navLinks {border-top: 1px solid #999; overflow: hidden; padding: 0; margin: 0; height: 32px; width: 100%; background-color: #808080; text-align: center; vertical-align: middle; white-space: nowrap;}
+#controls #navLinks ul {margin: 0 auto; padding: 0; height: 32px; width: 500px; white-space: nowrap; text-align: center;}
+#controls #navLinks ul li {list-style: none; display: inline; margin: 0; padding: 0; float: left; height: 32px; width: 32px; text-align: center; background-color: transparent;}
+#controls #navLinks ul li.vr {list-style: none; display: inline; margin: 0px 2px; padding: 0; float: left; height: 32px; width: 1px; text-align: center; background-color: #606060;}
+#controls #navLinks ul li a {margin: 0; padding: 0; float: left; height: 32px; width: 32px; background-color: transparent;}
+
+#controls #navLinks ul li#exit {background: url(exit_link.png) no-repeat top left;}
+#controls #navLinks ul li#exit a:hover {background: url(exit_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#toggle {background: url(toggle_link.png) no-repeat top left;}
+#controls #navLinks ul li#toggle a:hover {background: url(toggle_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#show-notes {background: url(notes_link.png) no-repeat top left;}
+#controls #navLinks ul li#show-notes a:hover {background: url(notes_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#zero {background: url(zero_link.png) no-repeat top left;}
+#controls #navLinks ul li#zero a:hover {background: url(zero_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#prev {background: url(prev_link.png) no-repeat top left;}
+#controls #navLinks ul li#prev a:hover {background: url(prev_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#next {background: url(next_link.png) no-repeat top left;}
+#controls #navLinks ul li#next a:hover {background: url(next_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#last {background: url(last_link.png) no-repeat top left;}
+#controls #navLinks ul li#last a:hover {background: url(last_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#lst {background: url(list_link.png) no-repeat top left;}
+
+#controls #navLinks ul li#fade a.isoff {background: url(fade_link.png) no-repeat top left;}
+#controls #navLinks ul li#fade a.ison {background: url(fade_on.png) no-repeat top right;}
+#controls #navLinks ul li#fade a:hover {background: url(fade_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#audio {background: url(audio_link.png) no-repeat top left;}
+#controls #navLinks ul li#audio a.isoff {background: url(audio_link.png) no-repeat top left;}
+#controls #navLinks ul li#audio a.ison {background: url(audio_on.png) no-repeat top right;}
+#controls #navLinks ul li#audio a:hover {background: url(audio_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#vol {background: url(volume_link.png) no-repeat top left;}
+
+#controls #navLinks ul li#auto a.isoff {background: url(auto_link.png) no-repeat top left;}
+#controls #navLinks ul li#auto a.ison {background: url(auto_on.png) no-repeat top right;}
+#controls #navLinks ul li#auto a:hover {background: url(auto_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#pause a.isoff {background: url(pause_link.png) no-repeat top left;}
+#controls #navLinks ul li#pause a.ison {background: url(pause_on.png) no-repeat top right;}
+#controls #navLinks ul li#pause a:hover {background: url(pause_over.png) no-repeat bottom left;}
+
+#controls #navLinks ul li#loop a.isoff {background: url(loop_link.png) no-repeat top left;}
+#controls #navLinks ul li#loop a.ison {background: url(loop_on.png) no-repeat top right;}
+#controls #navLinks ul li#loop a:hover {background: url(loop_over.png) no-repeat bottom left;}
+